diff --git a/el2_ifu_mem_ctl.fir b/el2_ifu_mem_ctl.fir index 3e337655..8450f63a 100644 --- a/el2_ifu_mem_ctl.fir +++ b/el2_ifu_mem_ctl.fir @@ -5,26 +5,26 @@ circuit el2_ifu_mem_ctl : input reset : UInt<1> output io : {flip free_clk : Clock, flip active_clk : Clock, flip exu_flush_final : UInt<1>, flip dec_tlu_flush_lower_wb : UInt<1>, flip dec_tlu_flush_err_wb : UInt<1>, flip dec_tlu_i0_commit_cmt : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip ifc_fetch_addr_bf : UInt<31>, flip ifc_fetch_uncacheable_bf : UInt<1>, flip ifc_fetch_req_bf : UInt<1>, flip ifc_fetch_req_bf_raw : UInt<1>, flip ifc_iccm_access_bf : UInt<1>, flip ifc_region_acc_fault_bf : UInt<1>, flip ifc_dma_access_ok : UInt<1>, flip dec_tlu_fence_i_wb : UInt<1>, flip ifu_bp_hit_taken_f : UInt<1>, flip ifu_bp_inst_mask_f : UInt<1>, flip ifu_axi_arready : UInt<1>, flip ifu_axi_rvalid : UInt<1>, flip ifu_axi_rid : UInt<3>, flip ifu_axi_rdata : UInt<64>, flip ifu_axi_rresp : UInt<2>, flip ifu_bus_clk_en : UInt<1>, flip dma_iccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>, flip dma_mem_tag : UInt<3>, flip ic_rd_data : UInt<64>, flip ic_debug_rd_data : UInt<71>, flip ictag_debug_rd_data : UInt<26>, flip ic_eccerr : UInt<2>, flip ic_parerr : UInt<2>, flip ic_rd_hit : UInt<2>, flip ic_tag_perr : UInt<1>, flip iccm_rd_data : UInt<64>, flip iccm_rd_data_ecc : UInt<78>, flip ifu_fetch_val : UInt<2>, flip dec_tlu_ic_diag_pkt : {icache_wrdata : UInt<71>, icache_dicawics : UInt<17>, icache_rd_valid : UInt<1>, icache_wr_valid : UInt<1>}, ifu_miss_state_idle : UInt<1>, ifu_ic_mb_empty : UInt<1>, ic_dma_active : UInt<1>, ic_write_stall : UInt<1>, ifu_pmu_ic_miss : UInt<1>, ifu_pmu_ic_hit : UInt<1>, ifu_pmu_bus_error : UInt<1>, ifu_pmu_bus_busy : UInt<1>, ifu_pmu_bus_trxn : UInt<1>, ifu_axi_awvalid : UInt<1>, ifu_axi_awid : UInt<3>, ifu_axi_awaddr : UInt<32>, ifu_axi_awregion : UInt<4>, ifu_axi_awlen : UInt<8>, ifu_axi_awsize : UInt<3>, ifu_axi_awburst : UInt<2>, ifu_axi_awlock : UInt<1>, ifu_axi_awcache : UInt<4>, ifu_axi_awprot : UInt<3>, ifu_axi_awqos : UInt<4>, ifu_axi_wvalid : UInt<1>, ifu_axi_wdata : UInt<64>, ifu_axi_wstrb : UInt<8>, ifu_axi_wlast : UInt<1>, ifu_axi_bready : UInt<1>, ifu_axi_arvalid : UInt<1>, ifu_axi_arid : UInt<3>, ifu_axi_araddr : UInt<32>, ifu_axi_arregion : UInt<4>, ifu_axi_arlen : UInt<8>, ifu_axi_arsize : UInt<3>, ifu_axi_arburst : UInt<2>, ifu_axi_arlock : UInt<1>, ifu_axi_arcache : UInt<4>, ifu_axi_arprot : UInt<3>, ifu_axi_arqos : UInt<4>, ifu_axi_rready : UInt<1>, iccm_dma_ecc_error : UInt<1>, iccm_dma_rvalid : UInt<1>, iccm_dma_rdata : UInt<64>, iccm_dma_rtag : UInt<3>, iccm_ready : UInt<1>, ic_rw_addr : UInt<31>, ic_wr_en : UInt<2>, ic_rd_en : UInt<1>, ic_wr_data : UInt<71>[2], ic_debug_wr_data : UInt<71>, ifu_ic_debug_rd_data : UInt<71>, ic_debug_addr : UInt<10>, ic_debug_rd_en : UInt<1>, ic_debug_wr_en : UInt<1>, ic_debug_tag_array : UInt<1>, ic_debug_way : UInt<2>, ic_tag_valid : UInt<2>, iccm_rw_addr : UInt<15>, iccm_wren : UInt<1>, iccm_rden : UInt<1>, iccm_wr_data : UInt<78>, iccm_wr_size : UInt<3>, ic_hit_f : UInt<1>, ic_access_fault_f : UInt<1>, ic_access_fault_type_f : UInt<2>, iccm_rd_ecc_single_err : UInt<1>, iccm_rd_ecc_double_err : UInt<1>, ic_error_start : UInt<1>, ifu_async_error_start : UInt<1>, iccm_dma_sb_error : UInt<1>, ic_fetch_val_f : UInt<2>, ic_data_f : UInt<32>, ic_premux_data : UInt<64>, ic_sel_premux_data : UInt<1>, flip dec_tlu_core_ecc_disable : UInt<1>, ifu_ic_debug_rd_data_valid : UInt<1>, iccm_buf_correct_ecc : UInt<1>, iccm_correction_state : UInt<1>, flip scan_mode : UInt<1>} - io.ifu_axi_wvalid <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 132:21] - io.ifu_axi_wdata <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 133:20] - io.ifu_axi_awqos <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 134:20] - io.ifu_axi_awaddr <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 135:21] - io.ifu_axi_awprot <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 136:21] - io.ifu_axi_awlen <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 137:20] - io.ifu_axi_arlock <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 138:21] - io.ifu_axi_awregion <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 139:23] - io.ifu_axi_awid <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 140:19] - io.ifu_axi_awvalid <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 141:22] - io.ifu_axi_wstrb <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 142:20] - io.ifu_axi_awcache <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 143:22] - io.ifu_axi_arqos <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 144:20] - io.ifu_axi_awlock <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 145:21] - io.ifu_axi_bready <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 146:21] - io.ifu_axi_arlen <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 147:20] - io.ifu_axi_awsize <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 148:21] - io.ifu_axi_arprot <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 149:21] - io.ifu_axi_awburst <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 150:22] - io.ifu_axi_wlast <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 151:20] + io.ifu_axi_wvalid <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 133:21] + io.ifu_axi_wdata <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 134:20] + io.ifu_axi_awqos <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 135:20] + io.ifu_axi_awaddr <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 136:21] + io.ifu_axi_awprot <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 137:21] + io.ifu_axi_awlen <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 138:20] + io.ifu_axi_arlock <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 139:21] + io.ifu_axi_awregion <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 140:23] + io.ifu_axi_awid <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 141:19] + io.ifu_axi_awvalid <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 142:22] + io.ifu_axi_wstrb <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 143:20] + io.ifu_axi_awcache <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 144:22] + io.ifu_axi_arqos <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 145:20] + io.ifu_axi_awlock <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 146:21] + io.ifu_axi_bready <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 147:21] + io.ifu_axi_arlen <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 148:20] + io.ifu_axi_awsize <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 149:21] + io.ifu_axi_arprot <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 150:21] + io.ifu_axi_awburst <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 151:22] + io.ifu_axi_wlast <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 152:20] wire iccm_single_ecc_error : UInt<2> iccm_single_ecc_error <= UInt<1>("h00") wire ifc_fetch_req_f : UInt<1> @@ -77,229 +77,229 @@ circuit el2_ifu_mem_ctl : ic_ignore_2nd_miss_f <= UInt<1>("h00") wire ic_debug_rd_en_ff : UInt<1> ic_debug_rd_en_ff <= UInt<1>("h00") - reg flush_final_f : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 184:30] - flush_final_f <= io.exu_flush_final @[el2_ifu_mem_ctl.scala 184:30] - node _T = or(io.ifc_fetch_req_bf_raw, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 185:53] - node _T_1 = or(_T, miss_pending) @[el2_ifu_mem_ctl.scala 185:71] - node _T_2 = or(_T_1, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 185:86] - node fetch_bf_f_c1_clken = or(_T_2, scnd_miss_req) @[el2_ifu_mem_ctl.scala 185:107] - node debug_c1_clken = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 186:42] - node _T_3 = orr(iccm_single_ecc_error) @[el2_ifu_mem_ctl.scala 189:52] - node _T_4 = bits(dma_iccm_req_f, 0, 0) @[el2_ifu_mem_ctl.scala 189:78] - node _T_5 = and(_T_3, _T_4) @[el2_ifu_mem_ctl.scala 189:55] - io.iccm_dma_sb_error <= _T_5 @[el2_ifu_mem_ctl.scala 189:24] - node _T_6 = or(io.iccm_rd_ecc_single_err, io.ic_error_start) @[el2_ifu_mem_ctl.scala 190:57] - io.ifu_async_error_start <= _T_6 @[el2_ifu_mem_ctl.scala 190:28] - node _T_7 = eq(perr_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 191:54] - node _T_8 = or(iccm_correct_ecc, _T_7) @[el2_ifu_mem_ctl.scala 191:40] - node _T_9 = eq(err_stop_state, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 191:90] - node _T_10 = or(_T_8, _T_9) @[el2_ifu_mem_ctl.scala 191:72] - node _T_11 = or(_T_10, err_stop_fetch) @[el2_ifu_mem_ctl.scala 191:112] - node _T_12 = or(_T_11, io.dec_tlu_flush_err_wb) @[el2_ifu_mem_ctl.scala 191:129] - io.ic_dma_active <= _T_12 @[el2_ifu_mem_ctl.scala 191:20] - node _T_13 = and(ifu_bus_rsp_valid, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 193:44] - node _T_14 = and(_T_13, ifu_bus_rsp_ready) @[el2_ifu_mem_ctl.scala 193:65] - node _T_15 = andr(bus_new_data_beat_count) @[el2_ifu_mem_ctl.scala 193:112] - node _T_16 = and(_T_14, _T_15) @[el2_ifu_mem_ctl.scala 193:85] - node _T_17 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 194:5] - node _T_18 = and(_T_16, _T_17) @[el2_ifu_mem_ctl.scala 193:118] - node _T_19 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 194:41] - node _T_20 = eq(miss_nxtstate, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 194:73] - node _T_21 = or(_T_19, _T_20) @[el2_ifu_mem_ctl.scala 194:57] - node _T_22 = and(_T_18, _T_21) @[el2_ifu_mem_ctl.scala 194:26] - node _T_23 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 194:93] - node scnd_miss_req_in = and(_T_22, _T_23) @[el2_ifu_mem_ctl.scala 194:91] - node ifu_bp_hit_taken_q_f = and(io.ifu_bp_hit_taken_f, io.ic_hit_f) @[el2_ifu_mem_ctl.scala 196:52] + reg flush_final_f : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 185:30] + flush_final_f <= io.exu_flush_final @[el2_ifu_mem_ctl.scala 185:30] + node _T = or(io.ifc_fetch_req_bf_raw, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 186:53] + node _T_1 = or(_T, miss_pending) @[el2_ifu_mem_ctl.scala 186:71] + node _T_2 = or(_T_1, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 186:86] + node fetch_bf_f_c1_clken = or(_T_2, scnd_miss_req) @[el2_ifu_mem_ctl.scala 186:107] + node debug_c1_clken = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 187:42] + node _T_3 = orr(iccm_single_ecc_error) @[el2_ifu_mem_ctl.scala 190:52] + node _T_4 = bits(dma_iccm_req_f, 0, 0) @[el2_ifu_mem_ctl.scala 190:78] + node _T_5 = and(_T_3, _T_4) @[el2_ifu_mem_ctl.scala 190:55] + io.iccm_dma_sb_error <= _T_5 @[el2_ifu_mem_ctl.scala 190:24] + node _T_6 = or(io.iccm_rd_ecc_single_err, io.ic_error_start) @[el2_ifu_mem_ctl.scala 191:57] + io.ifu_async_error_start <= _T_6 @[el2_ifu_mem_ctl.scala 191:28] + node _T_7 = eq(perr_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 192:54] + node _T_8 = or(iccm_correct_ecc, _T_7) @[el2_ifu_mem_ctl.scala 192:40] + node _T_9 = eq(err_stop_state, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 192:90] + node _T_10 = or(_T_8, _T_9) @[el2_ifu_mem_ctl.scala 192:72] + node _T_11 = or(_T_10, err_stop_fetch) @[el2_ifu_mem_ctl.scala 192:112] + node _T_12 = or(_T_11, io.dec_tlu_flush_err_wb) @[el2_ifu_mem_ctl.scala 192:129] + io.ic_dma_active <= _T_12 @[el2_ifu_mem_ctl.scala 192:20] + node _T_13 = and(ifu_bus_rsp_valid, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 194:44] + node _T_14 = and(_T_13, ifu_bus_rsp_ready) @[el2_ifu_mem_ctl.scala 194:65] + node _T_15 = andr(bus_new_data_beat_count) @[el2_ifu_mem_ctl.scala 194:112] + node _T_16 = and(_T_14, _T_15) @[el2_ifu_mem_ctl.scala 194:85] + node _T_17 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 195:5] + node _T_18 = and(_T_16, _T_17) @[el2_ifu_mem_ctl.scala 194:118] + node _T_19 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 195:41] + node _T_20 = eq(miss_nxtstate, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 195:73] + node _T_21 = or(_T_19, _T_20) @[el2_ifu_mem_ctl.scala 195:57] + node _T_22 = and(_T_18, _T_21) @[el2_ifu_mem_ctl.scala 195:26] + node _T_23 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 195:93] + node scnd_miss_req_in = and(_T_22, _T_23) @[el2_ifu_mem_ctl.scala 195:91] + node ifu_bp_hit_taken_q_f = and(io.ifu_bp_hit_taken_f, io.ic_hit_f) @[el2_ifu_mem_ctl.scala 197:52] node _T_24 = eq(UInt<3>("h00"), miss_state) @[Conditional.scala 37:30] when _T_24 : @[Conditional.scala 40:58] - node _T_25 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 200:45] - node _T_26 = and(ic_act_miss_f, _T_25) @[el2_ifu_mem_ctl.scala 200:43] - node _T_27 = bits(_T_26, 0, 0) @[el2_ifu_mem_ctl.scala 200:66] - node _T_28 = mux(_T_27, UInt<3>("h01"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 200:27] - miss_nxtstate <= _T_28 @[el2_ifu_mem_ctl.scala 200:21] - node _T_29 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 201:40] - node _T_30 = and(ic_act_miss_f, _T_29) @[el2_ifu_mem_ctl.scala 201:38] - miss_state_en <= _T_30 @[el2_ifu_mem_ctl.scala 201:21] + node _T_25 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 201:45] + node _T_26 = and(ic_act_miss_f, _T_25) @[el2_ifu_mem_ctl.scala 201:43] + node _T_27 = bits(_T_26, 0, 0) @[el2_ifu_mem_ctl.scala 201:66] + node _T_28 = mux(_T_27, UInt<3>("h01"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 201:27] + miss_nxtstate <= _T_28 @[el2_ifu_mem_ctl.scala 201:21] + node _T_29 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 202:40] + node _T_30 = and(ic_act_miss_f, _T_29) @[el2_ifu_mem_ctl.scala 202:38] + miss_state_en <= _T_30 @[el2_ifu_mem_ctl.scala 202:21] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_31 = eq(UInt<3>("h01"), miss_state) @[Conditional.scala 37:30] when _T_31 : @[Conditional.scala 39:67] - node _T_32 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 204:113] - node _T_33 = or(last_data_recieved_ff, _T_32) @[el2_ifu_mem_ctl.scala 204:93] - node _T_34 = and(ic_byp_hit_f, _T_33) @[el2_ifu_mem_ctl.scala 204:67] - node _T_35 = and(_T_34, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 204:127] - node _T_36 = or(io.dec_tlu_force_halt, _T_35) @[el2_ifu_mem_ctl.scala 204:51] - node _T_37 = bits(_T_36, 0, 0) @[el2_ifu_mem_ctl.scala 204:152] - node _T_38 = eq(last_data_recieved_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 205:30] - node _T_39 = and(ic_byp_hit_f, _T_38) @[el2_ifu_mem_ctl.scala 205:27] - node _T_40 = and(_T_39, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 205:53] - node _T_41 = bits(_T_40, 0, 0) @[el2_ifu_mem_ctl.scala 205:77] - node _T_42 = eq(ic_byp_hit_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 206:16] - node _T_43 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 206:32] - node _T_44 = and(_T_42, _T_43) @[el2_ifu_mem_ctl.scala 206:30] - node _T_45 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 206:72] - node _T_46 = and(_T_44, _T_45) @[el2_ifu_mem_ctl.scala 206:52] - node _T_47 = and(_T_46, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 206:85] - node _T_48 = bits(_T_47, 0, 0) @[el2_ifu_mem_ctl.scala 206:109] - node _T_49 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 207:36] - node _T_50 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 207:51] - node _T_51 = and(_T_49, _T_50) @[el2_ifu_mem_ctl.scala 207:49] - node _T_52 = bits(_T_51, 0, 0) @[el2_ifu_mem_ctl.scala 207:73] - node _T_53 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 208:35] - node _T_54 = and(ic_byp_hit_f, _T_53) @[el2_ifu_mem_ctl.scala 208:33] - node _T_55 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 208:76] - node _T_56 = eq(_T_55, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 208:57] - node _T_57 = and(_T_54, _T_56) @[el2_ifu_mem_ctl.scala 208:55] - node _T_58 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 208:91] - node _T_59 = and(_T_57, _T_58) @[el2_ifu_mem_ctl.scala 208:89] - node _T_60 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 208:115] - node _T_61 = and(_T_59, _T_60) @[el2_ifu_mem_ctl.scala 208:113] - node _T_62 = bits(_T_61, 0, 0) @[el2_ifu_mem_ctl.scala 208:137] - node _T_63 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 209:41] - node _T_64 = and(bus_ifu_wr_en_ff, _T_63) @[el2_ifu_mem_ctl.scala 209:39] - node _T_65 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 209:82] - node _T_66 = eq(_T_65, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 209:63] - node _T_67 = and(_T_64, _T_66) @[el2_ifu_mem_ctl.scala 209:61] - node _T_68 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 209:97] - node _T_69 = and(_T_67, _T_68) @[el2_ifu_mem_ctl.scala 209:95] - node _T_70 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 209:121] - node _T_71 = and(_T_69, _T_70) @[el2_ifu_mem_ctl.scala 209:119] - node _T_72 = bits(_T_71, 0, 0) @[el2_ifu_mem_ctl.scala 209:143] - node _T_73 = eq(ic_byp_hit_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:22] - node _T_74 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:40] - node _T_75 = and(_T_73, _T_74) @[el2_ifu_mem_ctl.scala 210:37] - node _T_76 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 210:81] - node _T_77 = and(_T_75, _T_76) @[el2_ifu_mem_ctl.scala 210:60] - node _T_78 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:102] - node _T_79 = and(_T_77, _T_78) @[el2_ifu_mem_ctl.scala 210:100] - node _T_80 = bits(_T_79, 0, 0) @[el2_ifu_mem_ctl.scala 210:124] - node _T_81 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 211:44] - node _T_82 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 211:89] - node _T_83 = eq(_T_82, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 211:70] - node _T_84 = and(_T_81, _T_83) @[el2_ifu_mem_ctl.scala 211:68] - node _T_85 = bits(_T_84, 0, 0) @[el2_ifu_mem_ctl.scala 211:103] - node _T_86 = mux(_T_85, UInt<3>("h02"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 211:22] - node _T_87 = mux(_T_80, UInt<3>("h00"), _T_86) @[el2_ifu_mem_ctl.scala 210:20] - node _T_88 = mux(_T_72, UInt<3>("h06"), _T_87) @[el2_ifu_mem_ctl.scala 209:20] - node _T_89 = mux(_T_62, UInt<3>("h06"), _T_88) @[el2_ifu_mem_ctl.scala 208:18] - node _T_90 = mux(_T_52, UInt<3>("h00"), _T_89) @[el2_ifu_mem_ctl.scala 207:16] - node _T_91 = mux(_T_48, UInt<3>("h04"), _T_90) @[el2_ifu_mem_ctl.scala 206:14] - node _T_92 = mux(_T_41, UInt<3>("h03"), _T_91) @[el2_ifu_mem_ctl.scala 205:12] - node _T_93 = mux(_T_37, UInt<3>("h00"), _T_92) @[el2_ifu_mem_ctl.scala 204:27] - miss_nxtstate <= _T_93 @[el2_ifu_mem_ctl.scala 204:21] - node _T_94 = or(io.dec_tlu_force_halt, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 212:46] - node _T_95 = or(_T_94, ic_byp_hit_f) @[el2_ifu_mem_ctl.scala 212:67] - node _T_96 = or(_T_95, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 212:82] - node _T_97 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 212:125] - node _T_98 = or(_T_96, _T_97) @[el2_ifu_mem_ctl.scala 212:105] - node _T_99 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 212:160] - node _T_100 = and(bus_ifu_wr_en_ff, _T_99) @[el2_ifu_mem_ctl.scala 212:158] - node _T_101 = or(_T_98, _T_100) @[el2_ifu_mem_ctl.scala 212:138] - miss_state_en <= _T_101 @[el2_ifu_mem_ctl.scala 212:21] + node _T_32 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 205:113] + node _T_33 = or(last_data_recieved_ff, _T_32) @[el2_ifu_mem_ctl.scala 205:93] + node _T_34 = and(ic_byp_hit_f, _T_33) @[el2_ifu_mem_ctl.scala 205:67] + node _T_35 = and(_T_34, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 205:127] + node _T_36 = or(io.dec_tlu_force_halt, _T_35) @[el2_ifu_mem_ctl.scala 205:51] + node _T_37 = bits(_T_36, 0, 0) @[el2_ifu_mem_ctl.scala 205:152] + node _T_38 = eq(last_data_recieved_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 206:30] + node _T_39 = and(ic_byp_hit_f, _T_38) @[el2_ifu_mem_ctl.scala 206:27] + node _T_40 = and(_T_39, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 206:53] + node _T_41 = bits(_T_40, 0, 0) @[el2_ifu_mem_ctl.scala 206:77] + node _T_42 = eq(ic_byp_hit_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 207:16] + node _T_43 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 207:32] + node _T_44 = and(_T_42, _T_43) @[el2_ifu_mem_ctl.scala 207:30] + node _T_45 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 207:72] + node _T_46 = and(_T_44, _T_45) @[el2_ifu_mem_ctl.scala 207:52] + node _T_47 = and(_T_46, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 207:85] + node _T_48 = bits(_T_47, 0, 0) @[el2_ifu_mem_ctl.scala 207:109] + node _T_49 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 208:36] + node _T_50 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 208:51] + node _T_51 = and(_T_49, _T_50) @[el2_ifu_mem_ctl.scala 208:49] + node _T_52 = bits(_T_51, 0, 0) @[el2_ifu_mem_ctl.scala 208:73] + node _T_53 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 209:35] + node _T_54 = and(ic_byp_hit_f, _T_53) @[el2_ifu_mem_ctl.scala 209:33] + node _T_55 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 209:76] + node _T_56 = eq(_T_55, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 209:57] + node _T_57 = and(_T_54, _T_56) @[el2_ifu_mem_ctl.scala 209:55] + node _T_58 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 209:91] + node _T_59 = and(_T_57, _T_58) @[el2_ifu_mem_ctl.scala 209:89] + node _T_60 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 209:115] + node _T_61 = and(_T_59, _T_60) @[el2_ifu_mem_ctl.scala 209:113] + node _T_62 = bits(_T_61, 0, 0) @[el2_ifu_mem_ctl.scala 209:137] + node _T_63 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:41] + node _T_64 = and(bus_ifu_wr_en_ff, _T_63) @[el2_ifu_mem_ctl.scala 210:39] + node _T_65 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 210:82] + node _T_66 = eq(_T_65, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:63] + node _T_67 = and(_T_64, _T_66) @[el2_ifu_mem_ctl.scala 210:61] + node _T_68 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:97] + node _T_69 = and(_T_67, _T_68) @[el2_ifu_mem_ctl.scala 210:95] + node _T_70 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 210:121] + node _T_71 = and(_T_69, _T_70) @[el2_ifu_mem_ctl.scala 210:119] + node _T_72 = bits(_T_71, 0, 0) @[el2_ifu_mem_ctl.scala 210:143] + node _T_73 = eq(ic_byp_hit_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 211:22] + node _T_74 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 211:40] + node _T_75 = and(_T_73, _T_74) @[el2_ifu_mem_ctl.scala 211:37] + node _T_76 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 211:81] + node _T_77 = and(_T_75, _T_76) @[el2_ifu_mem_ctl.scala 211:60] + node _T_78 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 211:102] + node _T_79 = and(_T_77, _T_78) @[el2_ifu_mem_ctl.scala 211:100] + node _T_80 = bits(_T_79, 0, 0) @[el2_ifu_mem_ctl.scala 211:124] + node _T_81 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 212:44] + node _T_82 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 212:89] + node _T_83 = eq(_T_82, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 212:70] + node _T_84 = and(_T_81, _T_83) @[el2_ifu_mem_ctl.scala 212:68] + node _T_85 = bits(_T_84, 0, 0) @[el2_ifu_mem_ctl.scala 212:103] + node _T_86 = mux(_T_85, UInt<3>("h02"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 212:22] + node _T_87 = mux(_T_80, UInt<3>("h00"), _T_86) @[el2_ifu_mem_ctl.scala 211:20] + node _T_88 = mux(_T_72, UInt<3>("h06"), _T_87) @[el2_ifu_mem_ctl.scala 210:20] + node _T_89 = mux(_T_62, UInt<3>("h06"), _T_88) @[el2_ifu_mem_ctl.scala 209:18] + node _T_90 = mux(_T_52, UInt<3>("h00"), _T_89) @[el2_ifu_mem_ctl.scala 208:16] + node _T_91 = mux(_T_48, UInt<3>("h04"), _T_90) @[el2_ifu_mem_ctl.scala 207:14] + node _T_92 = mux(_T_41, UInt<3>("h03"), _T_91) @[el2_ifu_mem_ctl.scala 206:12] + node _T_93 = mux(_T_37, UInt<3>("h00"), _T_92) @[el2_ifu_mem_ctl.scala 205:27] + miss_nxtstate <= _T_93 @[el2_ifu_mem_ctl.scala 205:21] + node _T_94 = or(io.dec_tlu_force_halt, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 213:46] + node _T_95 = or(_T_94, ic_byp_hit_f) @[el2_ifu_mem_ctl.scala 213:67] + node _T_96 = or(_T_95, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 213:82] + node _T_97 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 213:125] + node _T_98 = or(_T_96, _T_97) @[el2_ifu_mem_ctl.scala 213:105] + node _T_99 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 213:160] + node _T_100 = and(bus_ifu_wr_en_ff, _T_99) @[el2_ifu_mem_ctl.scala 213:158] + node _T_101 = or(_T_98, _T_100) @[el2_ifu_mem_ctl.scala 213:138] + miss_state_en <= _T_101 @[el2_ifu_mem_ctl.scala 213:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_102 = eq(UInt<3>("h04"), miss_state) @[Conditional.scala 37:30] when _T_102 : @[Conditional.scala 39:67] - miss_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 215:21] - node _T_103 = or(io.exu_flush_final, flush_final_f) @[el2_ifu_mem_ctl.scala 216:43] - node _T_104 = or(_T_103, ic_byp_hit_f) @[el2_ifu_mem_ctl.scala 216:59] - node _T_105 = or(_T_104, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 216:74] - miss_state_en <= _T_105 @[el2_ifu_mem_ctl.scala 216:21] + miss_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 216:21] + node _T_103 = or(io.exu_flush_final, flush_final_f) @[el2_ifu_mem_ctl.scala 217:43] + node _T_104 = or(_T_103, ic_byp_hit_f) @[el2_ifu_mem_ctl.scala 217:59] + node _T_105 = or(_T_104, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 217:74] + miss_state_en <= _T_105 @[el2_ifu_mem_ctl.scala 217:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_106 = eq(UInt<3>("h06"), miss_state) @[Conditional.scala 37:30] when _T_106 : @[Conditional.scala 39:67] - node _T_107 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 219:49] - node _T_108 = or(_T_107, stream_eol_f) @[el2_ifu_mem_ctl.scala 219:72] - node _T_109 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 219:108] - node _T_110 = eq(_T_109, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 219:89] - node _T_111 = and(_T_108, _T_110) @[el2_ifu_mem_ctl.scala 219:87] - node _T_112 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 219:124] - node _T_113 = and(_T_111, _T_112) @[el2_ifu_mem_ctl.scala 219:122] - node _T_114 = bits(_T_113, 0, 0) @[el2_ifu_mem_ctl.scala 219:148] - node _T_115 = mux(_T_114, UInt<3>("h02"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 219:27] - miss_nxtstate <= _T_115 @[el2_ifu_mem_ctl.scala 219:21] - node _T_116 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 220:43] - node _T_117 = or(_T_116, stream_eol_f) @[el2_ifu_mem_ctl.scala 220:67] - node _T_118 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 220:105] - node _T_119 = or(_T_117, _T_118) @[el2_ifu_mem_ctl.scala 220:84] - node _T_120 = or(_T_119, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 220:118] - miss_state_en <= _T_120 @[el2_ifu_mem_ctl.scala 220:21] + node _T_107 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 220:49] + node _T_108 = or(_T_107, stream_eol_f) @[el2_ifu_mem_ctl.scala 220:72] + node _T_109 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 220:108] + node _T_110 = eq(_T_109, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 220:89] + node _T_111 = and(_T_108, _T_110) @[el2_ifu_mem_ctl.scala 220:87] + node _T_112 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 220:124] + node _T_113 = and(_T_111, _T_112) @[el2_ifu_mem_ctl.scala 220:122] + node _T_114 = bits(_T_113, 0, 0) @[el2_ifu_mem_ctl.scala 220:148] + node _T_115 = mux(_T_114, UInt<3>("h02"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 220:27] + miss_nxtstate <= _T_115 @[el2_ifu_mem_ctl.scala 220:21] + node _T_116 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 221:43] + node _T_117 = or(_T_116, stream_eol_f) @[el2_ifu_mem_ctl.scala 221:67] + node _T_118 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 221:105] + node _T_119 = or(_T_117, _T_118) @[el2_ifu_mem_ctl.scala 221:84] + node _T_120 = or(_T_119, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 221:118] + miss_state_en <= _T_120 @[el2_ifu_mem_ctl.scala 221:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_121 = eq(UInt<3>("h03"), miss_state) @[Conditional.scala 37:30] when _T_121 : @[Conditional.scala 39:67] - node _T_122 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 223:69] - node _T_123 = eq(_T_122, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 223:50] - node _T_124 = and(io.exu_flush_final, _T_123) @[el2_ifu_mem_ctl.scala 223:48] - node _T_125 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 223:84] - node _T_126 = and(_T_124, _T_125) @[el2_ifu_mem_ctl.scala 223:82] - node _T_127 = bits(_T_126, 0, 0) @[el2_ifu_mem_ctl.scala 223:108] - node _T_128 = mux(_T_127, UInt<3>("h02"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 223:27] - miss_nxtstate <= _T_128 @[el2_ifu_mem_ctl.scala 223:21] - node _T_129 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 224:63] - node _T_130 = or(io.exu_flush_final, _T_129) @[el2_ifu_mem_ctl.scala 224:43] - node _T_131 = or(_T_130, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 224:76] - miss_state_en <= _T_131 @[el2_ifu_mem_ctl.scala 224:21] + node _T_122 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 224:69] + node _T_123 = eq(_T_122, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 224:50] + node _T_124 = and(io.exu_flush_final, _T_123) @[el2_ifu_mem_ctl.scala 224:48] + node _T_125 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 224:84] + node _T_126 = and(_T_124, _T_125) @[el2_ifu_mem_ctl.scala 224:82] + node _T_127 = bits(_T_126, 0, 0) @[el2_ifu_mem_ctl.scala 224:108] + node _T_128 = mux(_T_127, UInt<3>("h02"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 224:27] + miss_nxtstate <= _T_128 @[el2_ifu_mem_ctl.scala 224:21] + node _T_129 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 225:63] + node _T_130 = or(io.exu_flush_final, _T_129) @[el2_ifu_mem_ctl.scala 225:43] + node _T_131 = or(_T_130, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 225:76] + miss_state_en <= _T_131 @[el2_ifu_mem_ctl.scala 225:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_132 = eq(UInt<3>("h02"), miss_state) @[Conditional.scala 37:30] when _T_132 : @[Conditional.scala 39:67] - node _T_133 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 227:71] - node _T_134 = eq(_T_133, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 227:52] - node _T_135 = and(ic_miss_under_miss_f, _T_134) @[el2_ifu_mem_ctl.scala 227:50] - node _T_136 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 227:86] - node _T_137 = and(_T_135, _T_136) @[el2_ifu_mem_ctl.scala 227:84] - node _T_138 = bits(_T_137, 0, 0) @[el2_ifu_mem_ctl.scala 227:110] - node _T_139 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 228:56] - node _T_140 = eq(_T_139, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 228:37] - node _T_141 = and(ic_ignore_2nd_miss_f, _T_140) @[el2_ifu_mem_ctl.scala 228:35] - node _T_142 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 228:71] - node _T_143 = and(_T_141, _T_142) @[el2_ifu_mem_ctl.scala 228:69] - node _T_144 = bits(_T_143, 0, 0) @[el2_ifu_mem_ctl.scala 228:95] - node _T_145 = mux(_T_144, UInt<3>("h07"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 228:12] - node _T_146 = mux(_T_138, UInt<3>("h05"), _T_145) @[el2_ifu_mem_ctl.scala 227:27] - miss_nxtstate <= _T_146 @[el2_ifu_mem_ctl.scala 227:21] - node _T_147 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 229:42] - node _T_148 = or(_T_147, ic_miss_under_miss_f) @[el2_ifu_mem_ctl.scala 229:55] - node _T_149 = or(_T_148, ic_ignore_2nd_miss_f) @[el2_ifu_mem_ctl.scala 229:78] - node _T_150 = or(_T_149, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 229:101] - miss_state_en <= _T_150 @[el2_ifu_mem_ctl.scala 229:21] + node _T_133 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 228:71] + node _T_134 = eq(_T_133, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 228:52] + node _T_135 = and(ic_miss_under_miss_f, _T_134) @[el2_ifu_mem_ctl.scala 228:50] + node _T_136 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 228:86] + node _T_137 = and(_T_135, _T_136) @[el2_ifu_mem_ctl.scala 228:84] + node _T_138 = bits(_T_137, 0, 0) @[el2_ifu_mem_ctl.scala 228:110] + node _T_139 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 229:56] + node _T_140 = eq(_T_139, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 229:37] + node _T_141 = and(ic_ignore_2nd_miss_f, _T_140) @[el2_ifu_mem_ctl.scala 229:35] + node _T_142 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 229:71] + node _T_143 = and(_T_141, _T_142) @[el2_ifu_mem_ctl.scala 229:69] + node _T_144 = bits(_T_143, 0, 0) @[el2_ifu_mem_ctl.scala 229:95] + node _T_145 = mux(_T_144, UInt<3>("h07"), UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 229:12] + node _T_146 = mux(_T_138, UInt<3>("h05"), _T_145) @[el2_ifu_mem_ctl.scala 228:27] + miss_nxtstate <= _T_146 @[el2_ifu_mem_ctl.scala 228:21] + node _T_147 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 230:42] + node _T_148 = or(_T_147, ic_miss_under_miss_f) @[el2_ifu_mem_ctl.scala 230:55] + node _T_149 = or(_T_148, ic_ignore_2nd_miss_f) @[el2_ifu_mem_ctl.scala 230:78] + node _T_150 = or(_T_149, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 230:101] + miss_state_en <= _T_150 @[el2_ifu_mem_ctl.scala 230:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_151 = eq(UInt<3>("h05"), miss_state) @[Conditional.scala 37:30] when _T_151 : @[Conditional.scala 39:67] - node _T_152 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 233:31] - node _T_153 = bits(_T_152, 0, 0) @[el2_ifu_mem_ctl.scala 233:44] - node _T_154 = mux(_T_153, UInt<3>("h00"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 233:12] - node _T_155 = mux(io.exu_flush_final, _T_154, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 232:62] - node _T_156 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), _T_155) @[el2_ifu_mem_ctl.scala 232:27] - miss_nxtstate <= _T_156 @[el2_ifu_mem_ctl.scala 232:21] - node _T_157 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 234:42] - node _T_158 = or(_T_157, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 234:55] - node _T_159 = or(_T_158, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 234:76] - miss_state_en <= _T_159 @[el2_ifu_mem_ctl.scala 234:21] + node _T_152 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 234:31] + node _T_153 = bits(_T_152, 0, 0) @[el2_ifu_mem_ctl.scala 234:44] + node _T_154 = mux(_T_153, UInt<3>("h00"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 234:12] + node _T_155 = mux(io.exu_flush_final, _T_154, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 233:62] + node _T_156 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), _T_155) @[el2_ifu_mem_ctl.scala 233:27] + miss_nxtstate <= _T_156 @[el2_ifu_mem_ctl.scala 233:21] + node _T_157 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 235:42] + node _T_158 = or(_T_157, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 235:55] + node _T_159 = or(_T_158, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 235:76] + miss_state_en <= _T_159 @[el2_ifu_mem_ctl.scala 235:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_160 = eq(UInt<3>("h07"), miss_state) @[Conditional.scala 37:30] when _T_160 : @[Conditional.scala 39:67] - node _T_161 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 238:31] - node _T_162 = bits(_T_161, 0, 0) @[el2_ifu_mem_ctl.scala 238:44] - node _T_163 = mux(_T_162, UInt<3>("h00"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 238:12] - node _T_164 = mux(io.exu_flush_final, _T_163, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 237:62] - node _T_165 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), _T_164) @[el2_ifu_mem_ctl.scala 237:27] - miss_nxtstate <= _T_165 @[el2_ifu_mem_ctl.scala 237:21] - node _T_166 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 239:42] - node _T_167 = or(_T_166, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 239:55] - node _T_168 = or(_T_167, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 239:76] - miss_state_en <= _T_168 @[el2_ifu_mem_ctl.scala 239:21] + node _T_161 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 239:31] + node _T_162 = bits(_T_161, 0, 0) @[el2_ifu_mem_ctl.scala 239:44] + node _T_163 = mux(_T_162, UInt<3>("h00"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 239:12] + node _T_164 = mux(io.exu_flush_final, _T_163, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 238:62] + node _T_165 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), _T_164) @[el2_ifu_mem_ctl.scala 238:27] + miss_nxtstate <= _T_165 @[el2_ifu_mem_ctl.scala 238:21] + node _T_166 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 240:42] + node _T_167 = or(_T_166, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 240:55] + node _T_168 = or(_T_167, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 240:76] + miss_state_en <= _T_168 @[el2_ifu_mem_ctl.scala 240:21] skip @[Conditional.scala 39:67] - node _T_169 = bits(miss_state_en, 0, 0) @[el2_ifu_mem_ctl.scala 242:61] + node _T_169 = bits(miss_state_en, 0, 0) @[el2_ifu_mem_ctl.scala 243:61] reg _T_170 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_169 : @[Reg.scala 28:19] _T_170 <= miss_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - miss_state <= _T_170 @[el2_ifu_mem_ctl.scala 242:14] + miss_state <= _T_170 @[el2_ifu_mem_ctl.scala 243:14] wire crit_byp_hit_f : UInt<1> crit_byp_hit_f <= UInt<1>("h00") wire way_status_mb_scnd_ff : UInt<1> @@ -320,272 +320,272 @@ circuit el2_ifu_mem_ctl : bus_rd_addr_count <= UInt<1>("h00") wire ifu_bus_rid_ff : UInt<3> ifu_bus_rid_ff <= UInt<1>("h00") - node _T_171 = neq(miss_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 253:30] - miss_pending <= _T_171 @[el2_ifu_mem_ctl.scala 253:16] - node _T_172 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 254:39] - node _T_173 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 254:73] - node _T_174 = eq(flush_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 254:95] - node _T_175 = and(_T_173, _T_174) @[el2_ifu_mem_ctl.scala 254:93] - node crit_wd_byp_ok_ff = or(_T_172, _T_175) @[el2_ifu_mem_ctl.scala 254:58] - node _T_176 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 255:57] - node _T_177 = eq(_T_176, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 255:38] - node _T_178 = and(miss_pending, _T_177) @[el2_ifu_mem_ctl.scala 255:36] - node _T_179 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 255:86] - node _T_180 = and(_T_179, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 255:106] - node _T_181 = eq(_T_180, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 255:72] - node _T_182 = and(_T_178, _T_181) @[el2_ifu_mem_ctl.scala 255:70] - node _T_183 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 256:37] - node _T_184 = and(_T_183, crit_byp_hit_f) @[el2_ifu_mem_ctl.scala 256:57] - node _T_185 = eq(_T_184, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 256:23] - node _T_186 = and(_T_182, _T_185) @[el2_ifu_mem_ctl.scala 255:128] - node _T_187 = or(_T_186, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 256:77] - node _T_188 = eq(miss_nxtstate, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 257:36] - node _T_189 = and(miss_pending, _T_188) @[el2_ifu_mem_ctl.scala 257:19] - node sel_hold_imb = or(_T_187, _T_189) @[el2_ifu_mem_ctl.scala 256:93] - node _T_190 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 259:40] - node _T_191 = or(_T_190, ic_miss_under_miss_f) @[el2_ifu_mem_ctl.scala 259:57] - node _T_192 = eq(flush_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 259:83] - node sel_hold_imb_scnd = and(_T_191, _T_192) @[el2_ifu_mem_ctl.scala 259:81] - node _T_193 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 260:46] - node way_status_mb_scnd_in = mux(_T_193, way_status_mb_scnd_ff, way_status) @[el2_ifu_mem_ctl.scala 260:34] - node _T_194 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 262:40] - node _T_195 = eq(reset_all_tags, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 262:96] + node _T_171 = neq(miss_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 254:30] + miss_pending <= _T_171 @[el2_ifu_mem_ctl.scala 254:16] + node _T_172 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 255:39] + node _T_173 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 255:73] + node _T_174 = eq(flush_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 255:95] + node _T_175 = and(_T_173, _T_174) @[el2_ifu_mem_ctl.scala 255:93] + node crit_wd_byp_ok_ff = or(_T_172, _T_175) @[el2_ifu_mem_ctl.scala 255:58] + node _T_176 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 256:57] + node _T_177 = eq(_T_176, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 256:38] + node _T_178 = and(miss_pending, _T_177) @[el2_ifu_mem_ctl.scala 256:36] + node _T_179 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 256:86] + node _T_180 = and(_T_179, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 256:106] + node _T_181 = eq(_T_180, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 256:72] + node _T_182 = and(_T_178, _T_181) @[el2_ifu_mem_ctl.scala 256:70] + node _T_183 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 257:37] + node _T_184 = and(_T_183, crit_byp_hit_f) @[el2_ifu_mem_ctl.scala 257:57] + node _T_185 = eq(_T_184, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 257:23] + node _T_186 = and(_T_182, _T_185) @[el2_ifu_mem_ctl.scala 256:128] + node _T_187 = or(_T_186, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 257:77] + node _T_188 = eq(miss_nxtstate, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 258:36] + node _T_189 = and(miss_pending, _T_188) @[el2_ifu_mem_ctl.scala 258:19] + node sel_hold_imb = or(_T_187, _T_189) @[el2_ifu_mem_ctl.scala 257:93] + node _T_190 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 260:40] + node _T_191 = or(_T_190, ic_miss_under_miss_f) @[el2_ifu_mem_ctl.scala 260:57] + node _T_192 = eq(flush_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 260:83] + node sel_hold_imb_scnd = and(_T_191, _T_192) @[el2_ifu_mem_ctl.scala 260:81] + node _T_193 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 261:46] + node way_status_mb_scnd_in = mux(_T_193, way_status_mb_scnd_ff, way_status) @[el2_ifu_mem_ctl.scala 261:34] + node _T_194 = eq(miss_state, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 263:40] + node _T_195 = eq(reset_all_tags, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 263:96] node _T_196 = bits(_T_195, 0, 0) @[Bitwise.scala 72:15] node _T_197 = mux(_T_196, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_198 = and(_T_197, ic_tag_valid) @[el2_ifu_mem_ctl.scala 262:113] - node tagv_mb_scnd_in = mux(_T_194, tagv_mb_scnd_ff, _T_198) @[el2_ifu_mem_ctl.scala 262:28] - node _T_199 = bits(sel_hold_imb_scnd, 0, 0) @[el2_ifu_mem_ctl.scala 263:56] - node uncacheable_miss_scnd_in = mux(_T_199, uncacheable_miss_scnd_ff, io.ifc_fetch_uncacheable_bf) @[el2_ifu_mem_ctl.scala 263:37] - reg _T_200 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 264:38] - _T_200 <= uncacheable_miss_scnd_in @[el2_ifu_mem_ctl.scala 264:38] - uncacheable_miss_scnd_ff <= _T_200 @[el2_ifu_mem_ctl.scala 264:28] - node _T_201 = bits(sel_hold_imb_scnd, 0, 0) @[el2_ifu_mem_ctl.scala 265:43] - node imb_scnd_in = mux(_T_201, imb_scnd_ff, io.ifc_fetch_addr_bf) @[el2_ifu_mem_ctl.scala 265:24] - reg _T_202 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 266:25] - _T_202 <= imb_scnd_in @[el2_ifu_mem_ctl.scala 266:25] - imb_scnd_ff <= _T_202 @[el2_ifu_mem_ctl.scala 266:15] - reg _T_203 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 267:35] - _T_203 <= way_status_mb_scnd_in @[el2_ifu_mem_ctl.scala 267:35] - way_status_mb_scnd_ff <= _T_203 @[el2_ifu_mem_ctl.scala 267:25] - reg _T_204 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 268:29] - _T_204 <= tagv_mb_scnd_in @[el2_ifu_mem_ctl.scala 268:29] - tagv_mb_scnd_ff <= _T_204 @[el2_ifu_mem_ctl.scala 268:19] + node _T_198 = and(_T_197, ic_tag_valid) @[el2_ifu_mem_ctl.scala 263:113] + node tagv_mb_scnd_in = mux(_T_194, tagv_mb_scnd_ff, _T_198) @[el2_ifu_mem_ctl.scala 263:28] + node _T_199 = bits(sel_hold_imb_scnd, 0, 0) @[el2_ifu_mem_ctl.scala 264:56] + node uncacheable_miss_scnd_in = mux(_T_199, uncacheable_miss_scnd_ff, io.ifc_fetch_uncacheable_bf) @[el2_ifu_mem_ctl.scala 264:37] + reg _T_200 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 265:38] + _T_200 <= uncacheable_miss_scnd_in @[el2_ifu_mem_ctl.scala 265:38] + uncacheable_miss_scnd_ff <= _T_200 @[el2_ifu_mem_ctl.scala 265:28] + node _T_201 = bits(sel_hold_imb_scnd, 0, 0) @[el2_ifu_mem_ctl.scala 266:43] + node imb_scnd_in = mux(_T_201, imb_scnd_ff, io.ifc_fetch_addr_bf) @[el2_ifu_mem_ctl.scala 266:24] + reg _T_202 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 267:25] + _T_202 <= imb_scnd_in @[el2_ifu_mem_ctl.scala 267:25] + imb_scnd_ff <= _T_202 @[el2_ifu_mem_ctl.scala 267:15] + reg _T_203 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 268:35] + _T_203 <= way_status_mb_scnd_in @[el2_ifu_mem_ctl.scala 268:35] + way_status_mb_scnd_ff <= _T_203 @[el2_ifu_mem_ctl.scala 268:25] + reg _T_204 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 269:29] + _T_204 <= tagv_mb_scnd_in @[el2_ifu_mem_ctl.scala 269:29] + tagv_mb_scnd_ff <= _T_204 @[el2_ifu_mem_ctl.scala 269:19] node _T_205 = bits(bus_ifu_wr_en_ff, 0, 0) @[Bitwise.scala 72:15] node _T_206 = mux(_T_205, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node ic_wr_addr_bits_hi_3 = and(ifu_bus_rid_ff, _T_206) @[el2_ifu_mem_ctl.scala 271:45] + node ic_wr_addr_bits_hi_3 = and(ifu_bus_rid_ff, _T_206) @[el2_ifu_mem_ctl.scala 272:45] wire ifc_iccm_access_f : UInt<1> ifc_iccm_access_f <= UInt<1>("h00") wire ifc_region_acc_fault_final_f : UInt<1> ifc_region_acc_fault_final_f <= UInt<1>("h00") - node _T_207 = eq(ifc_iccm_access_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 274:48] - node _T_208 = and(ifc_fetch_req_f, _T_207) @[el2_ifu_mem_ctl.scala 274:46] - node _T_209 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 274:69] - node fetch_req_icache_f = and(_T_208, _T_209) @[el2_ifu_mem_ctl.scala 274:67] - node fetch_req_iccm_f = and(ifc_fetch_req_f, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 275:46] - node _T_210 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 276:45] - node _T_211 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 276:73] - node _T_212 = or(_T_210, _T_211) @[el2_ifu_mem_ctl.scala 276:59] - node _T_213 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 276:105] - node _T_214 = or(_T_212, _T_213) @[el2_ifu_mem_ctl.scala 276:91] - node ic_iccm_hit_f = and(fetch_req_iccm_f, _T_214) @[el2_ifu_mem_ctl.scala 276:41] + node _T_207 = eq(ifc_iccm_access_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 275:48] + node _T_208 = and(ifc_fetch_req_f, _T_207) @[el2_ifu_mem_ctl.scala 275:46] + node _T_209 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 275:69] + node fetch_req_icache_f = and(_T_208, _T_209) @[el2_ifu_mem_ctl.scala 275:67] + node fetch_req_iccm_f = and(ifc_fetch_req_f, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 276:46] + node _T_210 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 277:45] + node _T_211 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 277:73] + node _T_212 = or(_T_210, _T_211) @[el2_ifu_mem_ctl.scala 277:59] + node _T_213 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 277:105] + node _T_214 = or(_T_212, _T_213) @[el2_ifu_mem_ctl.scala 277:91] + node ic_iccm_hit_f = and(fetch_req_iccm_f, _T_214) @[el2_ifu_mem_ctl.scala 277:41] wire stream_hit_f : UInt<1> stream_hit_f <= UInt<1>("h00") - node _T_215 = or(crit_byp_hit_f, stream_hit_f) @[el2_ifu_mem_ctl.scala 278:35] - node _T_216 = and(_T_215, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 278:52] - node _T_217 = and(_T_216, miss_pending) @[el2_ifu_mem_ctl.scala 278:73] - ic_byp_hit_f <= _T_217 @[el2_ifu_mem_ctl.scala 278:16] + node _T_215 = or(crit_byp_hit_f, stream_hit_f) @[el2_ifu_mem_ctl.scala 279:35] + node _T_216 = and(_T_215, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 279:52] + node _T_217 = and(_T_216, miss_pending) @[el2_ifu_mem_ctl.scala 279:73] + ic_byp_hit_f <= _T_217 @[el2_ifu_mem_ctl.scala 279:16] wire sel_mb_addr_ff : UInt<1> sel_mb_addr_ff <= UInt<1>("h00") wire imb_ff : UInt<31> imb_ff <= UInt<1>("h00") wire ifu_fetch_addr_int_f : UInt<31> ifu_fetch_addr_int_f <= UInt<1>("h00") - node _T_218 = orr(io.ic_rd_hit) @[el2_ifu_mem_ctl.scala 282:35] - node _T_219 = and(_T_218, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 282:39] - node _T_220 = eq(reset_all_tags, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 282:62] - node _T_221 = and(_T_219, _T_220) @[el2_ifu_mem_ctl.scala 282:60] - node _T_222 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 282:81] - node _T_223 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 282:108] - node _T_224 = or(_T_222, _T_223) @[el2_ifu_mem_ctl.scala 282:95] - node _T_225 = and(_T_221, _T_224) @[el2_ifu_mem_ctl.scala 282:78] - node _T_226 = eq(sel_mb_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 282:128] - node ic_act_hit_f = and(_T_225, _T_226) @[el2_ifu_mem_ctl.scala 282:126] - node _T_227 = orr(io.ic_rd_hit) @[el2_ifu_mem_ctl.scala 283:37] - node _T_228 = eq(_T_227, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 283:23] - node _T_229 = or(_T_228, reset_all_tags) @[el2_ifu_mem_ctl.scala 283:41] - node _T_230 = and(_T_229, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 283:59] - node _T_231 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 283:82] - node _T_232 = and(_T_230, _T_231) @[el2_ifu_mem_ctl.scala 283:80] - node _T_233 = or(_T_232, scnd_miss_req) @[el2_ifu_mem_ctl.scala 283:97] - node _T_234 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 283:116] - node _T_235 = and(_T_233, _T_234) @[el2_ifu_mem_ctl.scala 283:114] - ic_act_miss_f <= _T_235 @[el2_ifu_mem_ctl.scala 283:17] - node _T_236 = eq(io.ic_rd_hit, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 284:28] - node _T_237 = or(_T_236, reset_all_tags) @[el2_ifu_mem_ctl.scala 284:42] - node _T_238 = and(_T_237, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 284:60] - node _T_239 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 284:94] - node _T_240 = and(_T_238, _T_239) @[el2_ifu_mem_ctl.scala 284:81] - node _T_241 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 285:12] - node _T_242 = bits(ifu_fetch_addr_int_f, 30, 5) @[el2_ifu_mem_ctl.scala 285:63] - node _T_243 = neq(_T_241, _T_242) @[el2_ifu_mem_ctl.scala 285:39] - node _T_244 = and(_T_240, _T_243) @[el2_ifu_mem_ctl.scala 284:111] - node _T_245 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 285:93] - node _T_246 = and(_T_244, _T_245) @[el2_ifu_mem_ctl.scala 285:91] - node _T_247 = eq(sel_mb_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 285:116] - node _T_248 = and(_T_246, _T_247) @[el2_ifu_mem_ctl.scala 285:114] - node _T_249 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 285:134] - node _T_250 = and(_T_248, _T_249) @[el2_ifu_mem_ctl.scala 285:132] - ic_miss_under_miss_f <= _T_250 @[el2_ifu_mem_ctl.scala 284:24] - node _T_251 = orr(io.ic_rd_hit) @[el2_ifu_mem_ctl.scala 286:42] - node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 286:28] - node _T_253 = or(_T_252, reset_all_tags) @[el2_ifu_mem_ctl.scala 286:46] - node _T_254 = and(_T_253, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 286:64] - node _T_255 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 286:99] - node _T_256 = and(_T_254, _T_255) @[el2_ifu_mem_ctl.scala 286:85] - node _T_257 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 287:13] - node _T_258 = bits(ifu_fetch_addr_int_f, 30, 5) @[el2_ifu_mem_ctl.scala 287:62] - node _T_259 = eq(_T_257, _T_258) @[el2_ifu_mem_ctl.scala 287:39] - node _T_260 = or(_T_259, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 287:91] - node _T_261 = and(_T_256, _T_260) @[el2_ifu_mem_ctl.scala 286:117] - ic_ignore_2nd_miss_f <= _T_261 @[el2_ifu_mem_ctl.scala 286:24] - node _T_262 = or(ic_act_hit_f, ic_byp_hit_f) @[el2_ifu_mem_ctl.scala 289:31] - node _T_263 = or(_T_262, ic_iccm_hit_f) @[el2_ifu_mem_ctl.scala 289:46] - node _T_264 = and(ifc_region_acc_fault_final_f, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 289:94] - node _T_265 = or(_T_263, _T_264) @[el2_ifu_mem_ctl.scala 289:62] - io.ic_hit_f <= _T_265 @[el2_ifu_mem_ctl.scala 289:15] - node _T_266 = bits(scnd_miss_req, 0, 0) @[el2_ifu_mem_ctl.scala 290:47] - node _T_267 = bits(sel_hold_imb, 0, 0) @[el2_ifu_mem_ctl.scala 290:98] - node _T_268 = mux(_T_267, uncacheable_miss_ff, io.ifc_fetch_uncacheable_bf) @[el2_ifu_mem_ctl.scala 290:84] - node uncacheable_miss_in = mux(_T_266, uncacheable_miss_scnd_ff, _T_268) @[el2_ifu_mem_ctl.scala 290:32] - node _T_269 = bits(scnd_miss_req, 0, 0) @[el2_ifu_mem_ctl.scala 291:34] - node _T_270 = bits(sel_hold_imb, 0, 0) @[el2_ifu_mem_ctl.scala 291:72] - node _T_271 = mux(_T_270, imb_ff, io.ifc_fetch_addr_bf) @[el2_ifu_mem_ctl.scala 291:58] - node imb_in = mux(_T_269, imb_scnd_ff, _T_271) @[el2_ifu_mem_ctl.scala 291:19] + node _T_218 = orr(io.ic_rd_hit) @[el2_ifu_mem_ctl.scala 283:35] + node _T_219 = and(_T_218, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 283:39] + node _T_220 = eq(reset_all_tags, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 283:62] + node _T_221 = and(_T_219, _T_220) @[el2_ifu_mem_ctl.scala 283:60] + node _T_222 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 283:81] + node _T_223 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 283:108] + node _T_224 = or(_T_222, _T_223) @[el2_ifu_mem_ctl.scala 283:95] + node _T_225 = and(_T_221, _T_224) @[el2_ifu_mem_ctl.scala 283:78] + node _T_226 = eq(sel_mb_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 283:128] + node ic_act_hit_f = and(_T_225, _T_226) @[el2_ifu_mem_ctl.scala 283:126] + node _T_227 = orr(io.ic_rd_hit) @[el2_ifu_mem_ctl.scala 284:37] + node _T_228 = eq(_T_227, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 284:23] + node _T_229 = or(_T_228, reset_all_tags) @[el2_ifu_mem_ctl.scala 284:41] + node _T_230 = and(_T_229, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 284:59] + node _T_231 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 284:82] + node _T_232 = and(_T_230, _T_231) @[el2_ifu_mem_ctl.scala 284:80] + node _T_233 = or(_T_232, scnd_miss_req) @[el2_ifu_mem_ctl.scala 284:97] + node _T_234 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 284:116] + node _T_235 = and(_T_233, _T_234) @[el2_ifu_mem_ctl.scala 284:114] + ic_act_miss_f <= _T_235 @[el2_ifu_mem_ctl.scala 284:17] + node _T_236 = eq(io.ic_rd_hit, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 285:28] + node _T_237 = or(_T_236, reset_all_tags) @[el2_ifu_mem_ctl.scala 285:42] + node _T_238 = and(_T_237, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 285:60] + node _T_239 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 285:94] + node _T_240 = and(_T_238, _T_239) @[el2_ifu_mem_ctl.scala 285:81] + node _T_241 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 286:12] + node _T_242 = bits(ifu_fetch_addr_int_f, 30, 5) @[el2_ifu_mem_ctl.scala 286:63] + node _T_243 = neq(_T_241, _T_242) @[el2_ifu_mem_ctl.scala 286:39] + node _T_244 = and(_T_240, _T_243) @[el2_ifu_mem_ctl.scala 285:111] + node _T_245 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 286:93] + node _T_246 = and(_T_244, _T_245) @[el2_ifu_mem_ctl.scala 286:91] + node _T_247 = eq(sel_mb_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 286:116] + node _T_248 = and(_T_246, _T_247) @[el2_ifu_mem_ctl.scala 286:114] + node _T_249 = eq(ifc_region_acc_fault_final_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 286:134] + node _T_250 = and(_T_248, _T_249) @[el2_ifu_mem_ctl.scala 286:132] + ic_miss_under_miss_f <= _T_250 @[el2_ifu_mem_ctl.scala 285:24] + node _T_251 = orr(io.ic_rd_hit) @[el2_ifu_mem_ctl.scala 287:42] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 287:28] + node _T_253 = or(_T_252, reset_all_tags) @[el2_ifu_mem_ctl.scala 287:46] + node _T_254 = and(_T_253, fetch_req_icache_f) @[el2_ifu_mem_ctl.scala 287:64] + node _T_255 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 287:99] + node _T_256 = and(_T_254, _T_255) @[el2_ifu_mem_ctl.scala 287:85] + node _T_257 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 288:13] + node _T_258 = bits(ifu_fetch_addr_int_f, 30, 5) @[el2_ifu_mem_ctl.scala 288:62] + node _T_259 = eq(_T_257, _T_258) @[el2_ifu_mem_ctl.scala 288:39] + node _T_260 = or(_T_259, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 288:91] + node _T_261 = and(_T_256, _T_260) @[el2_ifu_mem_ctl.scala 287:117] + ic_ignore_2nd_miss_f <= _T_261 @[el2_ifu_mem_ctl.scala 287:24] + node _T_262 = or(ic_act_hit_f, ic_byp_hit_f) @[el2_ifu_mem_ctl.scala 290:31] + node _T_263 = or(_T_262, ic_iccm_hit_f) @[el2_ifu_mem_ctl.scala 290:46] + node _T_264 = and(ifc_region_acc_fault_final_f, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 290:94] + node _T_265 = or(_T_263, _T_264) @[el2_ifu_mem_ctl.scala 290:62] + io.ic_hit_f <= _T_265 @[el2_ifu_mem_ctl.scala 290:15] + node _T_266 = bits(scnd_miss_req, 0, 0) @[el2_ifu_mem_ctl.scala 291:47] + node _T_267 = bits(sel_hold_imb, 0, 0) @[el2_ifu_mem_ctl.scala 291:98] + node _T_268 = mux(_T_267, uncacheable_miss_ff, io.ifc_fetch_uncacheable_bf) @[el2_ifu_mem_ctl.scala 291:84] + node uncacheable_miss_in = mux(_T_266, uncacheable_miss_scnd_ff, _T_268) @[el2_ifu_mem_ctl.scala 291:32] + node _T_269 = bits(scnd_miss_req, 0, 0) @[el2_ifu_mem_ctl.scala 292:34] + node _T_270 = bits(sel_hold_imb, 0, 0) @[el2_ifu_mem_ctl.scala 292:72] + node _T_271 = mux(_T_270, imb_ff, io.ifc_fetch_addr_bf) @[el2_ifu_mem_ctl.scala 292:58] + node imb_in = mux(_T_269, imb_scnd_ff, _T_271) @[el2_ifu_mem_ctl.scala 292:19] wire ifu_wr_cumulative_err_data : UInt<1> ifu_wr_cumulative_err_data <= UInt<1>("h00") - node _T_272 = bits(imb_ff, 12, 6) @[el2_ifu_mem_ctl.scala 293:38] - node _T_273 = bits(imb_scnd_ff, 12, 6) @[el2_ifu_mem_ctl.scala 293:89] - node _T_274 = eq(_T_272, _T_273) @[el2_ifu_mem_ctl.scala 293:75] - node _T_275 = and(_T_274, scnd_miss_req) @[el2_ifu_mem_ctl.scala 293:127] - node _T_276 = eq(ifu_wr_cumulative_err_data, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 293:145] - node scnd_miss_index_match = and(_T_275, _T_276) @[el2_ifu_mem_ctl.scala 293:143] + node _T_272 = bits(imb_ff, 12, 6) @[el2_ifu_mem_ctl.scala 294:38] + node _T_273 = bits(imb_scnd_ff, 12, 6) @[el2_ifu_mem_ctl.scala 294:89] + node _T_274 = eq(_T_272, _T_273) @[el2_ifu_mem_ctl.scala 294:75] + node _T_275 = and(_T_274, scnd_miss_req) @[el2_ifu_mem_ctl.scala 294:127] + node _T_276 = eq(ifu_wr_cumulative_err_data, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 294:145] + node scnd_miss_index_match = and(_T_275, _T_276) @[el2_ifu_mem_ctl.scala 294:143] wire way_status_mb_ff : UInt<1> way_status_mb_ff <= UInt<1>("h00") wire way_status_rep_new : UInt<1> way_status_rep_new <= UInt<1>("h00") - node _T_277 = eq(scnd_miss_index_match, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 296:47] - node _T_278 = and(scnd_miss_req, _T_277) @[el2_ifu_mem_ctl.scala 296:45] - node _T_279 = bits(_T_278, 0, 0) @[el2_ifu_mem_ctl.scala 296:71] - node _T_280 = and(scnd_miss_req, scnd_miss_index_match) @[el2_ifu_mem_ctl.scala 297:26] - node _T_281 = bits(_T_280, 0, 0) @[el2_ifu_mem_ctl.scala 297:52] - node _T_282 = bits(miss_pending, 0, 0) @[el2_ifu_mem_ctl.scala 298:26] - node _T_283 = mux(_T_282, way_status_mb_ff, way_status) @[el2_ifu_mem_ctl.scala 298:12] - node _T_284 = mux(_T_281, way_status_rep_new, _T_283) @[el2_ifu_mem_ctl.scala 297:10] - node way_status_mb_in = mux(_T_279, way_status_mb_scnd_ff, _T_284) @[el2_ifu_mem_ctl.scala 296:29] - wire replace_way_mb_any : UInt<1>[2] @[el2_ifu_mem_ctl.scala 299:32] + node _T_277 = eq(scnd_miss_index_match, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 297:47] + node _T_278 = and(scnd_miss_req, _T_277) @[el2_ifu_mem_ctl.scala 297:45] + node _T_279 = bits(_T_278, 0, 0) @[el2_ifu_mem_ctl.scala 297:71] + node _T_280 = and(scnd_miss_req, scnd_miss_index_match) @[el2_ifu_mem_ctl.scala 298:26] + node _T_281 = bits(_T_280, 0, 0) @[el2_ifu_mem_ctl.scala 298:52] + node _T_282 = bits(miss_pending, 0, 0) @[el2_ifu_mem_ctl.scala 299:26] + node _T_283 = mux(_T_282, way_status_mb_ff, way_status) @[el2_ifu_mem_ctl.scala 299:12] + node _T_284 = mux(_T_281, way_status_rep_new, _T_283) @[el2_ifu_mem_ctl.scala 298:10] + node way_status_mb_in = mux(_T_279, way_status_mb_scnd_ff, _T_284) @[el2_ifu_mem_ctl.scala 297:29] + wire replace_way_mb_any : UInt<1>[2] @[el2_ifu_mem_ctl.scala 300:32] wire tagv_mb_ff : UInt<2> tagv_mb_ff <= UInt<1>("h00") - node _T_285 = bits(scnd_miss_req, 0, 0) @[el2_ifu_mem_ctl.scala 301:38] + node _T_285 = bits(scnd_miss_req, 0, 0) @[el2_ifu_mem_ctl.scala 302:38] node _T_286 = bits(scnd_miss_index_match, 0, 0) @[Bitwise.scala 72:15] node _T_287 = mux(_T_286, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] node _T_288 = cat(replace_way_mb_any[1], replace_way_mb_any[0]) @[Cat.scala 29:58] - node _T_289 = and(_T_287, _T_288) @[el2_ifu_mem_ctl.scala 301:110] - node _T_290 = or(tagv_mb_scnd_ff, _T_289) @[el2_ifu_mem_ctl.scala 301:62] - node _T_291 = bits(miss_pending, 0, 0) @[el2_ifu_mem_ctl.scala 302:20] - node _T_292 = eq(reset_all_tags, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 302:77] + node _T_289 = and(_T_287, _T_288) @[el2_ifu_mem_ctl.scala 302:110] + node _T_290 = or(tagv_mb_scnd_ff, _T_289) @[el2_ifu_mem_ctl.scala 302:62] + node _T_291 = bits(miss_pending, 0, 0) @[el2_ifu_mem_ctl.scala 303:20] + node _T_292 = eq(reset_all_tags, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 303:77] node _T_293 = bits(_T_292, 0, 0) @[Bitwise.scala 72:15] node _T_294 = mux(_T_293, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_295 = and(ic_tag_valid, _T_294) @[el2_ifu_mem_ctl.scala 302:53] - node _T_296 = mux(_T_291, tagv_mb_ff, _T_295) @[el2_ifu_mem_ctl.scala 302:6] - node tagv_mb_in = mux(_T_285, _T_290, _T_296) @[el2_ifu_mem_ctl.scala 301:23] + node _T_295 = and(ic_tag_valid, _T_294) @[el2_ifu_mem_ctl.scala 303:53] + node _T_296 = mux(_T_291, tagv_mb_ff, _T_295) @[el2_ifu_mem_ctl.scala 303:6] + node tagv_mb_in = mux(_T_285, _T_290, _T_296) @[el2_ifu_mem_ctl.scala 302:23] wire scnd_miss_req_q : UInt<1> scnd_miss_req_q <= UInt<1>("h00") wire reset_ic_ff : UInt<1> reset_ic_ff <= UInt<1>("h00") - node _T_297 = eq(scnd_miss_req_q, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 305:36] - node _T_298 = and(miss_pending, _T_297) @[el2_ifu_mem_ctl.scala 305:34] - node _T_299 = or(reset_all_tags, reset_ic_ff) @[el2_ifu_mem_ctl.scala 305:72] - node reset_ic_in = and(_T_298, _T_299) @[el2_ifu_mem_ctl.scala 305:53] - reg _T_300 : UInt, clock @[el2_ifu_mem_ctl.scala 306:25] - _T_300 <= reset_ic_in @[el2_ifu_mem_ctl.scala 306:25] - reset_ic_ff <= _T_300 @[el2_ifu_mem_ctl.scala 306:15] - reg fetch_uncacheable_ff : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 307:37] - fetch_uncacheable_ff <= io.ifc_fetch_uncacheable_bf @[el2_ifu_mem_ctl.scala 307:37] - reg _T_301 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 308:34] - _T_301 <= io.ifc_fetch_addr_bf @[el2_ifu_mem_ctl.scala 308:34] - ifu_fetch_addr_int_f <= _T_301 @[el2_ifu_mem_ctl.scala 308:24] - node vaddr_f = bits(ifu_fetch_addr_int_f, 4, 0) @[el2_ifu_mem_ctl.scala 309:37] - reg _T_302 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 310:33] - _T_302 <= uncacheable_miss_in @[el2_ifu_mem_ctl.scala 310:33] - uncacheable_miss_ff <= _T_302 @[el2_ifu_mem_ctl.scala 310:23] - reg _T_303 : UInt, clock @[el2_ifu_mem_ctl.scala 311:20] - _T_303 <= imb_in @[el2_ifu_mem_ctl.scala 311:20] - imb_ff <= _T_303 @[el2_ifu_mem_ctl.scala 311:10] + node _T_297 = eq(scnd_miss_req_q, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 306:36] + node _T_298 = and(miss_pending, _T_297) @[el2_ifu_mem_ctl.scala 306:34] + node _T_299 = or(reset_all_tags, reset_ic_ff) @[el2_ifu_mem_ctl.scala 306:72] + node reset_ic_in = and(_T_298, _T_299) @[el2_ifu_mem_ctl.scala 306:53] + reg _T_300 : UInt, clock @[el2_ifu_mem_ctl.scala 307:25] + _T_300 <= reset_ic_in @[el2_ifu_mem_ctl.scala 307:25] + reset_ic_ff <= _T_300 @[el2_ifu_mem_ctl.scala 307:15] + reg fetch_uncacheable_ff : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 308:37] + fetch_uncacheable_ff <= io.ifc_fetch_uncacheable_bf @[el2_ifu_mem_ctl.scala 308:37] + reg _T_301 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 309:34] + _T_301 <= io.ifc_fetch_addr_bf @[el2_ifu_mem_ctl.scala 309:34] + ifu_fetch_addr_int_f <= _T_301 @[el2_ifu_mem_ctl.scala 309:24] + node vaddr_f = bits(ifu_fetch_addr_int_f, 4, 0) @[el2_ifu_mem_ctl.scala 310:37] + reg _T_302 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 311:33] + _T_302 <= uncacheable_miss_in @[el2_ifu_mem_ctl.scala 311:33] + uncacheable_miss_ff <= _T_302 @[el2_ifu_mem_ctl.scala 311:23] + reg _T_303 : UInt, clock @[el2_ifu_mem_ctl.scala 312:20] + _T_303 <= imb_in @[el2_ifu_mem_ctl.scala 312:20] + imb_ff <= _T_303 @[el2_ifu_mem_ctl.scala 312:10] wire miss_addr : UInt<26> miss_addr <= UInt<1>("h00") - node _T_304 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 313:26] - node _T_305 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 313:47] - node _T_306 = bits(scnd_miss_req_q, 0, 0) @[el2_ifu_mem_ctl.scala 314:25] - node _T_307 = bits(imb_scnd_ff, 30, 5) @[el2_ifu_mem_ctl.scala 314:44] - node _T_308 = mux(_T_306, _T_307, miss_addr) @[el2_ifu_mem_ctl.scala 314:8] - node miss_addr_in = mux(_T_304, _T_305, _T_308) @[el2_ifu_mem_ctl.scala 313:25] - reg _T_309 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 315:23] - _T_309 <= miss_addr_in @[el2_ifu_mem_ctl.scala 315:23] - miss_addr <= _T_309 @[el2_ifu_mem_ctl.scala 315:13] - reg _T_310 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 316:30] - _T_310 <= way_status_mb_in @[el2_ifu_mem_ctl.scala 316:30] - way_status_mb_ff <= _T_310 @[el2_ifu_mem_ctl.scala 316:20] - reg _T_311 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 317:24] - _T_311 <= tagv_mb_in @[el2_ifu_mem_ctl.scala 317:24] - tagv_mb_ff <= _T_311 @[el2_ifu_mem_ctl.scala 317:14] + node _T_304 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 314:26] + node _T_305 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 314:47] + node _T_306 = bits(scnd_miss_req_q, 0, 0) @[el2_ifu_mem_ctl.scala 315:25] + node _T_307 = bits(imb_scnd_ff, 30, 5) @[el2_ifu_mem_ctl.scala 315:44] + node _T_308 = mux(_T_306, _T_307, miss_addr) @[el2_ifu_mem_ctl.scala 315:8] + node miss_addr_in = mux(_T_304, _T_305, _T_308) @[el2_ifu_mem_ctl.scala 314:25] + reg _T_309 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 316:23] + _T_309 <= miss_addr_in @[el2_ifu_mem_ctl.scala 316:23] + miss_addr <= _T_309 @[el2_ifu_mem_ctl.scala 316:13] + reg _T_310 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 317:30] + _T_310 <= way_status_mb_in @[el2_ifu_mem_ctl.scala 317:30] + way_status_mb_ff <= _T_310 @[el2_ifu_mem_ctl.scala 317:20] + reg _T_311 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 318:24] + _T_311 <= tagv_mb_in @[el2_ifu_mem_ctl.scala 318:24] + tagv_mb_ff <= _T_311 @[el2_ifu_mem_ctl.scala 318:14] wire stream_miss_f : UInt<1> stream_miss_f <= UInt<1>("h00") - node _T_312 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 319:68] - node _T_313 = and(_T_312, flush_final_f) @[el2_ifu_mem_ctl.scala 319:87] - node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 319:55] - node _T_315 = and(io.ifc_fetch_req_bf, _T_314) @[el2_ifu_mem_ctl.scala 319:53] - node _T_316 = eq(stream_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 319:106] - node ifc_fetch_req_qual_bf = and(_T_315, _T_316) @[el2_ifu_mem_ctl.scala 319:104] - reg ifc_fetch_req_f_raw : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 320:36] - ifc_fetch_req_f_raw <= ifc_fetch_req_qual_bf @[el2_ifu_mem_ctl.scala 320:36] - node _T_317 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 321:44] - node _T_318 = and(ifc_fetch_req_f_raw, _T_317) @[el2_ifu_mem_ctl.scala 321:42] - ifc_fetch_req_f <= _T_318 @[el2_ifu_mem_ctl.scala 321:19] - reg _T_319 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 322:31] - _T_319 <= io.ifc_iccm_access_bf @[el2_ifu_mem_ctl.scala 322:31] - ifc_iccm_access_f <= _T_319 @[el2_ifu_mem_ctl.scala 322:21] + node _T_312 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 320:68] + node _T_313 = and(_T_312, flush_final_f) @[el2_ifu_mem_ctl.scala 320:87] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 320:55] + node _T_315 = and(io.ifc_fetch_req_bf, _T_314) @[el2_ifu_mem_ctl.scala 320:53] + node _T_316 = eq(stream_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 320:106] + node ifc_fetch_req_qual_bf = and(_T_315, _T_316) @[el2_ifu_mem_ctl.scala 320:104] + reg ifc_fetch_req_f_raw : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 321:36] + ifc_fetch_req_f_raw <= ifc_fetch_req_qual_bf @[el2_ifu_mem_ctl.scala 321:36] + node _T_317 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 322:44] + node _T_318 = and(ifc_fetch_req_f_raw, _T_317) @[el2_ifu_mem_ctl.scala 322:42] + ifc_fetch_req_f <= _T_318 @[el2_ifu_mem_ctl.scala 322:19] + reg _T_319 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 323:31] + _T_319 <= io.ifc_iccm_access_bf @[el2_ifu_mem_ctl.scala 323:31] + ifc_iccm_access_f <= _T_319 @[el2_ifu_mem_ctl.scala 323:21] wire ifc_region_acc_fault_final_bf : UInt<1> ifc_region_acc_fault_final_bf <= UInt<1>("h00") - reg _T_320 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 324:42] - _T_320 <= ifc_region_acc_fault_final_bf @[el2_ifu_mem_ctl.scala 324:42] - ifc_region_acc_fault_final_f <= _T_320 @[el2_ifu_mem_ctl.scala 324:32] - reg ifc_region_acc_fault_f : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 325:39] - ifc_region_acc_fault_f <= io.ifc_region_acc_fault_bf @[el2_ifu_mem_ctl.scala 325:39] + reg _T_320 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 325:42] + _T_320 <= ifc_region_acc_fault_final_bf @[el2_ifu_mem_ctl.scala 325:42] + ifc_region_acc_fault_final_f <= _T_320 @[el2_ifu_mem_ctl.scala 325:32] + reg ifc_region_acc_fault_f : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 326:39] + ifc_region_acc_fault_f <= io.ifc_region_acc_fault_bf @[el2_ifu_mem_ctl.scala 326:39] node ifu_ic_req_addr_f = cat(miss_addr, bus_rd_addr_count) @[Cat.scala 29:58] - node _T_321 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 327:38] - node _T_322 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 327:68] - node _T_323 = or(_T_321, _T_322) @[el2_ifu_mem_ctl.scala 327:55] - node _T_324 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 327:103] - node _T_325 = eq(_T_324, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 327:84] - node _T_326 = and(_T_323, _T_325) @[el2_ifu_mem_ctl.scala 327:82] - node _T_327 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 327:119] - node _T_328 = or(_T_326, _T_327) @[el2_ifu_mem_ctl.scala 327:117] - io.ifu_ic_mb_empty <= _T_328 @[el2_ifu_mem_ctl.scala 327:22] - node _T_329 = eq(miss_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 328:40] - io.ifu_miss_state_idle <= _T_329 @[el2_ifu_mem_ctl.scala 328:26] + node _T_321 = eq(miss_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 328:38] + node _T_322 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 328:68] + node _T_323 = or(_T_321, _T_322) @[el2_ifu_mem_ctl.scala 328:55] + node _T_324 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 328:103] + node _T_325 = eq(_T_324, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 328:84] + node _T_326 = and(_T_323, _T_325) @[el2_ifu_mem_ctl.scala 328:82] + node _T_327 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 328:119] + node _T_328 = or(_T_326, _T_327) @[el2_ifu_mem_ctl.scala 328:117] + io.ifu_ic_mb_empty <= _T_328 @[el2_ifu_mem_ctl.scala 328:22] + node _T_329 = eq(miss_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 329:40] + io.ifu_miss_state_idle <= _T_329 @[el2_ifu_mem_ctl.scala 329:26] wire write_ic_16_bytes : UInt<1> write_ic_16_bytes <= UInt<1>("h00") wire reset_tag_valid_for_miss : UInt<1> reset_tag_valid_for_miss <= UInt<1>("h00") - node _T_330 = and(miss_pending, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 331:35] - node _T_331 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 331:57] - node _T_332 = and(_T_330, _T_331) @[el2_ifu_mem_ctl.scala 331:55] - node sel_mb_addr = or(_T_332, reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 331:79] - node _T_333 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 332:63] - node _T_334 = bits(imb_ff, 1, 0) @[el2_ifu_mem_ctl.scala 332:119] + node _T_330 = and(miss_pending, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 332:35] + node _T_331 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 332:57] + node _T_332 = and(_T_330, _T_331) @[el2_ifu_mem_ctl.scala 332:55] + node sel_mb_addr = or(_T_332, reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 332:79] + node _T_333 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 333:63] + node _T_334 = bits(imb_ff, 1, 0) @[el2_ifu_mem_ctl.scala 333:119] node _T_335 = cat(_T_333, ic_wr_addr_bits_hi_3) @[Cat.scala 29:58] node _T_336 = cat(_T_335, _T_334) @[Cat.scala 29:58] - node _T_337 = eq(sel_mb_addr, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 333:37] + node _T_337 = eq(sel_mb_addr, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 334:37] node _T_338 = mux(sel_mb_addr, _T_336, UInt<1>("h00")) @[Mux.scala 27:72] node _T_339 = mux(_T_337, io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Mux.scala 27:72] node _T_340 = or(_T_338, _T_339) @[Mux.scala 27:72] @@ -593,20 +593,20 @@ circuit el2_ifu_mem_ctl : ifu_ic_rw_int_addr <= _T_340 @[Mux.scala 27:72] wire bus_ifu_wr_en_ff_q : UInt<1> bus_ifu_wr_en_ff_q <= UInt<1>("h00") - node _T_341 = and(miss_pending, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 335:41] - node _T_342 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 335:63] - node _T_343 = and(_T_341, _T_342) @[el2_ifu_mem_ctl.scala 335:61] - node _T_344 = and(_T_343, last_beat) @[el2_ifu_mem_ctl.scala 335:84] - node sel_mb_status_addr = and(_T_344, bus_ifu_wr_en_ff_q) @[el2_ifu_mem_ctl.scala 335:96] - node _T_345 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 336:62] - node _T_346 = bits(imb_ff, 1, 0) @[el2_ifu_mem_ctl.scala 336:116] + node _T_341 = and(miss_pending, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 336:41] + node _T_342 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 336:63] + node _T_343 = and(_T_341, _T_342) @[el2_ifu_mem_ctl.scala 336:61] + node _T_344 = and(_T_343, last_beat) @[el2_ifu_mem_ctl.scala 336:84] + node sel_mb_status_addr = and(_T_344, bus_ifu_wr_en_ff_q) @[el2_ifu_mem_ctl.scala 336:96] + node _T_345 = bits(imb_ff, 30, 5) @[el2_ifu_mem_ctl.scala 337:62] + node _T_346 = bits(imb_ff, 1, 0) @[el2_ifu_mem_ctl.scala 337:116] node _T_347 = cat(_T_345, ic_wr_addr_bits_hi_3) @[Cat.scala 29:58] node _T_348 = cat(_T_347, _T_346) @[Cat.scala 29:58] - node ifu_status_wr_addr = mux(sel_mb_status_addr, _T_348, ifu_fetch_addr_int_f) @[el2_ifu_mem_ctl.scala 336:31] - io.ic_rw_addr <= ifu_ic_rw_int_addr @[el2_ifu_mem_ctl.scala 337:17] - reg _T_349 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 338:51] - _T_349 <= sel_mb_addr @[el2_ifu_mem_ctl.scala 338:51] - sel_mb_addr_ff <= _T_349 @[el2_ifu_mem_ctl.scala 338:18] + node ifu_status_wr_addr = mux(sel_mb_status_addr, _T_348, ifu_fetch_addr_int_f) @[el2_ifu_mem_ctl.scala 337:31] + io.ic_rw_addr <= ifu_ic_rw_int_addr @[el2_ifu_mem_ctl.scala 338:17] + reg _T_349 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 339:51] + _T_349 <= sel_mb_addr @[el2_ifu_mem_ctl.scala 339:51] + sel_mb_addr_ff <= _T_349 @[el2_ifu_mem_ctl.scala 339:18] wire ifu_bus_rdata_ff : UInt<64> ifu_bus_rdata_ff <= UInt<1>("h00") wire ic_miss_buff_half : UInt<64> @@ -1869,24 +1869,24 @@ circuit el2_ifu_mem_ctl : node ic_miss_buff_ecc = cat(_T_1193, _T_1190) @[Cat.scala 29:58] wire ic_wr_16bytes_data : UInt<142> ic_wr_16bytes_data <= UInt<1>("h00") - node _T_1194 = bits(ic_wr_16bytes_data, 70, 0) @[el2_ifu_mem_ctl.scala 344:72] - node _T_1195 = bits(ic_wr_16bytes_data, 141, 71) @[el2_ifu_mem_ctl.scala 344:72] - io.ic_wr_data[0] <= _T_1194 @[el2_ifu_mem_ctl.scala 344:17] - io.ic_wr_data[1] <= _T_1195 @[el2_ifu_mem_ctl.scala 344:17] - io.ic_debug_wr_data <= io.dec_tlu_ic_diag_pkt.icache_wrdata @[el2_ifu_mem_ctl.scala 345:23] + node _T_1194 = bits(ic_wr_16bytes_data, 70, 0) @[el2_ifu_mem_ctl.scala 345:72] + node _T_1195 = bits(ic_wr_16bytes_data, 141, 71) @[el2_ifu_mem_ctl.scala 345:72] + io.ic_wr_data[0] <= _T_1194 @[el2_ifu_mem_ctl.scala 345:17] + io.ic_wr_data[1] <= _T_1195 @[el2_ifu_mem_ctl.scala 345:17] + io.ic_debug_wr_data <= io.dec_tlu_ic_diag_pkt.icache_wrdata @[el2_ifu_mem_ctl.scala 346:23] wire ic_rd_parity_final_err : UInt<1> ic_rd_parity_final_err <= UInt<1>("h00") - node _T_1196 = orr(io.ic_eccerr) @[el2_ifu_mem_ctl.scala 347:56] - node _T_1197 = and(_T_1196, ic_act_hit_f) @[el2_ifu_mem_ctl.scala 347:83] - node _T_1198 = or(_T_1197, ic_rd_parity_final_err) @[el2_ifu_mem_ctl.scala 347:99] - io.ic_error_start <= _T_1198 @[el2_ifu_mem_ctl.scala 347:21] + node _T_1196 = orr(io.ic_eccerr) @[el2_ifu_mem_ctl.scala 348:56] + node _T_1197 = and(_T_1196, ic_act_hit_f) @[el2_ifu_mem_ctl.scala 348:83] + node _T_1198 = or(_T_1197, ic_rd_parity_final_err) @[el2_ifu_mem_ctl.scala 348:99] + io.ic_error_start <= _T_1198 @[el2_ifu_mem_ctl.scala 348:21] wire ic_debug_tag_val_rd_out : UInt<1> ic_debug_tag_val_rd_out <= UInt<1>("h00") wire ic_debug_ict_array_sel_ff : UInt<1> ic_debug_ict_array_sel_ff <= UInt<1>("h00") - node _T_1199 = bits(ic_debug_ict_array_sel_ff, 0, 0) @[el2_ifu_mem_ctl.scala 350:63] - node _T_1200 = bits(io.ictag_debug_rd_data, 25, 21) @[el2_ifu_mem_ctl.scala 350:121] - node _T_1201 = bits(io.ictag_debug_rd_data, 20, 0) @[el2_ifu_mem_ctl.scala 350:161] + node _T_1199 = bits(ic_debug_ict_array_sel_ff, 0, 0) @[el2_ifu_mem_ctl.scala 351:63] + node _T_1200 = bits(io.ictag_debug_rd_data, 25, 21) @[el2_ifu_mem_ctl.scala 351:121] + node _T_1201 = bits(io.ictag_debug_rd_data, 20, 0) @[el2_ifu_mem_ctl.scala 351:161] node _T_1202 = cat(UInt<3>("h00"), ic_debug_tag_val_rd_out) @[Cat.scala 29:58] node _T_1203 = cat(UInt<1>("h00"), way_status) @[Cat.scala 29:58] node _T_1204 = cat(_T_1203, _T_1202) @[Cat.scala 29:58] @@ -1894,287 +1894,287 @@ circuit el2_ifu_mem_ctl : node _T_1206 = cat(UInt<2>("h00"), _T_1200) @[Cat.scala 29:58] node _T_1207 = cat(_T_1206, _T_1205) @[Cat.scala 29:58] node _T_1208 = cat(_T_1207, _T_1204) @[Cat.scala 29:58] - node ifu_ic_debug_rd_data_in = mux(_T_1199, _T_1208, io.ic_debug_rd_data) @[el2_ifu_mem_ctl.scala 350:36] + node ifu_ic_debug_rd_data_in = mux(_T_1199, _T_1208, io.ic_debug_rd_data) @[el2_ifu_mem_ctl.scala 351:36] reg _T_1209 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ic_debug_rd_en_ff : @[Reg.scala 28:19] _T_1209 <= ifu_ic_debug_rd_data_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - io.ifu_ic_debug_rd_data <= _T_1209 @[el2_ifu_mem_ctl.scala 353:27] - node _T_1210 = bits(ifu_bus_rdata_ff, 15, 0) @[el2_ifu_mem_ctl.scala 354:74] + io.ifu_ic_debug_rd_data <= _T_1209 @[el2_ifu_mem_ctl.scala 354:27] + node _T_1210 = bits(ifu_bus_rdata_ff, 15, 0) @[el2_ifu_mem_ctl.scala 355:74] node _T_1211 = xorr(_T_1210) @[el2_lib.scala 208:13] - node _T_1212 = bits(ifu_bus_rdata_ff, 31, 16) @[el2_ifu_mem_ctl.scala 354:74] + node _T_1212 = bits(ifu_bus_rdata_ff, 31, 16) @[el2_ifu_mem_ctl.scala 355:74] node _T_1213 = xorr(_T_1212) @[el2_lib.scala 208:13] - node _T_1214 = bits(ifu_bus_rdata_ff, 47, 32) @[el2_ifu_mem_ctl.scala 354:74] + node _T_1214 = bits(ifu_bus_rdata_ff, 47, 32) @[el2_ifu_mem_ctl.scala 355:74] node _T_1215 = xorr(_T_1214) @[el2_lib.scala 208:13] - node _T_1216 = bits(ifu_bus_rdata_ff, 63, 48) @[el2_ifu_mem_ctl.scala 354:74] + node _T_1216 = bits(ifu_bus_rdata_ff, 63, 48) @[el2_ifu_mem_ctl.scala 355:74] node _T_1217 = xorr(_T_1216) @[el2_lib.scala 208:13] node _T_1218 = cat(_T_1217, _T_1215) @[Cat.scala 29:58] node _T_1219 = cat(_T_1218, _T_1213) @[Cat.scala 29:58] node ic_wr_parity = cat(_T_1219, _T_1211) @[Cat.scala 29:58] - node _T_1220 = bits(ic_miss_buff_half, 15, 0) @[el2_ifu_mem_ctl.scala 355:82] + node _T_1220 = bits(ic_miss_buff_half, 15, 0) @[el2_ifu_mem_ctl.scala 356:82] node _T_1221 = xorr(_T_1220) @[el2_lib.scala 208:13] - node _T_1222 = bits(ic_miss_buff_half, 31, 16) @[el2_ifu_mem_ctl.scala 355:82] + node _T_1222 = bits(ic_miss_buff_half, 31, 16) @[el2_ifu_mem_ctl.scala 356:82] node _T_1223 = xorr(_T_1222) @[el2_lib.scala 208:13] - node _T_1224 = bits(ic_miss_buff_half, 47, 32) @[el2_ifu_mem_ctl.scala 355:82] + node _T_1224 = bits(ic_miss_buff_half, 47, 32) @[el2_ifu_mem_ctl.scala 356:82] node _T_1225 = xorr(_T_1224) @[el2_lib.scala 208:13] - node _T_1226 = bits(ic_miss_buff_half, 63, 48) @[el2_ifu_mem_ctl.scala 355:82] + node _T_1226 = bits(ic_miss_buff_half, 63, 48) @[el2_ifu_mem_ctl.scala 356:82] node _T_1227 = xorr(_T_1226) @[el2_lib.scala 208:13] node _T_1228 = cat(_T_1227, _T_1225) @[Cat.scala 29:58] node _T_1229 = cat(_T_1228, _T_1223) @[Cat.scala 29:58] node ic_miss_buff_parity = cat(_T_1229, _T_1221) @[Cat.scala 29:58] - node _T_1230 = bits(ifu_bus_rid_ff, 0, 0) @[el2_ifu_mem_ctl.scala 357:43] - node _T_1231 = bits(_T_1230, 0, 0) @[el2_ifu_mem_ctl.scala 357:47] + node _T_1230 = bits(ifu_bus_rid_ff, 0, 0) @[el2_ifu_mem_ctl.scala 358:43] + node _T_1231 = bits(_T_1230, 0, 0) @[el2_ifu_mem_ctl.scala 358:47] node _T_1232 = cat(ic_miss_buff_ecc, ic_miss_buff_half) @[Cat.scala 29:58] node _T_1233 = cat(ic_wr_ecc, ifu_bus_rdata_ff) @[Cat.scala 29:58] node _T_1234 = cat(_T_1233, _T_1232) @[Cat.scala 29:58] node _T_1235 = cat(ic_wr_ecc, ifu_bus_rdata_ff) @[Cat.scala 29:58] node _T_1236 = cat(ic_miss_buff_ecc, ic_miss_buff_half) @[Cat.scala 29:58] node _T_1237 = cat(_T_1236, _T_1235) @[Cat.scala 29:58] - node _T_1238 = mux(_T_1231, _T_1234, _T_1237) @[el2_ifu_mem_ctl.scala 357:28] - ic_wr_16bytes_data <= _T_1238 @[el2_ifu_mem_ctl.scala 357:22] + node _T_1238 = mux(_T_1231, _T_1234, _T_1237) @[el2_ifu_mem_ctl.scala 358:28] + ic_wr_16bytes_data <= _T_1238 @[el2_ifu_mem_ctl.scala 358:22] wire bus_ifu_wr_data_error_ff : UInt<1> bus_ifu_wr_data_error_ff <= UInt<1>("h00") wire ifu_wr_data_comb_err_ff : UInt<1> ifu_wr_data_comb_err_ff <= UInt<1>("h00") wire reset_beat_cnt : UInt<1> reset_beat_cnt <= UInt<1>("h00") - node _T_1239 = or(bus_ifu_wr_data_error_ff, ifu_wr_data_comb_err_ff) @[el2_ifu_mem_ctl.scala 364:53] - node _T_1240 = eq(reset_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 364:82] - node ifu_wr_cumulative_err = and(_T_1239, _T_1240) @[el2_ifu_mem_ctl.scala 364:80] - node _T_1241 = or(bus_ifu_wr_data_error_ff, ifu_wr_data_comb_err_ff) @[el2_ifu_mem_ctl.scala 365:55] - ifu_wr_cumulative_err_data <= _T_1241 @[el2_ifu_mem_ctl.scala 365:30] - reg _T_1242 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 366:61] - _T_1242 <= ifu_wr_cumulative_err @[el2_ifu_mem_ctl.scala 366:61] - ifu_wr_data_comb_err_ff <= _T_1242 @[el2_ifu_mem_ctl.scala 366:27] + node _T_1239 = or(bus_ifu_wr_data_error_ff, ifu_wr_data_comb_err_ff) @[el2_ifu_mem_ctl.scala 365:53] + node _T_1240 = eq(reset_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 365:82] + node ifu_wr_cumulative_err = and(_T_1239, _T_1240) @[el2_ifu_mem_ctl.scala 365:80] + node _T_1241 = or(bus_ifu_wr_data_error_ff, ifu_wr_data_comb_err_ff) @[el2_ifu_mem_ctl.scala 366:55] + ifu_wr_cumulative_err_data <= _T_1241 @[el2_ifu_mem_ctl.scala 366:30] + reg _T_1242 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 367:61] + _T_1242 <= ifu_wr_cumulative_err @[el2_ifu_mem_ctl.scala 367:61] + ifu_wr_data_comb_err_ff <= _T_1242 @[el2_ifu_mem_ctl.scala 367:27] wire ic_crit_wd_rdy : UInt<1> ic_crit_wd_rdy <= UInt<1>("h00") wire ifu_byp_data_err_new : UInt<1> ifu_byp_data_err_new <= UInt<1>("h00") - node _T_1243 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 369:51] - node _T_1244 = or(ic_crit_wd_rdy, _T_1243) @[el2_ifu_mem_ctl.scala 369:38] - node _T_1245 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 369:77] - node _T_1246 = or(_T_1244, _T_1245) @[el2_ifu_mem_ctl.scala 369:64] - node _T_1247 = eq(ifu_byp_data_err_new, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 369:98] - node sel_byp_data = and(_T_1246, _T_1247) @[el2_ifu_mem_ctl.scala 369:96] - node _T_1248 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 370:51] - node _T_1249 = or(ic_crit_wd_rdy, _T_1248) @[el2_ifu_mem_ctl.scala 370:38] - node _T_1250 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 370:77] - node _T_1251 = or(_T_1249, _T_1250) @[el2_ifu_mem_ctl.scala 370:64] - node _T_1252 = eq(_T_1251, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 370:21] - node _T_1253 = eq(fetch_req_iccm_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 370:98] - node sel_ic_data = and(_T_1252, _T_1253) @[el2_ifu_mem_ctl.scala 370:96] + node _T_1243 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 370:51] + node _T_1244 = or(ic_crit_wd_rdy, _T_1243) @[el2_ifu_mem_ctl.scala 370:38] + node _T_1245 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 370:77] + node _T_1246 = or(_T_1244, _T_1245) @[el2_ifu_mem_ctl.scala 370:64] + node _T_1247 = eq(ifu_byp_data_err_new, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 370:98] + node sel_byp_data = and(_T_1246, _T_1247) @[el2_ifu_mem_ctl.scala 370:96] + node _T_1248 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 371:51] + node _T_1249 = or(ic_crit_wd_rdy, _T_1248) @[el2_ifu_mem_ctl.scala 371:38] + node _T_1250 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 371:77] + node _T_1251 = or(_T_1249, _T_1250) @[el2_ifu_mem_ctl.scala 371:64] + node _T_1252 = eq(_T_1251, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 371:21] + node _T_1253 = eq(fetch_req_iccm_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 371:98] + node sel_ic_data = and(_T_1252, _T_1253) @[el2_ifu_mem_ctl.scala 371:96] wire ic_byp_data_only_new : UInt<80> ic_byp_data_only_new <= UInt<1>("h00") - node _T_1254 = or(fetch_req_iccm_f, sel_ic_data) @[el2_ifu_mem_ctl.scala 374:81] - node _T_1255 = or(sel_byp_data, _T_1254) @[el2_ifu_mem_ctl.scala 374:47] - node _T_1256 = bits(_T_1255, 0, 0) @[el2_ifu_mem_ctl.scala 374:140] + node _T_1254 = or(fetch_req_iccm_f, sel_ic_data) @[el2_ifu_mem_ctl.scala 375:81] + node _T_1255 = or(sel_byp_data, _T_1254) @[el2_ifu_mem_ctl.scala 375:47] + node _T_1256 = bits(_T_1255, 0, 0) @[el2_ifu_mem_ctl.scala 375:140] node _T_1257 = bits(fetch_req_iccm_f, 0, 0) @[Bitwise.scala 72:15] node _T_1258 = mux(_T_1257, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_1259 = and(_T_1258, io.iccm_rd_data) @[el2_ifu_mem_ctl.scala 376:64] + node _T_1259 = and(_T_1258, io.iccm_rd_data) @[el2_ifu_mem_ctl.scala 377:64] node _T_1260 = bits(sel_byp_data, 0, 0) @[Bitwise.scala 72:15] node _T_1261 = mux(_T_1260, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_1262 = and(_T_1261, ic_byp_data_only_new) @[el2_ifu_mem_ctl.scala 376:109] - node ic_premux_data = or(_T_1259, _T_1262) @[el2_ifu_mem_ctl.scala 376:83] - node ic_sel_premux_data = or(fetch_req_iccm_f, sel_byp_data) @[el2_ifu_mem_ctl.scala 378:58] - io.ic_premux_data <= ic_premux_data @[el2_ifu_mem_ctl.scala 379:21] - io.ic_sel_premux_data <= ic_sel_premux_data @[el2_ifu_mem_ctl.scala 380:25] - node ifc_bus_acc_fault_f = and(ic_byp_hit_f, ifu_byp_data_err_new) @[el2_ifu_mem_ctl.scala 381:42] - io.ic_data_f <= io.ic_rd_data @[el2_ifu_mem_ctl.scala 382:16] - node _T_1263 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 383:40] - node fetch_req_f_qual = and(io.ic_hit_f, _T_1263) @[el2_ifu_mem_ctl.scala 383:38] + node _T_1262 = and(_T_1261, ic_byp_data_only_new) @[el2_ifu_mem_ctl.scala 377:109] + node ic_premux_data = or(_T_1259, _T_1262) @[el2_ifu_mem_ctl.scala 377:83] + node ic_sel_premux_data = or(fetch_req_iccm_f, sel_byp_data) @[el2_ifu_mem_ctl.scala 379:58] + io.ic_premux_data <= ic_premux_data @[el2_ifu_mem_ctl.scala 380:21] + io.ic_sel_premux_data <= ic_sel_premux_data @[el2_ifu_mem_ctl.scala 381:25] + node ifc_bus_acc_fault_f = and(ic_byp_hit_f, ifu_byp_data_err_new) @[el2_ifu_mem_ctl.scala 382:42] + io.ic_data_f <= io.ic_rd_data @[el2_ifu_mem_ctl.scala 383:16] + node _T_1263 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 384:40] + node fetch_req_f_qual = and(io.ic_hit_f, _T_1263) @[el2_ifu_mem_ctl.scala 384:38] wire ifc_region_acc_fault_memory_f : UInt<1> ifc_region_acc_fault_memory_f <= UInt<1>("h00") - node _T_1264 = or(ifc_region_acc_fault_final_f, ifc_bus_acc_fault_f) @[el2_ifu_mem_ctl.scala 385:57] - node _T_1265 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 385:82] - node _T_1266 = and(_T_1264, _T_1265) @[el2_ifu_mem_ctl.scala 385:80] - io.ic_access_fault_f <= _T_1266 @[el2_ifu_mem_ctl.scala 385:24] - node _T_1267 = bits(io.iccm_rd_ecc_double_err, 0, 0) @[el2_ifu_mem_ctl.scala 386:62] - node _T_1268 = bits(ifc_region_acc_fault_f, 0, 0) @[el2_ifu_mem_ctl.scala 387:32] - node _T_1269 = bits(ifc_region_acc_fault_memory_f, 0, 0) @[el2_ifu_mem_ctl.scala 388:47] - node _T_1270 = mux(_T_1269, UInt<2>("h03"), UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 388:10] - node _T_1271 = mux(_T_1268, UInt<2>("h02"), _T_1270) @[el2_ifu_mem_ctl.scala 387:8] - node _T_1272 = mux(_T_1267, UInt<1>("h01"), _T_1271) @[el2_ifu_mem_ctl.scala 386:35] - io.ic_access_fault_type_f <= _T_1272 @[el2_ifu_mem_ctl.scala 386:29] - node _T_1273 = and(fetch_req_f_qual, io.ifu_bp_inst_mask_f) @[el2_ifu_mem_ctl.scala 389:45] + node _T_1264 = or(ifc_region_acc_fault_final_f, ifc_bus_acc_fault_f) @[el2_ifu_mem_ctl.scala 386:57] + node _T_1265 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 386:82] + node _T_1266 = and(_T_1264, _T_1265) @[el2_ifu_mem_ctl.scala 386:80] + io.ic_access_fault_f <= _T_1266 @[el2_ifu_mem_ctl.scala 386:24] + node _T_1267 = bits(io.iccm_rd_ecc_double_err, 0, 0) @[el2_ifu_mem_ctl.scala 387:62] + node _T_1268 = bits(ifc_region_acc_fault_f, 0, 0) @[el2_ifu_mem_ctl.scala 388:32] + node _T_1269 = bits(ifc_region_acc_fault_memory_f, 0, 0) @[el2_ifu_mem_ctl.scala 389:47] + node _T_1270 = mux(_T_1269, UInt<2>("h03"), UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 389:10] + node _T_1271 = mux(_T_1268, UInt<2>("h02"), _T_1270) @[el2_ifu_mem_ctl.scala 388:8] + node _T_1272 = mux(_T_1267, UInt<1>("h01"), _T_1271) @[el2_ifu_mem_ctl.scala 387:35] + io.ic_access_fault_type_f <= _T_1272 @[el2_ifu_mem_ctl.scala 387:29] + node _T_1273 = and(fetch_req_f_qual, io.ifu_bp_inst_mask_f) @[el2_ifu_mem_ctl.scala 390:45] node _T_1274 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_1275 = eq(vaddr_f, _T_1274) @[el2_ifu_mem_ctl.scala 389:80] - node _T_1276 = eq(_T_1275, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 389:71] - node _T_1277 = and(_T_1273, _T_1276) @[el2_ifu_mem_ctl.scala 389:69] - node _T_1278 = neq(err_stop_state, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 389:131] - node _T_1279 = and(_T_1277, _T_1278) @[el2_ifu_mem_ctl.scala 389:114] + node _T_1275 = eq(vaddr_f, _T_1274) @[el2_ifu_mem_ctl.scala 390:80] + node _T_1276 = eq(_T_1275, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 390:71] + node _T_1277 = and(_T_1273, _T_1276) @[el2_ifu_mem_ctl.scala 390:69] + node _T_1278 = neq(err_stop_state, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 390:131] + node _T_1279 = and(_T_1277, _T_1278) @[el2_ifu_mem_ctl.scala 390:114] node _T_1280 = cat(_T_1279, fetch_req_f_qual) @[Cat.scala 29:58] - io.ic_fetch_val_f <= _T_1280 @[el2_ifu_mem_ctl.scala 389:21] - node _T_1281 = bits(io.ic_data_f, 1, 0) @[el2_ifu_mem_ctl.scala 390:36] - node two_byte_instr = neq(_T_1281, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 390:42] + io.ic_fetch_val_f <= _T_1280 @[el2_ifu_mem_ctl.scala 390:21] + node _T_1281 = bits(io.ic_data_f, 1, 0) @[el2_ifu_mem_ctl.scala 391:36] + node two_byte_instr = neq(_T_1281, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 391:42] wire ic_miss_buff_data_in : UInt<64> ic_miss_buff_data_in <= UInt<1>("h00") wire ifu_bus_rsp_tag : UInt<3> ifu_bus_rsp_tag <= UInt<1>("h00") wire bus_ifu_wr_en : UInt<1> bus_ifu_wr_en <= UInt<1>("h00") - node _T_1282 = eq(ifu_bus_rsp_tag, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 396:91] - node write_fill_data_0 = and(bus_ifu_wr_en, _T_1282) @[el2_ifu_mem_ctl.scala 396:73] - node _T_1283 = eq(ifu_bus_rsp_tag, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 396:91] - node write_fill_data_1 = and(bus_ifu_wr_en, _T_1283) @[el2_ifu_mem_ctl.scala 396:73] - node _T_1284 = eq(ifu_bus_rsp_tag, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 396:91] - node write_fill_data_2 = and(bus_ifu_wr_en, _T_1284) @[el2_ifu_mem_ctl.scala 396:73] - node _T_1285 = eq(ifu_bus_rsp_tag, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 396:91] - node write_fill_data_3 = and(bus_ifu_wr_en, _T_1285) @[el2_ifu_mem_ctl.scala 396:73] - node _T_1286 = eq(ifu_bus_rsp_tag, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 396:91] - node write_fill_data_4 = and(bus_ifu_wr_en, _T_1286) @[el2_ifu_mem_ctl.scala 396:73] - node _T_1287 = eq(ifu_bus_rsp_tag, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 396:91] - node write_fill_data_5 = and(bus_ifu_wr_en, _T_1287) @[el2_ifu_mem_ctl.scala 396:73] - node _T_1288 = eq(ifu_bus_rsp_tag, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 396:91] - node write_fill_data_6 = and(bus_ifu_wr_en, _T_1288) @[el2_ifu_mem_ctl.scala 396:73] - node _T_1289 = eq(ifu_bus_rsp_tag, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 396:91] - node write_fill_data_7 = and(bus_ifu_wr_en, _T_1289) @[el2_ifu_mem_ctl.scala 396:73] - wire ic_miss_buff_data : UInt<32>[16] @[el2_ifu_mem_ctl.scala 397:31] - node _T_1290 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 399:59] - node _T_1291 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 399:97] + node _T_1282 = eq(ifu_bus_rsp_tag, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 397:91] + node write_fill_data_0 = and(bus_ifu_wr_en, _T_1282) @[el2_ifu_mem_ctl.scala 397:73] + node _T_1283 = eq(ifu_bus_rsp_tag, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 397:91] + node write_fill_data_1 = and(bus_ifu_wr_en, _T_1283) @[el2_ifu_mem_ctl.scala 397:73] + node _T_1284 = eq(ifu_bus_rsp_tag, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 397:91] + node write_fill_data_2 = and(bus_ifu_wr_en, _T_1284) @[el2_ifu_mem_ctl.scala 397:73] + node _T_1285 = eq(ifu_bus_rsp_tag, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 397:91] + node write_fill_data_3 = and(bus_ifu_wr_en, _T_1285) @[el2_ifu_mem_ctl.scala 397:73] + node _T_1286 = eq(ifu_bus_rsp_tag, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 397:91] + node write_fill_data_4 = and(bus_ifu_wr_en, _T_1286) @[el2_ifu_mem_ctl.scala 397:73] + node _T_1287 = eq(ifu_bus_rsp_tag, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 397:91] + node write_fill_data_5 = and(bus_ifu_wr_en, _T_1287) @[el2_ifu_mem_ctl.scala 397:73] + node _T_1288 = eq(ifu_bus_rsp_tag, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 397:91] + node write_fill_data_6 = and(bus_ifu_wr_en, _T_1288) @[el2_ifu_mem_ctl.scala 397:73] + node _T_1289 = eq(ifu_bus_rsp_tag, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 397:91] + node write_fill_data_7 = and(bus_ifu_wr_en, _T_1289) @[el2_ifu_mem_ctl.scala 397:73] + wire ic_miss_buff_data : UInt<32>[16] @[el2_ifu_mem_ctl.scala 398:31] + node _T_1290 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 400:59] + node _T_1291 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 400:97] reg _T_1292 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1291 : @[Reg.scala 28:19] _T_1292 <= _T_1290 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[0] <= _T_1292 @[el2_ifu_mem_ctl.scala 399:26] - node _T_1293 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 400:61] - node _T_1294 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 400:100] + ic_miss_buff_data[0] <= _T_1292 @[el2_ifu_mem_ctl.scala 400:26] + node _T_1293 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 401:61] + node _T_1294 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 401:100] reg _T_1295 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1294 : @[Reg.scala 28:19] _T_1295 <= _T_1293 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[1] <= _T_1295 @[el2_ifu_mem_ctl.scala 400:28] - node _T_1296 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 399:59] - node _T_1297 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 399:97] + ic_miss_buff_data[1] <= _T_1295 @[el2_ifu_mem_ctl.scala 401:28] + node _T_1296 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 400:59] + node _T_1297 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 400:97] reg _T_1298 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1297 : @[Reg.scala 28:19] _T_1298 <= _T_1296 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[2] <= _T_1298 @[el2_ifu_mem_ctl.scala 399:26] - node _T_1299 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 400:61] - node _T_1300 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 400:100] + ic_miss_buff_data[2] <= _T_1298 @[el2_ifu_mem_ctl.scala 400:26] + node _T_1299 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 401:61] + node _T_1300 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 401:100] reg _T_1301 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1300 : @[Reg.scala 28:19] _T_1301 <= _T_1299 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[3] <= _T_1301 @[el2_ifu_mem_ctl.scala 400:28] - node _T_1302 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 399:59] - node _T_1303 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 399:97] + ic_miss_buff_data[3] <= _T_1301 @[el2_ifu_mem_ctl.scala 401:28] + node _T_1302 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 400:59] + node _T_1303 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 400:97] reg _T_1304 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1303 : @[Reg.scala 28:19] _T_1304 <= _T_1302 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[4] <= _T_1304 @[el2_ifu_mem_ctl.scala 399:26] - node _T_1305 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 400:61] - node _T_1306 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 400:100] + ic_miss_buff_data[4] <= _T_1304 @[el2_ifu_mem_ctl.scala 400:26] + node _T_1305 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 401:61] + node _T_1306 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 401:100] reg _T_1307 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1306 : @[Reg.scala 28:19] _T_1307 <= _T_1305 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[5] <= _T_1307 @[el2_ifu_mem_ctl.scala 400:28] - node _T_1308 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 399:59] - node _T_1309 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 399:97] + ic_miss_buff_data[5] <= _T_1307 @[el2_ifu_mem_ctl.scala 401:28] + node _T_1308 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 400:59] + node _T_1309 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 400:97] reg _T_1310 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1309 : @[Reg.scala 28:19] _T_1310 <= _T_1308 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[6] <= _T_1310 @[el2_ifu_mem_ctl.scala 399:26] - node _T_1311 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 400:61] - node _T_1312 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 400:100] + ic_miss_buff_data[6] <= _T_1310 @[el2_ifu_mem_ctl.scala 400:26] + node _T_1311 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 401:61] + node _T_1312 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 401:100] reg _T_1313 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1312 : @[Reg.scala 28:19] _T_1313 <= _T_1311 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[7] <= _T_1313 @[el2_ifu_mem_ctl.scala 400:28] - node _T_1314 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 399:59] - node _T_1315 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 399:97] + ic_miss_buff_data[7] <= _T_1313 @[el2_ifu_mem_ctl.scala 401:28] + node _T_1314 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 400:59] + node _T_1315 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 400:97] reg _T_1316 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1315 : @[Reg.scala 28:19] _T_1316 <= _T_1314 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[8] <= _T_1316 @[el2_ifu_mem_ctl.scala 399:26] - node _T_1317 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 400:61] - node _T_1318 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 400:100] + ic_miss_buff_data[8] <= _T_1316 @[el2_ifu_mem_ctl.scala 400:26] + node _T_1317 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 401:61] + node _T_1318 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 401:100] reg _T_1319 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1318 : @[Reg.scala 28:19] _T_1319 <= _T_1317 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[9] <= _T_1319 @[el2_ifu_mem_ctl.scala 400:28] - node _T_1320 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 399:59] - node _T_1321 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 399:97] + ic_miss_buff_data[9] <= _T_1319 @[el2_ifu_mem_ctl.scala 401:28] + node _T_1320 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 400:59] + node _T_1321 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 400:97] reg _T_1322 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1321 : @[Reg.scala 28:19] _T_1322 <= _T_1320 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[10] <= _T_1322 @[el2_ifu_mem_ctl.scala 399:26] - node _T_1323 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 400:61] - node _T_1324 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 400:100] + ic_miss_buff_data[10] <= _T_1322 @[el2_ifu_mem_ctl.scala 400:26] + node _T_1323 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 401:61] + node _T_1324 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 401:100] reg _T_1325 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1324 : @[Reg.scala 28:19] _T_1325 <= _T_1323 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[11] <= _T_1325 @[el2_ifu_mem_ctl.scala 400:28] - node _T_1326 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 399:59] - node _T_1327 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 399:97] + ic_miss_buff_data[11] <= _T_1325 @[el2_ifu_mem_ctl.scala 401:28] + node _T_1326 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 400:59] + node _T_1327 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 400:97] reg _T_1328 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1327 : @[Reg.scala 28:19] _T_1328 <= _T_1326 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[12] <= _T_1328 @[el2_ifu_mem_ctl.scala 399:26] - node _T_1329 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 400:61] - node _T_1330 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 400:100] + ic_miss_buff_data[12] <= _T_1328 @[el2_ifu_mem_ctl.scala 400:26] + node _T_1329 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 401:61] + node _T_1330 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 401:100] reg _T_1331 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1330 : @[Reg.scala 28:19] _T_1331 <= _T_1329 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[13] <= _T_1331 @[el2_ifu_mem_ctl.scala 400:28] - node _T_1332 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 399:59] - node _T_1333 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 399:97] + ic_miss_buff_data[13] <= _T_1331 @[el2_ifu_mem_ctl.scala 401:28] + node _T_1332 = bits(ic_miss_buff_data_in, 31, 0) @[el2_ifu_mem_ctl.scala 400:59] + node _T_1333 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 400:97] reg _T_1334 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1333 : @[Reg.scala 28:19] _T_1334 <= _T_1332 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[14] <= _T_1334 @[el2_ifu_mem_ctl.scala 399:26] - node _T_1335 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 400:61] - node _T_1336 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 400:100] + ic_miss_buff_data[14] <= _T_1334 @[el2_ifu_mem_ctl.scala 400:26] + node _T_1335 = bits(ic_miss_buff_data_in, 63, 32) @[el2_ifu_mem_ctl.scala 401:61] + node _T_1336 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 401:100] reg _T_1337 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_1336 : @[Reg.scala 28:19] _T_1337 <= _T_1335 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_miss_buff_data[15] <= _T_1337 @[el2_ifu_mem_ctl.scala 400:28] + ic_miss_buff_data[15] <= _T_1337 @[el2_ifu_mem_ctl.scala 401:28] wire ic_miss_buff_data_valid : UInt<8> ic_miss_buff_data_valid <= UInt<1>("h00") - node _T_1338 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 402:113] - node _T_1339 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 402:118] - node _T_1340 = and(_T_1338, _T_1339) @[el2_ifu_mem_ctl.scala 402:116] - node ic_miss_buff_data_valid_in_0 = or(write_fill_data_0, _T_1340) @[el2_ifu_mem_ctl.scala 402:88] - node _T_1341 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 402:113] - node _T_1342 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 402:118] - node _T_1343 = and(_T_1341, _T_1342) @[el2_ifu_mem_ctl.scala 402:116] - node ic_miss_buff_data_valid_in_1 = or(write_fill_data_1, _T_1343) @[el2_ifu_mem_ctl.scala 402:88] - node _T_1344 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 402:113] - node _T_1345 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 402:118] - node _T_1346 = and(_T_1344, _T_1345) @[el2_ifu_mem_ctl.scala 402:116] - node ic_miss_buff_data_valid_in_2 = or(write_fill_data_2, _T_1346) @[el2_ifu_mem_ctl.scala 402:88] - node _T_1347 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 402:113] - node _T_1348 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 402:118] - node _T_1349 = and(_T_1347, _T_1348) @[el2_ifu_mem_ctl.scala 402:116] - node ic_miss_buff_data_valid_in_3 = or(write_fill_data_3, _T_1349) @[el2_ifu_mem_ctl.scala 402:88] - node _T_1350 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 402:113] - node _T_1351 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 402:118] - node _T_1352 = and(_T_1350, _T_1351) @[el2_ifu_mem_ctl.scala 402:116] - node ic_miss_buff_data_valid_in_4 = or(write_fill_data_4, _T_1352) @[el2_ifu_mem_ctl.scala 402:88] - node _T_1353 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 402:113] - node _T_1354 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 402:118] - node _T_1355 = and(_T_1353, _T_1354) @[el2_ifu_mem_ctl.scala 402:116] - node ic_miss_buff_data_valid_in_5 = or(write_fill_data_5, _T_1355) @[el2_ifu_mem_ctl.scala 402:88] - node _T_1356 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 402:113] - node _T_1357 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 402:118] - node _T_1358 = and(_T_1356, _T_1357) @[el2_ifu_mem_ctl.scala 402:116] - node ic_miss_buff_data_valid_in_6 = or(write_fill_data_6, _T_1358) @[el2_ifu_mem_ctl.scala 402:88] - node _T_1359 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 402:113] - node _T_1360 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 402:118] - node _T_1361 = and(_T_1359, _T_1360) @[el2_ifu_mem_ctl.scala 402:116] - node ic_miss_buff_data_valid_in_7 = or(write_fill_data_7, _T_1361) @[el2_ifu_mem_ctl.scala 402:88] + node _T_1338 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 403:113] + node _T_1339 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 403:118] + node _T_1340 = and(_T_1338, _T_1339) @[el2_ifu_mem_ctl.scala 403:116] + node ic_miss_buff_data_valid_in_0 = or(write_fill_data_0, _T_1340) @[el2_ifu_mem_ctl.scala 403:88] + node _T_1341 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 403:113] + node _T_1342 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 403:118] + node _T_1343 = and(_T_1341, _T_1342) @[el2_ifu_mem_ctl.scala 403:116] + node ic_miss_buff_data_valid_in_1 = or(write_fill_data_1, _T_1343) @[el2_ifu_mem_ctl.scala 403:88] + node _T_1344 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 403:113] + node _T_1345 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 403:118] + node _T_1346 = and(_T_1344, _T_1345) @[el2_ifu_mem_ctl.scala 403:116] + node ic_miss_buff_data_valid_in_2 = or(write_fill_data_2, _T_1346) @[el2_ifu_mem_ctl.scala 403:88] + node _T_1347 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 403:113] + node _T_1348 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 403:118] + node _T_1349 = and(_T_1347, _T_1348) @[el2_ifu_mem_ctl.scala 403:116] + node ic_miss_buff_data_valid_in_3 = or(write_fill_data_3, _T_1349) @[el2_ifu_mem_ctl.scala 403:88] + node _T_1350 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 403:113] + node _T_1351 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 403:118] + node _T_1352 = and(_T_1350, _T_1351) @[el2_ifu_mem_ctl.scala 403:116] + node ic_miss_buff_data_valid_in_4 = or(write_fill_data_4, _T_1352) @[el2_ifu_mem_ctl.scala 403:88] + node _T_1353 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 403:113] + node _T_1354 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 403:118] + node _T_1355 = and(_T_1353, _T_1354) @[el2_ifu_mem_ctl.scala 403:116] + node ic_miss_buff_data_valid_in_5 = or(write_fill_data_5, _T_1355) @[el2_ifu_mem_ctl.scala 403:88] + node _T_1356 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 403:113] + node _T_1357 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 403:118] + node _T_1358 = and(_T_1356, _T_1357) @[el2_ifu_mem_ctl.scala 403:116] + node ic_miss_buff_data_valid_in_6 = or(write_fill_data_6, _T_1358) @[el2_ifu_mem_ctl.scala 403:88] + node _T_1359 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 403:113] + node _T_1360 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 403:118] + node _T_1361 = and(_T_1359, _T_1360) @[el2_ifu_mem_ctl.scala 403:116] + node ic_miss_buff_data_valid_in_7 = or(write_fill_data_7, _T_1361) @[el2_ifu_mem_ctl.scala 403:88] node _T_1362 = cat(ic_miss_buff_data_valid_in_7, ic_miss_buff_data_valid_in_6) @[Cat.scala 29:58] node _T_1363 = cat(_T_1362, ic_miss_buff_data_valid_in_5) @[Cat.scala 29:58] node _T_1364 = cat(_T_1363, ic_miss_buff_data_valid_in_4) @[Cat.scala 29:58] @@ -2182,53 +2182,53 @@ circuit el2_ifu_mem_ctl : node _T_1366 = cat(_T_1365, ic_miss_buff_data_valid_in_2) @[Cat.scala 29:58] node _T_1367 = cat(_T_1366, ic_miss_buff_data_valid_in_1) @[Cat.scala 29:58] node _T_1368 = cat(_T_1367, ic_miss_buff_data_valid_in_0) @[Cat.scala 29:58] - reg _T_1369 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 403:60] - _T_1369 <= _T_1368 @[el2_ifu_mem_ctl.scala 403:60] - ic_miss_buff_data_valid <= _T_1369 @[el2_ifu_mem_ctl.scala 403:27] + reg _T_1369 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 404:60] + _T_1369 <= _T_1368 @[el2_ifu_mem_ctl.scala 404:60] + ic_miss_buff_data_valid <= _T_1369 @[el2_ifu_mem_ctl.scala 404:27] wire bus_ifu_wr_data_error : UInt<1> bus_ifu_wr_data_error <= UInt<1>("h00") wire ic_miss_buff_data_error : UInt<8> ic_miss_buff_data_error <= UInt<1>("h00") - node _T_1370 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 406:92] - node _T_1371 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 407:28] - node _T_1372 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:34] - node _T_1373 = and(_T_1371, _T_1372) @[el2_ifu_mem_ctl.scala 407:32] - node ic_miss_buff_data_error_in_0 = mux(_T_1370, bus_ifu_wr_data_error, _T_1373) @[el2_ifu_mem_ctl.scala 406:72] - node _T_1374 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 406:92] - node _T_1375 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 407:28] - node _T_1376 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:34] - node _T_1377 = and(_T_1375, _T_1376) @[el2_ifu_mem_ctl.scala 407:32] - node ic_miss_buff_data_error_in_1 = mux(_T_1374, bus_ifu_wr_data_error, _T_1377) @[el2_ifu_mem_ctl.scala 406:72] - node _T_1378 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 406:92] - node _T_1379 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 407:28] - node _T_1380 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:34] - node _T_1381 = and(_T_1379, _T_1380) @[el2_ifu_mem_ctl.scala 407:32] - node ic_miss_buff_data_error_in_2 = mux(_T_1378, bus_ifu_wr_data_error, _T_1381) @[el2_ifu_mem_ctl.scala 406:72] - node _T_1382 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 406:92] - node _T_1383 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 407:28] - node _T_1384 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:34] - node _T_1385 = and(_T_1383, _T_1384) @[el2_ifu_mem_ctl.scala 407:32] - node ic_miss_buff_data_error_in_3 = mux(_T_1382, bus_ifu_wr_data_error, _T_1385) @[el2_ifu_mem_ctl.scala 406:72] - node _T_1386 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 406:92] - node _T_1387 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 407:28] - node _T_1388 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:34] - node _T_1389 = and(_T_1387, _T_1388) @[el2_ifu_mem_ctl.scala 407:32] - node ic_miss_buff_data_error_in_4 = mux(_T_1386, bus_ifu_wr_data_error, _T_1389) @[el2_ifu_mem_ctl.scala 406:72] - node _T_1390 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 406:92] - node _T_1391 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 407:28] - node _T_1392 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:34] - node _T_1393 = and(_T_1391, _T_1392) @[el2_ifu_mem_ctl.scala 407:32] - node ic_miss_buff_data_error_in_5 = mux(_T_1390, bus_ifu_wr_data_error, _T_1393) @[el2_ifu_mem_ctl.scala 406:72] - node _T_1394 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 406:92] - node _T_1395 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 407:28] - node _T_1396 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:34] - node _T_1397 = and(_T_1395, _T_1396) @[el2_ifu_mem_ctl.scala 407:32] - node ic_miss_buff_data_error_in_6 = mux(_T_1394, bus_ifu_wr_data_error, _T_1397) @[el2_ifu_mem_ctl.scala 406:72] - node _T_1398 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 406:92] - node _T_1399 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 407:28] - node _T_1400 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 407:34] - node _T_1401 = and(_T_1399, _T_1400) @[el2_ifu_mem_ctl.scala 407:32] - node ic_miss_buff_data_error_in_7 = mux(_T_1398, bus_ifu_wr_data_error, _T_1401) @[el2_ifu_mem_ctl.scala 406:72] + node _T_1370 = bits(write_fill_data_0, 0, 0) @[el2_ifu_mem_ctl.scala 407:92] + node _T_1371 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 408:28] + node _T_1372 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 408:34] + node _T_1373 = and(_T_1371, _T_1372) @[el2_ifu_mem_ctl.scala 408:32] + node ic_miss_buff_data_error_in_0 = mux(_T_1370, bus_ifu_wr_data_error, _T_1373) @[el2_ifu_mem_ctl.scala 407:72] + node _T_1374 = bits(write_fill_data_1, 0, 0) @[el2_ifu_mem_ctl.scala 407:92] + node _T_1375 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 408:28] + node _T_1376 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 408:34] + node _T_1377 = and(_T_1375, _T_1376) @[el2_ifu_mem_ctl.scala 408:32] + node ic_miss_buff_data_error_in_1 = mux(_T_1374, bus_ifu_wr_data_error, _T_1377) @[el2_ifu_mem_ctl.scala 407:72] + node _T_1378 = bits(write_fill_data_2, 0, 0) @[el2_ifu_mem_ctl.scala 407:92] + node _T_1379 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 408:28] + node _T_1380 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 408:34] + node _T_1381 = and(_T_1379, _T_1380) @[el2_ifu_mem_ctl.scala 408:32] + node ic_miss_buff_data_error_in_2 = mux(_T_1378, bus_ifu_wr_data_error, _T_1381) @[el2_ifu_mem_ctl.scala 407:72] + node _T_1382 = bits(write_fill_data_3, 0, 0) @[el2_ifu_mem_ctl.scala 407:92] + node _T_1383 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 408:28] + node _T_1384 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 408:34] + node _T_1385 = and(_T_1383, _T_1384) @[el2_ifu_mem_ctl.scala 408:32] + node ic_miss_buff_data_error_in_3 = mux(_T_1382, bus_ifu_wr_data_error, _T_1385) @[el2_ifu_mem_ctl.scala 407:72] + node _T_1386 = bits(write_fill_data_4, 0, 0) @[el2_ifu_mem_ctl.scala 407:92] + node _T_1387 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 408:28] + node _T_1388 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 408:34] + node _T_1389 = and(_T_1387, _T_1388) @[el2_ifu_mem_ctl.scala 408:32] + node ic_miss_buff_data_error_in_4 = mux(_T_1386, bus_ifu_wr_data_error, _T_1389) @[el2_ifu_mem_ctl.scala 407:72] + node _T_1390 = bits(write_fill_data_5, 0, 0) @[el2_ifu_mem_ctl.scala 407:92] + node _T_1391 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 408:28] + node _T_1392 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 408:34] + node _T_1393 = and(_T_1391, _T_1392) @[el2_ifu_mem_ctl.scala 408:32] + node ic_miss_buff_data_error_in_5 = mux(_T_1390, bus_ifu_wr_data_error, _T_1393) @[el2_ifu_mem_ctl.scala 407:72] + node _T_1394 = bits(write_fill_data_6, 0, 0) @[el2_ifu_mem_ctl.scala 407:92] + node _T_1395 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 408:28] + node _T_1396 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 408:34] + node _T_1397 = and(_T_1395, _T_1396) @[el2_ifu_mem_ctl.scala 408:32] + node ic_miss_buff_data_error_in_6 = mux(_T_1394, bus_ifu_wr_data_error, _T_1397) @[el2_ifu_mem_ctl.scala 407:72] + node _T_1398 = bits(write_fill_data_7, 0, 0) @[el2_ifu_mem_ctl.scala 407:92] + node _T_1399 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 408:28] + node _T_1400 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 408:34] + node _T_1401 = and(_T_1399, _T_1400) @[el2_ifu_mem_ctl.scala 408:32] + node ic_miss_buff_data_error_in_7 = mux(_T_1398, bus_ifu_wr_data_error, _T_1401) @[el2_ifu_mem_ctl.scala 407:72] node _T_1402 = cat(ic_miss_buff_data_error_in_7, ic_miss_buff_data_error_in_6) @[Cat.scala 29:58] node _T_1403 = cat(_T_1402, ic_miss_buff_data_error_in_5) @[Cat.scala 29:58] node _T_1404 = cat(_T_1403, ic_miss_buff_data_error_in_4) @[Cat.scala 29:58] @@ -2236,37 +2236,37 @@ circuit el2_ifu_mem_ctl : node _T_1406 = cat(_T_1405, ic_miss_buff_data_error_in_2) @[Cat.scala 29:58] node _T_1407 = cat(_T_1406, ic_miss_buff_data_error_in_1) @[Cat.scala 29:58] node _T_1408 = cat(_T_1407, ic_miss_buff_data_error_in_0) @[Cat.scala 29:58] - reg _T_1409 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 408:60] - _T_1409 <= _T_1408 @[el2_ifu_mem_ctl.scala 408:60] - ic_miss_buff_data_error <= _T_1409 @[el2_ifu_mem_ctl.scala 408:27] - node bypass_index = bits(imb_ff, 4, 0) @[el2_ifu_mem_ctl.scala 411:28] - node _T_1410 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 412:42] - node _T_1411 = add(_T_1410, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 412:70] - node bypass_index_5_3_inc = tail(_T_1411, 1) @[el2_ifu_mem_ctl.scala 412:70] - node _T_1412 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 413:87] - node _T_1413 = eq(_T_1412, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 413:114] - node _T_1414 = bits(_T_1413, 0, 0) @[el2_ifu_mem_ctl.scala 413:122] - node _T_1415 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 413:87] - node _T_1416 = eq(_T_1415, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 413:114] - node _T_1417 = bits(_T_1416, 0, 0) @[el2_ifu_mem_ctl.scala 413:122] - node _T_1418 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 413:87] - node _T_1419 = eq(_T_1418, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 413:114] - node _T_1420 = bits(_T_1419, 0, 0) @[el2_ifu_mem_ctl.scala 413:122] - node _T_1421 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 413:87] - node _T_1422 = eq(_T_1421, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 413:114] - node _T_1423 = bits(_T_1422, 0, 0) @[el2_ifu_mem_ctl.scala 413:122] - node _T_1424 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 413:87] - node _T_1425 = eq(_T_1424, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 413:114] - node _T_1426 = bits(_T_1425, 0, 0) @[el2_ifu_mem_ctl.scala 413:122] - node _T_1427 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 413:87] - node _T_1428 = eq(_T_1427, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 413:114] - node _T_1429 = bits(_T_1428, 0, 0) @[el2_ifu_mem_ctl.scala 413:122] - node _T_1430 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 413:87] - node _T_1431 = eq(_T_1430, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 413:114] - node _T_1432 = bits(_T_1431, 0, 0) @[el2_ifu_mem_ctl.scala 413:122] - node _T_1433 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 413:87] - node _T_1434 = eq(_T_1433, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 413:114] - node _T_1435 = bits(_T_1434, 0, 0) @[el2_ifu_mem_ctl.scala 413:122] + reg _T_1409 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 409:60] + _T_1409 <= _T_1408 @[el2_ifu_mem_ctl.scala 409:60] + ic_miss_buff_data_error <= _T_1409 @[el2_ifu_mem_ctl.scala 409:27] + node bypass_index = bits(imb_ff, 4, 0) @[el2_ifu_mem_ctl.scala 412:28] + node _T_1410 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 413:42] + node _T_1411 = add(_T_1410, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 413:70] + node bypass_index_5_3_inc = tail(_T_1411, 1) @[el2_ifu_mem_ctl.scala 413:70] + node _T_1412 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 414:87] + node _T_1413 = eq(_T_1412, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 414:114] + node _T_1414 = bits(_T_1413, 0, 0) @[el2_ifu_mem_ctl.scala 414:122] + node _T_1415 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 414:87] + node _T_1416 = eq(_T_1415, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 414:114] + node _T_1417 = bits(_T_1416, 0, 0) @[el2_ifu_mem_ctl.scala 414:122] + node _T_1418 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 414:87] + node _T_1419 = eq(_T_1418, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 414:114] + node _T_1420 = bits(_T_1419, 0, 0) @[el2_ifu_mem_ctl.scala 414:122] + node _T_1421 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 414:87] + node _T_1422 = eq(_T_1421, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 414:114] + node _T_1423 = bits(_T_1422, 0, 0) @[el2_ifu_mem_ctl.scala 414:122] + node _T_1424 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 414:87] + node _T_1425 = eq(_T_1424, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 414:114] + node _T_1426 = bits(_T_1425, 0, 0) @[el2_ifu_mem_ctl.scala 414:122] + node _T_1427 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 414:87] + node _T_1428 = eq(_T_1427, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 414:114] + node _T_1429 = bits(_T_1428, 0, 0) @[el2_ifu_mem_ctl.scala 414:122] + node _T_1430 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 414:87] + node _T_1431 = eq(_T_1430, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 414:114] + node _T_1432 = bits(_T_1431, 0, 0) @[el2_ifu_mem_ctl.scala 414:122] + node _T_1433 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 414:87] + node _T_1434 = eq(_T_1433, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 414:114] + node _T_1435 = bits(_T_1434, 0, 0) @[el2_ifu_mem_ctl.scala 414:122] node _T_1436 = mux(_T_1414, ic_miss_buff_data_valid_in_0, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1437 = mux(_T_1417, ic_miss_buff_data_valid_in_1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1438 = mux(_T_1420, ic_miss_buff_data_valid_in_2, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2284,44 +2284,44 @@ circuit el2_ifu_mem_ctl : node _T_1450 = or(_T_1449, _T_1443) @[Mux.scala 27:72] wire bypass_valid_value_check : UInt<1> @[Mux.scala 27:72] bypass_valid_value_check <= _T_1450 @[Mux.scala 27:72] - node _T_1451 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 414:71] - node _T_1452 = eq(_T_1451, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 414:58] - node _T_1453 = and(bypass_valid_value_check, _T_1452) @[el2_ifu_mem_ctl.scala 414:56] - node _T_1454 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 414:90] - node _T_1455 = eq(_T_1454, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 414:77] - node _T_1456 = and(_T_1453, _T_1455) @[el2_ifu_mem_ctl.scala 414:75] - node _T_1457 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 415:71] - node _T_1458 = eq(_T_1457, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 415:58] - node _T_1459 = and(bypass_valid_value_check, _T_1458) @[el2_ifu_mem_ctl.scala 415:56] - node _T_1460 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 415:89] - node _T_1461 = and(_T_1459, _T_1460) @[el2_ifu_mem_ctl.scala 415:75] - node _T_1462 = or(_T_1456, _T_1461) @[el2_ifu_mem_ctl.scala 414:95] - node _T_1463 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 416:70] - node _T_1464 = and(bypass_valid_value_check, _T_1463) @[el2_ifu_mem_ctl.scala 416:56] - node _T_1465 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 416:89] - node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 416:76] - node _T_1467 = and(_T_1464, _T_1466) @[el2_ifu_mem_ctl.scala 416:74] - node _T_1468 = or(_T_1462, _T_1467) @[el2_ifu_mem_ctl.scala 415:94] - node _T_1469 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 417:47] - node _T_1470 = and(bypass_valid_value_check, _T_1469) @[el2_ifu_mem_ctl.scala 417:33] - node _T_1471 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 417:65] - node _T_1472 = and(_T_1470, _T_1471) @[el2_ifu_mem_ctl.scala 417:51] - node _T_1473 = eq(bypass_index_5_3_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 417:132] - node _T_1474 = bits(_T_1473, 0, 0) @[el2_ifu_mem_ctl.scala 417:140] - node _T_1475 = eq(bypass_index_5_3_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 417:132] - node _T_1476 = bits(_T_1475, 0, 0) @[el2_ifu_mem_ctl.scala 417:140] - node _T_1477 = eq(bypass_index_5_3_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 417:132] - node _T_1478 = bits(_T_1477, 0, 0) @[el2_ifu_mem_ctl.scala 417:140] - node _T_1479 = eq(bypass_index_5_3_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 417:132] - node _T_1480 = bits(_T_1479, 0, 0) @[el2_ifu_mem_ctl.scala 417:140] - node _T_1481 = eq(bypass_index_5_3_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 417:132] - node _T_1482 = bits(_T_1481, 0, 0) @[el2_ifu_mem_ctl.scala 417:140] - node _T_1483 = eq(bypass_index_5_3_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 417:132] - node _T_1484 = bits(_T_1483, 0, 0) @[el2_ifu_mem_ctl.scala 417:140] - node _T_1485 = eq(bypass_index_5_3_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 417:132] - node _T_1486 = bits(_T_1485, 0, 0) @[el2_ifu_mem_ctl.scala 417:140] - node _T_1487 = eq(bypass_index_5_3_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 417:132] - node _T_1488 = bits(_T_1487, 0, 0) @[el2_ifu_mem_ctl.scala 417:140] + node _T_1451 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 415:71] + node _T_1452 = eq(_T_1451, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 415:58] + node _T_1453 = and(bypass_valid_value_check, _T_1452) @[el2_ifu_mem_ctl.scala 415:56] + node _T_1454 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 415:90] + node _T_1455 = eq(_T_1454, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 415:77] + node _T_1456 = and(_T_1453, _T_1455) @[el2_ifu_mem_ctl.scala 415:75] + node _T_1457 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 416:71] + node _T_1458 = eq(_T_1457, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 416:58] + node _T_1459 = and(bypass_valid_value_check, _T_1458) @[el2_ifu_mem_ctl.scala 416:56] + node _T_1460 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 416:89] + node _T_1461 = and(_T_1459, _T_1460) @[el2_ifu_mem_ctl.scala 416:75] + node _T_1462 = or(_T_1456, _T_1461) @[el2_ifu_mem_ctl.scala 415:95] + node _T_1463 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 417:70] + node _T_1464 = and(bypass_valid_value_check, _T_1463) @[el2_ifu_mem_ctl.scala 417:56] + node _T_1465 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 417:89] + node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 417:76] + node _T_1467 = and(_T_1464, _T_1466) @[el2_ifu_mem_ctl.scala 417:74] + node _T_1468 = or(_T_1462, _T_1467) @[el2_ifu_mem_ctl.scala 416:94] + node _T_1469 = bits(bypass_index, 1, 1) @[el2_ifu_mem_ctl.scala 418:47] + node _T_1470 = and(bypass_valid_value_check, _T_1469) @[el2_ifu_mem_ctl.scala 418:33] + node _T_1471 = bits(bypass_index, 0, 0) @[el2_ifu_mem_ctl.scala 418:65] + node _T_1472 = and(_T_1470, _T_1471) @[el2_ifu_mem_ctl.scala 418:51] + node _T_1473 = eq(bypass_index_5_3_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 418:132] + node _T_1474 = bits(_T_1473, 0, 0) @[el2_ifu_mem_ctl.scala 418:140] + node _T_1475 = eq(bypass_index_5_3_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 418:132] + node _T_1476 = bits(_T_1475, 0, 0) @[el2_ifu_mem_ctl.scala 418:140] + node _T_1477 = eq(bypass_index_5_3_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 418:132] + node _T_1478 = bits(_T_1477, 0, 0) @[el2_ifu_mem_ctl.scala 418:140] + node _T_1479 = eq(bypass_index_5_3_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 418:132] + node _T_1480 = bits(_T_1479, 0, 0) @[el2_ifu_mem_ctl.scala 418:140] + node _T_1481 = eq(bypass_index_5_3_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 418:132] + node _T_1482 = bits(_T_1481, 0, 0) @[el2_ifu_mem_ctl.scala 418:140] + node _T_1483 = eq(bypass_index_5_3_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 418:132] + node _T_1484 = bits(_T_1483, 0, 0) @[el2_ifu_mem_ctl.scala 418:140] + node _T_1485 = eq(bypass_index_5_3_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 418:132] + node _T_1486 = bits(_T_1485, 0, 0) @[el2_ifu_mem_ctl.scala 418:140] + node _T_1487 = eq(bypass_index_5_3_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 418:132] + node _T_1488 = bits(_T_1487, 0, 0) @[el2_ifu_mem_ctl.scala 418:140] node _T_1489 = mux(_T_1474, ic_miss_buff_data_valid_in_0, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1490 = mux(_T_1476, ic_miss_buff_data_valid_in_1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1491 = mux(_T_1478, ic_miss_buff_data_valid_in_2, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2339,79 +2339,79 @@ circuit el2_ifu_mem_ctl : node _T_1503 = or(_T_1502, _T_1496) @[Mux.scala 27:72] wire _T_1504 : UInt<1> @[Mux.scala 27:72] _T_1504 <= _T_1503 @[Mux.scala 27:72] - node _T_1505 = and(_T_1472, _T_1504) @[el2_ifu_mem_ctl.scala 417:69] - node _T_1506 = or(_T_1468, _T_1505) @[el2_ifu_mem_ctl.scala 416:94] - node _T_1507 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 418:70] + node _T_1505 = and(_T_1472, _T_1504) @[el2_ifu_mem_ctl.scala 418:69] + node _T_1506 = or(_T_1468, _T_1505) @[el2_ifu_mem_ctl.scala 417:94] + node _T_1507 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 419:70] node _T_1508 = mux(UInt<1>("h01"), UInt<5>("h01f"), UInt<5>("h00")) @[Bitwise.scala 72:12] - node _T_1509 = eq(_T_1507, _T_1508) @[el2_ifu_mem_ctl.scala 418:95] - node _T_1510 = and(bypass_valid_value_check, _T_1509) @[el2_ifu_mem_ctl.scala 418:56] - node bypass_data_ready_in = or(_T_1506, _T_1510) @[el2_ifu_mem_ctl.scala 417:181] + node _T_1509 = eq(_T_1507, _T_1508) @[el2_ifu_mem_ctl.scala 419:95] + node _T_1510 = and(bypass_valid_value_check, _T_1509) @[el2_ifu_mem_ctl.scala 419:56] + node bypass_data_ready_in = or(_T_1506, _T_1510) @[el2_ifu_mem_ctl.scala 418:181] wire ic_crit_wd_rdy_new_ff : UInt<1> ic_crit_wd_rdy_new_ff <= UInt<1>("h00") - node _T_1511 = and(bypass_data_ready_in, crit_wd_byp_ok_ff) @[el2_ifu_mem_ctl.scala 422:53] - node _T_1512 = and(_T_1511, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 422:73] - node _T_1513 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 422:98] - node _T_1514 = and(_T_1512, _T_1513) @[el2_ifu_mem_ctl.scala 422:96] - node _T_1515 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 422:120] - node _T_1516 = and(_T_1514, _T_1515) @[el2_ifu_mem_ctl.scala 422:118] - node _T_1517 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 423:75] - node _T_1518 = and(crit_wd_byp_ok_ff, _T_1517) @[el2_ifu_mem_ctl.scala 423:73] - node _T_1519 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 423:98] - node _T_1520 = and(_T_1518, _T_1519) @[el2_ifu_mem_ctl.scala 423:96] - node _T_1521 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 423:120] - node _T_1522 = and(_T_1520, _T_1521) @[el2_ifu_mem_ctl.scala 423:118] - node _T_1523 = or(_T_1516, _T_1522) @[el2_ifu_mem_ctl.scala 422:143] - node _T_1524 = and(ic_crit_wd_rdy_new_ff, crit_wd_byp_ok_ff) @[el2_ifu_mem_ctl.scala 424:54] - node _T_1525 = eq(fetch_req_icache_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 424:76] - node _T_1526 = and(_T_1524, _T_1525) @[el2_ifu_mem_ctl.scala 424:74] - node _T_1527 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 424:98] - node _T_1528 = and(_T_1526, _T_1527) @[el2_ifu_mem_ctl.scala 424:96] - node ic_crit_wd_rdy_new_in = or(_T_1523, _T_1528) @[el2_ifu_mem_ctl.scala 423:143] - reg _T_1529 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 425:58] - _T_1529 <= ic_crit_wd_rdy_new_in @[el2_ifu_mem_ctl.scala 425:58] - ic_crit_wd_rdy_new_ff <= _T_1529 @[el2_ifu_mem_ctl.scala 425:25] - node byp_fetch_index = bits(ifu_fetch_addr_int_f, 4, 0) @[el2_ifu_mem_ctl.scala 426:45] - node _T_1530 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 427:51] + node _T_1511 = and(bypass_data_ready_in, crit_wd_byp_ok_ff) @[el2_ifu_mem_ctl.scala 423:53] + node _T_1512 = and(_T_1511, uncacheable_miss_ff) @[el2_ifu_mem_ctl.scala 423:73] + node _T_1513 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 423:98] + node _T_1514 = and(_T_1512, _T_1513) @[el2_ifu_mem_ctl.scala 423:96] + node _T_1515 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 423:120] + node _T_1516 = and(_T_1514, _T_1515) @[el2_ifu_mem_ctl.scala 423:118] + node _T_1517 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 424:75] + node _T_1518 = and(crit_wd_byp_ok_ff, _T_1517) @[el2_ifu_mem_ctl.scala 424:73] + node _T_1519 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 424:98] + node _T_1520 = and(_T_1518, _T_1519) @[el2_ifu_mem_ctl.scala 424:96] + node _T_1521 = eq(ifu_bp_hit_taken_q_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 424:120] + node _T_1522 = and(_T_1520, _T_1521) @[el2_ifu_mem_ctl.scala 424:118] + node _T_1523 = or(_T_1516, _T_1522) @[el2_ifu_mem_ctl.scala 423:143] + node _T_1524 = and(ic_crit_wd_rdy_new_ff, crit_wd_byp_ok_ff) @[el2_ifu_mem_ctl.scala 425:54] + node _T_1525 = eq(fetch_req_icache_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 425:76] + node _T_1526 = and(_T_1524, _T_1525) @[el2_ifu_mem_ctl.scala 425:74] + node _T_1527 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 425:98] + node _T_1528 = and(_T_1526, _T_1527) @[el2_ifu_mem_ctl.scala 425:96] + node ic_crit_wd_rdy_new_in = or(_T_1523, _T_1528) @[el2_ifu_mem_ctl.scala 424:143] + reg _T_1529 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 426:58] + _T_1529 <= ic_crit_wd_rdy_new_in @[el2_ifu_mem_ctl.scala 426:58] + ic_crit_wd_rdy_new_ff <= _T_1529 @[el2_ifu_mem_ctl.scala 426:25] + node byp_fetch_index = bits(ifu_fetch_addr_int_f, 4, 0) @[el2_ifu_mem_ctl.scala 427:45] + node _T_1530 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 428:51] node byp_fetch_index_0 = cat(_T_1530, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_1531 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 428:51] + node _T_1531 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 429:51] node byp_fetch_index_1 = cat(_T_1531, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_1532 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 429:49] - node _T_1533 = add(_T_1532, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 429:75] - node byp_fetch_index_inc = tail(_T_1533, 1) @[el2_ifu_mem_ctl.scala 429:75] + node _T_1532 = bits(ifu_fetch_addr_int_f, 4, 2) @[el2_ifu_mem_ctl.scala 430:49] + node _T_1533 = add(_T_1532, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 430:75] + node byp_fetch_index_inc = tail(_T_1533, 1) @[el2_ifu_mem_ctl.scala 430:75] node byp_fetch_index_inc_0 = cat(byp_fetch_index_inc, UInt<1>("h00")) @[Cat.scala 29:58] node byp_fetch_index_inc_1 = cat(byp_fetch_index_inc, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_1534 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 432:93] - node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 432:118] - node _T_1536 = bits(_T_1535, 0, 0) @[el2_ifu_mem_ctl.scala 432:126] - node _T_1537 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 432:157] - node _T_1538 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 432:93] - node _T_1539 = eq(_T_1538, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 432:118] - node _T_1540 = bits(_T_1539, 0, 0) @[el2_ifu_mem_ctl.scala 432:126] - node _T_1541 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 432:157] - node _T_1542 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 432:93] - node _T_1543 = eq(_T_1542, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 432:118] - node _T_1544 = bits(_T_1543, 0, 0) @[el2_ifu_mem_ctl.scala 432:126] - node _T_1545 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 432:157] - node _T_1546 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 432:93] - node _T_1547 = eq(_T_1546, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 432:118] - node _T_1548 = bits(_T_1547, 0, 0) @[el2_ifu_mem_ctl.scala 432:126] - node _T_1549 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 432:157] - node _T_1550 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 432:93] - node _T_1551 = eq(_T_1550, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 432:118] - node _T_1552 = bits(_T_1551, 0, 0) @[el2_ifu_mem_ctl.scala 432:126] - node _T_1553 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 432:157] - node _T_1554 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 432:93] - node _T_1555 = eq(_T_1554, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 432:118] - node _T_1556 = bits(_T_1555, 0, 0) @[el2_ifu_mem_ctl.scala 432:126] - node _T_1557 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 432:157] - node _T_1558 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 432:93] - node _T_1559 = eq(_T_1558, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 432:118] - node _T_1560 = bits(_T_1559, 0, 0) @[el2_ifu_mem_ctl.scala 432:126] - node _T_1561 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 432:157] - node _T_1562 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 432:93] - node _T_1563 = eq(_T_1562, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 432:118] - node _T_1564 = bits(_T_1563, 0, 0) @[el2_ifu_mem_ctl.scala 432:126] - node _T_1565 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 432:157] + node _T_1534 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 433:93] + node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 433:118] + node _T_1536 = bits(_T_1535, 0, 0) @[el2_ifu_mem_ctl.scala 433:126] + node _T_1537 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 433:157] + node _T_1538 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 433:93] + node _T_1539 = eq(_T_1538, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 433:118] + node _T_1540 = bits(_T_1539, 0, 0) @[el2_ifu_mem_ctl.scala 433:126] + node _T_1541 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 433:157] + node _T_1542 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 433:93] + node _T_1543 = eq(_T_1542, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 433:118] + node _T_1544 = bits(_T_1543, 0, 0) @[el2_ifu_mem_ctl.scala 433:126] + node _T_1545 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 433:157] + node _T_1546 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 433:93] + node _T_1547 = eq(_T_1546, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 433:118] + node _T_1548 = bits(_T_1547, 0, 0) @[el2_ifu_mem_ctl.scala 433:126] + node _T_1549 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 433:157] + node _T_1550 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 433:93] + node _T_1551 = eq(_T_1550, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 433:118] + node _T_1552 = bits(_T_1551, 0, 0) @[el2_ifu_mem_ctl.scala 433:126] + node _T_1553 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 433:157] + node _T_1554 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 433:93] + node _T_1555 = eq(_T_1554, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 433:118] + node _T_1556 = bits(_T_1555, 0, 0) @[el2_ifu_mem_ctl.scala 433:126] + node _T_1557 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 433:157] + node _T_1558 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 433:93] + node _T_1559 = eq(_T_1558, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 433:118] + node _T_1560 = bits(_T_1559, 0, 0) @[el2_ifu_mem_ctl.scala 433:126] + node _T_1561 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 433:157] + node _T_1562 = bits(bypass_index, 4, 2) @[el2_ifu_mem_ctl.scala 433:93] + node _T_1563 = eq(_T_1562, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 433:118] + node _T_1564 = bits(_T_1563, 0, 0) @[el2_ifu_mem_ctl.scala 433:126] + node _T_1565 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 433:157] node _T_1566 = mux(_T_1536, _T_1537, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1567 = mux(_T_1540, _T_1541, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1568 = mux(_T_1544, _T_1545, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2429,30 +2429,30 @@ circuit el2_ifu_mem_ctl : node _T_1580 = or(_T_1579, _T_1573) @[Mux.scala 27:72] wire ic_miss_buff_data_error_bypass : UInt<1> @[Mux.scala 27:72] ic_miss_buff_data_error_bypass <= _T_1580 @[Mux.scala 27:72] - node _T_1581 = eq(byp_fetch_index_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 433:104] - node _T_1582 = bits(_T_1581, 0, 0) @[el2_ifu_mem_ctl.scala 433:112] - node _T_1583 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 433:143] - node _T_1584 = eq(byp_fetch_index_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 433:104] - node _T_1585 = bits(_T_1584, 0, 0) @[el2_ifu_mem_ctl.scala 433:112] - node _T_1586 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 433:143] - node _T_1587 = eq(byp_fetch_index_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 433:104] - node _T_1588 = bits(_T_1587, 0, 0) @[el2_ifu_mem_ctl.scala 433:112] - node _T_1589 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 433:143] - node _T_1590 = eq(byp_fetch_index_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 433:104] - node _T_1591 = bits(_T_1590, 0, 0) @[el2_ifu_mem_ctl.scala 433:112] - node _T_1592 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 433:143] - node _T_1593 = eq(byp_fetch_index_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 433:104] - node _T_1594 = bits(_T_1593, 0, 0) @[el2_ifu_mem_ctl.scala 433:112] - node _T_1595 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 433:143] - node _T_1596 = eq(byp_fetch_index_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 433:104] - node _T_1597 = bits(_T_1596, 0, 0) @[el2_ifu_mem_ctl.scala 433:112] - node _T_1598 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 433:143] - node _T_1599 = eq(byp_fetch_index_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 433:104] - node _T_1600 = bits(_T_1599, 0, 0) @[el2_ifu_mem_ctl.scala 433:112] - node _T_1601 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 433:143] - node _T_1602 = eq(byp_fetch_index_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 433:104] - node _T_1603 = bits(_T_1602, 0, 0) @[el2_ifu_mem_ctl.scala 433:112] - node _T_1604 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 433:143] + node _T_1581 = eq(byp_fetch_index_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 434:104] + node _T_1582 = bits(_T_1581, 0, 0) @[el2_ifu_mem_ctl.scala 434:112] + node _T_1583 = bits(ic_miss_buff_data_error, 0, 0) @[el2_ifu_mem_ctl.scala 434:143] + node _T_1584 = eq(byp_fetch_index_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 434:104] + node _T_1585 = bits(_T_1584, 0, 0) @[el2_ifu_mem_ctl.scala 434:112] + node _T_1586 = bits(ic_miss_buff_data_error, 1, 1) @[el2_ifu_mem_ctl.scala 434:143] + node _T_1587 = eq(byp_fetch_index_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 434:104] + node _T_1588 = bits(_T_1587, 0, 0) @[el2_ifu_mem_ctl.scala 434:112] + node _T_1589 = bits(ic_miss_buff_data_error, 2, 2) @[el2_ifu_mem_ctl.scala 434:143] + node _T_1590 = eq(byp_fetch_index_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 434:104] + node _T_1591 = bits(_T_1590, 0, 0) @[el2_ifu_mem_ctl.scala 434:112] + node _T_1592 = bits(ic_miss_buff_data_error, 3, 3) @[el2_ifu_mem_ctl.scala 434:143] + node _T_1593 = eq(byp_fetch_index_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 434:104] + node _T_1594 = bits(_T_1593, 0, 0) @[el2_ifu_mem_ctl.scala 434:112] + node _T_1595 = bits(ic_miss_buff_data_error, 4, 4) @[el2_ifu_mem_ctl.scala 434:143] + node _T_1596 = eq(byp_fetch_index_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 434:104] + node _T_1597 = bits(_T_1596, 0, 0) @[el2_ifu_mem_ctl.scala 434:112] + node _T_1598 = bits(ic_miss_buff_data_error, 5, 5) @[el2_ifu_mem_ctl.scala 434:143] + node _T_1599 = eq(byp_fetch_index_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 434:104] + node _T_1600 = bits(_T_1599, 0, 0) @[el2_ifu_mem_ctl.scala 434:112] + node _T_1601 = bits(ic_miss_buff_data_error, 6, 6) @[el2_ifu_mem_ctl.scala 434:143] + node _T_1602 = eq(byp_fetch_index_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 434:104] + node _T_1603 = bits(_T_1602, 0, 0) @[el2_ifu_mem_ctl.scala 434:112] + node _T_1604 = bits(ic_miss_buff_data_error, 7, 7) @[el2_ifu_mem_ctl.scala 434:143] node _T_1605 = mux(_T_1582, _T_1583, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1606 = mux(_T_1585, _T_1586, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1607 = mux(_T_1588, _T_1589, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2470,67 +2470,67 @@ circuit el2_ifu_mem_ctl : node _T_1619 = or(_T_1618, _T_1612) @[Mux.scala 27:72] wire ic_miss_buff_data_error_bypass_inc : UInt<1> @[Mux.scala 27:72] ic_miss_buff_data_error_bypass_inc <= _T_1619 @[Mux.scala 27:72] - node _T_1620 = bits(ifu_fetch_addr_int_f, 1, 1) @[el2_ifu_mem_ctl.scala 436:28] - node _T_1621 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 436:52] - node _T_1622 = and(_T_1620, _T_1621) @[el2_ifu_mem_ctl.scala 436:31] - when _T_1622 : @[el2_ifu_mem_ctl.scala 436:56] - ifu_byp_data_err_new <= ic_miss_buff_data_error_bypass @[el2_ifu_mem_ctl.scala 437:26] - skip @[el2_ifu_mem_ctl.scala 436:56] - else : @[el2_ifu_mem_ctl.scala 438:5] - node _T_1623 = or(ic_miss_buff_data_error_bypass, ic_miss_buff_data_error_bypass_inc) @[el2_ifu_mem_ctl.scala 438:70] - ifu_byp_data_err_new <= _T_1623 @[el2_ifu_mem_ctl.scala 438:36] - skip @[el2_ifu_mem_ctl.scala 438:5] - node _T_1624 = bits(ifu_fetch_addr_int_f, 1, 1) @[el2_ifu_mem_ctl.scala 440:59] - node _T_1625 = bits(_T_1624, 0, 0) @[el2_ifu_mem_ctl.scala 440:63] - node _T_1626 = eq(_T_1625, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 440:38] - node _T_1627 = eq(byp_fetch_index_inc_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1628 = bits(_T_1627, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1629 = bits(ic_miss_buff_data[0], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1630 = eq(byp_fetch_index_inc_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1631 = bits(_T_1630, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1632 = bits(ic_miss_buff_data[1], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1633 = eq(byp_fetch_index_inc_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1634 = bits(_T_1633, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1635 = bits(ic_miss_buff_data[2], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1636 = eq(byp_fetch_index_inc_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1637 = bits(_T_1636, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1638 = bits(ic_miss_buff_data[3], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1639 = eq(byp_fetch_index_inc_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1640 = bits(_T_1639, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1641 = bits(ic_miss_buff_data[4], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1642 = eq(byp_fetch_index_inc_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1643 = bits(_T_1642, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1644 = bits(ic_miss_buff_data[5], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1645 = eq(byp_fetch_index_inc_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1646 = bits(_T_1645, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1647 = bits(ic_miss_buff_data[6], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1648 = eq(byp_fetch_index_inc_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1649 = bits(_T_1648, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1650 = bits(ic_miss_buff_data[7], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1651 = eq(byp_fetch_index_inc_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1652 = bits(_T_1651, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1653 = bits(ic_miss_buff_data[8], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1654 = eq(byp_fetch_index_inc_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1655 = bits(_T_1654, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1656 = bits(ic_miss_buff_data[9], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1657 = eq(byp_fetch_index_inc_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1658 = bits(_T_1657, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1659 = bits(ic_miss_buff_data[10], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1660 = eq(byp_fetch_index_inc_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1661 = bits(_T_1660, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1662 = bits(ic_miss_buff_data[11], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1663 = eq(byp_fetch_index_inc_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1664 = bits(_T_1663, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1665 = bits(ic_miss_buff_data[12], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1666 = eq(byp_fetch_index_inc_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1667 = bits(_T_1666, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1668 = bits(ic_miss_buff_data[13], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1669 = eq(byp_fetch_index_inc_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1670 = bits(_T_1669, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1671 = bits(ic_miss_buff_data[14], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] - node _T_1672 = eq(byp_fetch_index_inc_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 441:73] - node _T_1673 = bits(_T_1672, 0, 0) @[el2_ifu_mem_ctl.scala 441:81] - node _T_1674 = bits(ic_miss_buff_data[15], 15, 0) @[el2_ifu_mem_ctl.scala 441:109] + node _T_1620 = bits(ifu_fetch_addr_int_f, 1, 1) @[el2_ifu_mem_ctl.scala 437:28] + node _T_1621 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 437:52] + node _T_1622 = and(_T_1620, _T_1621) @[el2_ifu_mem_ctl.scala 437:31] + when _T_1622 : @[el2_ifu_mem_ctl.scala 437:56] + ifu_byp_data_err_new <= ic_miss_buff_data_error_bypass @[el2_ifu_mem_ctl.scala 438:26] + skip @[el2_ifu_mem_ctl.scala 437:56] + else : @[el2_ifu_mem_ctl.scala 439:5] + node _T_1623 = or(ic_miss_buff_data_error_bypass, ic_miss_buff_data_error_bypass_inc) @[el2_ifu_mem_ctl.scala 439:70] + ifu_byp_data_err_new <= _T_1623 @[el2_ifu_mem_ctl.scala 439:36] + skip @[el2_ifu_mem_ctl.scala 439:5] + node _T_1624 = bits(ifu_fetch_addr_int_f, 1, 1) @[el2_ifu_mem_ctl.scala 441:59] + node _T_1625 = bits(_T_1624, 0, 0) @[el2_ifu_mem_ctl.scala 441:63] + node _T_1626 = eq(_T_1625, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 441:38] + node _T_1627 = eq(byp_fetch_index_inc_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1628 = bits(_T_1627, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1629 = bits(ic_miss_buff_data[0], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1630 = eq(byp_fetch_index_inc_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1631 = bits(_T_1630, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1632 = bits(ic_miss_buff_data[1], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1633 = eq(byp_fetch_index_inc_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1634 = bits(_T_1633, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1635 = bits(ic_miss_buff_data[2], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1636 = eq(byp_fetch_index_inc_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1637 = bits(_T_1636, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1638 = bits(ic_miss_buff_data[3], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1639 = eq(byp_fetch_index_inc_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1640 = bits(_T_1639, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1641 = bits(ic_miss_buff_data[4], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1642 = eq(byp_fetch_index_inc_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1643 = bits(_T_1642, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1644 = bits(ic_miss_buff_data[5], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1645 = eq(byp_fetch_index_inc_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1646 = bits(_T_1645, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1647 = bits(ic_miss_buff_data[6], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1648 = eq(byp_fetch_index_inc_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1649 = bits(_T_1648, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1650 = bits(ic_miss_buff_data[7], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1651 = eq(byp_fetch_index_inc_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1652 = bits(_T_1651, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1653 = bits(ic_miss_buff_data[8], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1654 = eq(byp_fetch_index_inc_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1655 = bits(_T_1654, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1656 = bits(ic_miss_buff_data[9], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1657 = eq(byp_fetch_index_inc_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1658 = bits(_T_1657, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1659 = bits(ic_miss_buff_data[10], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1660 = eq(byp_fetch_index_inc_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1661 = bits(_T_1660, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1662 = bits(ic_miss_buff_data[11], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1663 = eq(byp_fetch_index_inc_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1664 = bits(_T_1663, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1665 = bits(ic_miss_buff_data[12], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1666 = eq(byp_fetch_index_inc_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1667 = bits(_T_1666, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1668 = bits(ic_miss_buff_data[13], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1669 = eq(byp_fetch_index_inc_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1670 = bits(_T_1669, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1671 = bits(ic_miss_buff_data[14], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1672 = eq(byp_fetch_index_inc_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 442:73] + node _T_1673 = bits(_T_1672, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] + node _T_1674 = bits(ic_miss_buff_data[15], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] node _T_1675 = mux(_T_1628, _T_1629, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1676 = mux(_T_1631, _T_1632, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1677 = mux(_T_1634, _T_1635, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2564,54 +2564,54 @@ circuit el2_ifu_mem_ctl : node _T_1705 = or(_T_1704, _T_1690) @[Mux.scala 27:72] wire _T_1706 : UInt<16> @[Mux.scala 27:72] _T_1706 <= _T_1705 @[Mux.scala 27:72] - node _T_1707 = eq(byp_fetch_index_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1708 = bits(_T_1707, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1709 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1710 = eq(byp_fetch_index_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1711 = bits(_T_1710, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1712 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1713 = eq(byp_fetch_index_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1714 = bits(_T_1713, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1715 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1716 = eq(byp_fetch_index_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1717 = bits(_T_1716, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1718 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1719 = eq(byp_fetch_index_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1720 = bits(_T_1719, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1721 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1722 = eq(byp_fetch_index_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1723 = bits(_T_1722, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1724 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1725 = eq(byp_fetch_index_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1726 = bits(_T_1725, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1727 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1728 = eq(byp_fetch_index_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1729 = bits(_T_1728, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1730 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1731 = eq(byp_fetch_index_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1732 = bits(_T_1731, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1733 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1734 = eq(byp_fetch_index_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1735 = bits(_T_1734, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1736 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1737 = eq(byp_fetch_index_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1738 = bits(_T_1737, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1739 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1740 = eq(byp_fetch_index_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1741 = bits(_T_1740, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1742 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1743 = eq(byp_fetch_index_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1744 = bits(_T_1743, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1745 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1746 = eq(byp_fetch_index_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1747 = bits(_T_1746, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1748 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1749 = eq(byp_fetch_index_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1750 = bits(_T_1749, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1751 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] - node _T_1752 = eq(byp_fetch_index_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 441:179] - node _T_1753 = bits(_T_1752, 0, 0) @[el2_ifu_mem_ctl.scala 441:187] - node _T_1754 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 441:215] + node _T_1707 = eq(byp_fetch_index_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1708 = bits(_T_1707, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1709 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1710 = eq(byp_fetch_index_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1711 = bits(_T_1710, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1712 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1713 = eq(byp_fetch_index_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1714 = bits(_T_1713, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1715 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1716 = eq(byp_fetch_index_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1717 = bits(_T_1716, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1718 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1719 = eq(byp_fetch_index_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1720 = bits(_T_1719, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1721 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1722 = eq(byp_fetch_index_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1723 = bits(_T_1722, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1724 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1725 = eq(byp_fetch_index_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1726 = bits(_T_1725, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1727 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1728 = eq(byp_fetch_index_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1729 = bits(_T_1728, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1730 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1731 = eq(byp_fetch_index_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1732 = bits(_T_1731, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1733 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1734 = eq(byp_fetch_index_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1735 = bits(_T_1734, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1736 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1737 = eq(byp_fetch_index_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1738 = bits(_T_1737, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1739 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1740 = eq(byp_fetch_index_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1741 = bits(_T_1740, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1742 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1743 = eq(byp_fetch_index_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1744 = bits(_T_1743, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1745 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1746 = eq(byp_fetch_index_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1747 = bits(_T_1746, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1748 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1749 = eq(byp_fetch_index_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1750 = bits(_T_1749, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1751 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] + node _T_1752 = eq(byp_fetch_index_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 442:179] + node _T_1753 = bits(_T_1752, 0, 0) @[el2_ifu_mem_ctl.scala 442:187] + node _T_1754 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 442:215] node _T_1755 = mux(_T_1708, _T_1709, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1756 = mux(_T_1711, _T_1712, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1757 = mux(_T_1714, _T_1715, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2645,54 +2645,54 @@ circuit el2_ifu_mem_ctl : node _T_1785 = or(_T_1784, _T_1770) @[Mux.scala 27:72] wire _T_1786 : UInt<32> @[Mux.scala 27:72] _T_1786 <= _T_1785 @[Mux.scala 27:72] - node _T_1787 = eq(byp_fetch_index_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1788 = bits(_T_1787, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1789 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1790 = eq(byp_fetch_index_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1791 = bits(_T_1790, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1792 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1793 = eq(byp_fetch_index_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1794 = bits(_T_1793, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1795 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1796 = eq(byp_fetch_index_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1797 = bits(_T_1796, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1798 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1799 = eq(byp_fetch_index_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1800 = bits(_T_1799, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1801 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1802 = eq(byp_fetch_index_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1803 = bits(_T_1802, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1804 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1805 = eq(byp_fetch_index_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1806 = bits(_T_1805, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1807 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1808 = eq(byp_fetch_index_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1809 = bits(_T_1808, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1810 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1811 = eq(byp_fetch_index_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1812 = bits(_T_1811, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1813 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1814 = eq(byp_fetch_index_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1815 = bits(_T_1814, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1816 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1817 = eq(byp_fetch_index_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1818 = bits(_T_1817, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1819 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1820 = eq(byp_fetch_index_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1821 = bits(_T_1820, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1822 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1823 = eq(byp_fetch_index_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1824 = bits(_T_1823, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1825 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1826 = eq(byp_fetch_index_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1827 = bits(_T_1826, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1828 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1829 = eq(byp_fetch_index_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1830 = bits(_T_1829, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1831 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] - node _T_1832 = eq(byp_fetch_index_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 441:285] - node _T_1833 = bits(_T_1832, 0, 0) @[el2_ifu_mem_ctl.scala 441:293] - node _T_1834 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 441:321] + node _T_1787 = eq(byp_fetch_index_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1788 = bits(_T_1787, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1789 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1790 = eq(byp_fetch_index_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1791 = bits(_T_1790, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1792 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1793 = eq(byp_fetch_index_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1794 = bits(_T_1793, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1795 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1796 = eq(byp_fetch_index_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1797 = bits(_T_1796, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1798 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1799 = eq(byp_fetch_index_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1800 = bits(_T_1799, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1801 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1802 = eq(byp_fetch_index_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1803 = bits(_T_1802, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1804 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1805 = eq(byp_fetch_index_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1806 = bits(_T_1805, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1807 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1808 = eq(byp_fetch_index_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1809 = bits(_T_1808, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1810 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1811 = eq(byp_fetch_index_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1812 = bits(_T_1811, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1813 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1814 = eq(byp_fetch_index_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1815 = bits(_T_1814, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1816 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1817 = eq(byp_fetch_index_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1818 = bits(_T_1817, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1819 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1820 = eq(byp_fetch_index_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1821 = bits(_T_1820, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1822 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1823 = eq(byp_fetch_index_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1824 = bits(_T_1823, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1825 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1826 = eq(byp_fetch_index_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1827 = bits(_T_1826, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1828 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1829 = eq(byp_fetch_index_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1830 = bits(_T_1829, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1831 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] + node _T_1832 = eq(byp_fetch_index_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 442:285] + node _T_1833 = bits(_T_1832, 0, 0) @[el2_ifu_mem_ctl.scala 442:293] + node _T_1834 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 442:321] node _T_1835 = mux(_T_1788, _T_1789, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1836 = mux(_T_1791, _T_1792, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1837 = mux(_T_1794, _T_1795, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2728,54 +2728,54 @@ circuit el2_ifu_mem_ctl : _T_1866 <= _T_1865 @[Mux.scala 27:72] node _T_1867 = cat(_T_1706, _T_1786) @[Cat.scala 29:58] node _T_1868 = cat(_T_1867, _T_1866) @[Cat.scala 29:58] - node _T_1869 = eq(byp_fetch_index_inc_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1870 = bits(_T_1869, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1871 = bits(ic_miss_buff_data[0], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1872 = eq(byp_fetch_index_inc_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1873 = bits(_T_1872, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1874 = bits(ic_miss_buff_data[1], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1875 = eq(byp_fetch_index_inc_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1876 = bits(_T_1875, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1877 = bits(ic_miss_buff_data[2], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1878 = eq(byp_fetch_index_inc_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1879 = bits(_T_1878, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1880 = bits(ic_miss_buff_data[3], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1881 = eq(byp_fetch_index_inc_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1882 = bits(_T_1881, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1883 = bits(ic_miss_buff_data[4], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1884 = eq(byp_fetch_index_inc_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1885 = bits(_T_1884, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1886 = bits(ic_miss_buff_data[5], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1887 = eq(byp_fetch_index_inc_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1888 = bits(_T_1887, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1889 = bits(ic_miss_buff_data[6], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1890 = eq(byp_fetch_index_inc_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1891 = bits(_T_1890, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1892 = bits(ic_miss_buff_data[7], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1893 = eq(byp_fetch_index_inc_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1894 = bits(_T_1893, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1895 = bits(ic_miss_buff_data[8], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1896 = eq(byp_fetch_index_inc_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1897 = bits(_T_1896, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1898 = bits(ic_miss_buff_data[9], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1899 = eq(byp_fetch_index_inc_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1900 = bits(_T_1899, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1901 = bits(ic_miss_buff_data[10], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1902 = eq(byp_fetch_index_inc_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1903 = bits(_T_1902, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1904 = bits(ic_miss_buff_data[11], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1905 = eq(byp_fetch_index_inc_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1906 = bits(_T_1905, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1907 = bits(ic_miss_buff_data[12], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1908 = eq(byp_fetch_index_inc_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1909 = bits(_T_1908, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1910 = bits(ic_miss_buff_data[13], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1911 = eq(byp_fetch_index_inc_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1912 = bits(_T_1911, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1913 = bits(ic_miss_buff_data[14], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] - node _T_1914 = eq(byp_fetch_index_inc_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 442:73] - node _T_1915 = bits(_T_1914, 0, 0) @[el2_ifu_mem_ctl.scala 442:81] - node _T_1916 = bits(ic_miss_buff_data[15], 15, 0) @[el2_ifu_mem_ctl.scala 442:109] + node _T_1869 = eq(byp_fetch_index_inc_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1870 = bits(_T_1869, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1871 = bits(ic_miss_buff_data[0], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1872 = eq(byp_fetch_index_inc_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1873 = bits(_T_1872, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1874 = bits(ic_miss_buff_data[1], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1875 = eq(byp_fetch_index_inc_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1876 = bits(_T_1875, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1877 = bits(ic_miss_buff_data[2], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1878 = eq(byp_fetch_index_inc_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1879 = bits(_T_1878, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1880 = bits(ic_miss_buff_data[3], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1881 = eq(byp_fetch_index_inc_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1882 = bits(_T_1881, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1883 = bits(ic_miss_buff_data[4], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1884 = eq(byp_fetch_index_inc_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1885 = bits(_T_1884, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1886 = bits(ic_miss_buff_data[5], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1887 = eq(byp_fetch_index_inc_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1888 = bits(_T_1887, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1889 = bits(ic_miss_buff_data[6], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1890 = eq(byp_fetch_index_inc_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1891 = bits(_T_1890, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1892 = bits(ic_miss_buff_data[7], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1893 = eq(byp_fetch_index_inc_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1894 = bits(_T_1893, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1895 = bits(ic_miss_buff_data[8], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1896 = eq(byp_fetch_index_inc_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1897 = bits(_T_1896, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1898 = bits(ic_miss_buff_data[9], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1899 = eq(byp_fetch_index_inc_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1900 = bits(_T_1899, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1901 = bits(ic_miss_buff_data[10], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1902 = eq(byp_fetch_index_inc_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1903 = bits(_T_1902, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1904 = bits(ic_miss_buff_data[11], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1905 = eq(byp_fetch_index_inc_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1906 = bits(_T_1905, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1907 = bits(ic_miss_buff_data[12], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1908 = eq(byp_fetch_index_inc_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1909 = bits(_T_1908, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1910 = bits(ic_miss_buff_data[13], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1911 = eq(byp_fetch_index_inc_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1912 = bits(_T_1911, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1913 = bits(ic_miss_buff_data[14], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] + node _T_1914 = eq(byp_fetch_index_inc_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 443:73] + node _T_1915 = bits(_T_1914, 0, 0) @[el2_ifu_mem_ctl.scala 443:81] + node _T_1916 = bits(ic_miss_buff_data[15], 15, 0) @[el2_ifu_mem_ctl.scala 443:109] node _T_1917 = mux(_T_1870, _T_1871, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1918 = mux(_T_1873, _T_1874, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1919 = mux(_T_1876, _T_1877, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2809,54 +2809,54 @@ circuit el2_ifu_mem_ctl : node _T_1947 = or(_T_1946, _T_1932) @[Mux.scala 27:72] wire _T_1948 : UInt<16> @[Mux.scala 27:72] _T_1948 <= _T_1947 @[Mux.scala 27:72] - node _T_1949 = eq(byp_fetch_index_inc_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1950 = bits(_T_1949, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1951 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1952 = eq(byp_fetch_index_inc_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1953 = bits(_T_1952, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1954 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1955 = eq(byp_fetch_index_inc_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1956 = bits(_T_1955, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1957 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1958 = eq(byp_fetch_index_inc_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1959 = bits(_T_1958, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1960 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1961 = eq(byp_fetch_index_inc_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1962 = bits(_T_1961, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1963 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1964 = eq(byp_fetch_index_inc_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1965 = bits(_T_1964, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1966 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1967 = eq(byp_fetch_index_inc_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1968 = bits(_T_1967, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1969 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1970 = eq(byp_fetch_index_inc_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1971 = bits(_T_1970, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1972 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1973 = eq(byp_fetch_index_inc_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1974 = bits(_T_1973, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1975 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1976 = eq(byp_fetch_index_inc_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1977 = bits(_T_1976, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1978 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1979 = eq(byp_fetch_index_inc_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1980 = bits(_T_1979, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1981 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1982 = eq(byp_fetch_index_inc_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1983 = bits(_T_1982, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1984 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1985 = eq(byp_fetch_index_inc_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1986 = bits(_T_1985, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1987 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1988 = eq(byp_fetch_index_inc_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1989 = bits(_T_1988, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1990 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1991 = eq(byp_fetch_index_inc_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1992 = bits(_T_1991, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1993 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] - node _T_1994 = eq(byp_fetch_index_inc_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 442:183] - node _T_1995 = bits(_T_1994, 0, 0) @[el2_ifu_mem_ctl.scala 442:191] - node _T_1996 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 442:219] + node _T_1949 = eq(byp_fetch_index_inc_0, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1950 = bits(_T_1949, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1951 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1952 = eq(byp_fetch_index_inc_0, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1953 = bits(_T_1952, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1954 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1955 = eq(byp_fetch_index_inc_0, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1956 = bits(_T_1955, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1957 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1958 = eq(byp_fetch_index_inc_0, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1959 = bits(_T_1958, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1960 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1961 = eq(byp_fetch_index_inc_0, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1962 = bits(_T_1961, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1963 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1964 = eq(byp_fetch_index_inc_0, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1965 = bits(_T_1964, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1966 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1967 = eq(byp_fetch_index_inc_0, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1968 = bits(_T_1967, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1969 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1970 = eq(byp_fetch_index_inc_0, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1971 = bits(_T_1970, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1972 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1973 = eq(byp_fetch_index_inc_0, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1974 = bits(_T_1973, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1975 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1976 = eq(byp_fetch_index_inc_0, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1977 = bits(_T_1976, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1978 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1979 = eq(byp_fetch_index_inc_0, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1980 = bits(_T_1979, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1981 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1982 = eq(byp_fetch_index_inc_0, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1983 = bits(_T_1982, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1984 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1985 = eq(byp_fetch_index_inc_0, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1986 = bits(_T_1985, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1987 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1988 = eq(byp_fetch_index_inc_0, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1989 = bits(_T_1988, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1990 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1991 = eq(byp_fetch_index_inc_0, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1992 = bits(_T_1991, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1993 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] + node _T_1994 = eq(byp_fetch_index_inc_0, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 443:183] + node _T_1995 = bits(_T_1994, 0, 0) @[el2_ifu_mem_ctl.scala 443:191] + node _T_1996 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 443:219] node _T_1997 = mux(_T_1950, _T_1951, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1998 = mux(_T_1953, _T_1954, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1999 = mux(_T_1956, _T_1957, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2890,54 +2890,54 @@ circuit el2_ifu_mem_ctl : node _T_2027 = or(_T_2026, _T_2012) @[Mux.scala 27:72] wire _T_2028 : UInt<32> @[Mux.scala 27:72] _T_2028 <= _T_2027 @[Mux.scala 27:72] - node _T_2029 = eq(byp_fetch_index_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2030 = bits(_T_2029, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2031 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2032 = eq(byp_fetch_index_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2033 = bits(_T_2032, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2034 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2035 = eq(byp_fetch_index_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2036 = bits(_T_2035, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2037 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2038 = eq(byp_fetch_index_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2039 = bits(_T_2038, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2040 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2041 = eq(byp_fetch_index_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2042 = bits(_T_2041, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2043 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2044 = eq(byp_fetch_index_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2045 = bits(_T_2044, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2046 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2047 = eq(byp_fetch_index_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2048 = bits(_T_2047, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2049 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2050 = eq(byp_fetch_index_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2051 = bits(_T_2050, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2052 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2053 = eq(byp_fetch_index_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2054 = bits(_T_2053, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2055 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2056 = eq(byp_fetch_index_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2057 = bits(_T_2056, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2058 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2059 = eq(byp_fetch_index_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2060 = bits(_T_2059, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2061 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2062 = eq(byp_fetch_index_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2063 = bits(_T_2062, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2064 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2065 = eq(byp_fetch_index_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2066 = bits(_T_2065, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2067 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2068 = eq(byp_fetch_index_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2069 = bits(_T_2068, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2070 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2071 = eq(byp_fetch_index_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2072 = bits(_T_2071, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2073 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] - node _T_2074 = eq(byp_fetch_index_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 442:289] - node _T_2075 = bits(_T_2074, 0, 0) @[el2_ifu_mem_ctl.scala 442:297] - node _T_2076 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 442:325] + node _T_2029 = eq(byp_fetch_index_1, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2030 = bits(_T_2029, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2031 = bits(ic_miss_buff_data[0], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2032 = eq(byp_fetch_index_1, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2033 = bits(_T_2032, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2034 = bits(ic_miss_buff_data[1], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2035 = eq(byp_fetch_index_1, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2036 = bits(_T_2035, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2037 = bits(ic_miss_buff_data[2], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2038 = eq(byp_fetch_index_1, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2039 = bits(_T_2038, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2040 = bits(ic_miss_buff_data[3], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2041 = eq(byp_fetch_index_1, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2042 = bits(_T_2041, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2043 = bits(ic_miss_buff_data[4], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2044 = eq(byp_fetch_index_1, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2045 = bits(_T_2044, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2046 = bits(ic_miss_buff_data[5], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2047 = eq(byp_fetch_index_1, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2048 = bits(_T_2047, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2049 = bits(ic_miss_buff_data[6], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2050 = eq(byp_fetch_index_1, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2051 = bits(_T_2050, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2052 = bits(ic_miss_buff_data[7], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2053 = eq(byp_fetch_index_1, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2054 = bits(_T_2053, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2055 = bits(ic_miss_buff_data[8], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2056 = eq(byp_fetch_index_1, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2057 = bits(_T_2056, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2058 = bits(ic_miss_buff_data[9], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2059 = eq(byp_fetch_index_1, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2060 = bits(_T_2059, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2061 = bits(ic_miss_buff_data[10], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2062 = eq(byp_fetch_index_1, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2063 = bits(_T_2062, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2064 = bits(ic_miss_buff_data[11], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2065 = eq(byp_fetch_index_1, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2066 = bits(_T_2065, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2067 = bits(ic_miss_buff_data[12], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2068 = eq(byp_fetch_index_1, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2069 = bits(_T_2068, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2070 = bits(ic_miss_buff_data[13], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2071 = eq(byp_fetch_index_1, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2072 = bits(_T_2071, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2073 = bits(ic_miss_buff_data[14], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] + node _T_2074 = eq(byp_fetch_index_1, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 443:289] + node _T_2075 = bits(_T_2074, 0, 0) @[el2_ifu_mem_ctl.scala 443:297] + node _T_2076 = bits(ic_miss_buff_data[15], 31, 0) @[el2_ifu_mem_ctl.scala 443:325] node _T_2077 = mux(_T_2030, _T_2031, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2078 = mux(_T_2033, _T_2034, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2079 = mux(_T_2036, _T_2037, UInt<1>("h00")) @[Mux.scala 27:72] @@ -2973,49 +2973,49 @@ circuit el2_ifu_mem_ctl : _T_2108 <= _T_2107 @[Mux.scala 27:72] node _T_2109 = cat(_T_1948, _T_2028) @[Cat.scala 29:58] node _T_2110 = cat(_T_2109, _T_2108) @[Cat.scala 29:58] - node ic_byp_data_only_pre_new = mux(_T_1626, _T_1868, _T_2110) @[el2_ifu_mem_ctl.scala 440:37] - node _T_2111 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 444:52] - node _T_2112 = bits(_T_2111, 0, 0) @[el2_ifu_mem_ctl.scala 444:62] - node _T_2113 = eq(_T_2112, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 444:31] - node _T_2114 = bits(ic_byp_data_only_pre_new, 79, 16) @[el2_ifu_mem_ctl.scala 444:128] + node ic_byp_data_only_pre_new = mux(_T_1626, _T_1868, _T_2110) @[el2_ifu_mem_ctl.scala 441:37] + node _T_2111 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 445:52] + node _T_2112 = bits(_T_2111, 0, 0) @[el2_ifu_mem_ctl.scala 445:62] + node _T_2113 = eq(_T_2112, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 445:31] + node _T_2114 = bits(ic_byp_data_only_pre_new, 79, 16) @[el2_ifu_mem_ctl.scala 445:128] node _T_2115 = cat(UInt<16>("h00"), _T_2114) @[Cat.scala 29:58] - node _T_2116 = mux(_T_2113, ic_byp_data_only_pre_new, _T_2115) @[el2_ifu_mem_ctl.scala 444:30] - ic_byp_data_only_new <= _T_2116 @[el2_ifu_mem_ctl.scala 444:24] - node _T_2117 = bits(imb_ff, 5, 5) @[el2_ifu_mem_ctl.scala 446:27] - node _T_2118 = bits(ifu_fetch_addr_int_f, 5, 5) @[el2_ifu_mem_ctl.scala 446:75] - node miss_wrap_f = neq(_T_2117, _T_2118) @[el2_ifu_mem_ctl.scala 446:51] - node _T_2119 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 447:102] - node _T_2120 = eq(_T_2119, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 447:127] - node _T_2121 = bits(_T_2120, 0, 0) @[el2_ifu_mem_ctl.scala 447:135] - node _T_2122 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 447:166] - node _T_2123 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 447:102] - node _T_2124 = eq(_T_2123, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 447:127] - node _T_2125 = bits(_T_2124, 0, 0) @[el2_ifu_mem_ctl.scala 447:135] - node _T_2126 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 447:166] - node _T_2127 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 447:102] - node _T_2128 = eq(_T_2127, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 447:127] - node _T_2129 = bits(_T_2128, 0, 0) @[el2_ifu_mem_ctl.scala 447:135] - node _T_2130 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 447:166] - node _T_2131 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 447:102] - node _T_2132 = eq(_T_2131, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 447:127] - node _T_2133 = bits(_T_2132, 0, 0) @[el2_ifu_mem_ctl.scala 447:135] - node _T_2134 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 447:166] - node _T_2135 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 447:102] - node _T_2136 = eq(_T_2135, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 447:127] - node _T_2137 = bits(_T_2136, 0, 0) @[el2_ifu_mem_ctl.scala 447:135] - node _T_2138 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 447:166] - node _T_2139 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 447:102] - node _T_2140 = eq(_T_2139, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 447:127] - node _T_2141 = bits(_T_2140, 0, 0) @[el2_ifu_mem_ctl.scala 447:135] - node _T_2142 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 447:166] - node _T_2143 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 447:102] - node _T_2144 = eq(_T_2143, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 447:127] - node _T_2145 = bits(_T_2144, 0, 0) @[el2_ifu_mem_ctl.scala 447:135] - node _T_2146 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 447:166] - node _T_2147 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 447:102] - node _T_2148 = eq(_T_2147, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 447:127] - node _T_2149 = bits(_T_2148, 0, 0) @[el2_ifu_mem_ctl.scala 447:135] - node _T_2150 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 447:166] + node _T_2116 = mux(_T_2113, ic_byp_data_only_pre_new, _T_2115) @[el2_ifu_mem_ctl.scala 445:30] + ic_byp_data_only_new <= _T_2116 @[el2_ifu_mem_ctl.scala 445:24] + node _T_2117 = bits(imb_ff, 5, 5) @[el2_ifu_mem_ctl.scala 447:27] + node _T_2118 = bits(ifu_fetch_addr_int_f, 5, 5) @[el2_ifu_mem_ctl.scala 447:75] + node miss_wrap_f = neq(_T_2117, _T_2118) @[el2_ifu_mem_ctl.scala 447:51] + node _T_2119 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 448:102] + node _T_2120 = eq(_T_2119, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 448:127] + node _T_2121 = bits(_T_2120, 0, 0) @[el2_ifu_mem_ctl.scala 448:135] + node _T_2122 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 448:166] + node _T_2123 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 448:102] + node _T_2124 = eq(_T_2123, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 448:127] + node _T_2125 = bits(_T_2124, 0, 0) @[el2_ifu_mem_ctl.scala 448:135] + node _T_2126 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 448:166] + node _T_2127 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 448:102] + node _T_2128 = eq(_T_2127, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 448:127] + node _T_2129 = bits(_T_2128, 0, 0) @[el2_ifu_mem_ctl.scala 448:135] + node _T_2130 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 448:166] + node _T_2131 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 448:102] + node _T_2132 = eq(_T_2131, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 448:127] + node _T_2133 = bits(_T_2132, 0, 0) @[el2_ifu_mem_ctl.scala 448:135] + node _T_2134 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 448:166] + node _T_2135 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 448:102] + node _T_2136 = eq(_T_2135, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 448:127] + node _T_2137 = bits(_T_2136, 0, 0) @[el2_ifu_mem_ctl.scala 448:135] + node _T_2138 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 448:166] + node _T_2139 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 448:102] + node _T_2140 = eq(_T_2139, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 448:127] + node _T_2141 = bits(_T_2140, 0, 0) @[el2_ifu_mem_ctl.scala 448:135] + node _T_2142 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 448:166] + node _T_2143 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 448:102] + node _T_2144 = eq(_T_2143, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 448:127] + node _T_2145 = bits(_T_2144, 0, 0) @[el2_ifu_mem_ctl.scala 448:135] + node _T_2146 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 448:166] + node _T_2147 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 448:102] + node _T_2148 = eq(_T_2147, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 448:127] + node _T_2149 = bits(_T_2148, 0, 0) @[el2_ifu_mem_ctl.scala 448:135] + node _T_2150 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 448:166] node _T_2151 = mux(_T_2121, _T_2122, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2152 = mux(_T_2125, _T_2126, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2153 = mux(_T_2129, _T_2130, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3033,30 +3033,30 @@ circuit el2_ifu_mem_ctl : node _T_2165 = or(_T_2164, _T_2158) @[Mux.scala 27:72] wire ic_miss_buff_data_valid_bypass_index : UInt<1> @[Mux.scala 27:72] ic_miss_buff_data_valid_bypass_index <= _T_2165 @[Mux.scala 27:72] - node _T_2166 = eq(byp_fetch_index_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 448:110] - node _T_2167 = bits(_T_2166, 0, 0) @[el2_ifu_mem_ctl.scala 448:118] - node _T_2168 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 448:149] - node _T_2169 = eq(byp_fetch_index_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 448:110] - node _T_2170 = bits(_T_2169, 0, 0) @[el2_ifu_mem_ctl.scala 448:118] - node _T_2171 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 448:149] - node _T_2172 = eq(byp_fetch_index_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 448:110] - node _T_2173 = bits(_T_2172, 0, 0) @[el2_ifu_mem_ctl.scala 448:118] - node _T_2174 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 448:149] - node _T_2175 = eq(byp_fetch_index_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 448:110] - node _T_2176 = bits(_T_2175, 0, 0) @[el2_ifu_mem_ctl.scala 448:118] - node _T_2177 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 448:149] - node _T_2178 = eq(byp_fetch_index_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 448:110] - node _T_2179 = bits(_T_2178, 0, 0) @[el2_ifu_mem_ctl.scala 448:118] - node _T_2180 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 448:149] - node _T_2181 = eq(byp_fetch_index_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 448:110] - node _T_2182 = bits(_T_2181, 0, 0) @[el2_ifu_mem_ctl.scala 448:118] - node _T_2183 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 448:149] - node _T_2184 = eq(byp_fetch_index_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 448:110] - node _T_2185 = bits(_T_2184, 0, 0) @[el2_ifu_mem_ctl.scala 448:118] - node _T_2186 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 448:149] - node _T_2187 = eq(byp_fetch_index_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 448:110] - node _T_2188 = bits(_T_2187, 0, 0) @[el2_ifu_mem_ctl.scala 448:118] - node _T_2189 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 448:149] + node _T_2166 = eq(byp_fetch_index_inc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 449:110] + node _T_2167 = bits(_T_2166, 0, 0) @[el2_ifu_mem_ctl.scala 449:118] + node _T_2168 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 449:149] + node _T_2169 = eq(byp_fetch_index_inc, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 449:110] + node _T_2170 = bits(_T_2169, 0, 0) @[el2_ifu_mem_ctl.scala 449:118] + node _T_2171 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 449:149] + node _T_2172 = eq(byp_fetch_index_inc, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 449:110] + node _T_2173 = bits(_T_2172, 0, 0) @[el2_ifu_mem_ctl.scala 449:118] + node _T_2174 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 449:149] + node _T_2175 = eq(byp_fetch_index_inc, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 449:110] + node _T_2176 = bits(_T_2175, 0, 0) @[el2_ifu_mem_ctl.scala 449:118] + node _T_2177 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 449:149] + node _T_2178 = eq(byp_fetch_index_inc, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 449:110] + node _T_2179 = bits(_T_2178, 0, 0) @[el2_ifu_mem_ctl.scala 449:118] + node _T_2180 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 449:149] + node _T_2181 = eq(byp_fetch_index_inc, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 449:110] + node _T_2182 = bits(_T_2181, 0, 0) @[el2_ifu_mem_ctl.scala 449:118] + node _T_2183 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 449:149] + node _T_2184 = eq(byp_fetch_index_inc, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 449:110] + node _T_2185 = bits(_T_2184, 0, 0) @[el2_ifu_mem_ctl.scala 449:118] + node _T_2186 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 449:149] + node _T_2187 = eq(byp_fetch_index_inc, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 449:110] + node _T_2188 = bits(_T_2187, 0, 0) @[el2_ifu_mem_ctl.scala 449:118] + node _T_2189 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 449:149] node _T_2190 = mux(_T_2167, _T_2168, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2191 = mux(_T_2170, _T_2171, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2192 = mux(_T_2173, _T_2174, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3074,86 +3074,86 @@ circuit el2_ifu_mem_ctl : node _T_2204 = or(_T_2203, _T_2197) @[Mux.scala 27:72] wire ic_miss_buff_data_valid_inc_bypass_index : UInt<1> @[Mux.scala 27:72] ic_miss_buff_data_valid_inc_bypass_index <= _T_2204 @[Mux.scala 27:72] - node _T_2205 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 449:85] - node _T_2206 = eq(_T_2205, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 449:69] - node _T_2207 = and(ic_miss_buff_data_valid_bypass_index, _T_2206) @[el2_ifu_mem_ctl.scala 449:67] - node _T_2208 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 449:107] - node _T_2209 = eq(_T_2208, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 449:91] - node _T_2210 = and(_T_2207, _T_2209) @[el2_ifu_mem_ctl.scala 449:89] - node _T_2211 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 450:61] - node _T_2212 = eq(_T_2211, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 450:45] - node _T_2213 = and(ic_miss_buff_data_valid_bypass_index, _T_2212) @[el2_ifu_mem_ctl.scala 450:43] - node _T_2214 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 450:83] - node _T_2215 = and(_T_2213, _T_2214) @[el2_ifu_mem_ctl.scala 450:65] - node _T_2216 = or(_T_2210, _T_2215) @[el2_ifu_mem_ctl.scala 449:112] - node _T_2217 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 451:61] - node _T_2218 = and(ic_miss_buff_data_valid_bypass_index, _T_2217) @[el2_ifu_mem_ctl.scala 451:43] - node _T_2219 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 451:83] - node _T_2220 = eq(_T_2219, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 451:67] - node _T_2221 = and(_T_2218, _T_2220) @[el2_ifu_mem_ctl.scala 451:65] - node _T_2222 = or(_T_2216, _T_2221) @[el2_ifu_mem_ctl.scala 450:88] - node _T_2223 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 452:61] - node _T_2224 = and(ic_miss_buff_data_valid_bypass_index, _T_2223) @[el2_ifu_mem_ctl.scala 452:43] - node _T_2225 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 452:83] - node _T_2226 = and(_T_2224, _T_2225) @[el2_ifu_mem_ctl.scala 452:65] - node _T_2227 = and(_T_2226, ic_miss_buff_data_valid_inc_bypass_index) @[el2_ifu_mem_ctl.scala 452:87] - node _T_2228 = or(_T_2222, _T_2227) @[el2_ifu_mem_ctl.scala 451:88] - node _T_2229 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 453:61] + node _T_2205 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 450:85] + node _T_2206 = eq(_T_2205, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 450:69] + node _T_2207 = and(ic_miss_buff_data_valid_bypass_index, _T_2206) @[el2_ifu_mem_ctl.scala 450:67] + node _T_2208 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 450:107] + node _T_2209 = eq(_T_2208, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 450:91] + node _T_2210 = and(_T_2207, _T_2209) @[el2_ifu_mem_ctl.scala 450:89] + node _T_2211 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 451:61] + node _T_2212 = eq(_T_2211, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 451:45] + node _T_2213 = and(ic_miss_buff_data_valid_bypass_index, _T_2212) @[el2_ifu_mem_ctl.scala 451:43] + node _T_2214 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 451:83] + node _T_2215 = and(_T_2213, _T_2214) @[el2_ifu_mem_ctl.scala 451:65] + node _T_2216 = or(_T_2210, _T_2215) @[el2_ifu_mem_ctl.scala 450:112] + node _T_2217 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 452:61] + node _T_2218 = and(ic_miss_buff_data_valid_bypass_index, _T_2217) @[el2_ifu_mem_ctl.scala 452:43] + node _T_2219 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 452:83] + node _T_2220 = eq(_T_2219, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 452:67] + node _T_2221 = and(_T_2218, _T_2220) @[el2_ifu_mem_ctl.scala 452:65] + node _T_2222 = or(_T_2216, _T_2221) @[el2_ifu_mem_ctl.scala 451:88] + node _T_2223 = bits(byp_fetch_index, 1, 1) @[el2_ifu_mem_ctl.scala 453:61] + node _T_2224 = and(ic_miss_buff_data_valid_bypass_index, _T_2223) @[el2_ifu_mem_ctl.scala 453:43] + node _T_2225 = bits(byp_fetch_index, 0, 0) @[el2_ifu_mem_ctl.scala 453:83] + node _T_2226 = and(_T_2224, _T_2225) @[el2_ifu_mem_ctl.scala 453:65] + node _T_2227 = and(_T_2226, ic_miss_buff_data_valid_inc_bypass_index) @[el2_ifu_mem_ctl.scala 453:87] + node _T_2228 = or(_T_2222, _T_2227) @[el2_ifu_mem_ctl.scala 452:88] + node _T_2229 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 454:61] node _T_2230 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_2231 = eq(_T_2229, _T_2230) @[el2_ifu_mem_ctl.scala 453:87] - node _T_2232 = and(ic_miss_buff_data_valid_bypass_index, _T_2231) @[el2_ifu_mem_ctl.scala 453:43] - node miss_buff_hit_unq_f = or(_T_2228, _T_2232) @[el2_ifu_mem_ctl.scala 452:131] - node _T_2233 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 455:30] - node _T_2234 = eq(miss_wrap_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 455:68] - node _T_2235 = and(miss_buff_hit_unq_f, _T_2234) @[el2_ifu_mem_ctl.scala 455:66] - node _T_2236 = and(_T_2233, _T_2235) @[el2_ifu_mem_ctl.scala 455:43] - stream_hit_f <= _T_2236 @[el2_ifu_mem_ctl.scala 455:16] - node _T_2237 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 456:31] - node _T_2238 = eq(miss_wrap_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 456:70] - node _T_2239 = and(miss_buff_hit_unq_f, _T_2238) @[el2_ifu_mem_ctl.scala 456:68] - node _T_2240 = eq(_T_2239, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 456:46] - node _T_2241 = and(_T_2237, _T_2240) @[el2_ifu_mem_ctl.scala 456:44] - node _T_2242 = and(_T_2241, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 456:84] - stream_miss_f <= _T_2242 @[el2_ifu_mem_ctl.scala 456:17] - node _T_2243 = bits(byp_fetch_index, 4, 1) @[el2_ifu_mem_ctl.scala 457:35] + node _T_2231 = eq(_T_2229, _T_2230) @[el2_ifu_mem_ctl.scala 454:87] + node _T_2232 = and(ic_miss_buff_data_valid_bypass_index, _T_2231) @[el2_ifu_mem_ctl.scala 454:43] + node miss_buff_hit_unq_f = or(_T_2228, _T_2232) @[el2_ifu_mem_ctl.scala 453:131] + node _T_2233 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 456:30] + node _T_2234 = eq(miss_wrap_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 456:68] + node _T_2235 = and(miss_buff_hit_unq_f, _T_2234) @[el2_ifu_mem_ctl.scala 456:66] + node _T_2236 = and(_T_2233, _T_2235) @[el2_ifu_mem_ctl.scala 456:43] + stream_hit_f <= _T_2236 @[el2_ifu_mem_ctl.scala 456:16] + node _T_2237 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 457:31] + node _T_2238 = eq(miss_wrap_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 457:70] + node _T_2239 = and(miss_buff_hit_unq_f, _T_2238) @[el2_ifu_mem_ctl.scala 457:68] + node _T_2240 = eq(_T_2239, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 457:46] + node _T_2241 = and(_T_2237, _T_2240) @[el2_ifu_mem_ctl.scala 457:44] + node _T_2242 = and(_T_2241, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 457:84] + stream_miss_f <= _T_2242 @[el2_ifu_mem_ctl.scala 457:17] + node _T_2243 = bits(byp_fetch_index, 4, 1) @[el2_ifu_mem_ctl.scala 458:35] node _T_2244 = mux(UInt<1>("h01"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2245 = eq(_T_2243, _T_2244) @[el2_ifu_mem_ctl.scala 457:60] - node _T_2246 = and(_T_2245, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 457:94] - node _T_2247 = and(_T_2246, stream_hit_f) @[el2_ifu_mem_ctl.scala 457:112] - stream_eol_f <= _T_2247 @[el2_ifu_mem_ctl.scala 457:16] - node _T_2248 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 458:55] - node _T_2249 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 458:87] - node _T_2250 = or(_T_2248, _T_2249) @[el2_ifu_mem_ctl.scala 458:74] - node _T_2251 = and(miss_buff_hit_unq_f, _T_2250) @[el2_ifu_mem_ctl.scala 458:41] - crit_byp_hit_f <= _T_2251 @[el2_ifu_mem_ctl.scala 458:18] - node _T_2252 = bits(ifu_bus_rid_ff, 2, 1) @[el2_ifu_mem_ctl.scala 461:37] - node _T_2253 = bits(ifu_bus_rid_ff, 0, 0) @[el2_ifu_mem_ctl.scala 461:70] - node _T_2254 = eq(_T_2253, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 461:55] + node _T_2245 = eq(_T_2243, _T_2244) @[el2_ifu_mem_ctl.scala 458:60] + node _T_2246 = and(_T_2245, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 458:94] + node _T_2247 = and(_T_2246, stream_hit_f) @[el2_ifu_mem_ctl.scala 458:112] + stream_eol_f <= _T_2247 @[el2_ifu_mem_ctl.scala 458:16] + node _T_2248 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 459:55] + node _T_2249 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 459:87] + node _T_2250 = or(_T_2248, _T_2249) @[el2_ifu_mem_ctl.scala 459:74] + node _T_2251 = and(miss_buff_hit_unq_f, _T_2250) @[el2_ifu_mem_ctl.scala 459:41] + crit_byp_hit_f <= _T_2251 @[el2_ifu_mem_ctl.scala 459:18] + node _T_2252 = bits(ifu_bus_rid_ff, 2, 1) @[el2_ifu_mem_ctl.scala 462:37] + node _T_2253 = bits(ifu_bus_rid_ff, 0, 0) @[el2_ifu_mem_ctl.scala 462:70] + node _T_2254 = eq(_T_2253, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 462:55] node other_tag = cat(_T_2252, _T_2254) @[Cat.scala 29:58] - node _T_2255 = eq(other_tag, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 462:81] - node _T_2256 = bits(_T_2255, 0, 0) @[el2_ifu_mem_ctl.scala 462:89] - node _T_2257 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 462:120] - node _T_2258 = eq(other_tag, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 462:81] - node _T_2259 = bits(_T_2258, 0, 0) @[el2_ifu_mem_ctl.scala 462:89] - node _T_2260 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 462:120] - node _T_2261 = eq(other_tag, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 462:81] - node _T_2262 = bits(_T_2261, 0, 0) @[el2_ifu_mem_ctl.scala 462:89] - node _T_2263 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 462:120] - node _T_2264 = eq(other_tag, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 462:81] - node _T_2265 = bits(_T_2264, 0, 0) @[el2_ifu_mem_ctl.scala 462:89] - node _T_2266 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 462:120] - node _T_2267 = eq(other_tag, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 462:81] - node _T_2268 = bits(_T_2267, 0, 0) @[el2_ifu_mem_ctl.scala 462:89] - node _T_2269 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 462:120] - node _T_2270 = eq(other_tag, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 462:81] - node _T_2271 = bits(_T_2270, 0, 0) @[el2_ifu_mem_ctl.scala 462:89] - node _T_2272 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 462:120] - node _T_2273 = eq(other_tag, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 462:81] - node _T_2274 = bits(_T_2273, 0, 0) @[el2_ifu_mem_ctl.scala 462:89] - node _T_2275 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 462:120] - node _T_2276 = eq(other_tag, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 462:81] - node _T_2277 = bits(_T_2276, 0, 0) @[el2_ifu_mem_ctl.scala 462:89] - node _T_2278 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 462:120] + node _T_2255 = eq(other_tag, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 463:81] + node _T_2256 = bits(_T_2255, 0, 0) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2257 = bits(ic_miss_buff_data_valid, 0, 0) @[el2_ifu_mem_ctl.scala 463:120] + node _T_2258 = eq(other_tag, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 463:81] + node _T_2259 = bits(_T_2258, 0, 0) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2260 = bits(ic_miss_buff_data_valid, 1, 1) @[el2_ifu_mem_ctl.scala 463:120] + node _T_2261 = eq(other_tag, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 463:81] + node _T_2262 = bits(_T_2261, 0, 0) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2263 = bits(ic_miss_buff_data_valid, 2, 2) @[el2_ifu_mem_ctl.scala 463:120] + node _T_2264 = eq(other_tag, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 463:81] + node _T_2265 = bits(_T_2264, 0, 0) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2266 = bits(ic_miss_buff_data_valid, 3, 3) @[el2_ifu_mem_ctl.scala 463:120] + node _T_2267 = eq(other_tag, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 463:81] + node _T_2268 = bits(_T_2267, 0, 0) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2269 = bits(ic_miss_buff_data_valid, 4, 4) @[el2_ifu_mem_ctl.scala 463:120] + node _T_2270 = eq(other_tag, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 463:81] + node _T_2271 = bits(_T_2270, 0, 0) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2272 = bits(ic_miss_buff_data_valid, 5, 5) @[el2_ifu_mem_ctl.scala 463:120] + node _T_2273 = eq(other_tag, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 463:81] + node _T_2274 = bits(_T_2273, 0, 0) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2275 = bits(ic_miss_buff_data_valid, 6, 6) @[el2_ifu_mem_ctl.scala 463:120] + node _T_2276 = eq(other_tag, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 463:81] + node _T_2277 = bits(_T_2276, 0, 0) @[el2_ifu_mem_ctl.scala 463:89] + node _T_2278 = bits(ic_miss_buff_data_valid, 7, 7) @[el2_ifu_mem_ctl.scala 463:120] node _T_2279 = mux(_T_2256, _T_2257, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2280 = mux(_T_2259, _T_2260, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2281 = mux(_T_2262, _T_2263, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3171,56 +3171,56 @@ circuit el2_ifu_mem_ctl : node _T_2293 = or(_T_2292, _T_2286) @[Mux.scala 27:72] wire second_half_available : UInt<1> @[Mux.scala 27:72] second_half_available <= _T_2293 @[Mux.scala 27:72] - node _T_2294 = and(second_half_available, bus_ifu_wr_en_ff) @[el2_ifu_mem_ctl.scala 463:46] - write_ic_16_bytes <= _T_2294 @[el2_ifu_mem_ctl.scala 463:21] + node _T_2294 = and(second_half_available, bus_ifu_wr_en_ff) @[el2_ifu_mem_ctl.scala 464:46] + write_ic_16_bytes <= _T_2294 @[el2_ifu_mem_ctl.scala 464:21] node _T_2295 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2296 = eq(_T_2295, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2297 = bits(_T_2296, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2296 = eq(_T_2295, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2297 = bits(_T_2296, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2298 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2299 = eq(_T_2298, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2300 = bits(_T_2299, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2299 = eq(_T_2298, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2300 = bits(_T_2299, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2301 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2302 = eq(_T_2301, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2303 = bits(_T_2302, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2302 = eq(_T_2301, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2303 = bits(_T_2302, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2304 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2305 = eq(_T_2304, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2306 = bits(_T_2305, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2305 = eq(_T_2304, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2306 = bits(_T_2305, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2307 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2308 = eq(_T_2307, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2309 = bits(_T_2308, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2308 = eq(_T_2307, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2309 = bits(_T_2308, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2310 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2311 = eq(_T_2310, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2312 = bits(_T_2311, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2311 = eq(_T_2310, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2312 = bits(_T_2311, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2313 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2314 = eq(_T_2313, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2315 = bits(_T_2314, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2314 = eq(_T_2313, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2315 = bits(_T_2314, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2316 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2317 = eq(_T_2316, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2318 = bits(_T_2317, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2317 = eq(_T_2316, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2318 = bits(_T_2317, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2319 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2320 = eq(_T_2319, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2321 = bits(_T_2320, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2320 = eq(_T_2319, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2321 = bits(_T_2320, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2322 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2323 = eq(_T_2322, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2324 = bits(_T_2323, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2323 = eq(_T_2322, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2324 = bits(_T_2323, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2325 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2326 = eq(_T_2325, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2327 = bits(_T_2326, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2326 = eq(_T_2325, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2327 = bits(_T_2326, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2328 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2329 = eq(_T_2328, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2330 = bits(_T_2329, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2329 = eq(_T_2328, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2330 = bits(_T_2329, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2331 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2332 = eq(_T_2331, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2333 = bits(_T_2332, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2332 = eq(_T_2331, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2333 = bits(_T_2332, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2334 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2335 = eq(_T_2334, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2336 = bits(_T_2335, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2335 = eq(_T_2334, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2336 = bits(_T_2335, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2337 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2338 = eq(_T_2337, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2339 = bits(_T_2338, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2338 = eq(_T_2337, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2339 = bits(_T_2338, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2340 = cat(other_tag, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_2341 = eq(_T_2340, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 464:89] - node _T_2342 = bits(_T_2341, 0, 0) @[el2_ifu_mem_ctl.scala 464:97] + node _T_2341 = eq(_T_2340, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 465:89] + node _T_2342 = bits(_T_2341, 0, 0) @[el2_ifu_mem_ctl.scala 465:97] node _T_2343 = mux(_T_2297, ic_miss_buff_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2344 = mux(_T_2300, ic_miss_buff_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2345 = mux(_T_2303, ic_miss_buff_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -3255,53 +3255,53 @@ circuit el2_ifu_mem_ctl : wire _T_2374 : UInt<32> @[Mux.scala 27:72] _T_2374 <= _T_2373 @[Mux.scala 27:72] node _T_2375 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2376 = eq(_T_2375, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2377 = bits(_T_2376, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2376 = eq(_T_2375, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2377 = bits(_T_2376, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2378 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2379 = eq(_T_2378, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2380 = bits(_T_2379, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2379 = eq(_T_2378, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2380 = bits(_T_2379, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2381 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2382 = eq(_T_2381, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2383 = bits(_T_2382, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2382 = eq(_T_2381, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2383 = bits(_T_2382, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2384 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2385 = eq(_T_2384, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2386 = bits(_T_2385, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2385 = eq(_T_2384, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2386 = bits(_T_2385, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2387 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2388 = eq(_T_2387, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2389 = bits(_T_2388, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2388 = eq(_T_2387, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2389 = bits(_T_2388, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2390 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2391 = eq(_T_2390, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2392 = bits(_T_2391, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2391 = eq(_T_2390, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2392 = bits(_T_2391, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2393 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2394 = eq(_T_2393, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2395 = bits(_T_2394, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2394 = eq(_T_2393, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2395 = bits(_T_2394, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2396 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2397 = eq(_T_2396, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2398 = bits(_T_2397, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2397 = eq(_T_2396, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2398 = bits(_T_2397, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2399 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2400 = eq(_T_2399, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2401 = bits(_T_2400, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2400 = eq(_T_2399, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2401 = bits(_T_2400, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2402 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2403 = eq(_T_2402, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2404 = bits(_T_2403, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2403 = eq(_T_2402, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2404 = bits(_T_2403, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2405 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2406 = eq(_T_2405, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2407 = bits(_T_2406, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2406 = eq(_T_2405, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2407 = bits(_T_2406, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2408 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2409 = eq(_T_2408, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2410 = bits(_T_2409, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2409 = eq(_T_2408, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2410 = bits(_T_2409, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2411 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2412 = eq(_T_2411, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2413 = bits(_T_2412, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2412 = eq(_T_2411, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2413 = bits(_T_2412, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2414 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2415 = eq(_T_2414, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2416 = bits(_T_2415, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2415 = eq(_T_2414, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2416 = bits(_T_2415, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2417 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2418 = eq(_T_2417, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2419 = bits(_T_2418, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2418 = eq(_T_2417, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2419 = bits(_T_2418, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2420 = cat(other_tag, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2421 = eq(_T_2420, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 465:66] - node _T_2422 = bits(_T_2421, 0, 0) @[el2_ifu_mem_ctl.scala 465:74] + node _T_2421 = eq(_T_2420, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 466:66] + node _T_2422 = bits(_T_2421, 0, 0) @[el2_ifu_mem_ctl.scala 466:74] node _T_2423 = mux(_T_2377, ic_miss_buff_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2424 = mux(_T_2380, ic_miss_buff_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_2425 = mux(_T_2383, ic_miss_buff_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -3336,12 +3336,12 @@ circuit el2_ifu_mem_ctl : wire _T_2454 : UInt<32> @[Mux.scala 27:72] _T_2454 <= _T_2453 @[Mux.scala 27:72] node _T_2455 = cat(_T_2374, _T_2454) @[Cat.scala 29:58] - ic_miss_buff_half <= _T_2455 @[el2_ifu_mem_ctl.scala 464:21] - node _T_2456 = and(io.ic_tag_perr, sel_ic_data) @[el2_ifu_mem_ctl.scala 469:44] - node _T_2457 = or(ifc_region_acc_fault_final_f, ifc_bus_acc_fault_f) @[el2_ifu_mem_ctl.scala 469:91] - node _T_2458 = eq(_T_2457, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 469:60] - node _T_2459 = and(_T_2456, _T_2458) @[el2_ifu_mem_ctl.scala 469:58] - ic_rd_parity_final_err <= _T_2459 @[el2_ifu_mem_ctl.scala 469:26] + ic_miss_buff_half <= _T_2455 @[el2_ifu_mem_ctl.scala 465:21] + node _T_2456 = and(io.ic_tag_perr, sel_ic_data) @[el2_ifu_mem_ctl.scala 470:44] + node _T_2457 = or(ifc_region_acc_fault_final_f, ifc_bus_acc_fault_f) @[el2_ifu_mem_ctl.scala 470:91] + node _T_2458 = eq(_T_2457, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 470:60] + node _T_2459 = and(_T_2456, _T_2458) @[el2_ifu_mem_ctl.scala 470:58] + ic_rd_parity_final_err <= _T_2459 @[el2_ifu_mem_ctl.scala 470:26] wire ifu_ic_rw_int_addr_ff : UInt<6> ifu_ic_rw_int_addr_ff <= UInt<1>("h00") wire perr_sb_write_status : UInt<1> @@ -3354,16 +3354,16 @@ circuit el2_ifu_mem_ctl : perr_sel_invalidate <= UInt<1>("h00") node _T_2460 = bits(perr_sel_invalidate, 0, 0) @[Bitwise.scala 72:15] node perr_err_inv_way = mux(_T_2460, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_2461 = eq(perr_state, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 476:34] - iccm_correct_ecc <= _T_2461 @[el2_ifu_mem_ctl.scala 476:20] - node dma_sb_err_state = eq(perr_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 477:37] - wire dma_sb_err_state_ff : UInt<1> @[el2_ifu_mem_ctl.scala 478:33] - node _T_2462 = eq(dma_sb_err_state_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 479:49] - node _T_2463 = and(iccm_correct_ecc, _T_2462) @[el2_ifu_mem_ctl.scala 479:47] - io.iccm_buf_correct_ecc <= _T_2463 @[el2_ifu_mem_ctl.scala 479:27] - reg _T_2464 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 480:58] - _T_2464 <= dma_sb_err_state @[el2_ifu_mem_ctl.scala 480:58] - dma_sb_err_state_ff <= _T_2464 @[el2_ifu_mem_ctl.scala 480:23] + node _T_2461 = eq(perr_state, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 477:34] + iccm_correct_ecc <= _T_2461 @[el2_ifu_mem_ctl.scala 477:20] + node dma_sb_err_state = eq(perr_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 478:37] + wire dma_sb_err_state_ff : UInt<1> @[el2_ifu_mem_ctl.scala 479:33] + node _T_2462 = eq(dma_sb_err_state_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 480:49] + node _T_2463 = and(iccm_correct_ecc, _T_2462) @[el2_ifu_mem_ctl.scala 480:47] + io.iccm_buf_correct_ecc <= _T_2463 @[el2_ifu_mem_ctl.scala 480:27] + reg _T_2464 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 481:58] + _T_2464 <= dma_sb_err_state @[el2_ifu_mem_ctl.scala 481:58] + dma_sb_err_state_ff <= _T_2464 @[el2_ifu_mem_ctl.scala 481:23] wire perr_nxtstate : UInt<3> perr_nxtstate <= UInt<1>("h00") wire perr_state_en : UInt<1> @@ -3372,165 +3372,165 @@ circuit el2_ifu_mem_ctl : iccm_error_start <= UInt<1>("h00") node _T_2465 = eq(UInt<3>("h00"), perr_state) @[Conditional.scala 37:30] when _T_2465 : @[Conditional.scala 40:58] - node _T_2466 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 488:89] - node _T_2467 = and(io.ic_error_start, _T_2466) @[el2_ifu_mem_ctl.scala 488:87] - node _T_2468 = bits(_T_2467, 0, 0) @[el2_ifu_mem_ctl.scala 488:110] - node _T_2469 = mux(_T_2468, UInt<3>("h01"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 488:67] - node _T_2470 = mux(io.iccm_dma_sb_error, UInt<3>("h04"), _T_2469) @[el2_ifu_mem_ctl.scala 488:27] - perr_nxtstate <= _T_2470 @[el2_ifu_mem_ctl.scala 488:21] - node _T_2471 = or(iccm_error_start, io.ic_error_start) @[el2_ifu_mem_ctl.scala 489:44] - node _T_2472 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 489:67] - node _T_2473 = and(_T_2471, _T_2472) @[el2_ifu_mem_ctl.scala 489:65] - node _T_2474 = or(_T_2473, io.iccm_dma_sb_error) @[el2_ifu_mem_ctl.scala 489:88] - node _T_2475 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 489:114] - node _T_2476 = and(_T_2474, _T_2475) @[el2_ifu_mem_ctl.scala 489:112] - perr_state_en <= _T_2476 @[el2_ifu_mem_ctl.scala 489:21] - perr_sb_write_status <= perr_state_en @[el2_ifu_mem_ctl.scala 490:28] + node _T_2466 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 489:89] + node _T_2467 = and(io.ic_error_start, _T_2466) @[el2_ifu_mem_ctl.scala 489:87] + node _T_2468 = bits(_T_2467, 0, 0) @[el2_ifu_mem_ctl.scala 489:110] + node _T_2469 = mux(_T_2468, UInt<3>("h01"), UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 489:67] + node _T_2470 = mux(io.iccm_dma_sb_error, UInt<3>("h04"), _T_2469) @[el2_ifu_mem_ctl.scala 489:27] + perr_nxtstate <= _T_2470 @[el2_ifu_mem_ctl.scala 489:21] + node _T_2471 = or(iccm_error_start, io.ic_error_start) @[el2_ifu_mem_ctl.scala 490:44] + node _T_2472 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 490:67] + node _T_2473 = and(_T_2471, _T_2472) @[el2_ifu_mem_ctl.scala 490:65] + node _T_2474 = or(_T_2473, io.iccm_dma_sb_error) @[el2_ifu_mem_ctl.scala 490:88] + node _T_2475 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 490:114] + node _T_2476 = and(_T_2474, _T_2475) @[el2_ifu_mem_ctl.scala 490:112] + perr_state_en <= _T_2476 @[el2_ifu_mem_ctl.scala 490:21] + perr_sb_write_status <= perr_state_en @[el2_ifu_mem_ctl.scala 491:28] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_2477 = eq(UInt<3>("h01"), perr_state) @[Conditional.scala 37:30] when _T_2477 : @[Conditional.scala 39:67] - perr_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 493:21] - node _T_2478 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 494:50] - perr_state_en <= _T_2478 @[el2_ifu_mem_ctl.scala 494:21] - node _T_2479 = and(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 495:56] - perr_sel_invalidate <= _T_2479 @[el2_ifu_mem_ctl.scala 495:27] + perr_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 494:21] + node _T_2478 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 495:50] + perr_state_en <= _T_2478 @[el2_ifu_mem_ctl.scala 495:21] + node _T_2479 = and(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 496:56] + perr_sel_invalidate <= _T_2479 @[el2_ifu_mem_ctl.scala 496:27] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2480 = eq(UInt<3>("h02"), perr_state) @[Conditional.scala 37:30] when _T_2480 : @[Conditional.scala 39:67] - node _T_2481 = and(io.dec_tlu_flush_err_wb, io.dec_tlu_flush_lower_wb) @[el2_ifu_mem_ctl.scala 498:54] - node _T_2482 = or(_T_2481, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 498:84] - node _T_2483 = bits(_T_2482, 0, 0) @[el2_ifu_mem_ctl.scala 498:115] - node _T_2484 = mux(_T_2483, UInt<3>("h00"), UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 498:27] - perr_nxtstate <= _T_2484 @[el2_ifu_mem_ctl.scala 498:21] - node _T_2485 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 499:50] - perr_state_en <= _T_2485 @[el2_ifu_mem_ctl.scala 499:21] + node _T_2481 = and(io.dec_tlu_flush_err_wb, io.dec_tlu_flush_lower_wb) @[el2_ifu_mem_ctl.scala 499:54] + node _T_2482 = or(_T_2481, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 499:84] + node _T_2483 = bits(_T_2482, 0, 0) @[el2_ifu_mem_ctl.scala 499:115] + node _T_2484 = mux(_T_2483, UInt<3>("h00"), UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 499:27] + perr_nxtstate <= _T_2484 @[el2_ifu_mem_ctl.scala 499:21] + node _T_2485 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 500:50] + perr_state_en <= _T_2485 @[el2_ifu_mem_ctl.scala 500:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2486 = eq(UInt<3>("h04"), perr_state) @[Conditional.scala 37:30] when _T_2486 : @[Conditional.scala 39:67] - node _T_2487 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 502:27] - perr_nxtstate <= _T_2487 @[el2_ifu_mem_ctl.scala 502:21] - perr_state_en <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 503:21] + node _T_2487 = mux(io.dec_tlu_force_halt, UInt<3>("h00"), UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 503:27] + perr_nxtstate <= _T_2487 @[el2_ifu_mem_ctl.scala 503:21] + perr_state_en <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 504:21] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2488 = eq(UInt<3>("h03"), perr_state) @[Conditional.scala 37:30] when _T_2488 : @[Conditional.scala 39:67] - perr_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 506:21] - perr_state_en <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 507:21] + perr_nxtstate <= UInt<3>("h00") @[el2_ifu_mem_ctl.scala 507:21] + perr_state_en <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 508:21] skip @[Conditional.scala 39:67] reg _T_2489 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when perr_state_en : @[Reg.scala 28:19] _T_2489 <= perr_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - perr_state <= _T_2489 @[el2_ifu_mem_ctl.scala 510:14] + perr_state <= _T_2489 @[el2_ifu_mem_ctl.scala 511:14] wire err_stop_nxtstate : UInt<2> err_stop_nxtstate <= UInt<1>("h00") wire err_stop_state_en : UInt<1> err_stop_state_en <= UInt<1>("h00") - io.iccm_correction_state <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 514:28] + io.iccm_correction_state <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 515:28] node _T_2490 = eq(UInt<2>("h00"), err_stop_state) @[Conditional.scala 37:30] when _T_2490 : @[Conditional.scala 40:58] - err_stop_nxtstate <= UInt<2>("h01") @[el2_ifu_mem_ctl.scala 518:25] - node _T_2491 = eq(perr_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 519:66] - node _T_2492 = and(io.dec_tlu_flush_err_wb, _T_2491) @[el2_ifu_mem_ctl.scala 519:52] - node _T_2493 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 519:83] - node _T_2494 = and(_T_2492, _T_2493) @[el2_ifu_mem_ctl.scala 519:81] - err_stop_state_en <= _T_2494 @[el2_ifu_mem_ctl.scala 519:25] + err_stop_nxtstate <= UInt<2>("h01") @[el2_ifu_mem_ctl.scala 519:25] + node _T_2491 = eq(perr_state, UInt<3>("h02")) @[el2_ifu_mem_ctl.scala 520:66] + node _T_2492 = and(io.dec_tlu_flush_err_wb, _T_2491) @[el2_ifu_mem_ctl.scala 520:52] + node _T_2493 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 520:83] + node _T_2494 = and(_T_2492, _T_2493) @[el2_ifu_mem_ctl.scala 520:81] + err_stop_state_en <= _T_2494 @[el2_ifu_mem_ctl.scala 520:25] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] node _T_2495 = eq(UInt<2>("h01"), err_stop_state) @[Conditional.scala 37:30] when _T_2495 : @[Conditional.scala 39:67] - node _T_2496 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 522:59] - node _T_2497 = or(_T_2496, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 522:86] - node _T_2498 = bits(_T_2497, 0, 0) @[el2_ifu_mem_ctl.scala 522:117] - node _T_2499 = eq(io.ifu_fetch_val, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 523:31] - node _T_2500 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 523:56] - node _T_2501 = and(_T_2500, two_byte_instr) @[el2_ifu_mem_ctl.scala 523:59] - node _T_2502 = or(_T_2499, _T_2501) @[el2_ifu_mem_ctl.scala 523:38] - node _T_2503 = bits(_T_2502, 0, 0) @[el2_ifu_mem_ctl.scala 523:83] - node _T_2504 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 524:31] - node _T_2505 = bits(_T_2504, 0, 0) @[el2_ifu_mem_ctl.scala 524:41] - node _T_2506 = mux(_T_2505, UInt<2>("h02"), UInt<2>("h01")) @[el2_ifu_mem_ctl.scala 524:14] - node _T_2507 = mux(_T_2503, UInt<2>("h03"), _T_2506) @[el2_ifu_mem_ctl.scala 523:12] - node _T_2508 = mux(_T_2498, UInt<2>("h00"), _T_2507) @[el2_ifu_mem_ctl.scala 522:31] - err_stop_nxtstate <= _T_2508 @[el2_ifu_mem_ctl.scala 522:25] - node _T_2509 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 525:54] - node _T_2510 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 525:99] - node _T_2511 = or(_T_2509, _T_2510) @[el2_ifu_mem_ctl.scala 525:81] - node _T_2512 = or(_T_2511, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 525:103] - node _T_2513 = or(_T_2512, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 525:126] - err_stop_state_en <= _T_2513 @[el2_ifu_mem_ctl.scala 525:25] - node _T_2514 = bits(io.ifu_fetch_val, 1, 0) @[el2_ifu_mem_ctl.scala 526:43] - node _T_2515 = eq(_T_2514, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 526:48] - node _T_2516 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 526:75] - node _T_2517 = and(_T_2516, two_byte_instr) @[el2_ifu_mem_ctl.scala 526:79] - node _T_2518 = or(_T_2515, _T_2517) @[el2_ifu_mem_ctl.scala 526:56] - node _T_2519 = or(io.exu_flush_final, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 526:122] - node _T_2520 = eq(_T_2519, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 526:101] - node _T_2521 = and(_T_2518, _T_2520) @[el2_ifu_mem_ctl.scala 526:99] - err_stop_fetch <= _T_2521 @[el2_ifu_mem_ctl.scala 526:22] - io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 527:32] + node _T_2496 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 523:59] + node _T_2497 = or(_T_2496, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 523:86] + node _T_2498 = bits(_T_2497, 0, 0) @[el2_ifu_mem_ctl.scala 523:117] + node _T_2499 = eq(io.ifu_fetch_val, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 524:31] + node _T_2500 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 524:56] + node _T_2501 = and(_T_2500, two_byte_instr) @[el2_ifu_mem_ctl.scala 524:59] + node _T_2502 = or(_T_2499, _T_2501) @[el2_ifu_mem_ctl.scala 524:38] + node _T_2503 = bits(_T_2502, 0, 0) @[el2_ifu_mem_ctl.scala 524:83] + node _T_2504 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 525:31] + node _T_2505 = bits(_T_2504, 0, 0) @[el2_ifu_mem_ctl.scala 525:41] + node _T_2506 = mux(_T_2505, UInt<2>("h02"), UInt<2>("h01")) @[el2_ifu_mem_ctl.scala 525:14] + node _T_2507 = mux(_T_2503, UInt<2>("h03"), _T_2506) @[el2_ifu_mem_ctl.scala 524:12] + node _T_2508 = mux(_T_2498, UInt<2>("h00"), _T_2507) @[el2_ifu_mem_ctl.scala 523:31] + err_stop_nxtstate <= _T_2508 @[el2_ifu_mem_ctl.scala 523:25] + node _T_2509 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 526:54] + node _T_2510 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 526:99] + node _T_2511 = or(_T_2509, _T_2510) @[el2_ifu_mem_ctl.scala 526:81] + node _T_2512 = or(_T_2511, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 526:103] + node _T_2513 = or(_T_2512, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 526:126] + err_stop_state_en <= _T_2513 @[el2_ifu_mem_ctl.scala 526:25] + node _T_2514 = bits(io.ifu_fetch_val, 1, 0) @[el2_ifu_mem_ctl.scala 527:43] + node _T_2515 = eq(_T_2514, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 527:48] + node _T_2516 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 527:75] + node _T_2517 = and(_T_2516, two_byte_instr) @[el2_ifu_mem_ctl.scala 527:79] + node _T_2518 = or(_T_2515, _T_2517) @[el2_ifu_mem_ctl.scala 527:56] + node _T_2519 = or(io.exu_flush_final, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 527:122] + node _T_2520 = eq(_T_2519, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 527:101] + node _T_2521 = and(_T_2518, _T_2520) @[el2_ifu_mem_ctl.scala 527:99] + err_stop_fetch <= _T_2521 @[el2_ifu_mem_ctl.scala 527:22] + io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 528:32] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2522 = eq(UInt<2>("h02"), err_stop_state) @[Conditional.scala 37:30] when _T_2522 : @[Conditional.scala 39:67] - node _T_2523 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 530:59] - node _T_2524 = or(_T_2523, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 530:86] - node _T_2525 = bits(_T_2524, 0, 0) @[el2_ifu_mem_ctl.scala 530:111] - node _T_2526 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 531:46] - node _T_2527 = bits(_T_2526, 0, 0) @[el2_ifu_mem_ctl.scala 531:50] - node _T_2528 = mux(_T_2527, UInt<2>("h03"), UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 531:29] - node _T_2529 = mux(_T_2525, UInt<2>("h00"), _T_2528) @[el2_ifu_mem_ctl.scala 530:31] - err_stop_nxtstate <= _T_2529 @[el2_ifu_mem_ctl.scala 530:25] - node _T_2530 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 532:54] - node _T_2531 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 532:99] - node _T_2532 = or(_T_2530, _T_2531) @[el2_ifu_mem_ctl.scala 532:81] - node _T_2533 = or(_T_2532, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 532:103] - err_stop_state_en <= _T_2533 @[el2_ifu_mem_ctl.scala 532:25] - node _T_2534 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 533:41] - node _T_2535 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 533:47] - node _T_2536 = and(_T_2534, _T_2535) @[el2_ifu_mem_ctl.scala 533:45] - node _T_2537 = eq(io.dec_tlu_i0_commit_cmt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 533:69] - node _T_2538 = and(_T_2536, _T_2537) @[el2_ifu_mem_ctl.scala 533:67] - err_stop_fetch <= _T_2538 @[el2_ifu_mem_ctl.scala 533:22] - io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 534:32] + node _T_2523 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 531:59] + node _T_2524 = or(_T_2523, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 531:86] + node _T_2525 = bits(_T_2524, 0, 0) @[el2_ifu_mem_ctl.scala 531:111] + node _T_2526 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 532:46] + node _T_2527 = bits(_T_2526, 0, 0) @[el2_ifu_mem_ctl.scala 532:50] + node _T_2528 = mux(_T_2527, UInt<2>("h03"), UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 532:29] + node _T_2529 = mux(_T_2525, UInt<2>("h00"), _T_2528) @[el2_ifu_mem_ctl.scala 531:31] + err_stop_nxtstate <= _T_2529 @[el2_ifu_mem_ctl.scala 531:25] + node _T_2530 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 533:54] + node _T_2531 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 533:99] + node _T_2532 = or(_T_2530, _T_2531) @[el2_ifu_mem_ctl.scala 533:81] + node _T_2533 = or(_T_2532, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 533:103] + err_stop_state_en <= _T_2533 @[el2_ifu_mem_ctl.scala 533:25] + node _T_2534 = bits(io.ifu_fetch_val, 0, 0) @[el2_ifu_mem_ctl.scala 534:41] + node _T_2535 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 534:47] + node _T_2536 = and(_T_2534, _T_2535) @[el2_ifu_mem_ctl.scala 534:45] + node _T_2537 = eq(io.dec_tlu_i0_commit_cmt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 534:69] + node _T_2538 = and(_T_2536, _T_2537) @[el2_ifu_mem_ctl.scala 534:67] + err_stop_fetch <= _T_2538 @[el2_ifu_mem_ctl.scala 534:22] + io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 535:32] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] node _T_2539 = eq(UInt<2>("h03"), err_stop_state) @[Conditional.scala 37:30] when _T_2539 : @[Conditional.scala 39:67] - node _T_2540 = eq(io.dec_tlu_flush_err_wb, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 537:62] - node _T_2541 = and(io.dec_tlu_flush_lower_wb, _T_2540) @[el2_ifu_mem_ctl.scala 537:60] - node _T_2542 = or(_T_2541, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 537:88] - node _T_2543 = or(_T_2542, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 537:115] - node _T_2544 = bits(_T_2543, 0, 0) @[el2_ifu_mem_ctl.scala 537:140] - node _T_2545 = bits(io.dec_tlu_flush_err_wb, 0, 0) @[el2_ifu_mem_ctl.scala 538:60] - node _T_2546 = mux(_T_2545, UInt<2>("h01"), UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 538:29] - node _T_2547 = mux(_T_2544, UInt<2>("h00"), _T_2546) @[el2_ifu_mem_ctl.scala 537:31] - err_stop_nxtstate <= _T_2547 @[el2_ifu_mem_ctl.scala 537:25] - node _T_2548 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 539:54] - node _T_2549 = or(_T_2548, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 539:81] - err_stop_state_en <= _T_2549 @[el2_ifu_mem_ctl.scala 539:25] - err_stop_fetch <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 540:22] - io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 541:32] + node _T_2540 = eq(io.dec_tlu_flush_err_wb, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 538:62] + node _T_2541 = and(io.dec_tlu_flush_lower_wb, _T_2540) @[el2_ifu_mem_ctl.scala 538:60] + node _T_2542 = or(_T_2541, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 538:88] + node _T_2543 = or(_T_2542, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 538:115] + node _T_2544 = bits(_T_2543, 0, 0) @[el2_ifu_mem_ctl.scala 538:140] + node _T_2545 = bits(io.dec_tlu_flush_err_wb, 0, 0) @[el2_ifu_mem_ctl.scala 539:60] + node _T_2546 = mux(_T_2545, UInt<2>("h01"), UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 539:29] + node _T_2547 = mux(_T_2544, UInt<2>("h00"), _T_2546) @[el2_ifu_mem_ctl.scala 538:31] + err_stop_nxtstate <= _T_2547 @[el2_ifu_mem_ctl.scala 538:25] + node _T_2548 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_i0_commit_cmt) @[el2_ifu_mem_ctl.scala 540:54] + node _T_2549 = or(_T_2548, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 540:81] + err_stop_state_en <= _T_2549 @[el2_ifu_mem_ctl.scala 540:25] + err_stop_fetch <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 541:22] + io.iccm_correction_state <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 542:32] skip @[Conditional.scala 39:67] reg _T_2550 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when err_stop_state_en : @[Reg.scala 28:19] _T_2550 <= err_stop_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - err_stop_state <= _T_2550 @[el2_ifu_mem_ctl.scala 544:18] - bus_ifu_bus_clk_en <= io.ifu_bus_clk_en @[el2_ifu_mem_ctl.scala 545:22] - reg bus_ifu_bus_clk_en_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 546:61] - bus_ifu_bus_clk_en_ff <= bus_ifu_bus_clk_en @[el2_ifu_mem_ctl.scala 546:61] - reg _T_2551 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 547:52] - _T_2551 <= scnd_miss_req_in @[el2_ifu_mem_ctl.scala 547:52] - scnd_miss_req_q <= _T_2551 @[el2_ifu_mem_ctl.scala 547:19] - reg scnd_miss_req_ff2 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 548:57] - scnd_miss_req_ff2 <= scnd_miss_req @[el2_ifu_mem_ctl.scala 548:57] - node _T_2552 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 549:39] - node _T_2553 = and(scnd_miss_req_q, _T_2552) @[el2_ifu_mem_ctl.scala 549:36] - scnd_miss_req <= _T_2553 @[el2_ifu_mem_ctl.scala 549:17] + err_stop_state <= _T_2550 @[el2_ifu_mem_ctl.scala 545:18] + bus_ifu_bus_clk_en <= io.ifu_bus_clk_en @[el2_ifu_mem_ctl.scala 546:22] + reg bus_ifu_bus_clk_en_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 547:61] + bus_ifu_bus_clk_en_ff <= bus_ifu_bus_clk_en @[el2_ifu_mem_ctl.scala 547:61] + reg _T_2551 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 548:52] + _T_2551 <= scnd_miss_req_in @[el2_ifu_mem_ctl.scala 548:52] + scnd_miss_req_q <= _T_2551 @[el2_ifu_mem_ctl.scala 548:19] + reg scnd_miss_req_ff2 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 549:57] + scnd_miss_req_ff2 <= scnd_miss_req @[el2_ifu_mem_ctl.scala 549:57] + node _T_2552 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 550:39] + node _T_2553 = and(scnd_miss_req_q, _T_2552) @[el2_ifu_mem_ctl.scala 550:36] + scnd_miss_req <= _T_2553 @[el2_ifu_mem_ctl.scala 550:17] wire bus_cmd_req_hold : UInt<1> bus_cmd_req_hold <= UInt<1>("h00") wire ifu_bus_cmd_valid : UInt<1> @@ -3539,49 +3539,49 @@ circuit el2_ifu_mem_ctl : bus_cmd_beat_count <= UInt<1>("h00") wire ifu_bus_cmd_ready : UInt<1> ifu_bus_cmd_ready <= UInt<1>("h00") - node _T_2554 = or(ic_act_miss_f, bus_cmd_req_hold) @[el2_ifu_mem_ctl.scala 554:45] - node _T_2555 = or(_T_2554, ifu_bus_cmd_valid) @[el2_ifu_mem_ctl.scala 554:64] - node _T_2556 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 554:87] - node _T_2557 = and(_T_2555, _T_2556) @[el2_ifu_mem_ctl.scala 554:85] + node _T_2554 = or(ic_act_miss_f, bus_cmd_req_hold) @[el2_ifu_mem_ctl.scala 555:45] + node _T_2555 = or(_T_2554, ifu_bus_cmd_valid) @[el2_ifu_mem_ctl.scala 555:64] + node _T_2556 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 555:87] + node _T_2557 = and(_T_2555, _T_2556) @[el2_ifu_mem_ctl.scala 555:85] node _T_2558 = mux(UInt<1>("h01"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_2559 = eq(bus_cmd_beat_count, _T_2558) @[el2_ifu_mem_ctl.scala 554:133] - node _T_2560 = and(_T_2559, ifu_bus_cmd_valid) @[el2_ifu_mem_ctl.scala 554:164] - node _T_2561 = and(_T_2560, ifu_bus_cmd_ready) @[el2_ifu_mem_ctl.scala 554:184] - node _T_2562 = and(_T_2561, miss_pending) @[el2_ifu_mem_ctl.scala 554:204] - node _T_2563 = eq(_T_2562, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 554:112] - node ifc_bus_ic_req_ff_in = and(_T_2557, _T_2563) @[el2_ifu_mem_ctl.scala 554:110] - node _T_2564 = or(bus_ifu_bus_clk_en, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 555:80] + node _T_2559 = eq(bus_cmd_beat_count, _T_2558) @[el2_ifu_mem_ctl.scala 555:133] + node _T_2560 = and(_T_2559, ifu_bus_cmd_valid) @[el2_ifu_mem_ctl.scala 555:164] + node _T_2561 = and(_T_2560, ifu_bus_cmd_ready) @[el2_ifu_mem_ctl.scala 555:184] + node _T_2562 = and(_T_2561, miss_pending) @[el2_ifu_mem_ctl.scala 555:204] + node _T_2563 = eq(_T_2562, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 555:112] + node ifc_bus_ic_req_ff_in = and(_T_2557, _T_2563) @[el2_ifu_mem_ctl.scala 555:110] + node _T_2564 = or(bus_ifu_bus_clk_en, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 556:80] reg _T_2565 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_2564 : @[Reg.scala 28:19] _T_2565 <= ifc_bus_ic_req_ff_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ifu_bus_cmd_valid <= _T_2565 @[el2_ifu_mem_ctl.scala 555:21] + ifu_bus_cmd_valid <= _T_2565 @[el2_ifu_mem_ctl.scala 556:21] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_2566 = or(ic_act_miss_f, bus_cmd_req_hold) @[el2_ifu_mem_ctl.scala 557:39] - node _T_2567 = eq(bus_cmd_sent, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 557:61] - node _T_2568 = and(_T_2566, _T_2567) @[el2_ifu_mem_ctl.scala 557:59] - node _T_2569 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 557:77] - node bus_cmd_req_in = and(_T_2568, _T_2569) @[el2_ifu_mem_ctl.scala 557:75] - reg _T_2570 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 558:49] - _T_2570 <= bus_cmd_req_in @[el2_ifu_mem_ctl.scala 558:49] - bus_cmd_sent <= _T_2570 @[el2_ifu_mem_ctl.scala 558:16] - io.ifu_axi_arvalid <= ifu_bus_cmd_valid @[el2_ifu_mem_ctl.scala 560:22] + node _T_2566 = or(ic_act_miss_f, bus_cmd_req_hold) @[el2_ifu_mem_ctl.scala 558:39] + node _T_2567 = eq(bus_cmd_sent, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 558:61] + node _T_2568 = and(_T_2566, _T_2567) @[el2_ifu_mem_ctl.scala 558:59] + node _T_2569 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 558:77] + node bus_cmd_req_in = and(_T_2568, _T_2569) @[el2_ifu_mem_ctl.scala 558:75] + reg _T_2570 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 559:49] + _T_2570 <= bus_cmd_req_in @[el2_ifu_mem_ctl.scala 559:49] + bus_cmd_sent <= _T_2570 @[el2_ifu_mem_ctl.scala 559:16] + io.ifu_axi_arvalid <= ifu_bus_cmd_valid @[el2_ifu_mem_ctl.scala 561:22] node _T_2571 = bits(ifu_bus_cmd_valid, 0, 0) @[Bitwise.scala 72:15] node _T_2572 = mux(_T_2571, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_2573 = and(bus_rd_addr_count, _T_2572) @[el2_ifu_mem_ctl.scala 561:40] - io.ifu_axi_arid <= _T_2573 @[el2_ifu_mem_ctl.scala 561:19] + node _T_2573 = and(bus_rd_addr_count, _T_2572) @[el2_ifu_mem_ctl.scala 562:40] + io.ifu_axi_arid <= _T_2573 @[el2_ifu_mem_ctl.scala 562:19] node _T_2574 = cat(ifu_ic_req_addr_f, UInt<3>("h00")) @[Cat.scala 29:58] node _T_2575 = bits(ifu_bus_cmd_valid, 0, 0) @[Bitwise.scala 72:15] node _T_2576 = mux(_T_2575, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_2577 = and(_T_2574, _T_2576) @[el2_ifu_mem_ctl.scala 562:57] - io.ifu_axi_araddr <= _T_2577 @[el2_ifu_mem_ctl.scala 562:21] - io.ifu_axi_arsize <= UInt<3>("h03") @[el2_ifu_mem_ctl.scala 563:21] - io.ifu_axi_arcache <= UInt<4>("h0f") @[el2_ifu_mem_ctl.scala 564:22] - node _T_2578 = bits(ifu_ic_req_addr_f, 28, 25) @[el2_ifu_mem_ctl.scala 565:43] - io.ifu_axi_arregion <= _T_2578 @[el2_ifu_mem_ctl.scala 565:23] - io.ifu_axi_arburst <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 566:22] - io.ifu_axi_rready <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 567:21] + node _T_2577 = and(_T_2574, _T_2576) @[el2_ifu_mem_ctl.scala 563:57] + io.ifu_axi_araddr <= _T_2577 @[el2_ifu_mem_ctl.scala 563:21] + io.ifu_axi_arsize <= UInt<3>("h03") @[el2_ifu_mem_ctl.scala 564:21] + io.ifu_axi_arcache <= UInt<4>("h0f") @[el2_ifu_mem_ctl.scala 565:22] + node _T_2578 = bits(ifu_ic_req_addr_f, 28, 25) @[el2_ifu_mem_ctl.scala 566:43] + io.ifu_axi_arregion <= _T_2578 @[el2_ifu_mem_ctl.scala 566:23] + io.ifu_axi_arburst <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 567:22] + io.ifu_axi_rready <= UInt<1>("h01") @[el2_ifu_mem_ctl.scala 568:21] reg ifu_bus_arready_unq_ff : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when bus_ifu_bus_clk_en : @[Reg.scala 28:19] ifu_bus_arready_unq_ff <= io.ifu_axi_arready @[Reg.scala 28:23] @@ -3602,42 +3602,42 @@ circuit el2_ifu_mem_ctl : when bus_ifu_bus_clk_en : @[Reg.scala 28:19] _T_2579 <= io.ifu_axi_rdata @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ifu_bus_rdata_ff <= _T_2579 @[el2_ifu_mem_ctl.scala 577:20] + ifu_bus_rdata_ff <= _T_2579 @[el2_ifu_mem_ctl.scala 578:20] reg _T_2580 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when bus_ifu_bus_clk_en : @[Reg.scala 28:19] _T_2580 <= io.ifu_axi_rid @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ifu_bus_rid_ff <= _T_2580 @[el2_ifu_mem_ctl.scala 578:18] - ifu_bus_cmd_ready <= io.ifu_axi_arready @[el2_ifu_mem_ctl.scala 579:21] - ifu_bus_rsp_valid <= io.ifu_axi_rvalid @[el2_ifu_mem_ctl.scala 580:21] - ifu_bus_rsp_ready <= io.ifu_axi_rready @[el2_ifu_mem_ctl.scala 581:21] - ifu_bus_rsp_tag <= io.ifu_axi_rid @[el2_ifu_mem_ctl.scala 582:19] - ic_miss_buff_data_in <= io.ifu_axi_rdata @[el2_ifu_mem_ctl.scala 583:21] - node ifu_bus_rvalid = and(ifu_bus_rsp_valid, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 585:42] - node ifu_bus_arready = and(io.ifu_axi_arready, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 586:45] - node ifu_bus_arready_ff = and(ifu_bus_arready_unq_ff, bus_ifu_bus_clk_en_ff) @[el2_ifu_mem_ctl.scala 587:51] - node ifu_bus_rvalid_ff = and(ifu_bus_rvalid_unq_ff, bus_ifu_bus_clk_en_ff) @[el2_ifu_mem_ctl.scala 588:49] - node _T_2581 = and(io.ifu_axi_arvalid, ifu_bus_arready) @[el2_ifu_mem_ctl.scala 589:35] - node _T_2582 = and(_T_2581, miss_pending) @[el2_ifu_mem_ctl.scala 589:53] - node _T_2583 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 589:70] - node _T_2584 = and(_T_2582, _T_2583) @[el2_ifu_mem_ctl.scala 589:68] - bus_cmd_sent <= _T_2584 @[el2_ifu_mem_ctl.scala 589:16] + ifu_bus_rid_ff <= _T_2580 @[el2_ifu_mem_ctl.scala 579:18] + ifu_bus_cmd_ready <= io.ifu_axi_arready @[el2_ifu_mem_ctl.scala 580:21] + ifu_bus_rsp_valid <= io.ifu_axi_rvalid @[el2_ifu_mem_ctl.scala 581:21] + ifu_bus_rsp_ready <= io.ifu_axi_rready @[el2_ifu_mem_ctl.scala 582:21] + ifu_bus_rsp_tag <= io.ifu_axi_rid @[el2_ifu_mem_ctl.scala 583:19] + ic_miss_buff_data_in <= io.ifu_axi_rdata @[el2_ifu_mem_ctl.scala 584:21] + node ifu_bus_rvalid = and(ifu_bus_rsp_valid, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 586:42] + node ifu_bus_arready = and(io.ifu_axi_arready, bus_ifu_bus_clk_en) @[el2_ifu_mem_ctl.scala 587:45] + node ifu_bus_arready_ff = and(ifu_bus_arready_unq_ff, bus_ifu_bus_clk_en_ff) @[el2_ifu_mem_ctl.scala 588:51] + node ifu_bus_rvalid_ff = and(ifu_bus_rvalid_unq_ff, bus_ifu_bus_clk_en_ff) @[el2_ifu_mem_ctl.scala 589:49] + node _T_2581 = and(io.ifu_axi_arvalid, ifu_bus_arready) @[el2_ifu_mem_ctl.scala 590:35] + node _T_2582 = and(_T_2581, miss_pending) @[el2_ifu_mem_ctl.scala 590:53] + node _T_2583 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 590:70] + node _T_2584 = and(_T_2582, _T_2583) @[el2_ifu_mem_ctl.scala 590:68] + bus_cmd_sent <= _T_2584 @[el2_ifu_mem_ctl.scala 590:16] wire bus_last_data_beat : UInt<1> bus_last_data_beat <= UInt<1>("h00") - node _T_2585 = eq(bus_last_data_beat, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 591:50] - node _T_2586 = and(bus_ifu_wr_en_ff, _T_2585) @[el2_ifu_mem_ctl.scala 591:48] - node _T_2587 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 591:72] - node bus_inc_data_beat_cnt = and(_T_2586, _T_2587) @[el2_ifu_mem_ctl.scala 591:70] - node _T_2588 = and(bus_ifu_wr_en_ff, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 592:68] - node _T_2589 = or(ic_act_miss_f, _T_2588) @[el2_ifu_mem_ctl.scala 592:48] - node bus_reset_data_beat_cnt = or(_T_2589, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 592:91] - node _T_2590 = eq(bus_inc_data_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 593:32] - node _T_2591 = eq(bus_reset_data_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 593:57] - node bus_hold_data_beat_cnt = and(_T_2590, _T_2591) @[el2_ifu_mem_ctl.scala 593:55] + node _T_2585 = eq(bus_last_data_beat, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 592:50] + node _T_2586 = and(bus_ifu_wr_en_ff, _T_2585) @[el2_ifu_mem_ctl.scala 592:48] + node _T_2587 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 592:72] + node bus_inc_data_beat_cnt = and(_T_2586, _T_2587) @[el2_ifu_mem_ctl.scala 592:70] + node _T_2588 = and(bus_ifu_wr_en_ff, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 593:68] + node _T_2589 = or(ic_act_miss_f, _T_2588) @[el2_ifu_mem_ctl.scala 593:48] + node bus_reset_data_beat_cnt = or(_T_2589, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 593:91] + node _T_2590 = eq(bus_inc_data_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 594:32] + node _T_2591 = eq(bus_reset_data_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 594:57] + node bus_hold_data_beat_cnt = and(_T_2590, _T_2591) @[el2_ifu_mem_ctl.scala 594:55] wire bus_data_beat_count : UInt<3> bus_data_beat_count <= UInt<1>("h00") - node _T_2592 = add(bus_data_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 595:115] - node _T_2593 = tail(_T_2592, 1) @[el2_ifu_mem_ctl.scala 595:115] + node _T_2592 = add(bus_data_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 596:115] + node _T_2593 = tail(_T_2592, 1) @[el2_ifu_mem_ctl.scala 596:115] node _T_2594 = mux(bus_reset_data_beat_cnt, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_2595 = mux(bus_inc_data_beat_cnt, _T_2593, UInt<1>("h00")) @[Mux.scala 27:72] node _T_2596 = mux(bus_hold_data_beat_cnt, bus_data_beat_count, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3645,52 +3645,52 @@ circuit el2_ifu_mem_ctl : node _T_2598 = or(_T_2597, _T_2596) @[Mux.scala 27:72] wire _T_2599 : UInt<3> @[Mux.scala 27:72] _T_2599 <= _T_2598 @[Mux.scala 27:72] - bus_new_data_beat_count <= _T_2599 @[el2_ifu_mem_ctl.scala 595:27] - reg _T_2600 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 596:56] - _T_2600 <= bus_new_data_beat_count @[el2_ifu_mem_ctl.scala 596:56] - bus_data_beat_count <= _T_2600 @[el2_ifu_mem_ctl.scala 596:23] - node _T_2601 = and(bus_ifu_wr_en_ff, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 597:49] - node _T_2602 = eq(scnd_miss_req, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 597:73] - node _T_2603 = and(_T_2601, _T_2602) @[el2_ifu_mem_ctl.scala 597:71] - node _T_2604 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 597:116] - node _T_2605 = and(last_data_recieved_ff, _T_2604) @[el2_ifu_mem_ctl.scala 597:114] - node last_data_recieved_in = or(_T_2603, _T_2605) @[el2_ifu_mem_ctl.scala 597:89] - reg _T_2606 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 598:58] - _T_2606 <= last_data_recieved_in @[el2_ifu_mem_ctl.scala 598:58] - last_data_recieved_ff <= _T_2606 @[el2_ifu_mem_ctl.scala 598:25] - node _T_2607 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 600:35] - node _T_2608 = bits(imb_ff, 4, 2) @[el2_ifu_mem_ctl.scala 600:56] - node _T_2609 = bits(imb_scnd_ff, 4, 2) @[el2_ifu_mem_ctl.scala 601:39] - node _T_2610 = add(bus_rd_addr_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 602:45] - node _T_2611 = tail(_T_2610, 1) @[el2_ifu_mem_ctl.scala 602:45] - node _T_2612 = mux(bus_cmd_sent, _T_2611, bus_rd_addr_count) @[el2_ifu_mem_ctl.scala 602:12] - node _T_2613 = mux(scnd_miss_req_q, _T_2609, _T_2612) @[el2_ifu_mem_ctl.scala 601:10] - node bus_new_rd_addr_count = mux(_T_2607, _T_2608, _T_2613) @[el2_ifu_mem_ctl.scala 600:34] - node _T_2614 = or(bus_ifu_bus_clk_en, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 603:81] - node _T_2615 = or(_T_2614, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 603:97] + bus_new_data_beat_count <= _T_2599 @[el2_ifu_mem_ctl.scala 596:27] + reg _T_2600 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 597:56] + _T_2600 <= bus_new_data_beat_count @[el2_ifu_mem_ctl.scala 597:56] + bus_data_beat_count <= _T_2600 @[el2_ifu_mem_ctl.scala 597:23] + node _T_2601 = and(bus_ifu_wr_en_ff, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 598:49] + node _T_2602 = eq(scnd_miss_req, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 598:73] + node _T_2603 = and(_T_2601, _T_2602) @[el2_ifu_mem_ctl.scala 598:71] + node _T_2604 = eq(ic_act_miss_f, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 598:116] + node _T_2605 = and(last_data_recieved_ff, _T_2604) @[el2_ifu_mem_ctl.scala 598:114] + node last_data_recieved_in = or(_T_2603, _T_2605) @[el2_ifu_mem_ctl.scala 598:89] + reg _T_2606 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 599:58] + _T_2606 <= last_data_recieved_in @[el2_ifu_mem_ctl.scala 599:58] + last_data_recieved_ff <= _T_2606 @[el2_ifu_mem_ctl.scala 599:25] + node _T_2607 = eq(miss_pending, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 601:35] + node _T_2608 = bits(imb_ff, 4, 2) @[el2_ifu_mem_ctl.scala 601:56] + node _T_2609 = bits(imb_scnd_ff, 4, 2) @[el2_ifu_mem_ctl.scala 602:39] + node _T_2610 = add(bus_rd_addr_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 603:45] + node _T_2611 = tail(_T_2610, 1) @[el2_ifu_mem_ctl.scala 603:45] + node _T_2612 = mux(bus_cmd_sent, _T_2611, bus_rd_addr_count) @[el2_ifu_mem_ctl.scala 603:12] + node _T_2613 = mux(scnd_miss_req_q, _T_2609, _T_2612) @[el2_ifu_mem_ctl.scala 602:10] + node bus_new_rd_addr_count = mux(_T_2607, _T_2608, _T_2613) @[el2_ifu_mem_ctl.scala 601:34] + node _T_2614 = or(bus_ifu_bus_clk_en, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 604:81] + node _T_2615 = or(_T_2614, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 604:97] reg _T_2616 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_2615 : @[Reg.scala 28:19] _T_2616 <= bus_new_rd_addr_count @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bus_rd_addr_count <= _T_2616 @[el2_ifu_mem_ctl.scala 603:21] - node _T_2617 = and(ifu_bus_cmd_valid, ifu_bus_cmd_ready) @[el2_ifu_mem_ctl.scala 605:48] - node _T_2618 = and(_T_2617, miss_pending) @[el2_ifu_mem_ctl.scala 605:68] - node _T_2619 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 605:85] - node bus_inc_cmd_beat_cnt = and(_T_2618, _T_2619) @[el2_ifu_mem_ctl.scala 605:83] - node _T_2620 = eq(uncacheable_miss_in, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 606:51] - node _T_2621 = and(ic_act_miss_f, _T_2620) @[el2_ifu_mem_ctl.scala 606:49] - node bus_reset_cmd_beat_cnt_0 = or(_T_2621, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 606:73] - node bus_reset_cmd_beat_cnt_secondlast = and(ic_act_miss_f, uncacheable_miss_in) @[el2_ifu_mem_ctl.scala 607:57] - node _T_2622 = eq(bus_inc_cmd_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 608:31] - node _T_2623 = or(ic_act_miss_f, scnd_miss_req) @[el2_ifu_mem_ctl.scala 608:71] - node _T_2624 = or(_T_2623, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 608:87] - node _T_2625 = eq(_T_2624, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 608:55] - node bus_hold_cmd_beat_cnt = and(_T_2622, _T_2625) @[el2_ifu_mem_ctl.scala 608:53] - node _T_2626 = or(bus_inc_cmd_beat_cnt, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 609:46] - node bus_cmd_beat_en = or(_T_2626, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 609:62] - node _T_2627 = bits(bus_reset_cmd_beat_cnt_secondlast, 0, 0) @[el2_ifu_mem_ctl.scala 610:107] - node _T_2628 = add(bus_cmd_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 611:46] - node _T_2629 = tail(_T_2628, 1) @[el2_ifu_mem_ctl.scala 611:46] + bus_rd_addr_count <= _T_2616 @[el2_ifu_mem_ctl.scala 604:21] + node _T_2617 = and(ifu_bus_cmd_valid, ifu_bus_cmd_ready) @[el2_ifu_mem_ctl.scala 606:48] + node _T_2618 = and(_T_2617, miss_pending) @[el2_ifu_mem_ctl.scala 606:68] + node _T_2619 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 606:85] + node bus_inc_cmd_beat_cnt = and(_T_2618, _T_2619) @[el2_ifu_mem_ctl.scala 606:83] + node _T_2620 = eq(uncacheable_miss_in, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 607:51] + node _T_2621 = and(ic_act_miss_f, _T_2620) @[el2_ifu_mem_ctl.scala 607:49] + node bus_reset_cmd_beat_cnt_0 = or(_T_2621, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 607:73] + node bus_reset_cmd_beat_cnt_secondlast = and(ic_act_miss_f, uncacheable_miss_in) @[el2_ifu_mem_ctl.scala 608:57] + node _T_2622 = eq(bus_inc_cmd_beat_cnt, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 609:31] + node _T_2623 = or(ic_act_miss_f, scnd_miss_req) @[el2_ifu_mem_ctl.scala 609:71] + node _T_2624 = or(_T_2623, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 609:87] + node _T_2625 = eq(_T_2624, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 609:55] + node bus_hold_cmd_beat_cnt = and(_T_2622, _T_2625) @[el2_ifu_mem_ctl.scala 609:53] + node _T_2626 = or(bus_inc_cmd_beat_cnt, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 610:46] + node bus_cmd_beat_en = or(_T_2626, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 610:62] + node _T_2627 = bits(bus_reset_cmd_beat_cnt_secondlast, 0, 0) @[el2_ifu_mem_ctl.scala 611:107] + node _T_2628 = add(bus_cmd_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 612:46] + node _T_2629 = tail(_T_2628, 1) @[el2_ifu_mem_ctl.scala 612:46] node _T_2630 = mux(bus_reset_cmd_beat_cnt_0, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_2631 = mux(_T_2627, UInt<3>("h06"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_2632 = mux(bus_inc_cmd_beat_cnt, _T_2629, UInt<1>("h00")) @[Mux.scala 27:72] @@ -3700,91 +3700,91 @@ circuit el2_ifu_mem_ctl : node _T_2636 = or(_T_2635, _T_2633) @[Mux.scala 27:72] wire bus_new_cmd_beat_count : UInt<3> @[Mux.scala 27:72] bus_new_cmd_beat_count <= _T_2636 @[Mux.scala 27:72] - node _T_2637 = or(bus_ifu_bus_clk_en, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 612:84] - node _T_2638 = or(_T_2637, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 612:100] - node _T_2639 = and(_T_2638, bus_cmd_beat_en) @[el2_ifu_mem_ctl.scala 612:125] + node _T_2637 = or(bus_ifu_bus_clk_en, ic_act_miss_f) @[el2_ifu_mem_ctl.scala 613:84] + node _T_2638 = or(_T_2637, io.dec_tlu_force_halt) @[el2_ifu_mem_ctl.scala 613:100] + node _T_2639 = and(_T_2638, bus_cmd_beat_en) @[el2_ifu_mem_ctl.scala 613:125] reg _T_2640 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_2639 : @[Reg.scala 28:19] _T_2640 <= bus_new_cmd_beat_count @[Reg.scala 28:23] skip @[Reg.scala 28:19] - bus_cmd_beat_count <= _T_2640 @[el2_ifu_mem_ctl.scala 612:22] - node _T_2641 = eq(bus_data_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 613:69] - node _T_2642 = andr(bus_data_beat_count) @[el2_ifu_mem_ctl.scala 613:101] - node _T_2643 = mux(uncacheable_miss_ff, _T_2641, _T_2642) @[el2_ifu_mem_ctl.scala 613:28] - bus_last_data_beat <= _T_2643 @[el2_ifu_mem_ctl.scala 613:22] - node _T_2644 = and(ifu_bus_rvalid, miss_pending) @[el2_ifu_mem_ctl.scala 614:35] - bus_ifu_wr_en <= _T_2644 @[el2_ifu_mem_ctl.scala 614:17] - node _T_2645 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 615:41] - bus_ifu_wr_en_ff <= _T_2645 @[el2_ifu_mem_ctl.scala 615:20] - node _T_2646 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 616:44] - node _T_2647 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 616:61] - node _T_2648 = and(_T_2646, _T_2647) @[el2_ifu_mem_ctl.scala 616:59] - node _T_2649 = orr(ifu_bus_rresp_ff) @[el2_ifu_mem_ctl.scala 616:103] - node _T_2650 = eq(_T_2649, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 616:84] - node _T_2651 = and(_T_2648, _T_2650) @[el2_ifu_mem_ctl.scala 616:82] - node _T_2652 = and(_T_2651, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 616:108] - bus_ifu_wr_en_ff_q <= _T_2652 @[el2_ifu_mem_ctl.scala 616:22] - node _T_2653 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 617:51] - node _T_2654 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 617:68] - node bus_ifu_wr_en_ff_wo_err = and(_T_2653, _T_2654) @[el2_ifu_mem_ctl.scala 617:66] - reg ic_act_miss_f_delayed : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 618:61] - ic_act_miss_f_delayed <= ic_act_miss_f @[el2_ifu_mem_ctl.scala 618:61] - node _T_2655 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 619:66] - node _T_2656 = and(ic_act_miss_f_delayed, _T_2655) @[el2_ifu_mem_ctl.scala 619:53] - node _T_2657 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 619:86] - node _T_2658 = and(_T_2656, _T_2657) @[el2_ifu_mem_ctl.scala 619:84] - reset_tag_valid_for_miss <= _T_2658 @[el2_ifu_mem_ctl.scala 619:28] - node _T_2659 = orr(io.ifu_axi_rresp) @[el2_ifu_mem_ctl.scala 620:47] - node _T_2660 = and(_T_2659, ifu_bus_rvalid) @[el2_ifu_mem_ctl.scala 620:50] - node _T_2661 = and(_T_2660, miss_pending) @[el2_ifu_mem_ctl.scala 620:68] - bus_ifu_wr_data_error <= _T_2661 @[el2_ifu_mem_ctl.scala 620:25] - node _T_2662 = orr(ifu_bus_rresp_ff) @[el2_ifu_mem_ctl.scala 621:48] - node _T_2663 = and(_T_2662, ifu_bus_rvalid_ff) @[el2_ifu_mem_ctl.scala 621:52] - node _T_2664 = and(_T_2663, miss_pending) @[el2_ifu_mem_ctl.scala 621:73] - bus_ifu_wr_data_error_ff <= _T_2664 @[el2_ifu_mem_ctl.scala 621:28] + bus_cmd_beat_count <= _T_2640 @[el2_ifu_mem_ctl.scala 613:22] + node _T_2641 = eq(bus_data_beat_count, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 614:69] + node _T_2642 = andr(bus_data_beat_count) @[el2_ifu_mem_ctl.scala 614:101] + node _T_2643 = mux(uncacheable_miss_ff, _T_2641, _T_2642) @[el2_ifu_mem_ctl.scala 614:28] + bus_last_data_beat <= _T_2643 @[el2_ifu_mem_ctl.scala 614:22] + node _T_2644 = and(ifu_bus_rvalid, miss_pending) @[el2_ifu_mem_ctl.scala 615:35] + bus_ifu_wr_en <= _T_2644 @[el2_ifu_mem_ctl.scala 615:17] + node _T_2645 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 616:41] + bus_ifu_wr_en_ff <= _T_2645 @[el2_ifu_mem_ctl.scala 616:20] + node _T_2646 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 617:44] + node _T_2647 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 617:61] + node _T_2648 = and(_T_2646, _T_2647) @[el2_ifu_mem_ctl.scala 617:59] + node _T_2649 = orr(ifu_bus_rresp_ff) @[el2_ifu_mem_ctl.scala 617:103] + node _T_2650 = eq(_T_2649, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 617:84] + node _T_2651 = and(_T_2648, _T_2650) @[el2_ifu_mem_ctl.scala 617:82] + node _T_2652 = and(_T_2651, write_ic_16_bytes) @[el2_ifu_mem_ctl.scala 617:108] + bus_ifu_wr_en_ff_q <= _T_2652 @[el2_ifu_mem_ctl.scala 617:22] + node _T_2653 = and(ifu_bus_rvalid_ff, miss_pending) @[el2_ifu_mem_ctl.scala 618:51] + node _T_2654 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 618:68] + node bus_ifu_wr_en_ff_wo_err = and(_T_2653, _T_2654) @[el2_ifu_mem_ctl.scala 618:66] + reg ic_act_miss_f_delayed : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 619:61] + ic_act_miss_f_delayed <= ic_act_miss_f @[el2_ifu_mem_ctl.scala 619:61] + node _T_2655 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 620:66] + node _T_2656 = and(ic_act_miss_f_delayed, _T_2655) @[el2_ifu_mem_ctl.scala 620:53] + node _T_2657 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 620:86] + node _T_2658 = and(_T_2656, _T_2657) @[el2_ifu_mem_ctl.scala 620:84] + reset_tag_valid_for_miss <= _T_2658 @[el2_ifu_mem_ctl.scala 620:28] + node _T_2659 = orr(io.ifu_axi_rresp) @[el2_ifu_mem_ctl.scala 621:47] + node _T_2660 = and(_T_2659, ifu_bus_rvalid) @[el2_ifu_mem_ctl.scala 621:50] + node _T_2661 = and(_T_2660, miss_pending) @[el2_ifu_mem_ctl.scala 621:68] + bus_ifu_wr_data_error <= _T_2661 @[el2_ifu_mem_ctl.scala 621:25] + node _T_2662 = orr(ifu_bus_rresp_ff) @[el2_ifu_mem_ctl.scala 622:48] + node _T_2663 = and(_T_2662, ifu_bus_rvalid_ff) @[el2_ifu_mem_ctl.scala 622:52] + node _T_2664 = and(_T_2663, miss_pending) @[el2_ifu_mem_ctl.scala 622:73] + bus_ifu_wr_data_error_ff <= _T_2664 @[el2_ifu_mem_ctl.scala 622:28] wire ifc_dma_access_ok_d : UInt<1> ifc_dma_access_ok_d <= UInt<1>("h00") - reg ifc_dma_access_ok_prev : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 623:62] - ifc_dma_access_ok_prev <= ifc_dma_access_ok_d @[el2_ifu_mem_ctl.scala 623:62] - node _T_2665 = or(ic_crit_wd_rdy_new_in, ic_crit_wd_rdy_new_ff) @[el2_ifu_mem_ctl.scala 624:43] - ic_crit_wd_rdy <= _T_2665 @[el2_ifu_mem_ctl.scala 624:18] - node _T_2666 = and(bus_last_data_beat, bus_ifu_wr_en_ff) @[el2_ifu_mem_ctl.scala 625:35] - last_beat <= _T_2666 @[el2_ifu_mem_ctl.scala 625:13] - reset_beat_cnt <= bus_reset_data_beat_cnt @[el2_ifu_mem_ctl.scala 626:18] - node _T_2667 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 628:50] - node _T_2668 = and(io.ifc_dma_access_ok, _T_2667) @[el2_ifu_mem_ctl.scala 628:47] - node _T_2669 = eq(io.iccm_dma_sb_error, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 628:70] - node _T_2670 = and(_T_2668, _T_2669) @[el2_ifu_mem_ctl.scala 628:68] - ifc_dma_access_ok_d <= _T_2670 @[el2_ifu_mem_ctl.scala 628:23] - node _T_2671 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 629:54] - node _T_2672 = and(io.ifc_dma_access_ok, _T_2671) @[el2_ifu_mem_ctl.scala 629:51] - node _T_2673 = and(_T_2672, ifc_dma_access_ok_prev) @[el2_ifu_mem_ctl.scala 629:72] - node _T_2674 = eq(perr_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 629:111] - node _T_2675 = and(_T_2673, _T_2674) @[el2_ifu_mem_ctl.scala 629:97] - node _T_2676 = eq(io.iccm_dma_sb_error, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 629:129] - node ifc_dma_access_q_ok = and(_T_2675, _T_2676) @[el2_ifu_mem_ctl.scala 629:127] - io.iccm_ready <= ifc_dma_access_q_ok @[el2_ifu_mem_ctl.scala 630:17] - reg _T_2677 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 631:51] - _T_2677 <= io.dma_iccm_req @[el2_ifu_mem_ctl.scala 631:51] - dma_iccm_req_f <= _T_2677 @[el2_ifu_mem_ctl.scala 631:18] - node _T_2678 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 632:40] - node _T_2679 = and(_T_2678, io.dma_mem_write) @[el2_ifu_mem_ctl.scala 632:58] - node _T_2680 = or(_T_2679, iccm_correct_ecc) @[el2_ifu_mem_ctl.scala 632:79] - io.iccm_wren <= _T_2680 @[el2_ifu_mem_ctl.scala 632:16] - node _T_2681 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 633:40] - node _T_2682 = eq(io.dma_mem_write, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 633:60] - node _T_2683 = and(_T_2681, _T_2682) @[el2_ifu_mem_ctl.scala 633:58] - node _T_2684 = and(io.ifc_iccm_access_bf, io.ifc_fetch_req_bf) @[el2_ifu_mem_ctl.scala 633:104] - node _T_2685 = or(_T_2683, _T_2684) @[el2_ifu_mem_ctl.scala 633:79] - io.iccm_rden <= _T_2685 @[el2_ifu_mem_ctl.scala 633:16] - node _T_2686 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 634:43] - node _T_2687 = eq(io.dma_mem_write, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 634:63] - node iccm_dma_rden = and(_T_2686, _T_2687) @[el2_ifu_mem_ctl.scala 634:61] + reg ifc_dma_access_ok_prev : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 624:62] + ifc_dma_access_ok_prev <= ifc_dma_access_ok_d @[el2_ifu_mem_ctl.scala 624:62] + node _T_2665 = or(ic_crit_wd_rdy_new_in, ic_crit_wd_rdy_new_ff) @[el2_ifu_mem_ctl.scala 625:43] + ic_crit_wd_rdy <= _T_2665 @[el2_ifu_mem_ctl.scala 625:18] + node _T_2666 = and(bus_last_data_beat, bus_ifu_wr_en_ff) @[el2_ifu_mem_ctl.scala 626:35] + last_beat <= _T_2666 @[el2_ifu_mem_ctl.scala 626:13] + reset_beat_cnt <= bus_reset_data_beat_cnt @[el2_ifu_mem_ctl.scala 627:18] + node _T_2667 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 629:50] + node _T_2668 = and(io.ifc_dma_access_ok, _T_2667) @[el2_ifu_mem_ctl.scala 629:47] + node _T_2669 = eq(io.iccm_dma_sb_error, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 629:70] + node _T_2670 = and(_T_2668, _T_2669) @[el2_ifu_mem_ctl.scala 629:68] + ifc_dma_access_ok_d <= _T_2670 @[el2_ifu_mem_ctl.scala 629:23] + node _T_2671 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 630:54] + node _T_2672 = and(io.ifc_dma_access_ok, _T_2671) @[el2_ifu_mem_ctl.scala 630:51] + node _T_2673 = and(_T_2672, ifc_dma_access_ok_prev) @[el2_ifu_mem_ctl.scala 630:72] + node _T_2674 = eq(perr_state, UInt<3>("h00")) @[el2_ifu_mem_ctl.scala 630:111] + node _T_2675 = and(_T_2673, _T_2674) @[el2_ifu_mem_ctl.scala 630:97] + node _T_2676 = eq(io.iccm_dma_sb_error, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 630:129] + node ifc_dma_access_q_ok = and(_T_2675, _T_2676) @[el2_ifu_mem_ctl.scala 630:127] + io.iccm_ready <= ifc_dma_access_q_ok @[el2_ifu_mem_ctl.scala 631:17] + reg _T_2677 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 632:51] + _T_2677 <= io.dma_iccm_req @[el2_ifu_mem_ctl.scala 632:51] + dma_iccm_req_f <= _T_2677 @[el2_ifu_mem_ctl.scala 632:18] + node _T_2678 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 633:40] + node _T_2679 = and(_T_2678, io.dma_mem_write) @[el2_ifu_mem_ctl.scala 633:58] + node _T_2680 = or(_T_2679, iccm_correct_ecc) @[el2_ifu_mem_ctl.scala 633:79] + io.iccm_wren <= _T_2680 @[el2_ifu_mem_ctl.scala 633:16] + node _T_2681 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 634:40] + node _T_2682 = eq(io.dma_mem_write, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 634:60] + node _T_2683 = and(_T_2681, _T_2682) @[el2_ifu_mem_ctl.scala 634:58] + node _T_2684 = and(io.ifc_iccm_access_bf, io.ifc_fetch_req_bf) @[el2_ifu_mem_ctl.scala 634:104] + node _T_2685 = or(_T_2683, _T_2684) @[el2_ifu_mem_ctl.scala 634:79] + io.iccm_rden <= _T_2685 @[el2_ifu_mem_ctl.scala 634:16] + node _T_2686 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 635:43] + node _T_2687 = eq(io.dma_mem_write, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 635:63] + node iccm_dma_rden = and(_T_2686, _T_2687) @[el2_ifu_mem_ctl.scala 635:61] node _T_2688 = bits(io.dma_iccm_req, 0, 0) @[Bitwise.scala 72:15] node _T_2689 = mux(_T_2688, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_2690 = and(_T_2689, io.dma_mem_sz) @[el2_ifu_mem_ctl.scala 635:47] - io.iccm_wr_size <= _T_2690 @[el2_ifu_mem_ctl.scala 635:19] - node _T_2691 = bits(io.dma_mem_wdata, 63, 32) @[el2_ifu_mem_ctl.scala 636:54] + node _T_2690 = and(_T_2689, io.dma_mem_sz) @[el2_ifu_mem_ctl.scala 636:47] + io.iccm_wr_size <= _T_2690 @[el2_ifu_mem_ctl.scala 636:19] + node _T_2691 = bits(io.dma_mem_wdata, 63, 32) @[el2_ifu_mem_ctl.scala 637:54] wire _T_2692 : UInt<1>[18] @[el2_lib.scala 250:18] wire _T_2693 : UInt<1>[18] @[el2_lib.scala 251:18] wire _T_2694 : UInt<1>[18] @[el2_lib.scala 252:18] @@ -4070,7 +4070,7 @@ circuit el2_ifu_mem_ctl : node _T_2884 = xorr(_T_2882) @[el2_lib.scala 269:23] node _T_2885 = xor(_T_2883, _T_2884) @[el2_lib.scala 269:18] node _T_2886 = cat(_T_2885, _T_2882) @[Cat.scala 29:58] - node _T_2887 = bits(io.dma_mem_wdata, 31, 0) @[el2_ifu_mem_ctl.scala 636:93] + node _T_2887 = bits(io.dma_mem_wdata, 31, 0) @[el2_ifu_mem_ctl.scala 637:93] wire _T_2888 : UInt<1>[18] @[el2_lib.scala 250:18] wire _T_2889 : UInt<1>[18] @[el2_lib.scala 251:18] wire _T_2890 : UInt<1>[18] @[el2_lib.scala 252:18] @@ -4359,87 +4359,87 @@ circuit el2_ifu_mem_ctl : node dma_mem_ecc = cat(_T_2886, _T_3082) @[Cat.scala 29:58] wire iccm_ecc_corr_data_ff : UInt<39> iccm_ecc_corr_data_ff <= UInt<1>("h00") - node _T_3083 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 638:67] - node _T_3084 = eq(_T_3083, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 638:45] - node _T_3085 = and(iccm_correct_ecc, _T_3084) @[el2_ifu_mem_ctl.scala 638:43] + node _T_3083 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 639:67] + node _T_3084 = eq(_T_3083, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 639:45] + node _T_3085 = and(iccm_correct_ecc, _T_3084) @[el2_ifu_mem_ctl.scala 639:43] node _T_3086 = cat(iccm_ecc_corr_data_ff, iccm_ecc_corr_data_ff) @[Cat.scala 29:58] - node _T_3087 = bits(dma_mem_ecc, 13, 7) @[el2_ifu_mem_ctl.scala 639:20] - node _T_3088 = bits(io.dma_mem_wdata, 63, 32) @[el2_ifu_mem_ctl.scala 639:43] - node _T_3089 = bits(dma_mem_ecc, 6, 0) @[el2_ifu_mem_ctl.scala 639:63] - node _T_3090 = bits(io.dma_mem_wdata, 31, 0) @[el2_ifu_mem_ctl.scala 639:86] + node _T_3087 = bits(dma_mem_ecc, 13, 7) @[el2_ifu_mem_ctl.scala 640:20] + node _T_3088 = bits(io.dma_mem_wdata, 63, 32) @[el2_ifu_mem_ctl.scala 640:43] + node _T_3089 = bits(dma_mem_ecc, 6, 0) @[el2_ifu_mem_ctl.scala 640:63] + node _T_3090 = bits(io.dma_mem_wdata, 31, 0) @[el2_ifu_mem_ctl.scala 640:86] node _T_3091 = cat(_T_3089, _T_3090) @[Cat.scala 29:58] node _T_3092 = cat(_T_3087, _T_3088) @[Cat.scala 29:58] node _T_3093 = cat(_T_3092, _T_3091) @[Cat.scala 29:58] - node _T_3094 = mux(_T_3085, _T_3086, _T_3093) @[el2_ifu_mem_ctl.scala 638:25] - io.iccm_wr_data <= _T_3094 @[el2_ifu_mem_ctl.scala 638:19] - wire iccm_corrected_data : UInt<32>[2] @[el2_ifu_mem_ctl.scala 640:33] - iccm_corrected_data[0] <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 641:26] - iccm_corrected_data[1] <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 642:26] + node _T_3094 = mux(_T_3085, _T_3086, _T_3093) @[el2_ifu_mem_ctl.scala 639:25] + io.iccm_wr_data <= _T_3094 @[el2_ifu_mem_ctl.scala 639:19] + wire iccm_corrected_data : UInt<32>[2] @[el2_ifu_mem_ctl.scala 641:33] + iccm_corrected_data[0] <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 642:26] + iccm_corrected_data[1] <= UInt<1>("h00") @[el2_ifu_mem_ctl.scala 643:26] wire dma_mem_addr_ff : UInt<2> dma_mem_addr_ff <= UInt<1>("h00") - node _T_3095 = bits(dma_mem_addr_ff, 0, 0) @[el2_ifu_mem_ctl.scala 644:51] - node _T_3096 = bits(_T_3095, 0, 0) @[el2_ifu_mem_ctl.scala 644:55] - node iccm_dma_rdata_1_muxed = mux(_T_3096, iccm_corrected_data[0], iccm_corrected_data[1]) @[el2_ifu_mem_ctl.scala 644:35] + node _T_3095 = bits(dma_mem_addr_ff, 0, 0) @[el2_ifu_mem_ctl.scala 645:51] + node _T_3096 = bits(_T_3095, 0, 0) @[el2_ifu_mem_ctl.scala 645:55] + node iccm_dma_rdata_1_muxed = mux(_T_3096, iccm_corrected_data[0], iccm_corrected_data[1]) @[el2_ifu_mem_ctl.scala 645:35] wire iccm_double_ecc_error : UInt<2> iccm_double_ecc_error <= UInt<1>("h00") - node iccm_dma_ecc_error_in = orr(iccm_double_ecc_error) @[el2_ifu_mem_ctl.scala 646:53] + node iccm_dma_ecc_error_in = orr(iccm_double_ecc_error) @[el2_ifu_mem_ctl.scala 647:53] node _T_3097 = cat(io.dma_mem_addr, io.dma_mem_addr) @[Cat.scala 29:58] node _T_3098 = cat(iccm_dma_rdata_1_muxed, iccm_corrected_data[0]) @[Cat.scala 29:58] - node iccm_dma_rdata_in = mux(iccm_dma_ecc_error_in, _T_3097, _T_3098) @[el2_ifu_mem_ctl.scala 647:30] - reg dma_mem_tag_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 648:54] - dma_mem_tag_ff <= io.dma_mem_tag @[el2_ifu_mem_ctl.scala 648:54] - reg iccm_dma_rtag : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 649:69] - iccm_dma_rtag <= dma_mem_tag_ff @[el2_ifu_mem_ctl.scala 649:69] - io.iccm_dma_rtag <= iccm_dma_rtag @[el2_ifu_mem_ctl.scala 650:20] - node _T_3099 = bits(io.dma_mem_addr, 3, 2) @[el2_ifu_mem_ctl.scala 652:69] - reg _T_3100 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 652:53] - _T_3100 <= _T_3099 @[el2_ifu_mem_ctl.scala 652:53] - dma_mem_addr_ff <= _T_3100 @[el2_ifu_mem_ctl.scala 652:19] - reg iccm_dma_rvalid_in : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 653:59] - iccm_dma_rvalid_in <= iccm_dma_rden @[el2_ifu_mem_ctl.scala 653:59] - reg iccm_dma_rvalid : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 654:71] - iccm_dma_rvalid <= iccm_dma_rvalid_in @[el2_ifu_mem_ctl.scala 654:71] - io.iccm_dma_rvalid <= iccm_dma_rvalid @[el2_ifu_mem_ctl.scala 655:22] - reg iccm_dma_ecc_error : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 656:74] - iccm_dma_ecc_error <= iccm_dma_ecc_error_in @[el2_ifu_mem_ctl.scala 656:74] - io.iccm_dma_ecc_error <= iccm_dma_ecc_error_in @[el2_ifu_mem_ctl.scala 657:25] - reg iccm_dma_rdata : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 658:70] - iccm_dma_rdata <= iccm_dma_rdata_in @[el2_ifu_mem_ctl.scala 658:70] - io.iccm_dma_rdata <= iccm_dma_rdata @[el2_ifu_mem_ctl.scala 659:21] + node iccm_dma_rdata_in = mux(iccm_dma_ecc_error_in, _T_3097, _T_3098) @[el2_ifu_mem_ctl.scala 648:30] + reg dma_mem_tag_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 649:54] + dma_mem_tag_ff <= io.dma_mem_tag @[el2_ifu_mem_ctl.scala 649:54] + reg iccm_dma_rtag : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 650:69] + iccm_dma_rtag <= dma_mem_tag_ff @[el2_ifu_mem_ctl.scala 650:69] + io.iccm_dma_rtag <= iccm_dma_rtag @[el2_ifu_mem_ctl.scala 651:20] + node _T_3099 = bits(io.dma_mem_addr, 3, 2) @[el2_ifu_mem_ctl.scala 653:69] + reg _T_3100 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 653:53] + _T_3100 <= _T_3099 @[el2_ifu_mem_ctl.scala 653:53] + dma_mem_addr_ff <= _T_3100 @[el2_ifu_mem_ctl.scala 653:19] + reg iccm_dma_rvalid_in : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 654:59] + iccm_dma_rvalid_in <= iccm_dma_rden @[el2_ifu_mem_ctl.scala 654:59] + reg iccm_dma_rvalid : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 655:71] + iccm_dma_rvalid <= iccm_dma_rvalid_in @[el2_ifu_mem_ctl.scala 655:71] + io.iccm_dma_rvalid <= iccm_dma_rvalid @[el2_ifu_mem_ctl.scala 656:22] + reg iccm_dma_ecc_error : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 657:74] + iccm_dma_ecc_error <= iccm_dma_ecc_error_in @[el2_ifu_mem_ctl.scala 657:74] + io.iccm_dma_ecc_error <= iccm_dma_ecc_error_in @[el2_ifu_mem_ctl.scala 658:25] + reg iccm_dma_rdata : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 659:70] + iccm_dma_rdata <= iccm_dma_rdata_in @[el2_ifu_mem_ctl.scala 659:70] + io.iccm_dma_rdata <= iccm_dma_rdata @[el2_ifu_mem_ctl.scala 660:21] wire iccm_ecc_corr_index_ff : UInt<14> iccm_ecc_corr_index_ff <= UInt<1>("h00") - node _T_3101 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 661:46] - node _T_3102 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 661:67] - node _T_3103 = and(_T_3101, _T_3102) @[el2_ifu_mem_ctl.scala 661:65] - node _T_3104 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 662:31] - node _T_3105 = eq(_T_3104, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 662:9] - node _T_3106 = and(_T_3105, iccm_correct_ecc) @[el2_ifu_mem_ctl.scala 662:50] + node _T_3101 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 662:46] + node _T_3102 = eq(iccm_correct_ecc, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 662:67] + node _T_3103 = and(_T_3101, _T_3102) @[el2_ifu_mem_ctl.scala 662:65] + node _T_3104 = and(ifc_dma_access_q_ok, io.dma_iccm_req) @[el2_ifu_mem_ctl.scala 663:31] + node _T_3105 = eq(_T_3104, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 663:9] + node _T_3106 = and(_T_3105, iccm_correct_ecc) @[el2_ifu_mem_ctl.scala 663:50] node _T_3107 = cat(iccm_ecc_corr_index_ff, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_3108 = bits(io.ifc_fetch_addr_bf, 15, 0) @[el2_ifu_mem_ctl.scala 662:124] - node _T_3109 = mux(_T_3106, _T_3107, _T_3108) @[el2_ifu_mem_ctl.scala 662:8] - node _T_3110 = mux(_T_3103, io.dma_mem_addr, _T_3109) @[el2_ifu_mem_ctl.scala 661:25] - io.iccm_rw_addr <= _T_3110 @[el2_ifu_mem_ctl.scala 661:19] + node _T_3108 = bits(io.ifc_fetch_addr_bf, 15, 0) @[el2_ifu_mem_ctl.scala 663:124] + node _T_3109 = mux(_T_3106, _T_3107, _T_3108) @[el2_ifu_mem_ctl.scala 663:8] + node _T_3110 = mux(_T_3103, io.dma_mem_addr, _T_3109) @[el2_ifu_mem_ctl.scala 662:25] + io.iccm_rw_addr <= _T_3110 @[el2_ifu_mem_ctl.scala 662:19] node ic_fetch_val_int_f = cat(UInt<2>("h00"), io.ic_fetch_val_f) @[Cat.scala 29:58] - node _T_3111 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 664:76] - node ic_fetch_val_shift_right = dshl(ic_fetch_val_int_f, _T_3111) @[el2_ifu_mem_ctl.scala 664:53] - node _T_3112 = bits(ic_fetch_val_shift_right, 1, 0) @[el2_ifu_mem_ctl.scala 667:75] - node _T_3113 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 667:93] - node _T_3114 = and(_T_3112, _T_3113) @[el2_ifu_mem_ctl.scala 667:91] - node _T_3115 = and(_T_3114, fetch_req_iccm_f) @[el2_ifu_mem_ctl.scala 667:113] - node _T_3116 = or(_T_3115, iccm_dma_rvalid_in) @[el2_ifu_mem_ctl.scala 667:130] - node _T_3117 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 667:154] - node _T_3118 = and(_T_3116, _T_3117) @[el2_ifu_mem_ctl.scala 667:152] - node _T_3119 = bits(ic_fetch_val_shift_right, 3, 2) @[el2_ifu_mem_ctl.scala 667:75] - node _T_3120 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 667:93] - node _T_3121 = and(_T_3119, _T_3120) @[el2_ifu_mem_ctl.scala 667:91] - node _T_3122 = and(_T_3121, fetch_req_iccm_f) @[el2_ifu_mem_ctl.scala 667:113] - node _T_3123 = or(_T_3122, iccm_dma_rvalid_in) @[el2_ifu_mem_ctl.scala 667:130] - node _T_3124 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 667:154] - node _T_3125 = and(_T_3123, _T_3124) @[el2_ifu_mem_ctl.scala 667:152] + node _T_3111 = bits(ifu_fetch_addr_int_f, 0, 0) @[el2_ifu_mem_ctl.scala 665:76] + node ic_fetch_val_shift_right = dshl(ic_fetch_val_int_f, _T_3111) @[el2_ifu_mem_ctl.scala 665:53] + node _T_3112 = bits(ic_fetch_val_shift_right, 1, 0) @[el2_ifu_mem_ctl.scala 668:75] + node _T_3113 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 668:93] + node _T_3114 = and(_T_3112, _T_3113) @[el2_ifu_mem_ctl.scala 668:91] + node _T_3115 = and(_T_3114, fetch_req_iccm_f) @[el2_ifu_mem_ctl.scala 668:113] + node _T_3116 = or(_T_3115, iccm_dma_rvalid_in) @[el2_ifu_mem_ctl.scala 668:130] + node _T_3117 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 668:154] + node _T_3118 = and(_T_3116, _T_3117) @[el2_ifu_mem_ctl.scala 668:152] + node _T_3119 = bits(ic_fetch_val_shift_right, 3, 2) @[el2_ifu_mem_ctl.scala 668:75] + node _T_3120 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 668:93] + node _T_3121 = and(_T_3119, _T_3120) @[el2_ifu_mem_ctl.scala 668:91] + node _T_3122 = and(_T_3121, fetch_req_iccm_f) @[el2_ifu_mem_ctl.scala 668:113] + node _T_3123 = or(_T_3122, iccm_dma_rvalid_in) @[el2_ifu_mem_ctl.scala 668:130] + node _T_3124 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 668:154] + node _T_3125 = and(_T_3123, _T_3124) @[el2_ifu_mem_ctl.scala 668:152] node iccm_ecc_word_enable = cat(_T_3125, _T_3118) @[Cat.scala 29:58] - node _T_3126 = bits(iccm_ecc_word_enable, 0, 0) @[el2_ifu_mem_ctl.scala 668:73] - node _T_3127 = bits(io.iccm_rd_data_ecc, 31, 0) @[el2_ifu_mem_ctl.scala 668:93] - node _T_3128 = bits(io.iccm_rd_data_ecc, 38, 32) @[el2_ifu_mem_ctl.scala 668:128] + node _T_3126 = bits(iccm_ecc_word_enable, 0, 0) @[el2_ifu_mem_ctl.scala 669:73] + node _T_3127 = bits(io.iccm_rd_data_ecc, 31, 0) @[el2_ifu_mem_ctl.scala 669:93] + node _T_3128 = bits(io.iccm_rd_data_ecc, 38, 32) @[el2_ifu_mem_ctl.scala 669:128] wire _T_3129 : UInt<1>[18] @[el2_lib.scala 281:18] wire _T_3130 : UInt<1>[18] @[el2_lib.scala 282:18] wire _T_3131 : UInt<1>[18] @[el2_lib.scala 283:18] @@ -4951,9 +4951,9 @@ circuit el2_ifu_mem_ctl : node _T_3508 = cat(_T_3500, _T_3501) @[Cat.scala 29:58] node _T_3509 = cat(_T_3508, _T_3502) @[Cat.scala 29:58] node _T_3510 = cat(_T_3509, _T_3507) @[Cat.scala 29:58] - node _T_3511 = bits(iccm_ecc_word_enable, 1, 1) @[el2_ifu_mem_ctl.scala 668:73] - node _T_3512 = bits(io.iccm_rd_data_ecc, 70, 39) @[el2_ifu_mem_ctl.scala 668:93] - node _T_3513 = bits(io.iccm_rd_data_ecc, 77, 71) @[el2_ifu_mem_ctl.scala 668:128] + node _T_3511 = bits(iccm_ecc_word_enable, 1, 1) @[el2_ifu_mem_ctl.scala 669:73] + node _T_3512 = bits(io.iccm_rd_data_ecc, 70, 39) @[el2_ifu_mem_ctl.scala 669:93] + node _T_3513 = bits(io.iccm_rd_data_ecc, 77, 71) @[el2_ifu_mem_ctl.scala 669:128] wire _T_3514 : UInt<1>[18] @[el2_lib.scala 281:18] wire _T_3515 : UInt<1>[18] @[el2_lib.scala 282:18] wire _T_3516 : UInt<1>[18] @[el2_lib.scala 283:18] @@ -5465,1730 +5465,1730 @@ circuit el2_ifu_mem_ctl : node _T_3893 = cat(_T_3885, _T_3886) @[Cat.scala 29:58] node _T_3894 = cat(_T_3893, _T_3887) @[Cat.scala 29:58] node _T_3895 = cat(_T_3894, _T_3892) @[Cat.scala 29:58] - wire iccm_corrected_ecc : UInt<7>[2] @[el2_ifu_mem_ctl.scala 669:32] - wire _T_3896 : UInt<7>[2] @[el2_ifu_mem_ctl.scala 670:32] - _T_3896[0] <= _T_3510 @[el2_ifu_mem_ctl.scala 670:32] - _T_3896[1] <= _T_3895 @[el2_ifu_mem_ctl.scala 670:32] - iccm_corrected_ecc[0] <= _T_3896[0] @[el2_ifu_mem_ctl.scala 670:22] - iccm_corrected_ecc[1] <= _T_3896[1] @[el2_ifu_mem_ctl.scala 670:22] - wire _T_3897 : UInt<32>[2] @[el2_ifu_mem_ctl.scala 671:33] - _T_3897[0] <= _T_3496 @[el2_ifu_mem_ctl.scala 671:33] - _T_3897[1] <= _T_3881 @[el2_ifu_mem_ctl.scala 671:33] - iccm_corrected_data[0] <= _T_3897[0] @[el2_ifu_mem_ctl.scala 671:23] - iccm_corrected_data[1] <= _T_3897[1] @[el2_ifu_mem_ctl.scala 671:23] + wire iccm_corrected_ecc : UInt<7>[2] @[el2_ifu_mem_ctl.scala 670:32] + wire _T_3896 : UInt<7>[2] @[el2_ifu_mem_ctl.scala 671:32] + _T_3896[0] <= _T_3510 @[el2_ifu_mem_ctl.scala 671:32] + _T_3896[1] <= _T_3895 @[el2_ifu_mem_ctl.scala 671:32] + iccm_corrected_ecc[0] <= _T_3896[0] @[el2_ifu_mem_ctl.scala 671:22] + iccm_corrected_ecc[1] <= _T_3896[1] @[el2_ifu_mem_ctl.scala 671:22] + wire _T_3897 : UInt<32>[2] @[el2_ifu_mem_ctl.scala 672:33] + _T_3897[0] <= _T_3496 @[el2_ifu_mem_ctl.scala 672:33] + _T_3897[1] <= _T_3881 @[el2_ifu_mem_ctl.scala 672:33] + iccm_corrected_data[0] <= _T_3897[0] @[el2_ifu_mem_ctl.scala 672:23] + iccm_corrected_data[1] <= _T_3897[1] @[el2_ifu_mem_ctl.scala 672:23] node _T_3898 = cat(_T_3341, _T_3726) @[Cat.scala 29:58] - iccm_single_ecc_error <= _T_3898 @[el2_ifu_mem_ctl.scala 672:25] + iccm_single_ecc_error <= _T_3898 @[el2_ifu_mem_ctl.scala 673:25] node _T_3899 = cat(_T_3346, _T_3731) @[Cat.scala 29:58] - iccm_double_ecc_error <= _T_3899 @[el2_ifu_mem_ctl.scala 673:25] - node _T_3900 = orr(iccm_single_ecc_error) @[el2_ifu_mem_ctl.scala 674:54] - node _T_3901 = and(_T_3900, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 674:58] - node _T_3902 = and(_T_3901, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 674:78] - io.iccm_rd_ecc_single_err <= _T_3902 @[el2_ifu_mem_ctl.scala 674:29] - node _T_3903 = orr(iccm_double_ecc_error) @[el2_ifu_mem_ctl.scala 675:54] - node _T_3904 = and(_T_3903, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 675:58] - io.iccm_rd_ecc_double_err <= _T_3904 @[el2_ifu_mem_ctl.scala 675:29] - node _T_3905 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 676:60] - node _T_3906 = bits(_T_3905, 0, 0) @[el2_ifu_mem_ctl.scala 676:64] - node iccm_corrected_data_f_mux = mux(_T_3906, iccm_corrected_data[0], iccm_corrected_data[1]) @[el2_ifu_mem_ctl.scala 676:38] - node _T_3907 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 677:59] - node _T_3908 = bits(_T_3907, 0, 0) @[el2_ifu_mem_ctl.scala 677:63] - node iccm_corrected_ecc_f_mux = mux(_T_3908, iccm_corrected_ecc[0], iccm_corrected_ecc[1]) @[el2_ifu_mem_ctl.scala 677:37] + iccm_double_ecc_error <= _T_3899 @[el2_ifu_mem_ctl.scala 674:25] + node _T_3900 = orr(iccm_single_ecc_error) @[el2_ifu_mem_ctl.scala 675:54] + node _T_3901 = and(_T_3900, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 675:58] + node _T_3902 = and(_T_3901, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 675:78] + io.iccm_rd_ecc_single_err <= _T_3902 @[el2_ifu_mem_ctl.scala 675:29] + node _T_3903 = orr(iccm_double_ecc_error) @[el2_ifu_mem_ctl.scala 676:54] + node _T_3904 = and(_T_3903, ifc_iccm_access_f) @[el2_ifu_mem_ctl.scala 676:58] + io.iccm_rd_ecc_double_err <= _T_3904 @[el2_ifu_mem_ctl.scala 676:29] + node _T_3905 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 677:60] + node _T_3906 = bits(_T_3905, 0, 0) @[el2_ifu_mem_ctl.scala 677:64] + node iccm_corrected_data_f_mux = mux(_T_3906, iccm_corrected_data[0], iccm_corrected_data[1]) @[el2_ifu_mem_ctl.scala 677:38] + node _T_3907 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 678:59] + node _T_3908 = bits(_T_3907, 0, 0) @[el2_ifu_mem_ctl.scala 678:63] + node iccm_corrected_ecc_f_mux = mux(_T_3908, iccm_corrected_ecc[0], iccm_corrected_ecc[1]) @[el2_ifu_mem_ctl.scala 678:37] wire iccm_rd_ecc_single_err_ff : UInt<1> iccm_rd_ecc_single_err_ff <= UInt<1>("h00") - node _T_3909 = eq(iccm_rd_ecc_single_err_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 679:76] - node _T_3910 = and(io.iccm_rd_ecc_single_err, _T_3909) @[el2_ifu_mem_ctl.scala 679:74] - node _T_3911 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 679:106] - node _T_3912 = and(_T_3910, _T_3911) @[el2_ifu_mem_ctl.scala 679:104] - node iccm_ecc_write_status = or(_T_3912, io.iccm_dma_sb_error) @[el2_ifu_mem_ctl.scala 679:127] - node _T_3913 = or(io.iccm_rd_ecc_single_err, iccm_rd_ecc_single_err_ff) @[el2_ifu_mem_ctl.scala 680:67] - node _T_3914 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 680:98] - node iccm_rd_ecc_single_err_hold_in = and(_T_3913, _T_3914) @[el2_ifu_mem_ctl.scala 680:96] - iccm_error_start <= io.iccm_rd_ecc_single_err @[el2_ifu_mem_ctl.scala 681:20] + node _T_3909 = eq(iccm_rd_ecc_single_err_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 680:76] + node _T_3910 = and(io.iccm_rd_ecc_single_err, _T_3909) @[el2_ifu_mem_ctl.scala 680:74] + node _T_3911 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 680:106] + node _T_3912 = and(_T_3910, _T_3911) @[el2_ifu_mem_ctl.scala 680:104] + node iccm_ecc_write_status = or(_T_3912, io.iccm_dma_sb_error) @[el2_ifu_mem_ctl.scala 680:127] + node _T_3913 = or(io.iccm_rd_ecc_single_err, iccm_rd_ecc_single_err_ff) @[el2_ifu_mem_ctl.scala 681:67] + node _T_3914 = eq(io.exu_flush_final, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 681:98] + node iccm_rd_ecc_single_err_hold_in = and(_T_3913, _T_3914) @[el2_ifu_mem_ctl.scala 681:96] + iccm_error_start <= io.iccm_rd_ecc_single_err @[el2_ifu_mem_ctl.scala 682:20] wire iccm_rw_addr_f : UInt<14> iccm_rw_addr_f <= UInt<1>("h00") - node _T_3915 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 683:57] - node _T_3916 = bits(_T_3915, 0, 0) @[el2_ifu_mem_ctl.scala 683:67] - node _T_3917 = add(iccm_rw_addr_f, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 683:102] - node _T_3918 = tail(_T_3917, 1) @[el2_ifu_mem_ctl.scala 683:102] - node iccm_ecc_corr_index_in = mux(_T_3916, iccm_rw_addr_f, _T_3918) @[el2_ifu_mem_ctl.scala 683:35] - node _T_3919 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_mem_ctl.scala 684:67] - reg _T_3920 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 684:51] - _T_3920 <= _T_3919 @[el2_ifu_mem_ctl.scala 684:51] - iccm_rw_addr_f <= _T_3920 @[el2_ifu_mem_ctl.scala 684:18] - reg _T_3921 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 685:62] - _T_3921 <= iccm_rd_ecc_single_err_hold_in @[el2_ifu_mem_ctl.scala 685:62] - iccm_rd_ecc_single_err_ff <= _T_3921 @[el2_ifu_mem_ctl.scala 685:29] + node _T_3915 = bits(iccm_single_ecc_error, 0, 0) @[el2_ifu_mem_ctl.scala 684:57] + node _T_3916 = bits(_T_3915, 0, 0) @[el2_ifu_mem_ctl.scala 684:67] + node _T_3917 = add(iccm_rw_addr_f, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 684:102] + node _T_3918 = tail(_T_3917, 1) @[el2_ifu_mem_ctl.scala 684:102] + node iccm_ecc_corr_index_in = mux(_T_3916, iccm_rw_addr_f, _T_3918) @[el2_ifu_mem_ctl.scala 684:35] + node _T_3919 = bits(io.iccm_rw_addr, 14, 1) @[el2_ifu_mem_ctl.scala 685:67] + reg _T_3920 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 685:51] + _T_3920 <= _T_3919 @[el2_ifu_mem_ctl.scala 685:51] + iccm_rw_addr_f <= _T_3920 @[el2_ifu_mem_ctl.scala 685:18] + reg _T_3921 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 686:62] + _T_3921 <= iccm_rd_ecc_single_err_hold_in @[el2_ifu_mem_ctl.scala 686:62] + iccm_rd_ecc_single_err_ff <= _T_3921 @[el2_ifu_mem_ctl.scala 686:29] node _T_3922 = cat(iccm_corrected_ecc_f_mux, iccm_corrected_data_f_mux) @[Cat.scala 29:58] - node _T_3923 = bits(iccm_ecc_write_status, 0, 0) @[el2_ifu_mem_ctl.scala 686:152] + node _T_3923 = bits(iccm_ecc_write_status, 0, 0) @[el2_ifu_mem_ctl.scala 687:152] reg _T_3924 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3923 : @[Reg.scala 28:19] _T_3924 <= _T_3922 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - iccm_ecc_corr_data_ff <= _T_3924 @[el2_ifu_mem_ctl.scala 686:25] - node _T_3925 = bits(iccm_ecc_write_status, 0, 0) @[el2_ifu_mem_ctl.scala 687:119] + iccm_ecc_corr_data_ff <= _T_3924 @[el2_ifu_mem_ctl.scala 687:25] + node _T_3925 = bits(iccm_ecc_write_status, 0, 0) @[el2_ifu_mem_ctl.scala 688:119] reg _T_3926 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_3925 : @[Reg.scala 28:19] _T_3926 <= iccm_ecc_corr_index_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - iccm_ecc_corr_index_ff <= _T_3926 @[el2_ifu_mem_ctl.scala 687:26] - node _T_3927 = eq(io.ifc_fetch_uncacheable_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 688:41] - node _T_3928 = and(io.ifc_fetch_req_bf, _T_3927) @[el2_ifu_mem_ctl.scala 688:39] - node _T_3929 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 688:72] - node _T_3930 = and(_T_3928, _T_3929) @[el2_ifu_mem_ctl.scala 688:70] - node _T_3931 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 689:19] - node _T_3932 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 689:34] - node _T_3933 = and(_T_3931, _T_3932) @[el2_ifu_mem_ctl.scala 689:32] - node _T_3934 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 690:19] - node _T_3935 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 690:39] - node _T_3936 = and(_T_3934, _T_3935) @[el2_ifu_mem_ctl.scala 690:37] - node _T_3937 = or(_T_3933, _T_3936) @[el2_ifu_mem_ctl.scala 689:88] - node _T_3938 = eq(miss_state, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 691:19] - node _T_3939 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 691:43] - node _T_3940 = and(_T_3938, _T_3939) @[el2_ifu_mem_ctl.scala 691:41] - node _T_3941 = or(_T_3937, _T_3940) @[el2_ifu_mem_ctl.scala 690:88] - node _T_3942 = eq(miss_state, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 692:19] - node _T_3943 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 692:37] - node _T_3944 = and(_T_3942, _T_3943) @[el2_ifu_mem_ctl.scala 692:35] - node _T_3945 = or(_T_3941, _T_3944) @[el2_ifu_mem_ctl.scala 691:88] - node _T_3946 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 693:19] - node _T_3947 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 693:40] - node _T_3948 = and(_T_3946, _T_3947) @[el2_ifu_mem_ctl.scala 693:38] - node _T_3949 = or(_T_3945, _T_3948) @[el2_ifu_mem_ctl.scala 692:88] - node _T_3950 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 694:19] - node _T_3951 = and(_T_3950, miss_state_en) @[el2_ifu_mem_ctl.scala 694:37] - node _T_3952 = eq(miss_nxtstate, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 694:71] - node _T_3953 = and(_T_3951, _T_3952) @[el2_ifu_mem_ctl.scala 694:54] - node _T_3954 = or(_T_3949, _T_3953) @[el2_ifu_mem_ctl.scala 693:57] - node _T_3955 = eq(_T_3954, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 689:5] - node _T_3956 = and(_T_3930, _T_3955) @[el2_ifu_mem_ctl.scala 688:96] - node _T_3957 = and(io.ifc_fetch_req_bf, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 695:28] - node _T_3958 = eq(io.ifc_fetch_uncacheable_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 695:52] - node _T_3959 = and(_T_3957, _T_3958) @[el2_ifu_mem_ctl.scala 695:50] - node _T_3960 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 695:83] - node _T_3961 = and(_T_3959, _T_3960) @[el2_ifu_mem_ctl.scala 695:81] - node _T_3962 = or(_T_3956, _T_3961) @[el2_ifu_mem_ctl.scala 694:93] - io.ic_rd_en <= _T_3962 @[el2_ifu_mem_ctl.scala 688:15] - wire bus_ic_wr_en : UInt<1> + iccm_ecc_corr_index_ff <= _T_3926 @[el2_ifu_mem_ctl.scala 688:26] + node _T_3927 = eq(io.ifc_fetch_uncacheable_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 689:41] + node _T_3928 = and(io.ifc_fetch_req_bf, _T_3927) @[el2_ifu_mem_ctl.scala 689:39] + node _T_3929 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 689:72] + node _T_3930 = and(_T_3928, _T_3929) @[el2_ifu_mem_ctl.scala 689:70] + node _T_3931 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 690:19] + node _T_3932 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 690:34] + node _T_3933 = and(_T_3931, _T_3932) @[el2_ifu_mem_ctl.scala 690:32] + node _T_3934 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 691:19] + node _T_3935 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 691:39] + node _T_3936 = and(_T_3934, _T_3935) @[el2_ifu_mem_ctl.scala 691:37] + node _T_3937 = or(_T_3933, _T_3936) @[el2_ifu_mem_ctl.scala 690:88] + node _T_3938 = eq(miss_state, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 692:19] + node _T_3939 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 692:43] + node _T_3940 = and(_T_3938, _T_3939) @[el2_ifu_mem_ctl.scala 692:41] + node _T_3941 = or(_T_3937, _T_3940) @[el2_ifu_mem_ctl.scala 691:88] + node _T_3942 = eq(miss_state, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 693:19] + node _T_3943 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 693:37] + node _T_3944 = and(_T_3942, _T_3943) @[el2_ifu_mem_ctl.scala 693:35] + node _T_3945 = or(_T_3941, _T_3944) @[el2_ifu_mem_ctl.scala 692:88] + node _T_3946 = eq(miss_state, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 694:19] + node _T_3947 = eq(miss_state_en, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 694:40] + node _T_3948 = and(_T_3946, _T_3947) @[el2_ifu_mem_ctl.scala 694:38] + node _T_3949 = or(_T_3945, _T_3948) @[el2_ifu_mem_ctl.scala 693:88] + node _T_3950 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 695:19] + node _T_3951 = and(_T_3950, miss_state_en) @[el2_ifu_mem_ctl.scala 695:37] + node _T_3952 = eq(miss_nxtstate, UInt<3>("h03")) @[el2_ifu_mem_ctl.scala 695:71] + node _T_3953 = and(_T_3951, _T_3952) @[el2_ifu_mem_ctl.scala 695:54] + node _T_3954 = or(_T_3949, _T_3953) @[el2_ifu_mem_ctl.scala 694:57] + node _T_3955 = eq(_T_3954, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 690:5] + node _T_3956 = and(_T_3930, _T_3955) @[el2_ifu_mem_ctl.scala 689:96] + node _T_3957 = and(io.ifc_fetch_req_bf, io.exu_flush_final) @[el2_ifu_mem_ctl.scala 696:28] + node _T_3958 = eq(io.ifc_fetch_uncacheable_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 696:52] + node _T_3959 = and(_T_3957, _T_3958) @[el2_ifu_mem_ctl.scala 696:50] + node _T_3960 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 696:83] + node _T_3961 = and(_T_3959, _T_3960) @[el2_ifu_mem_ctl.scala 696:81] + node _T_3962 = or(_T_3956, _T_3961) @[el2_ifu_mem_ctl.scala 695:93] + io.ic_rd_en <= _T_3962 @[el2_ifu_mem_ctl.scala 689:15] + wire bus_ic_wr_en : UInt<2> bus_ic_wr_en <= UInt<1>("h00") node _T_3963 = bits(write_ic_16_bytes, 0, 0) @[Bitwise.scala 72:15] node _T_3964 = mux(_T_3963, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_3965 = and(bus_ic_wr_en, _T_3964) @[el2_ifu_mem_ctl.scala 697:31] - io.ic_wr_en <= _T_3965 @[el2_ifu_mem_ctl.scala 697:15] - node _T_3966 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 698:59] - node _T_3967 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 698:91] - node _T_3968 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 698:127] - node _T_3969 = or(_T_3968, stream_eol_f) @[el2_ifu_mem_ctl.scala 698:151] - node _T_3970 = eq(_T_3969, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 698:106] - node _T_3971 = and(_T_3967, _T_3970) @[el2_ifu_mem_ctl.scala 698:104] - node _T_3972 = or(_T_3966, _T_3971) @[el2_ifu_mem_ctl.scala 698:77] - node _T_3973 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 698:191] - node _T_3974 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 698:205] - node _T_3975 = and(_T_3973, _T_3974) @[el2_ifu_mem_ctl.scala 698:203] - node _T_3976 = eq(_T_3975, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 698:172] - node _T_3977 = and(_T_3972, _T_3976) @[el2_ifu_mem_ctl.scala 698:170] - node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 698:44] - node _T_3979 = and(write_ic_16_bytes, _T_3978) @[el2_ifu_mem_ctl.scala 698:42] - io.ic_write_stall <= _T_3979 @[el2_ifu_mem_ctl.scala 698:21] - reg _T_3980 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 699:53] - _T_3980 <= io.dec_tlu_fence_i_wb @[el2_ifu_mem_ctl.scala 699:53] - reset_all_tags <= _T_3980 @[el2_ifu_mem_ctl.scala 699:18] - node _T_3981 = eq(ifu_wr_cumulative_err_data, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 701:20] - node _T_3982 = or(reset_ic_in, reset_ic_ff) @[el2_ifu_mem_ctl.scala 701:64] - node _T_3983 = eq(_T_3982, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 701:50] - node _T_3984 = and(_T_3981, _T_3983) @[el2_ifu_mem_ctl.scala 701:48] - node _T_3985 = eq(reset_tag_valid_for_miss, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 701:81] - node ic_valid = and(_T_3984, _T_3985) @[el2_ifu_mem_ctl.scala 701:79] - node _T_3986 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 702:61] - node _T_3987 = and(_T_3986, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 702:82] - node _T_3988 = bits(io.ic_debug_addr, 9, 3) @[el2_ifu_mem_ctl.scala 702:123] - node _T_3989 = bits(ifu_status_wr_addr, 11, 5) @[el2_ifu_mem_ctl.scala 703:25] - node ifu_status_wr_addr_w_debug = mux(_T_3987, _T_3988, _T_3989) @[el2_ifu_mem_ctl.scala 702:41] - reg ifu_status_wr_addr_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 705:14] - ifu_status_wr_addr_ff <= ifu_status_wr_addr_w_debug @[el2_ifu_mem_ctl.scala 705:14] + node _T_3965 = and(bus_ic_wr_en, _T_3964) @[el2_ifu_mem_ctl.scala 698:31] + io.ic_wr_en <= _T_3965 @[el2_ifu_mem_ctl.scala 698:15] + node _T_3966 = eq(miss_state, UInt<3>("h01")) @[el2_ifu_mem_ctl.scala 699:59] + node _T_3967 = eq(miss_state, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 699:91] + node _T_3968 = or(io.exu_flush_final, ifu_bp_hit_taken_q_f) @[el2_ifu_mem_ctl.scala 699:127] + node _T_3969 = or(_T_3968, stream_eol_f) @[el2_ifu_mem_ctl.scala 699:151] + node _T_3970 = eq(_T_3969, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 699:106] + node _T_3971 = and(_T_3967, _T_3970) @[el2_ifu_mem_ctl.scala 699:104] + node _T_3972 = or(_T_3966, _T_3971) @[el2_ifu_mem_ctl.scala 699:77] + node _T_3973 = and(bus_ifu_wr_en_ff, last_beat) @[el2_ifu_mem_ctl.scala 699:191] + node _T_3974 = eq(uncacheable_miss_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 699:205] + node _T_3975 = and(_T_3973, _T_3974) @[el2_ifu_mem_ctl.scala 699:203] + node _T_3976 = eq(_T_3975, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 699:172] + node _T_3977 = and(_T_3972, _T_3976) @[el2_ifu_mem_ctl.scala 699:170] + node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 699:44] + node _T_3979 = and(write_ic_16_bytes, _T_3978) @[el2_ifu_mem_ctl.scala 699:42] + io.ic_write_stall <= _T_3979 @[el2_ifu_mem_ctl.scala 699:21] + reg _T_3980 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 700:53] + _T_3980 <= io.dec_tlu_fence_i_wb @[el2_ifu_mem_ctl.scala 700:53] + reset_all_tags <= _T_3980 @[el2_ifu_mem_ctl.scala 700:18] + node _T_3981 = eq(ifu_wr_cumulative_err_data, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 702:20] + node _T_3982 = or(reset_ic_in, reset_ic_ff) @[el2_ifu_mem_ctl.scala 702:64] + node _T_3983 = eq(_T_3982, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 702:50] + node _T_3984 = and(_T_3981, _T_3983) @[el2_ifu_mem_ctl.scala 702:48] + node _T_3985 = eq(reset_tag_valid_for_miss, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 702:81] + node ic_valid = and(_T_3984, _T_3985) @[el2_ifu_mem_ctl.scala 702:79] + node _T_3986 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 703:61] + node _T_3987 = and(_T_3986, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 703:82] + node _T_3988 = bits(io.ic_debug_addr, 9, 3) @[el2_ifu_mem_ctl.scala 703:123] + node _T_3989 = bits(ifu_status_wr_addr, 11, 5) @[el2_ifu_mem_ctl.scala 704:25] + node ifu_status_wr_addr_w_debug = mux(_T_3987, _T_3988, _T_3989) @[el2_ifu_mem_ctl.scala 703:41] + reg ifu_status_wr_addr_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 706:14] + ifu_status_wr_addr_ff <= ifu_status_wr_addr_w_debug @[el2_ifu_mem_ctl.scala 706:14] wire way_status_wr_en : UInt<1> way_status_wr_en <= UInt<1>("h00") - node _T_3990 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 708:74] - node way_status_wr_en_w_debug = or(way_status_wr_en, _T_3990) @[el2_ifu_mem_ctl.scala 708:53] - reg way_status_wr_en_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 710:14] - way_status_wr_en_ff <= way_status_wr_en_w_debug @[el2_ifu_mem_ctl.scala 710:14] + node _T_3990 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 709:74] + node way_status_wr_en_w_debug = or(way_status_wr_en, _T_3990) @[el2_ifu_mem_ctl.scala 709:53] + reg way_status_wr_en_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 711:14] + way_status_wr_en_ff <= way_status_wr_en_w_debug @[el2_ifu_mem_ctl.scala 711:14] wire way_status_new : UInt<1> way_status_new <= UInt<1>("h00") - node _T_3991 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 713:56] - node _T_3992 = bits(io.ic_debug_wr_data, 4, 4) @[el2_ifu_mem_ctl.scala 714:59] - node _T_3993 = bits(io.ic_debug_wr_data, 6, 4) @[el2_ifu_mem_ctl.scala 714:83] - node _T_3994 = mux(UInt<1>("h01"), _T_3992, _T_3993) @[el2_ifu_mem_ctl.scala 714:10] - node way_status_new_w_debug = mux(_T_3991, _T_3994, way_status_new) @[el2_ifu_mem_ctl.scala 713:37] - reg way_status_new_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 716:14] - way_status_new_ff <= way_status_new_w_debug @[el2_ifu_mem_ctl.scala 716:14] - node _T_3995 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_0 = eq(_T_3995, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_3996 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_1 = eq(_T_3996, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_3997 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_2 = eq(_T_3997, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_3998 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_3 = eq(_T_3998, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_3999 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_4 = eq(_T_3999, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4000 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_5 = eq(_T_4000, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4001 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_6 = eq(_T_4001, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4002 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_7 = eq(_T_4002, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4003 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_8 = eq(_T_4003, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4004 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_9 = eq(_T_4004, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4005 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_10 = eq(_T_4005, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4006 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_11 = eq(_T_4006, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4007 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_12 = eq(_T_4007, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4008 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_13 = eq(_T_4008, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4009 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_14 = eq(_T_4009, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 718:132] - node _T_4010 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 718:89] - node way_status_clken_15 = eq(_T_4010, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_out : UInt<1>[128] @[el2_ifu_mem_ctl.scala 720:30] - node _T_4011 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4012 = and(_T_4011, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4013 = and(_T_4012, way_status_clken_0) @[el2_ifu_mem_ctl.scala 722:124] + node _T_3991 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 714:56] + node _T_3992 = bits(io.ic_debug_wr_data, 4, 4) @[el2_ifu_mem_ctl.scala 715:59] + node _T_3993 = bits(io.ic_debug_wr_data, 6, 4) @[el2_ifu_mem_ctl.scala 715:83] + node _T_3994 = mux(UInt<1>("h01"), _T_3992, _T_3993) @[el2_ifu_mem_ctl.scala 715:10] + node way_status_new_w_debug = mux(_T_3991, _T_3994, way_status_new) @[el2_ifu_mem_ctl.scala 714:37] + reg way_status_new_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 717:14] + way_status_new_ff <= way_status_new_w_debug @[el2_ifu_mem_ctl.scala 717:14] + node _T_3995 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_0 = eq(_T_3995, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_3996 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_1 = eq(_T_3996, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_3997 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_2 = eq(_T_3997, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_3998 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_3 = eq(_T_3998, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_3999 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_4 = eq(_T_3999, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4000 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_5 = eq(_T_4000, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4001 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_6 = eq(_T_4001, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4002 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_7 = eq(_T_4002, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4003 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_8 = eq(_T_4003, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4004 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_9 = eq(_T_4004, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4005 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_10 = eq(_T_4005, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4006 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_11 = eq(_T_4006, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4007 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_12 = eq(_T_4007, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4008 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_13 = eq(_T_4008, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4009 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_14 = eq(_T_4009, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 719:132] + node _T_4010 = bits(ifu_status_wr_addr_ff, 6, 3) @[el2_ifu_mem_ctl.scala 719:89] + node way_status_clken_15 = eq(_T_4010, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_out : UInt<1>[128] @[el2_ifu_mem_ctl.scala 721:30] + node _T_4011 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4012 = and(_T_4011, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4013 = and(_T_4012, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4014 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4013 : @[Reg.scala 28:19] _T_4014 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[0] <= _T_4014 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4015 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4016 = and(_T_4015, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4017 = and(_T_4016, way_status_clken_0) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[0] <= _T_4014 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4015 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4016 = and(_T_4015, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4017 = and(_T_4016, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4018 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4017 : @[Reg.scala 28:19] _T_4018 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[1] <= _T_4018 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4019 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4020 = and(_T_4019, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4021 = and(_T_4020, way_status_clken_0) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[1] <= _T_4018 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4019 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4020 = and(_T_4019, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4021 = and(_T_4020, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4022 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4021 : @[Reg.scala 28:19] _T_4022 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[2] <= _T_4022 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4023 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4024 = and(_T_4023, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4025 = and(_T_4024, way_status_clken_0) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[2] <= _T_4022 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4023 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4024 = and(_T_4023, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4025 = and(_T_4024, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4026 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4025 : @[Reg.scala 28:19] _T_4026 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[3] <= _T_4026 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4027 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4028 = and(_T_4027, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4029 = and(_T_4028, way_status_clken_0) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[3] <= _T_4026 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4027 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4028 = and(_T_4027, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4029 = and(_T_4028, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4030 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4029 : @[Reg.scala 28:19] _T_4030 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[4] <= _T_4030 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4031 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4032 = and(_T_4031, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4033 = and(_T_4032, way_status_clken_0) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[4] <= _T_4030 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4031 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4032 = and(_T_4031, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4033 = and(_T_4032, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4034 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4033 : @[Reg.scala 28:19] _T_4034 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[5] <= _T_4034 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4035 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4036 = and(_T_4035, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4037 = and(_T_4036, way_status_clken_0) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[5] <= _T_4034 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4035 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4036 = and(_T_4035, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4037 = and(_T_4036, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4038 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4037 : @[Reg.scala 28:19] _T_4038 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[6] <= _T_4038 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4039 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4040 = and(_T_4039, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4041 = and(_T_4040, way_status_clken_0) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[6] <= _T_4038 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4039 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4040 = and(_T_4039, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4041 = and(_T_4040, way_status_clken_0) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4042 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4041 : @[Reg.scala 28:19] _T_4042 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[7] <= _T_4042 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4043 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4044 = and(_T_4043, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4045 = and(_T_4044, way_status_clken_1) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[7] <= _T_4042 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4043 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4044 = and(_T_4043, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4045 = and(_T_4044, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4046 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4045 : @[Reg.scala 28:19] _T_4046 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[8] <= _T_4046 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4047 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4048 = and(_T_4047, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4049 = and(_T_4048, way_status_clken_1) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[8] <= _T_4046 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4047 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4048 = and(_T_4047, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4049 = and(_T_4048, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4050 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4049 : @[Reg.scala 28:19] _T_4050 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[9] <= _T_4050 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4051 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4052 = and(_T_4051, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4053 = and(_T_4052, way_status_clken_1) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[9] <= _T_4050 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4051 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4052 = and(_T_4051, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4053 = and(_T_4052, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4054 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4053 : @[Reg.scala 28:19] _T_4054 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[10] <= _T_4054 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4055 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4056 = and(_T_4055, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4057 = and(_T_4056, way_status_clken_1) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[10] <= _T_4054 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4055 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4056 = and(_T_4055, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4057 = and(_T_4056, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4058 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4057 : @[Reg.scala 28:19] _T_4058 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[11] <= _T_4058 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4059 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4060 = and(_T_4059, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4061 = and(_T_4060, way_status_clken_1) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[11] <= _T_4058 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4059 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4060 = and(_T_4059, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4061 = and(_T_4060, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4062 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4061 : @[Reg.scala 28:19] _T_4062 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[12] <= _T_4062 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4063 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4064 = and(_T_4063, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4065 = and(_T_4064, way_status_clken_1) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[12] <= _T_4062 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4063 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4064 = and(_T_4063, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4065 = and(_T_4064, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4066 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4065 : @[Reg.scala 28:19] _T_4066 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[13] <= _T_4066 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4067 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4068 = and(_T_4067, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4069 = and(_T_4068, way_status_clken_1) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[13] <= _T_4066 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4067 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4068 = and(_T_4067, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4069 = and(_T_4068, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4070 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4069 : @[Reg.scala 28:19] _T_4070 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[14] <= _T_4070 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4071 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4072 = and(_T_4071, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4073 = and(_T_4072, way_status_clken_1) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[14] <= _T_4070 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4071 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4072 = and(_T_4071, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4073 = and(_T_4072, way_status_clken_1) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4074 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4073 : @[Reg.scala 28:19] _T_4074 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[15] <= _T_4074 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4075 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4076 = and(_T_4075, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4077 = and(_T_4076, way_status_clken_2) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[15] <= _T_4074 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4075 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4076 = and(_T_4075, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4077 = and(_T_4076, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4078 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4077 : @[Reg.scala 28:19] _T_4078 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[16] <= _T_4078 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4079 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4080 = and(_T_4079, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4081 = and(_T_4080, way_status_clken_2) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[16] <= _T_4078 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4079 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4080 = and(_T_4079, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4081 = and(_T_4080, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4082 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4081 : @[Reg.scala 28:19] _T_4082 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[17] <= _T_4082 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4083 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4084 = and(_T_4083, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4085 = and(_T_4084, way_status_clken_2) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[17] <= _T_4082 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4083 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4084 = and(_T_4083, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4085 = and(_T_4084, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4086 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4085 : @[Reg.scala 28:19] _T_4086 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[18] <= _T_4086 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4087 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4088 = and(_T_4087, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4089 = and(_T_4088, way_status_clken_2) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[18] <= _T_4086 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4087 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4088 = and(_T_4087, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4089 = and(_T_4088, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4090 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4089 : @[Reg.scala 28:19] _T_4090 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[19] <= _T_4090 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4091 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4092 = and(_T_4091, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4093 = and(_T_4092, way_status_clken_2) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[19] <= _T_4090 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4091 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4092 = and(_T_4091, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4093 = and(_T_4092, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4094 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4093 : @[Reg.scala 28:19] _T_4094 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[20] <= _T_4094 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4095 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4096 = and(_T_4095, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4097 = and(_T_4096, way_status_clken_2) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[20] <= _T_4094 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4095 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4096 = and(_T_4095, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4097 = and(_T_4096, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4098 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4097 : @[Reg.scala 28:19] _T_4098 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[21] <= _T_4098 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4099 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4100 = and(_T_4099, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4101 = and(_T_4100, way_status_clken_2) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[21] <= _T_4098 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4099 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4100 = and(_T_4099, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4101 = and(_T_4100, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4102 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4101 : @[Reg.scala 28:19] _T_4102 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[22] <= _T_4102 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4103 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4104 = and(_T_4103, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4105 = and(_T_4104, way_status_clken_2) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[22] <= _T_4102 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4103 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4104 = and(_T_4103, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4105 = and(_T_4104, way_status_clken_2) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4106 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4105 : @[Reg.scala 28:19] _T_4106 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[23] <= _T_4106 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4107 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4108 = and(_T_4107, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4109 = and(_T_4108, way_status_clken_3) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[23] <= _T_4106 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4107 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4108 = and(_T_4107, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4109 = and(_T_4108, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4110 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4109 : @[Reg.scala 28:19] _T_4110 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[24] <= _T_4110 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4111 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4112 = and(_T_4111, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4113 = and(_T_4112, way_status_clken_3) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[24] <= _T_4110 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4111 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4112 = and(_T_4111, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4113 = and(_T_4112, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4114 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4113 : @[Reg.scala 28:19] _T_4114 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[25] <= _T_4114 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4115 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4116 = and(_T_4115, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4117 = and(_T_4116, way_status_clken_3) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[25] <= _T_4114 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4115 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4116 = and(_T_4115, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4117 = and(_T_4116, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4118 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4117 : @[Reg.scala 28:19] _T_4118 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[26] <= _T_4118 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4119 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4120 = and(_T_4119, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4121 = and(_T_4120, way_status_clken_3) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[26] <= _T_4118 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4119 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4120 = and(_T_4119, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4121 = and(_T_4120, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4122 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4121 : @[Reg.scala 28:19] _T_4122 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[27] <= _T_4122 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4123 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4124 = and(_T_4123, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4125 = and(_T_4124, way_status_clken_3) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[27] <= _T_4122 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4123 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4124 = and(_T_4123, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4125 = and(_T_4124, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4126 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4125 : @[Reg.scala 28:19] _T_4126 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[28] <= _T_4126 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4127 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4128 = and(_T_4127, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4129 = and(_T_4128, way_status_clken_3) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[28] <= _T_4126 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4127 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4128 = and(_T_4127, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4129 = and(_T_4128, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4130 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4129 : @[Reg.scala 28:19] _T_4130 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[29] <= _T_4130 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4131 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4132 = and(_T_4131, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4133 = and(_T_4132, way_status_clken_3) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[29] <= _T_4130 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4131 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4132 = and(_T_4131, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4133 = and(_T_4132, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4134 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4133 : @[Reg.scala 28:19] _T_4134 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[30] <= _T_4134 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4135 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4136 = and(_T_4135, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4137 = and(_T_4136, way_status_clken_3) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[30] <= _T_4134 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4135 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4136 = and(_T_4135, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4137 = and(_T_4136, way_status_clken_3) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4138 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4137 : @[Reg.scala 28:19] _T_4138 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[31] <= _T_4138 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4139 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4140 = and(_T_4139, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4141 = and(_T_4140, way_status_clken_4) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[31] <= _T_4138 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4139 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4140 = and(_T_4139, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4141 = and(_T_4140, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4142 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4141 : @[Reg.scala 28:19] _T_4142 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[32] <= _T_4142 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4143 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4144 = and(_T_4143, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4145 = and(_T_4144, way_status_clken_4) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[32] <= _T_4142 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4143 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4144 = and(_T_4143, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4145 = and(_T_4144, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4146 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4145 : @[Reg.scala 28:19] _T_4146 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[33] <= _T_4146 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4147 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4148 = and(_T_4147, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4149 = and(_T_4148, way_status_clken_4) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[33] <= _T_4146 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4147 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4148 = and(_T_4147, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4149 = and(_T_4148, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4150 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4149 : @[Reg.scala 28:19] _T_4150 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[34] <= _T_4150 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4151 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4152 = and(_T_4151, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4153 = and(_T_4152, way_status_clken_4) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[34] <= _T_4150 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4151 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4152 = and(_T_4151, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4153 = and(_T_4152, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4154 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4153 : @[Reg.scala 28:19] _T_4154 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[35] <= _T_4154 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4155 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4156 = and(_T_4155, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4157 = and(_T_4156, way_status_clken_4) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[35] <= _T_4154 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4155 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4156 = and(_T_4155, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4157 = and(_T_4156, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4158 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4157 : @[Reg.scala 28:19] _T_4158 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[36] <= _T_4158 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4159 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4160 = and(_T_4159, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4161 = and(_T_4160, way_status_clken_4) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[36] <= _T_4158 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4159 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4160 = and(_T_4159, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4161 = and(_T_4160, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4162 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4161 : @[Reg.scala 28:19] _T_4162 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[37] <= _T_4162 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4163 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4164 = and(_T_4163, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4165 = and(_T_4164, way_status_clken_4) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[37] <= _T_4162 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4163 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4164 = and(_T_4163, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4165 = and(_T_4164, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4166 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4165 : @[Reg.scala 28:19] _T_4166 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[38] <= _T_4166 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4167 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4168 = and(_T_4167, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4169 = and(_T_4168, way_status_clken_4) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[38] <= _T_4166 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4167 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4168 = and(_T_4167, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4169 = and(_T_4168, way_status_clken_4) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4170 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4169 : @[Reg.scala 28:19] _T_4170 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[39] <= _T_4170 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4171 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4172 = and(_T_4171, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4173 = and(_T_4172, way_status_clken_5) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[39] <= _T_4170 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4171 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4172 = and(_T_4171, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4173 = and(_T_4172, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4174 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4173 : @[Reg.scala 28:19] _T_4174 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[40] <= _T_4174 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4175 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4176 = and(_T_4175, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4177 = and(_T_4176, way_status_clken_5) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[40] <= _T_4174 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4175 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4176 = and(_T_4175, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4177 = and(_T_4176, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4178 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4177 : @[Reg.scala 28:19] _T_4178 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[41] <= _T_4178 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4179 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4180 = and(_T_4179, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4181 = and(_T_4180, way_status_clken_5) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[41] <= _T_4178 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4179 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4180 = and(_T_4179, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4181 = and(_T_4180, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4182 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4181 : @[Reg.scala 28:19] _T_4182 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[42] <= _T_4182 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4183 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4184 = and(_T_4183, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4185 = and(_T_4184, way_status_clken_5) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[42] <= _T_4182 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4183 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4184 = and(_T_4183, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4185 = and(_T_4184, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4186 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4185 : @[Reg.scala 28:19] _T_4186 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[43] <= _T_4186 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4187 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4188 = and(_T_4187, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4189 = and(_T_4188, way_status_clken_5) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[43] <= _T_4186 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4187 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4188 = and(_T_4187, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4189 = and(_T_4188, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4190 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4189 : @[Reg.scala 28:19] _T_4190 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[44] <= _T_4190 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4191 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4192 = and(_T_4191, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4193 = and(_T_4192, way_status_clken_5) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[44] <= _T_4190 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4191 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4192 = and(_T_4191, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4193 = and(_T_4192, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4194 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4193 : @[Reg.scala 28:19] _T_4194 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[45] <= _T_4194 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4195 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4196 = and(_T_4195, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4197 = and(_T_4196, way_status_clken_5) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[45] <= _T_4194 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4195 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4196 = and(_T_4195, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4197 = and(_T_4196, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4198 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4197 : @[Reg.scala 28:19] _T_4198 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[46] <= _T_4198 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4199 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4200 = and(_T_4199, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4201 = and(_T_4200, way_status_clken_5) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[46] <= _T_4198 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4199 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4200 = and(_T_4199, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4201 = and(_T_4200, way_status_clken_5) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4202 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4201 : @[Reg.scala 28:19] _T_4202 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[47] <= _T_4202 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4203 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4204 = and(_T_4203, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4205 = and(_T_4204, way_status_clken_6) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[47] <= _T_4202 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4203 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4204 = and(_T_4203, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4205 = and(_T_4204, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4206 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4205 : @[Reg.scala 28:19] _T_4206 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[48] <= _T_4206 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4207 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4208 = and(_T_4207, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4209 = and(_T_4208, way_status_clken_6) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[48] <= _T_4206 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4207 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4208 = and(_T_4207, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4209 = and(_T_4208, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4210 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4209 : @[Reg.scala 28:19] _T_4210 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[49] <= _T_4210 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4211 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4212 = and(_T_4211, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4213 = and(_T_4212, way_status_clken_6) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[49] <= _T_4210 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4211 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4212 = and(_T_4211, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4213 = and(_T_4212, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4214 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4213 : @[Reg.scala 28:19] _T_4214 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[50] <= _T_4214 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4215 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4216 = and(_T_4215, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4217 = and(_T_4216, way_status_clken_6) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[50] <= _T_4214 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4215 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4216 = and(_T_4215, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4217 = and(_T_4216, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4218 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4217 : @[Reg.scala 28:19] _T_4218 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[51] <= _T_4218 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4219 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4220 = and(_T_4219, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4221 = and(_T_4220, way_status_clken_6) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[51] <= _T_4218 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4219 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4220 = and(_T_4219, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4221 = and(_T_4220, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4222 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4221 : @[Reg.scala 28:19] _T_4222 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[52] <= _T_4222 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4223 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4224 = and(_T_4223, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4225 = and(_T_4224, way_status_clken_6) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[52] <= _T_4222 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4223 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4224 = and(_T_4223, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4225 = and(_T_4224, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4226 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4225 : @[Reg.scala 28:19] _T_4226 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[53] <= _T_4226 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4227 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4228 = and(_T_4227, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4229 = and(_T_4228, way_status_clken_6) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[53] <= _T_4226 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4227 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4228 = and(_T_4227, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4229 = and(_T_4228, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4230 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4229 : @[Reg.scala 28:19] _T_4230 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[54] <= _T_4230 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4231 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4232 = and(_T_4231, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4233 = and(_T_4232, way_status_clken_6) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[54] <= _T_4230 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4231 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4232 = and(_T_4231, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4233 = and(_T_4232, way_status_clken_6) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4234 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4233 : @[Reg.scala 28:19] _T_4234 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[55] <= _T_4234 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4235 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4236 = and(_T_4235, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4237 = and(_T_4236, way_status_clken_7) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[55] <= _T_4234 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4235 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4236 = and(_T_4235, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4237 = and(_T_4236, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4238 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4237 : @[Reg.scala 28:19] _T_4238 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[56] <= _T_4238 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4239 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4240 = and(_T_4239, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4241 = and(_T_4240, way_status_clken_7) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[56] <= _T_4238 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4239 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4240 = and(_T_4239, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4241 = and(_T_4240, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4242 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4241 : @[Reg.scala 28:19] _T_4242 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[57] <= _T_4242 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4243 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4244 = and(_T_4243, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4245 = and(_T_4244, way_status_clken_7) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[57] <= _T_4242 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4243 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4244 = and(_T_4243, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4245 = and(_T_4244, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4246 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4245 : @[Reg.scala 28:19] _T_4246 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[58] <= _T_4246 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4247 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4248 = and(_T_4247, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4249 = and(_T_4248, way_status_clken_7) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[58] <= _T_4246 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4247 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4248 = and(_T_4247, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4249 = and(_T_4248, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4250 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4249 : @[Reg.scala 28:19] _T_4250 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[59] <= _T_4250 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4251 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4252 = and(_T_4251, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4253 = and(_T_4252, way_status_clken_7) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[59] <= _T_4250 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4251 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4252 = and(_T_4251, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4253 = and(_T_4252, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4254 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4253 : @[Reg.scala 28:19] _T_4254 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[60] <= _T_4254 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4255 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4256 = and(_T_4255, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4257 = and(_T_4256, way_status_clken_7) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[60] <= _T_4254 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4255 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4256 = and(_T_4255, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4257 = and(_T_4256, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4258 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4257 : @[Reg.scala 28:19] _T_4258 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[61] <= _T_4258 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4259 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4260 = and(_T_4259, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4261 = and(_T_4260, way_status_clken_7) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[61] <= _T_4258 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4259 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4260 = and(_T_4259, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4261 = and(_T_4260, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4262 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4261 : @[Reg.scala 28:19] _T_4262 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[62] <= _T_4262 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4263 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4264 = and(_T_4263, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4265 = and(_T_4264, way_status_clken_7) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[62] <= _T_4262 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4263 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4264 = and(_T_4263, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4265 = and(_T_4264, way_status_clken_7) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4266 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4265 : @[Reg.scala 28:19] _T_4266 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[63] <= _T_4266 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4267 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4268 = and(_T_4267, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4269 = and(_T_4268, way_status_clken_8) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[63] <= _T_4266 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4267 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4268 = and(_T_4267, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4269 = and(_T_4268, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4270 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4269 : @[Reg.scala 28:19] _T_4270 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[64] <= _T_4270 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4271 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4272 = and(_T_4271, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4273 = and(_T_4272, way_status_clken_8) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[64] <= _T_4270 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4271 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4272 = and(_T_4271, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4273 = and(_T_4272, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4274 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4273 : @[Reg.scala 28:19] _T_4274 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[65] <= _T_4274 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4275 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4276 = and(_T_4275, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4277 = and(_T_4276, way_status_clken_8) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[65] <= _T_4274 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4275 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4276 = and(_T_4275, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4277 = and(_T_4276, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4278 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4277 : @[Reg.scala 28:19] _T_4278 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[66] <= _T_4278 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4279 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4280 = and(_T_4279, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4281 = and(_T_4280, way_status_clken_8) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[66] <= _T_4278 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4279 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4280 = and(_T_4279, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4281 = and(_T_4280, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4282 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4281 : @[Reg.scala 28:19] _T_4282 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[67] <= _T_4282 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4283 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4284 = and(_T_4283, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4285 = and(_T_4284, way_status_clken_8) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[67] <= _T_4282 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4283 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4284 = and(_T_4283, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4285 = and(_T_4284, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4286 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4285 : @[Reg.scala 28:19] _T_4286 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[68] <= _T_4286 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4287 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4288 = and(_T_4287, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4289 = and(_T_4288, way_status_clken_8) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[68] <= _T_4286 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4287 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4288 = and(_T_4287, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4289 = and(_T_4288, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4290 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4289 : @[Reg.scala 28:19] _T_4290 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[69] <= _T_4290 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4291 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4292 = and(_T_4291, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4293 = and(_T_4292, way_status_clken_8) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[69] <= _T_4290 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4291 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4292 = and(_T_4291, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4293 = and(_T_4292, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4294 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4293 : @[Reg.scala 28:19] _T_4294 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[70] <= _T_4294 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4295 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4296 = and(_T_4295, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4297 = and(_T_4296, way_status_clken_8) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[70] <= _T_4294 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4295 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4296 = and(_T_4295, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4297 = and(_T_4296, way_status_clken_8) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4298 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4297 : @[Reg.scala 28:19] _T_4298 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[71] <= _T_4298 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4299 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4300 = and(_T_4299, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4301 = and(_T_4300, way_status_clken_9) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[71] <= _T_4298 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4299 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4300 = and(_T_4299, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4301 = and(_T_4300, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4302 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4301 : @[Reg.scala 28:19] _T_4302 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[72] <= _T_4302 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4303 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4304 = and(_T_4303, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4305 = and(_T_4304, way_status_clken_9) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[72] <= _T_4302 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4303 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4304 = and(_T_4303, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4305 = and(_T_4304, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4306 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4305 : @[Reg.scala 28:19] _T_4306 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[73] <= _T_4306 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4307 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4308 = and(_T_4307, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4309 = and(_T_4308, way_status_clken_9) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[73] <= _T_4306 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4307 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4308 = and(_T_4307, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4309 = and(_T_4308, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4310 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4309 : @[Reg.scala 28:19] _T_4310 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[74] <= _T_4310 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4311 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4312 = and(_T_4311, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4313 = and(_T_4312, way_status_clken_9) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[74] <= _T_4310 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4311 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4312 = and(_T_4311, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4313 = and(_T_4312, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4314 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4313 : @[Reg.scala 28:19] _T_4314 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[75] <= _T_4314 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4315 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4316 = and(_T_4315, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4317 = and(_T_4316, way_status_clken_9) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[75] <= _T_4314 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4315 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4316 = and(_T_4315, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4317 = and(_T_4316, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4318 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4317 : @[Reg.scala 28:19] _T_4318 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[76] <= _T_4318 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4319 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4320 = and(_T_4319, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4321 = and(_T_4320, way_status_clken_9) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[76] <= _T_4318 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4319 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4320 = and(_T_4319, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4321 = and(_T_4320, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4322 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4321 : @[Reg.scala 28:19] _T_4322 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[77] <= _T_4322 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4323 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4324 = and(_T_4323, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4325 = and(_T_4324, way_status_clken_9) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[77] <= _T_4322 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4323 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4324 = and(_T_4323, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4325 = and(_T_4324, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4326 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4325 : @[Reg.scala 28:19] _T_4326 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[78] <= _T_4326 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4327 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4328 = and(_T_4327, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4329 = and(_T_4328, way_status_clken_9) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[78] <= _T_4326 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4327 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4328 = and(_T_4327, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4329 = and(_T_4328, way_status_clken_9) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4330 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4329 : @[Reg.scala 28:19] _T_4330 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[79] <= _T_4330 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4331 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4332 = and(_T_4331, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4333 = and(_T_4332, way_status_clken_10) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[79] <= _T_4330 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4331 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4332 = and(_T_4331, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4333 = and(_T_4332, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4334 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4333 : @[Reg.scala 28:19] _T_4334 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[80] <= _T_4334 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4335 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4336 = and(_T_4335, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4337 = and(_T_4336, way_status_clken_10) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[80] <= _T_4334 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4335 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4336 = and(_T_4335, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4337 = and(_T_4336, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4338 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4337 : @[Reg.scala 28:19] _T_4338 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[81] <= _T_4338 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4339 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4340 = and(_T_4339, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4341 = and(_T_4340, way_status_clken_10) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[81] <= _T_4338 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4339 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4340 = and(_T_4339, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4341 = and(_T_4340, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4342 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4341 : @[Reg.scala 28:19] _T_4342 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[82] <= _T_4342 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4343 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4344 = and(_T_4343, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4345 = and(_T_4344, way_status_clken_10) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[82] <= _T_4342 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4343 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4344 = and(_T_4343, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4345 = and(_T_4344, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4346 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4345 : @[Reg.scala 28:19] _T_4346 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[83] <= _T_4346 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4347 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4348 = and(_T_4347, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4349 = and(_T_4348, way_status_clken_10) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[83] <= _T_4346 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4347 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4348 = and(_T_4347, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4349 = and(_T_4348, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4350 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4349 : @[Reg.scala 28:19] _T_4350 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[84] <= _T_4350 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4351 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4352 = and(_T_4351, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4353 = and(_T_4352, way_status_clken_10) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[84] <= _T_4350 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4351 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4352 = and(_T_4351, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4353 = and(_T_4352, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4354 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4353 : @[Reg.scala 28:19] _T_4354 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[85] <= _T_4354 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4355 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4356 = and(_T_4355, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4357 = and(_T_4356, way_status_clken_10) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[85] <= _T_4354 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4355 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4356 = and(_T_4355, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4357 = and(_T_4356, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4358 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4357 : @[Reg.scala 28:19] _T_4358 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[86] <= _T_4358 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4359 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4360 = and(_T_4359, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4361 = and(_T_4360, way_status_clken_10) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[86] <= _T_4358 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4359 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4360 = and(_T_4359, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4361 = and(_T_4360, way_status_clken_10) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4362 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4361 : @[Reg.scala 28:19] _T_4362 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[87] <= _T_4362 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4363 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4364 = and(_T_4363, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4365 = and(_T_4364, way_status_clken_11) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[87] <= _T_4362 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4363 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4364 = and(_T_4363, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4365 = and(_T_4364, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4366 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4365 : @[Reg.scala 28:19] _T_4366 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[88] <= _T_4366 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4367 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4368 = and(_T_4367, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4369 = and(_T_4368, way_status_clken_11) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[88] <= _T_4366 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4367 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4368 = and(_T_4367, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4369 = and(_T_4368, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4370 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4369 : @[Reg.scala 28:19] _T_4370 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[89] <= _T_4370 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4371 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4372 = and(_T_4371, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4373 = and(_T_4372, way_status_clken_11) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[89] <= _T_4370 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4371 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4372 = and(_T_4371, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4373 = and(_T_4372, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4374 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4373 : @[Reg.scala 28:19] _T_4374 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[90] <= _T_4374 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4375 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4376 = and(_T_4375, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4377 = and(_T_4376, way_status_clken_11) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[90] <= _T_4374 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4375 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4376 = and(_T_4375, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4377 = and(_T_4376, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4378 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4377 : @[Reg.scala 28:19] _T_4378 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[91] <= _T_4378 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4379 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4380 = and(_T_4379, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4381 = and(_T_4380, way_status_clken_11) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[91] <= _T_4378 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4379 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4380 = and(_T_4379, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4381 = and(_T_4380, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4382 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4381 : @[Reg.scala 28:19] _T_4382 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[92] <= _T_4382 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4383 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4384 = and(_T_4383, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4385 = and(_T_4384, way_status_clken_11) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[92] <= _T_4382 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4383 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4384 = and(_T_4383, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4385 = and(_T_4384, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4386 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4385 : @[Reg.scala 28:19] _T_4386 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[93] <= _T_4386 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4387 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4388 = and(_T_4387, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4389 = and(_T_4388, way_status_clken_11) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[93] <= _T_4386 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4387 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4388 = and(_T_4387, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4389 = and(_T_4388, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4390 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4389 : @[Reg.scala 28:19] _T_4390 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[94] <= _T_4390 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4391 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4392 = and(_T_4391, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4393 = and(_T_4392, way_status_clken_11) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[94] <= _T_4390 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4391 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4392 = and(_T_4391, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4393 = and(_T_4392, way_status_clken_11) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4394 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4393 : @[Reg.scala 28:19] _T_4394 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[95] <= _T_4394 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4395 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4396 = and(_T_4395, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4397 = and(_T_4396, way_status_clken_12) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[95] <= _T_4394 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4395 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4396 = and(_T_4395, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4397 = and(_T_4396, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4398 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4397 : @[Reg.scala 28:19] _T_4398 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[96] <= _T_4398 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4399 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4400 = and(_T_4399, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4401 = and(_T_4400, way_status_clken_12) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[96] <= _T_4398 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4399 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4400 = and(_T_4399, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4401 = and(_T_4400, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4402 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4401 : @[Reg.scala 28:19] _T_4402 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[97] <= _T_4402 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4403 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4404 = and(_T_4403, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4405 = and(_T_4404, way_status_clken_12) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[97] <= _T_4402 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4403 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4404 = and(_T_4403, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4405 = and(_T_4404, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4406 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4405 : @[Reg.scala 28:19] _T_4406 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[98] <= _T_4406 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4407 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4408 = and(_T_4407, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4409 = and(_T_4408, way_status_clken_12) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[98] <= _T_4406 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4407 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4408 = and(_T_4407, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4409 = and(_T_4408, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4410 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4409 : @[Reg.scala 28:19] _T_4410 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[99] <= _T_4410 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4411 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4412 = and(_T_4411, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4413 = and(_T_4412, way_status_clken_12) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[99] <= _T_4410 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4411 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4412 = and(_T_4411, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4413 = and(_T_4412, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4414 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4413 : @[Reg.scala 28:19] _T_4414 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[100] <= _T_4414 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4415 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4416 = and(_T_4415, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4417 = and(_T_4416, way_status_clken_12) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[100] <= _T_4414 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4415 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4416 = and(_T_4415, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4417 = and(_T_4416, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4418 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4417 : @[Reg.scala 28:19] _T_4418 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[101] <= _T_4418 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4419 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4420 = and(_T_4419, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4421 = and(_T_4420, way_status_clken_12) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[101] <= _T_4418 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4419 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4420 = and(_T_4419, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4421 = and(_T_4420, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4422 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4421 : @[Reg.scala 28:19] _T_4422 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[102] <= _T_4422 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4423 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4424 = and(_T_4423, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4425 = and(_T_4424, way_status_clken_12) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[102] <= _T_4422 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4423 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4424 = and(_T_4423, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4425 = and(_T_4424, way_status_clken_12) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4426 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4425 : @[Reg.scala 28:19] _T_4426 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[103] <= _T_4426 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4427 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4428 = and(_T_4427, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4429 = and(_T_4428, way_status_clken_13) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[103] <= _T_4426 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4427 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4428 = and(_T_4427, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4429 = and(_T_4428, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4430 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4429 : @[Reg.scala 28:19] _T_4430 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[104] <= _T_4430 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4431 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4432 = and(_T_4431, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4433 = and(_T_4432, way_status_clken_13) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[104] <= _T_4430 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4431 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4432 = and(_T_4431, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4433 = and(_T_4432, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4434 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4433 : @[Reg.scala 28:19] _T_4434 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[105] <= _T_4434 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4435 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4436 = and(_T_4435, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4437 = and(_T_4436, way_status_clken_13) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[105] <= _T_4434 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4435 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4436 = and(_T_4435, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4437 = and(_T_4436, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4438 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4437 : @[Reg.scala 28:19] _T_4438 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[106] <= _T_4438 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4439 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4440 = and(_T_4439, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4441 = and(_T_4440, way_status_clken_13) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[106] <= _T_4438 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4439 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4440 = and(_T_4439, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4441 = and(_T_4440, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4442 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4441 : @[Reg.scala 28:19] _T_4442 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[107] <= _T_4442 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4443 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4444 = and(_T_4443, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4445 = and(_T_4444, way_status_clken_13) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[107] <= _T_4442 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4443 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4444 = and(_T_4443, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4445 = and(_T_4444, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4446 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4445 : @[Reg.scala 28:19] _T_4446 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[108] <= _T_4446 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4447 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4448 = and(_T_4447, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4449 = and(_T_4448, way_status_clken_13) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[108] <= _T_4446 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4447 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4448 = and(_T_4447, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4449 = and(_T_4448, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4450 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4449 : @[Reg.scala 28:19] _T_4450 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[109] <= _T_4450 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4451 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4452 = and(_T_4451, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4453 = and(_T_4452, way_status_clken_13) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[109] <= _T_4450 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4451 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4452 = and(_T_4451, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4453 = and(_T_4452, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4454 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4453 : @[Reg.scala 28:19] _T_4454 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[110] <= _T_4454 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4455 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4456 = and(_T_4455, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4457 = and(_T_4456, way_status_clken_13) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[110] <= _T_4454 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4455 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4456 = and(_T_4455, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4457 = and(_T_4456, way_status_clken_13) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4458 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4457 : @[Reg.scala 28:19] _T_4458 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[111] <= _T_4458 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4459 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4460 = and(_T_4459, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4461 = and(_T_4460, way_status_clken_14) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[111] <= _T_4458 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4459 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4460 = and(_T_4459, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4461 = and(_T_4460, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4462 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4461 : @[Reg.scala 28:19] _T_4462 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[112] <= _T_4462 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4463 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4464 = and(_T_4463, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4465 = and(_T_4464, way_status_clken_14) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[112] <= _T_4462 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4463 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4464 = and(_T_4463, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4465 = and(_T_4464, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4466 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4465 : @[Reg.scala 28:19] _T_4466 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[113] <= _T_4466 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4467 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4468 = and(_T_4467, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4469 = and(_T_4468, way_status_clken_14) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[113] <= _T_4466 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4467 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4468 = and(_T_4467, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4469 = and(_T_4468, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4470 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4469 : @[Reg.scala 28:19] _T_4470 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[114] <= _T_4470 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4471 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4472 = and(_T_4471, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4473 = and(_T_4472, way_status_clken_14) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[114] <= _T_4470 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4471 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4472 = and(_T_4471, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4473 = and(_T_4472, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4474 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4473 : @[Reg.scala 28:19] _T_4474 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[115] <= _T_4474 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4475 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4476 = and(_T_4475, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4477 = and(_T_4476, way_status_clken_14) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[115] <= _T_4474 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4475 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4476 = and(_T_4475, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4477 = and(_T_4476, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4478 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4477 : @[Reg.scala 28:19] _T_4478 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[116] <= _T_4478 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4479 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4480 = and(_T_4479, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4481 = and(_T_4480, way_status_clken_14) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[116] <= _T_4478 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4479 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4480 = and(_T_4479, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4481 = and(_T_4480, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4482 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4481 : @[Reg.scala 28:19] _T_4482 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[117] <= _T_4482 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4483 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4484 = and(_T_4483, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4485 = and(_T_4484, way_status_clken_14) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[117] <= _T_4482 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4483 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4484 = and(_T_4483, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4485 = and(_T_4484, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4486 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4485 : @[Reg.scala 28:19] _T_4486 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[118] <= _T_4486 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4487 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4488 = and(_T_4487, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4489 = and(_T_4488, way_status_clken_14) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[118] <= _T_4486 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4487 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4488 = and(_T_4487, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4489 = and(_T_4488, way_status_clken_14) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4490 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4489 : @[Reg.scala 28:19] _T_4490 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[119] <= _T_4490 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4491 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4492 = and(_T_4491, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4493 = and(_T_4492, way_status_clken_15) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[119] <= _T_4490 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4491 = eq(ifu_status_wr_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4492 = and(_T_4491, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4493 = and(_T_4492, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4494 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4493 : @[Reg.scala 28:19] _T_4494 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[120] <= _T_4494 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4495 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4496 = and(_T_4495, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4497 = and(_T_4496, way_status_clken_15) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[120] <= _T_4494 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4495 = eq(ifu_status_wr_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4496 = and(_T_4495, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4497 = and(_T_4496, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4498 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4497 : @[Reg.scala 28:19] _T_4498 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[121] <= _T_4498 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4499 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4500 = and(_T_4499, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4501 = and(_T_4500, way_status_clken_15) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[121] <= _T_4498 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4499 = eq(ifu_status_wr_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4500 = and(_T_4499, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4501 = and(_T_4500, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4502 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4501 : @[Reg.scala 28:19] _T_4502 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[122] <= _T_4502 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4503 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4504 = and(_T_4503, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4505 = and(_T_4504, way_status_clken_15) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[122] <= _T_4502 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4503 = eq(ifu_status_wr_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4504 = and(_T_4503, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4505 = and(_T_4504, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4506 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4505 : @[Reg.scala 28:19] _T_4506 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[123] <= _T_4506 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4507 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4508 = and(_T_4507, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4509 = and(_T_4508, way_status_clken_15) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[123] <= _T_4506 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4507 = eq(ifu_status_wr_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4508 = and(_T_4507, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4509 = and(_T_4508, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4510 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4509 : @[Reg.scala 28:19] _T_4510 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[124] <= _T_4510 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4511 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4512 = and(_T_4511, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4513 = and(_T_4512, way_status_clken_15) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[124] <= _T_4510 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4511 = eq(ifu_status_wr_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4512 = and(_T_4511, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4513 = and(_T_4512, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4514 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4513 : @[Reg.scala 28:19] _T_4514 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[125] <= _T_4514 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4515 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4516 = and(_T_4515, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4517 = and(_T_4516, way_status_clken_15) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[125] <= _T_4514 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4515 = eq(ifu_status_wr_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4516 = and(_T_4515, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4517 = and(_T_4516, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4518 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4517 : @[Reg.scala 28:19] _T_4518 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[126] <= _T_4518 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4519 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 722:93] - node _T_4520 = and(_T_4519, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 722:102] - node _T_4521 = and(_T_4520, way_status_clken_15) @[el2_ifu_mem_ctl.scala 722:124] + way_status_out[126] <= _T_4518 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4519 = eq(ifu_status_wr_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:93] + node _T_4520 = and(_T_4519, way_status_wr_en_ff) @[el2_ifu_mem_ctl.scala 723:102] + node _T_4521 = and(_T_4520, way_status_clken_15) @[el2_ifu_mem_ctl.scala 723:124] reg _T_4522 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4521 : @[Reg.scala 28:19] _T_4522 <= way_status_new_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - way_status_out[127] <= _T_4522 @[el2_ifu_mem_ctl.scala 722:33] - node _T_4523 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 723:121] + way_status_out[127] <= _T_4522 @[el2_ifu_mem_ctl.scala 723:33] + node _T_4523 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4524 = bits(_T_4523, 0, 0) @[Bitwise.scala 72:15] node _T_4525 = mux(_T_4524, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4526 = and(_T_4525, way_status_out[0]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4527 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4526 = and(_T_4525, way_status_out[0]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4527 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4528 = bits(_T_4527, 0, 0) @[Bitwise.scala 72:15] node _T_4529 = mux(_T_4528, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4530 = and(_T_4529, way_status_out[1]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4531 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4530 = and(_T_4529, way_status_out[1]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4531 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4532 = bits(_T_4531, 0, 0) @[Bitwise.scala 72:15] node _T_4533 = mux(_T_4532, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4534 = and(_T_4533, way_status_out[2]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4535 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4534 = and(_T_4533, way_status_out[2]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4535 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4536 = bits(_T_4535, 0, 0) @[Bitwise.scala 72:15] node _T_4537 = mux(_T_4536, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4538 = and(_T_4537, way_status_out[3]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4539 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4538 = and(_T_4537, way_status_out[3]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4539 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4540 = bits(_T_4539, 0, 0) @[Bitwise.scala 72:15] node _T_4541 = mux(_T_4540, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4542 = and(_T_4541, way_status_out[4]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4543 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4542 = and(_T_4541, way_status_out[4]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4543 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4544 = bits(_T_4543, 0, 0) @[Bitwise.scala 72:15] node _T_4545 = mux(_T_4544, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4546 = and(_T_4545, way_status_out[5]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4547 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4546 = and(_T_4545, way_status_out[5]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4547 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4548 = bits(_T_4547, 0, 0) @[Bitwise.scala 72:15] node _T_4549 = mux(_T_4548, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4550 = and(_T_4549, way_status_out[6]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4551 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4550 = and(_T_4549, way_status_out[6]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4551 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4552 = bits(_T_4551, 0, 0) @[Bitwise.scala 72:15] node _T_4553 = mux(_T_4552, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4554 = and(_T_4553, way_status_out[7]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4555 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4554 = and(_T_4553, way_status_out[7]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4555 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4556 = bits(_T_4555, 0, 0) @[Bitwise.scala 72:15] node _T_4557 = mux(_T_4556, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4558 = and(_T_4557, way_status_out[8]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4559 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4558 = and(_T_4557, way_status_out[8]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4559 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4560 = bits(_T_4559, 0, 0) @[Bitwise.scala 72:15] node _T_4561 = mux(_T_4560, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4562 = and(_T_4561, way_status_out[9]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4563 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4562 = and(_T_4561, way_status_out[9]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4563 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4564 = bits(_T_4563, 0, 0) @[Bitwise.scala 72:15] node _T_4565 = mux(_T_4564, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4566 = and(_T_4565, way_status_out[10]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4567 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4566 = and(_T_4565, way_status_out[10]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4567 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4568 = bits(_T_4567, 0, 0) @[Bitwise.scala 72:15] node _T_4569 = mux(_T_4568, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4570 = and(_T_4569, way_status_out[11]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4571 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4570 = and(_T_4569, way_status_out[11]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4571 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4572 = bits(_T_4571, 0, 0) @[Bitwise.scala 72:15] node _T_4573 = mux(_T_4572, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4574 = and(_T_4573, way_status_out[12]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4575 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4574 = and(_T_4573, way_status_out[12]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4575 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4576 = bits(_T_4575, 0, 0) @[Bitwise.scala 72:15] node _T_4577 = mux(_T_4576, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4578 = and(_T_4577, way_status_out[13]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4579 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4578 = and(_T_4577, way_status_out[13]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4579 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4580 = bits(_T_4579, 0, 0) @[Bitwise.scala 72:15] node _T_4581 = mux(_T_4580, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4582 = and(_T_4581, way_status_out[14]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4583 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4582 = and(_T_4581, way_status_out[14]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4583 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4584 = bits(_T_4583, 0, 0) @[Bitwise.scala 72:15] node _T_4585 = mux(_T_4584, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4586 = and(_T_4585, way_status_out[15]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4587 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4586 = and(_T_4585, way_status_out[15]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4587 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4588 = bits(_T_4587, 0, 0) @[Bitwise.scala 72:15] node _T_4589 = mux(_T_4588, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4590 = and(_T_4589, way_status_out[16]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4591 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4590 = and(_T_4589, way_status_out[16]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4591 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4592 = bits(_T_4591, 0, 0) @[Bitwise.scala 72:15] node _T_4593 = mux(_T_4592, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4594 = and(_T_4593, way_status_out[17]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4595 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4594 = and(_T_4593, way_status_out[17]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4595 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4596 = bits(_T_4595, 0, 0) @[Bitwise.scala 72:15] node _T_4597 = mux(_T_4596, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4598 = and(_T_4597, way_status_out[18]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4599 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4598 = and(_T_4597, way_status_out[18]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4599 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4600 = bits(_T_4599, 0, 0) @[Bitwise.scala 72:15] node _T_4601 = mux(_T_4600, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4602 = and(_T_4601, way_status_out[19]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4603 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4602 = and(_T_4601, way_status_out[19]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4603 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4604 = bits(_T_4603, 0, 0) @[Bitwise.scala 72:15] node _T_4605 = mux(_T_4604, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4606 = and(_T_4605, way_status_out[20]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4607 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4606 = and(_T_4605, way_status_out[20]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4607 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4608 = bits(_T_4607, 0, 0) @[Bitwise.scala 72:15] node _T_4609 = mux(_T_4608, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4610 = and(_T_4609, way_status_out[21]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4611 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4610 = and(_T_4609, way_status_out[21]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4611 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4612 = bits(_T_4611, 0, 0) @[Bitwise.scala 72:15] node _T_4613 = mux(_T_4612, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4614 = and(_T_4613, way_status_out[22]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4615 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4614 = and(_T_4613, way_status_out[22]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4615 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4616 = bits(_T_4615, 0, 0) @[Bitwise.scala 72:15] node _T_4617 = mux(_T_4616, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4618 = and(_T_4617, way_status_out[23]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4619 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4618 = and(_T_4617, way_status_out[23]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4619 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4620 = bits(_T_4619, 0, 0) @[Bitwise.scala 72:15] node _T_4621 = mux(_T_4620, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4622 = and(_T_4621, way_status_out[24]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4623 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4622 = and(_T_4621, way_status_out[24]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4623 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4624 = bits(_T_4623, 0, 0) @[Bitwise.scala 72:15] node _T_4625 = mux(_T_4624, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4626 = and(_T_4625, way_status_out[25]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4627 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4626 = and(_T_4625, way_status_out[25]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4627 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4628 = bits(_T_4627, 0, 0) @[Bitwise.scala 72:15] node _T_4629 = mux(_T_4628, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4630 = and(_T_4629, way_status_out[26]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4631 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4630 = and(_T_4629, way_status_out[26]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4631 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4632 = bits(_T_4631, 0, 0) @[Bitwise.scala 72:15] node _T_4633 = mux(_T_4632, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4634 = and(_T_4633, way_status_out[27]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4635 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4634 = and(_T_4633, way_status_out[27]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4635 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4636 = bits(_T_4635, 0, 0) @[Bitwise.scala 72:15] node _T_4637 = mux(_T_4636, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4638 = and(_T_4637, way_status_out[28]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4639 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4638 = and(_T_4637, way_status_out[28]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4639 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4640 = bits(_T_4639, 0, 0) @[Bitwise.scala 72:15] node _T_4641 = mux(_T_4640, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4642 = and(_T_4641, way_status_out[29]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4643 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4642 = and(_T_4641, way_status_out[29]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4643 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4644 = bits(_T_4643, 0, 0) @[Bitwise.scala 72:15] node _T_4645 = mux(_T_4644, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4646 = and(_T_4645, way_status_out[30]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4647 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4646 = and(_T_4645, way_status_out[30]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4647 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4648 = bits(_T_4647, 0, 0) @[Bitwise.scala 72:15] node _T_4649 = mux(_T_4648, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4650 = and(_T_4649, way_status_out[31]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4651 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4650 = and(_T_4649, way_status_out[31]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4651 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4652 = bits(_T_4651, 0, 0) @[Bitwise.scala 72:15] node _T_4653 = mux(_T_4652, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4654 = and(_T_4653, way_status_out[32]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4655 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4654 = and(_T_4653, way_status_out[32]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4655 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4656 = bits(_T_4655, 0, 0) @[Bitwise.scala 72:15] node _T_4657 = mux(_T_4656, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4658 = and(_T_4657, way_status_out[33]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4659 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4658 = and(_T_4657, way_status_out[33]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4659 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4660 = bits(_T_4659, 0, 0) @[Bitwise.scala 72:15] node _T_4661 = mux(_T_4660, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4662 = and(_T_4661, way_status_out[34]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4663 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4662 = and(_T_4661, way_status_out[34]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4663 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4664 = bits(_T_4663, 0, 0) @[Bitwise.scala 72:15] node _T_4665 = mux(_T_4664, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4666 = and(_T_4665, way_status_out[35]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4667 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4666 = and(_T_4665, way_status_out[35]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4667 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4668 = bits(_T_4667, 0, 0) @[Bitwise.scala 72:15] node _T_4669 = mux(_T_4668, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4670 = and(_T_4669, way_status_out[36]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4671 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4670 = and(_T_4669, way_status_out[36]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4671 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4672 = bits(_T_4671, 0, 0) @[Bitwise.scala 72:15] node _T_4673 = mux(_T_4672, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4674 = and(_T_4673, way_status_out[37]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4675 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4674 = and(_T_4673, way_status_out[37]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4675 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4676 = bits(_T_4675, 0, 0) @[Bitwise.scala 72:15] node _T_4677 = mux(_T_4676, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4678 = and(_T_4677, way_status_out[38]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4679 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4678 = and(_T_4677, way_status_out[38]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4679 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4680 = bits(_T_4679, 0, 0) @[Bitwise.scala 72:15] node _T_4681 = mux(_T_4680, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4682 = and(_T_4681, way_status_out[39]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4683 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4682 = and(_T_4681, way_status_out[39]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4683 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4684 = bits(_T_4683, 0, 0) @[Bitwise.scala 72:15] node _T_4685 = mux(_T_4684, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4686 = and(_T_4685, way_status_out[40]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4687 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4686 = and(_T_4685, way_status_out[40]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4687 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4688 = bits(_T_4687, 0, 0) @[Bitwise.scala 72:15] node _T_4689 = mux(_T_4688, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4690 = and(_T_4689, way_status_out[41]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4691 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4690 = and(_T_4689, way_status_out[41]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4691 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4692 = bits(_T_4691, 0, 0) @[Bitwise.scala 72:15] node _T_4693 = mux(_T_4692, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4694 = and(_T_4693, way_status_out[42]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4695 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4694 = and(_T_4693, way_status_out[42]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4695 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4696 = bits(_T_4695, 0, 0) @[Bitwise.scala 72:15] node _T_4697 = mux(_T_4696, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4698 = and(_T_4697, way_status_out[43]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4699 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4698 = and(_T_4697, way_status_out[43]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4699 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4700 = bits(_T_4699, 0, 0) @[Bitwise.scala 72:15] node _T_4701 = mux(_T_4700, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4702 = and(_T_4701, way_status_out[44]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4703 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4702 = and(_T_4701, way_status_out[44]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4703 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4704 = bits(_T_4703, 0, 0) @[Bitwise.scala 72:15] node _T_4705 = mux(_T_4704, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4706 = and(_T_4705, way_status_out[45]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4707 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4706 = and(_T_4705, way_status_out[45]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4707 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4708 = bits(_T_4707, 0, 0) @[Bitwise.scala 72:15] node _T_4709 = mux(_T_4708, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4710 = and(_T_4709, way_status_out[46]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4711 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4710 = and(_T_4709, way_status_out[46]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4711 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4712 = bits(_T_4711, 0, 0) @[Bitwise.scala 72:15] node _T_4713 = mux(_T_4712, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4714 = and(_T_4713, way_status_out[47]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4715 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4714 = and(_T_4713, way_status_out[47]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4715 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4716 = bits(_T_4715, 0, 0) @[Bitwise.scala 72:15] node _T_4717 = mux(_T_4716, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4718 = and(_T_4717, way_status_out[48]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4719 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4718 = and(_T_4717, way_status_out[48]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4719 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4720 = bits(_T_4719, 0, 0) @[Bitwise.scala 72:15] node _T_4721 = mux(_T_4720, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4722 = and(_T_4721, way_status_out[49]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4723 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4722 = and(_T_4721, way_status_out[49]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4723 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4724 = bits(_T_4723, 0, 0) @[Bitwise.scala 72:15] node _T_4725 = mux(_T_4724, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4726 = and(_T_4725, way_status_out[50]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4727 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4726 = and(_T_4725, way_status_out[50]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4727 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4728 = bits(_T_4727, 0, 0) @[Bitwise.scala 72:15] node _T_4729 = mux(_T_4728, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4730 = and(_T_4729, way_status_out[51]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4731 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4730 = and(_T_4729, way_status_out[51]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4731 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4732 = bits(_T_4731, 0, 0) @[Bitwise.scala 72:15] node _T_4733 = mux(_T_4732, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4734 = and(_T_4733, way_status_out[52]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4735 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4734 = and(_T_4733, way_status_out[52]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4735 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4736 = bits(_T_4735, 0, 0) @[Bitwise.scala 72:15] node _T_4737 = mux(_T_4736, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4738 = and(_T_4737, way_status_out[53]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4739 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4738 = and(_T_4737, way_status_out[53]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4739 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4740 = bits(_T_4739, 0, 0) @[Bitwise.scala 72:15] node _T_4741 = mux(_T_4740, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4742 = and(_T_4741, way_status_out[54]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4743 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4742 = and(_T_4741, way_status_out[54]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4743 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4744 = bits(_T_4743, 0, 0) @[Bitwise.scala 72:15] node _T_4745 = mux(_T_4744, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4746 = and(_T_4745, way_status_out[55]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4747 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4746 = and(_T_4745, way_status_out[55]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4747 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4748 = bits(_T_4747, 0, 0) @[Bitwise.scala 72:15] node _T_4749 = mux(_T_4748, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4750 = and(_T_4749, way_status_out[56]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4751 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4750 = and(_T_4749, way_status_out[56]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4751 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4752 = bits(_T_4751, 0, 0) @[Bitwise.scala 72:15] node _T_4753 = mux(_T_4752, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4754 = and(_T_4753, way_status_out[57]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4755 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4754 = and(_T_4753, way_status_out[57]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4755 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4756 = bits(_T_4755, 0, 0) @[Bitwise.scala 72:15] node _T_4757 = mux(_T_4756, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4758 = and(_T_4757, way_status_out[58]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4759 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4758 = and(_T_4757, way_status_out[58]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4759 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4760 = bits(_T_4759, 0, 0) @[Bitwise.scala 72:15] node _T_4761 = mux(_T_4760, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4762 = and(_T_4761, way_status_out[59]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4763 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4762 = and(_T_4761, way_status_out[59]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4763 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4764 = bits(_T_4763, 0, 0) @[Bitwise.scala 72:15] node _T_4765 = mux(_T_4764, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4766 = and(_T_4765, way_status_out[60]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4767 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4766 = and(_T_4765, way_status_out[60]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4767 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4768 = bits(_T_4767, 0, 0) @[Bitwise.scala 72:15] node _T_4769 = mux(_T_4768, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4770 = and(_T_4769, way_status_out[61]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4771 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4770 = and(_T_4769, way_status_out[61]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4771 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4772 = bits(_T_4771, 0, 0) @[Bitwise.scala 72:15] node _T_4773 = mux(_T_4772, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4774 = and(_T_4773, way_status_out[62]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4775 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4774 = and(_T_4773, way_status_out[62]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4775 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4776 = bits(_T_4775, 0, 0) @[Bitwise.scala 72:15] node _T_4777 = mux(_T_4776, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4778 = and(_T_4777, way_status_out[63]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4779 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4778 = and(_T_4777, way_status_out[63]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4779 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4780 = bits(_T_4779, 0, 0) @[Bitwise.scala 72:15] node _T_4781 = mux(_T_4780, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4782 = and(_T_4781, way_status_out[64]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4783 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4782 = and(_T_4781, way_status_out[64]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4783 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4784 = bits(_T_4783, 0, 0) @[Bitwise.scala 72:15] node _T_4785 = mux(_T_4784, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4786 = and(_T_4785, way_status_out[65]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4787 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4786 = and(_T_4785, way_status_out[65]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4787 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4788 = bits(_T_4787, 0, 0) @[Bitwise.scala 72:15] node _T_4789 = mux(_T_4788, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4790 = and(_T_4789, way_status_out[66]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4791 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4790 = and(_T_4789, way_status_out[66]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4791 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4792 = bits(_T_4791, 0, 0) @[Bitwise.scala 72:15] node _T_4793 = mux(_T_4792, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4794 = and(_T_4793, way_status_out[67]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4795 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4794 = and(_T_4793, way_status_out[67]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4795 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4796 = bits(_T_4795, 0, 0) @[Bitwise.scala 72:15] node _T_4797 = mux(_T_4796, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4798 = and(_T_4797, way_status_out[68]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4799 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4798 = and(_T_4797, way_status_out[68]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4799 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4800 = bits(_T_4799, 0, 0) @[Bitwise.scala 72:15] node _T_4801 = mux(_T_4800, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4802 = and(_T_4801, way_status_out[69]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4803 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4802 = and(_T_4801, way_status_out[69]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4803 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4804 = bits(_T_4803, 0, 0) @[Bitwise.scala 72:15] node _T_4805 = mux(_T_4804, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4806 = and(_T_4805, way_status_out[70]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4807 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4806 = and(_T_4805, way_status_out[70]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4807 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4808 = bits(_T_4807, 0, 0) @[Bitwise.scala 72:15] node _T_4809 = mux(_T_4808, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4810 = and(_T_4809, way_status_out[71]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4811 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4810 = and(_T_4809, way_status_out[71]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4811 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4812 = bits(_T_4811, 0, 0) @[Bitwise.scala 72:15] node _T_4813 = mux(_T_4812, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4814 = and(_T_4813, way_status_out[72]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4815 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4814 = and(_T_4813, way_status_out[72]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4815 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4816 = bits(_T_4815, 0, 0) @[Bitwise.scala 72:15] node _T_4817 = mux(_T_4816, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4818 = and(_T_4817, way_status_out[73]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4819 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4818 = and(_T_4817, way_status_out[73]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4819 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4820 = bits(_T_4819, 0, 0) @[Bitwise.scala 72:15] node _T_4821 = mux(_T_4820, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4822 = and(_T_4821, way_status_out[74]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4823 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4822 = and(_T_4821, way_status_out[74]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4823 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4824 = bits(_T_4823, 0, 0) @[Bitwise.scala 72:15] node _T_4825 = mux(_T_4824, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4826 = and(_T_4825, way_status_out[75]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4827 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4826 = and(_T_4825, way_status_out[75]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4827 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4828 = bits(_T_4827, 0, 0) @[Bitwise.scala 72:15] node _T_4829 = mux(_T_4828, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4830 = and(_T_4829, way_status_out[76]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4831 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4830 = and(_T_4829, way_status_out[76]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4831 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4832 = bits(_T_4831, 0, 0) @[Bitwise.scala 72:15] node _T_4833 = mux(_T_4832, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4834 = and(_T_4833, way_status_out[77]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4835 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4834 = and(_T_4833, way_status_out[77]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4835 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4836 = bits(_T_4835, 0, 0) @[Bitwise.scala 72:15] node _T_4837 = mux(_T_4836, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4838 = and(_T_4837, way_status_out[78]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4839 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4838 = and(_T_4837, way_status_out[78]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4839 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4840 = bits(_T_4839, 0, 0) @[Bitwise.scala 72:15] node _T_4841 = mux(_T_4840, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4842 = and(_T_4841, way_status_out[79]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4843 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4842 = and(_T_4841, way_status_out[79]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4843 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4844 = bits(_T_4843, 0, 0) @[Bitwise.scala 72:15] node _T_4845 = mux(_T_4844, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4846 = and(_T_4845, way_status_out[80]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4847 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4846 = and(_T_4845, way_status_out[80]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4847 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4848 = bits(_T_4847, 0, 0) @[Bitwise.scala 72:15] node _T_4849 = mux(_T_4848, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4850 = and(_T_4849, way_status_out[81]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4851 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4850 = and(_T_4849, way_status_out[81]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4851 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4852 = bits(_T_4851, 0, 0) @[Bitwise.scala 72:15] node _T_4853 = mux(_T_4852, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4854 = and(_T_4853, way_status_out[82]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4855 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4854 = and(_T_4853, way_status_out[82]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4855 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4856 = bits(_T_4855, 0, 0) @[Bitwise.scala 72:15] node _T_4857 = mux(_T_4856, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4858 = and(_T_4857, way_status_out[83]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4859 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4858 = and(_T_4857, way_status_out[83]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4859 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4860 = bits(_T_4859, 0, 0) @[Bitwise.scala 72:15] node _T_4861 = mux(_T_4860, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4862 = and(_T_4861, way_status_out[84]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4863 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4862 = and(_T_4861, way_status_out[84]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4863 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4864 = bits(_T_4863, 0, 0) @[Bitwise.scala 72:15] node _T_4865 = mux(_T_4864, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4866 = and(_T_4865, way_status_out[85]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4867 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4866 = and(_T_4865, way_status_out[85]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4867 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4868 = bits(_T_4867, 0, 0) @[Bitwise.scala 72:15] node _T_4869 = mux(_T_4868, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4870 = and(_T_4869, way_status_out[86]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4871 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4870 = and(_T_4869, way_status_out[86]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4871 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4872 = bits(_T_4871, 0, 0) @[Bitwise.scala 72:15] node _T_4873 = mux(_T_4872, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4874 = and(_T_4873, way_status_out[87]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4875 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4874 = and(_T_4873, way_status_out[87]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4875 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4876 = bits(_T_4875, 0, 0) @[Bitwise.scala 72:15] node _T_4877 = mux(_T_4876, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4878 = and(_T_4877, way_status_out[88]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4879 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4878 = and(_T_4877, way_status_out[88]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4879 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4880 = bits(_T_4879, 0, 0) @[Bitwise.scala 72:15] node _T_4881 = mux(_T_4880, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4882 = and(_T_4881, way_status_out[89]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4883 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4882 = and(_T_4881, way_status_out[89]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4883 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4884 = bits(_T_4883, 0, 0) @[Bitwise.scala 72:15] node _T_4885 = mux(_T_4884, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4886 = and(_T_4885, way_status_out[90]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4887 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4886 = and(_T_4885, way_status_out[90]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4887 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4888 = bits(_T_4887, 0, 0) @[Bitwise.scala 72:15] node _T_4889 = mux(_T_4888, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4890 = and(_T_4889, way_status_out[91]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4891 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4890 = and(_T_4889, way_status_out[91]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4891 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4892 = bits(_T_4891, 0, 0) @[Bitwise.scala 72:15] node _T_4893 = mux(_T_4892, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4894 = and(_T_4893, way_status_out[92]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4895 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4894 = and(_T_4893, way_status_out[92]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4895 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4896 = bits(_T_4895, 0, 0) @[Bitwise.scala 72:15] node _T_4897 = mux(_T_4896, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4898 = and(_T_4897, way_status_out[93]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4899 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4898 = and(_T_4897, way_status_out[93]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4899 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4900 = bits(_T_4899, 0, 0) @[Bitwise.scala 72:15] node _T_4901 = mux(_T_4900, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4902 = and(_T_4901, way_status_out[94]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4903 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4902 = and(_T_4901, way_status_out[94]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4903 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4904 = bits(_T_4903, 0, 0) @[Bitwise.scala 72:15] node _T_4905 = mux(_T_4904, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4906 = and(_T_4905, way_status_out[95]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4907 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4906 = and(_T_4905, way_status_out[95]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4907 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4908 = bits(_T_4907, 0, 0) @[Bitwise.scala 72:15] node _T_4909 = mux(_T_4908, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4910 = and(_T_4909, way_status_out[96]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4911 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4910 = and(_T_4909, way_status_out[96]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4911 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4912 = bits(_T_4911, 0, 0) @[Bitwise.scala 72:15] node _T_4913 = mux(_T_4912, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4914 = and(_T_4913, way_status_out[97]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4915 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4914 = and(_T_4913, way_status_out[97]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4915 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4916 = bits(_T_4915, 0, 0) @[Bitwise.scala 72:15] node _T_4917 = mux(_T_4916, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4918 = and(_T_4917, way_status_out[98]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4919 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4918 = and(_T_4917, way_status_out[98]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4919 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4920 = bits(_T_4919, 0, 0) @[Bitwise.scala 72:15] node _T_4921 = mux(_T_4920, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4922 = and(_T_4921, way_status_out[99]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4923 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4922 = and(_T_4921, way_status_out[99]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4923 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4924 = bits(_T_4923, 0, 0) @[Bitwise.scala 72:15] node _T_4925 = mux(_T_4924, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4926 = and(_T_4925, way_status_out[100]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4927 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4926 = and(_T_4925, way_status_out[100]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4927 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4928 = bits(_T_4927, 0, 0) @[Bitwise.scala 72:15] node _T_4929 = mux(_T_4928, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4930 = and(_T_4929, way_status_out[101]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4931 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4930 = and(_T_4929, way_status_out[101]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4931 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4932 = bits(_T_4931, 0, 0) @[Bitwise.scala 72:15] node _T_4933 = mux(_T_4932, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4934 = and(_T_4933, way_status_out[102]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4935 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4934 = and(_T_4933, way_status_out[102]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4935 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4936 = bits(_T_4935, 0, 0) @[Bitwise.scala 72:15] node _T_4937 = mux(_T_4936, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4938 = and(_T_4937, way_status_out[103]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4939 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4938 = and(_T_4937, way_status_out[103]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4939 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4940 = bits(_T_4939, 0, 0) @[Bitwise.scala 72:15] node _T_4941 = mux(_T_4940, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4942 = and(_T_4941, way_status_out[104]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4943 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4942 = and(_T_4941, way_status_out[104]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4943 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4944 = bits(_T_4943, 0, 0) @[Bitwise.scala 72:15] node _T_4945 = mux(_T_4944, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4946 = and(_T_4945, way_status_out[105]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4947 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4946 = and(_T_4945, way_status_out[105]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4947 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4948 = bits(_T_4947, 0, 0) @[Bitwise.scala 72:15] node _T_4949 = mux(_T_4948, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4950 = and(_T_4949, way_status_out[106]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4951 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4950 = and(_T_4949, way_status_out[106]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4951 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4952 = bits(_T_4951, 0, 0) @[Bitwise.scala 72:15] node _T_4953 = mux(_T_4952, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4954 = and(_T_4953, way_status_out[107]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4955 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4954 = and(_T_4953, way_status_out[107]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4955 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4956 = bits(_T_4955, 0, 0) @[Bitwise.scala 72:15] node _T_4957 = mux(_T_4956, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4958 = and(_T_4957, way_status_out[108]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4959 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4958 = and(_T_4957, way_status_out[108]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4959 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4960 = bits(_T_4959, 0, 0) @[Bitwise.scala 72:15] node _T_4961 = mux(_T_4960, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4962 = and(_T_4961, way_status_out[109]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4963 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4962 = and(_T_4961, way_status_out[109]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4963 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4964 = bits(_T_4963, 0, 0) @[Bitwise.scala 72:15] node _T_4965 = mux(_T_4964, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4966 = and(_T_4965, way_status_out[110]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4967 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4966 = and(_T_4965, way_status_out[110]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4967 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4968 = bits(_T_4967, 0, 0) @[Bitwise.scala 72:15] node _T_4969 = mux(_T_4968, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4970 = and(_T_4969, way_status_out[111]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4971 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4970 = and(_T_4969, way_status_out[111]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4971 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4972 = bits(_T_4971, 0, 0) @[Bitwise.scala 72:15] node _T_4973 = mux(_T_4972, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4974 = and(_T_4973, way_status_out[112]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4975 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4974 = and(_T_4973, way_status_out[112]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4975 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4976 = bits(_T_4975, 0, 0) @[Bitwise.scala 72:15] node _T_4977 = mux(_T_4976, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4978 = and(_T_4977, way_status_out[113]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4979 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4978 = and(_T_4977, way_status_out[113]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4979 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4980 = bits(_T_4979, 0, 0) @[Bitwise.scala 72:15] node _T_4981 = mux(_T_4980, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4982 = and(_T_4981, way_status_out[114]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4983 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4982 = and(_T_4981, way_status_out[114]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4983 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4984 = bits(_T_4983, 0, 0) @[Bitwise.scala 72:15] node _T_4985 = mux(_T_4984, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4986 = and(_T_4985, way_status_out[115]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4987 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4986 = and(_T_4985, way_status_out[115]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4987 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4988 = bits(_T_4987, 0, 0) @[Bitwise.scala 72:15] node _T_4989 = mux(_T_4988, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4990 = and(_T_4989, way_status_out[116]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4991 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4990 = and(_T_4989, way_status_out[116]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4991 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4992 = bits(_T_4991, 0, 0) @[Bitwise.scala 72:15] node _T_4993 = mux(_T_4992, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4994 = and(_T_4993, way_status_out[117]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4995 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4994 = and(_T_4993, way_status_out[117]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4995 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 724:121] node _T_4996 = bits(_T_4995, 0, 0) @[Bitwise.scala 72:15] node _T_4997 = mux(_T_4996, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_4998 = and(_T_4997, way_status_out[118]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_4999 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_4998 = and(_T_4997, way_status_out[118]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_4999 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 724:121] node _T_5000 = bits(_T_4999, 0, 0) @[Bitwise.scala 72:15] node _T_5001 = mux(_T_5000, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_5002 = and(_T_5001, way_status_out[119]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_5003 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_5002 = and(_T_5001, way_status_out[119]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_5003 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 724:121] node _T_5004 = bits(_T_5003, 0, 0) @[Bitwise.scala 72:15] node _T_5005 = mux(_T_5004, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_5006 = and(_T_5005, way_status_out[120]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_5007 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_5006 = and(_T_5005, way_status_out[120]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_5007 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 724:121] node _T_5008 = bits(_T_5007, 0, 0) @[Bitwise.scala 72:15] node _T_5009 = mux(_T_5008, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_5010 = and(_T_5009, way_status_out[121]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_5011 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_5010 = and(_T_5009, way_status_out[121]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_5011 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 724:121] node _T_5012 = bits(_T_5011, 0, 0) @[Bitwise.scala 72:15] node _T_5013 = mux(_T_5012, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_5014 = and(_T_5013, way_status_out[122]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_5015 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_5014 = and(_T_5013, way_status_out[122]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_5015 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 724:121] node _T_5016 = bits(_T_5015, 0, 0) @[Bitwise.scala 72:15] node _T_5017 = mux(_T_5016, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_5018 = and(_T_5017, way_status_out[123]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_5019 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_5018 = and(_T_5017, way_status_out[123]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_5019 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 724:121] node _T_5020 = bits(_T_5019, 0, 0) @[Bitwise.scala 72:15] node _T_5021 = mux(_T_5020, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_5022 = and(_T_5021, way_status_out[124]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_5023 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_5022 = and(_T_5021, way_status_out[124]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_5023 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 724:121] node _T_5024 = bits(_T_5023, 0, 0) @[Bitwise.scala 72:15] node _T_5025 = mux(_T_5024, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_5026 = and(_T_5025, way_status_out[125]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_5027 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_5026 = and(_T_5025, way_status_out[125]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_5027 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 724:121] node _T_5028 = bits(_T_5027, 0, 0) @[Bitwise.scala 72:15] node _T_5029 = mux(_T_5028, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_5030 = and(_T_5029, way_status_out[126]) @[el2_ifu_mem_ctl.scala 723:130] - node _T_5031 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 723:121] + node _T_5030 = and(_T_5029, way_status_out[126]) @[el2_ifu_mem_ctl.scala 724:130] + node _T_5031 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 724:121] node _T_5032 = bits(_T_5031, 0, 0) @[Bitwise.scala 72:15] node _T_5033 = mux(_T_5032, UInt<6>("h03f"), UInt<6>("h00")) @[Bitwise.scala 72:12] - node _T_5034 = and(_T_5033, way_status_out[127]) @[el2_ifu_mem_ctl.scala 723:130] + node _T_5034 = and(_T_5033, way_status_out[127]) @[el2_ifu_mem_ctl.scala 724:130] node _T_5035 = cat(_T_5034, _T_5030) @[Cat.scala 29:58] node _T_5036 = cat(_T_5035, _T_5026) @[Cat.scala 29:58] node _T_5037 = cat(_T_5036, _T_5022) @[Cat.scala 29:58] @@ -7316,6185 +7316,6187 @@ circuit el2_ifu_mem_ctl : node _T_5159 = cat(_T_5158, _T_4534) @[Cat.scala 29:58] node _T_5160 = cat(_T_5159, _T_4530) @[Cat.scala 29:58] node _T_5161 = cat(_T_5160, _T_4526) @[Cat.scala 29:58] - way_status <= _T_5161 @[el2_ifu_mem_ctl.scala 723:16] - node _T_5162 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 724:61] - node _T_5163 = and(_T_5162, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 724:82] - node _T_5164 = bits(io.ic_debug_addr, 9, 3) @[el2_ifu_mem_ctl.scala 725:23] - node _T_5165 = bits(ifu_ic_rw_int_addr, 11, 5) @[el2_ifu_mem_ctl.scala 725:89] - node ifu_ic_rw_int_addr_w_debug = mux(_T_5163, _T_5164, _T_5165) @[el2_ifu_mem_ctl.scala 724:41] - reg _T_5166 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 727:14] - _T_5166 <= ifu_ic_rw_int_addr_w_debug @[el2_ifu_mem_ctl.scala 727:14] - ifu_ic_rw_int_addr_ff <= _T_5166 @[el2_ifu_mem_ctl.scala 726:27] + way_status <= _T_5161 @[el2_ifu_mem_ctl.scala 724:16] + node _T_5162 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 725:61] + node _T_5163 = and(_T_5162, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 725:82] + node _T_5164 = bits(io.ic_debug_addr, 9, 3) @[el2_ifu_mem_ctl.scala 726:23] + node _T_5165 = bits(ifu_ic_rw_int_addr, 11, 5) @[el2_ifu_mem_ctl.scala 726:89] + node ifu_ic_rw_int_addr_w_debug = mux(_T_5163, _T_5164, _T_5165) @[el2_ifu_mem_ctl.scala 725:41] + reg _T_5166 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 728:14] + _T_5166 <= ifu_ic_rw_int_addr_w_debug @[el2_ifu_mem_ctl.scala 728:14] + ifu_ic_rw_int_addr_ff <= _T_5166 @[el2_ifu_mem_ctl.scala 727:27] wire ifu_tag_wren : UInt<2> ifu_tag_wren <= UInt<1>("h00") wire ic_debug_tag_wr_en : UInt<2> ic_debug_tag_wr_en <= UInt<1>("h00") - node ifu_tag_wren_w_debug = or(ifu_tag_wren, ic_debug_tag_wr_en) @[el2_ifu_mem_ctl.scala 731:45] - reg ifu_tag_wren_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 733:14] - ifu_tag_wren_ff <= ifu_tag_wren_w_debug @[el2_ifu_mem_ctl.scala 733:14] - node _T_5167 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 735:50] - node _T_5168 = bits(io.ic_debug_wr_data, 0, 0) @[el2_ifu_mem_ctl.scala 735:94] - node ic_valid_w_debug = mux(_T_5167, _T_5168, ic_valid) @[el2_ifu_mem_ctl.scala 735:31] - reg ic_valid_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 737:14] - ic_valid_ff <= ic_valid_w_debug @[el2_ifu_mem_ctl.scala 737:14] - node _T_5169 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 741:35] - node _T_5170 = eq(_T_5169, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 741:82] - node _T_5171 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 741:108] - node _T_5172 = and(_T_5170, _T_5171) @[el2_ifu_mem_ctl.scala 741:91] - node _T_5173 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 742:27] - node _T_5174 = eq(_T_5173, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 742:74] - node _T_5175 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 742:101] - node _T_5176 = and(_T_5174, _T_5175) @[el2_ifu_mem_ctl.scala 742:83] - node _T_5177 = or(_T_5172, _T_5176) @[el2_ifu_mem_ctl.scala 741:113] - node _T_5178 = or(_T_5177, reset_all_tags) @[el2_ifu_mem_ctl.scala 742:106] - node _T_5179 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 741:35] - node _T_5180 = eq(_T_5179, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 741:82] - node _T_5181 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 741:108] - node _T_5182 = and(_T_5180, _T_5181) @[el2_ifu_mem_ctl.scala 741:91] - node _T_5183 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 742:27] - node _T_5184 = eq(_T_5183, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 742:74] - node _T_5185 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 742:101] - node _T_5186 = and(_T_5184, _T_5185) @[el2_ifu_mem_ctl.scala 742:83] - node _T_5187 = or(_T_5182, _T_5186) @[el2_ifu_mem_ctl.scala 741:113] - node _T_5188 = or(_T_5187, reset_all_tags) @[el2_ifu_mem_ctl.scala 742:106] + node ifu_tag_wren_w_debug = or(ifu_tag_wren, ic_debug_tag_wr_en) @[el2_ifu_mem_ctl.scala 732:45] + reg ifu_tag_wren_ff : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 734:14] + ifu_tag_wren_ff <= ifu_tag_wren_w_debug @[el2_ifu_mem_ctl.scala 734:14] + node _T_5167 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 736:50] + node _T_5168 = bits(io.ic_debug_wr_data, 0, 0) @[el2_ifu_mem_ctl.scala 736:94] + node ic_valid_w_debug = mux(_T_5167, _T_5168, ic_valid) @[el2_ifu_mem_ctl.scala 736:31] + reg ic_valid_ff : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 738:14] + ic_valid_ff <= ic_valid_w_debug @[el2_ifu_mem_ctl.scala 738:14] + node _T_5169 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 742:35] + node _T_5170 = eq(_T_5169, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 742:82] + node _T_5171 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 742:108] + node _T_5172 = and(_T_5170, _T_5171) @[el2_ifu_mem_ctl.scala 742:91] + node _T_5173 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 743:27] + node _T_5174 = eq(_T_5173, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 743:74] + node _T_5175 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 743:101] + node _T_5176 = and(_T_5174, _T_5175) @[el2_ifu_mem_ctl.scala 743:83] + node _T_5177 = or(_T_5172, _T_5176) @[el2_ifu_mem_ctl.scala 742:113] + node _T_5178 = or(_T_5177, reset_all_tags) @[el2_ifu_mem_ctl.scala 743:106] + node _T_5179 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 742:35] + node _T_5180 = eq(_T_5179, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 742:82] + node _T_5181 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 742:108] + node _T_5182 = and(_T_5180, _T_5181) @[el2_ifu_mem_ctl.scala 742:91] + node _T_5183 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 743:27] + node _T_5184 = eq(_T_5183, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 743:74] + node _T_5185 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 743:101] + node _T_5186 = and(_T_5184, _T_5185) @[el2_ifu_mem_ctl.scala 743:83] + node _T_5187 = or(_T_5182, _T_5186) @[el2_ifu_mem_ctl.scala 742:113] + node _T_5188 = or(_T_5187, reset_all_tags) @[el2_ifu_mem_ctl.scala 743:106] node tag_valid_clken_0 = cat(_T_5178, _T_5188) @[Cat.scala 29:58] - node _T_5189 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 741:35] - node _T_5190 = eq(_T_5189, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 741:82] - node _T_5191 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 741:108] - node _T_5192 = and(_T_5190, _T_5191) @[el2_ifu_mem_ctl.scala 741:91] - node _T_5193 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 742:27] - node _T_5194 = eq(_T_5193, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 742:74] - node _T_5195 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 742:101] - node _T_5196 = and(_T_5194, _T_5195) @[el2_ifu_mem_ctl.scala 742:83] - node _T_5197 = or(_T_5192, _T_5196) @[el2_ifu_mem_ctl.scala 741:113] - node _T_5198 = or(_T_5197, reset_all_tags) @[el2_ifu_mem_ctl.scala 742:106] - node _T_5199 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 741:35] - node _T_5200 = eq(_T_5199, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 741:82] - node _T_5201 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 741:108] - node _T_5202 = and(_T_5200, _T_5201) @[el2_ifu_mem_ctl.scala 741:91] - node _T_5203 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 742:27] - node _T_5204 = eq(_T_5203, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 742:74] - node _T_5205 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 742:101] - node _T_5206 = and(_T_5204, _T_5205) @[el2_ifu_mem_ctl.scala 742:83] - node _T_5207 = or(_T_5202, _T_5206) @[el2_ifu_mem_ctl.scala 741:113] - node _T_5208 = or(_T_5207, reset_all_tags) @[el2_ifu_mem_ctl.scala 742:106] + node _T_5189 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 742:35] + node _T_5190 = eq(_T_5189, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 742:82] + node _T_5191 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 742:108] + node _T_5192 = and(_T_5190, _T_5191) @[el2_ifu_mem_ctl.scala 742:91] + node _T_5193 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 743:27] + node _T_5194 = eq(_T_5193, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 743:74] + node _T_5195 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 743:101] + node _T_5196 = and(_T_5194, _T_5195) @[el2_ifu_mem_ctl.scala 743:83] + node _T_5197 = or(_T_5192, _T_5196) @[el2_ifu_mem_ctl.scala 742:113] + node _T_5198 = or(_T_5197, reset_all_tags) @[el2_ifu_mem_ctl.scala 743:106] + node _T_5199 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 742:35] + node _T_5200 = eq(_T_5199, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 742:82] + node _T_5201 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 742:108] + node _T_5202 = and(_T_5200, _T_5201) @[el2_ifu_mem_ctl.scala 742:91] + node _T_5203 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 743:27] + node _T_5204 = eq(_T_5203, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 743:74] + node _T_5205 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 743:101] + node _T_5206 = and(_T_5204, _T_5205) @[el2_ifu_mem_ctl.scala 743:83] + node _T_5207 = or(_T_5202, _T_5206) @[el2_ifu_mem_ctl.scala 742:113] + node _T_5208 = or(_T_5207, reset_all_tags) @[el2_ifu_mem_ctl.scala 743:106] node tag_valid_clken_1 = cat(_T_5198, _T_5208) @[Cat.scala 29:58] - node _T_5209 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 741:35] - node _T_5210 = eq(_T_5209, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 741:82] - node _T_5211 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 741:108] - node _T_5212 = and(_T_5210, _T_5211) @[el2_ifu_mem_ctl.scala 741:91] - node _T_5213 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 742:27] - node _T_5214 = eq(_T_5213, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 742:74] - node _T_5215 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 742:101] - node _T_5216 = and(_T_5214, _T_5215) @[el2_ifu_mem_ctl.scala 742:83] - node _T_5217 = or(_T_5212, _T_5216) @[el2_ifu_mem_ctl.scala 741:113] - node _T_5218 = or(_T_5217, reset_all_tags) @[el2_ifu_mem_ctl.scala 742:106] - node _T_5219 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 741:35] - node _T_5220 = eq(_T_5219, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 741:82] - node _T_5221 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 741:108] - node _T_5222 = and(_T_5220, _T_5221) @[el2_ifu_mem_ctl.scala 741:91] - node _T_5223 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 742:27] - node _T_5224 = eq(_T_5223, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 742:74] - node _T_5225 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 742:101] - node _T_5226 = and(_T_5224, _T_5225) @[el2_ifu_mem_ctl.scala 742:83] - node _T_5227 = or(_T_5222, _T_5226) @[el2_ifu_mem_ctl.scala 741:113] - node _T_5228 = or(_T_5227, reset_all_tags) @[el2_ifu_mem_ctl.scala 742:106] + node _T_5209 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 742:35] + node _T_5210 = eq(_T_5209, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 742:82] + node _T_5211 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 742:108] + node _T_5212 = and(_T_5210, _T_5211) @[el2_ifu_mem_ctl.scala 742:91] + node _T_5213 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 743:27] + node _T_5214 = eq(_T_5213, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 743:74] + node _T_5215 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 743:101] + node _T_5216 = and(_T_5214, _T_5215) @[el2_ifu_mem_ctl.scala 743:83] + node _T_5217 = or(_T_5212, _T_5216) @[el2_ifu_mem_ctl.scala 742:113] + node _T_5218 = or(_T_5217, reset_all_tags) @[el2_ifu_mem_ctl.scala 743:106] + node _T_5219 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 742:35] + node _T_5220 = eq(_T_5219, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 742:82] + node _T_5221 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 742:108] + node _T_5222 = and(_T_5220, _T_5221) @[el2_ifu_mem_ctl.scala 742:91] + node _T_5223 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 743:27] + node _T_5224 = eq(_T_5223, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 743:74] + node _T_5225 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 743:101] + node _T_5226 = and(_T_5224, _T_5225) @[el2_ifu_mem_ctl.scala 743:83] + node _T_5227 = or(_T_5222, _T_5226) @[el2_ifu_mem_ctl.scala 742:113] + node _T_5228 = or(_T_5227, reset_all_tags) @[el2_ifu_mem_ctl.scala 743:106] node tag_valid_clken_2 = cat(_T_5218, _T_5228) @[Cat.scala 29:58] - node _T_5229 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 741:35] - node _T_5230 = eq(_T_5229, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 741:82] - node _T_5231 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 741:108] - node _T_5232 = and(_T_5230, _T_5231) @[el2_ifu_mem_ctl.scala 741:91] - node _T_5233 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 742:27] - node _T_5234 = eq(_T_5233, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 742:74] - node _T_5235 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 742:101] - node _T_5236 = and(_T_5234, _T_5235) @[el2_ifu_mem_ctl.scala 742:83] - node _T_5237 = or(_T_5232, _T_5236) @[el2_ifu_mem_ctl.scala 741:113] - node _T_5238 = or(_T_5237, reset_all_tags) @[el2_ifu_mem_ctl.scala 742:106] - node _T_5239 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 741:35] - node _T_5240 = eq(_T_5239, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 741:82] - node _T_5241 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 741:108] - node _T_5242 = and(_T_5240, _T_5241) @[el2_ifu_mem_ctl.scala 741:91] - node _T_5243 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 742:27] - node _T_5244 = eq(_T_5243, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 742:74] - node _T_5245 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 742:101] - node _T_5246 = and(_T_5244, _T_5245) @[el2_ifu_mem_ctl.scala 742:83] - node _T_5247 = or(_T_5242, _T_5246) @[el2_ifu_mem_ctl.scala 741:113] - node _T_5248 = or(_T_5247, reset_all_tags) @[el2_ifu_mem_ctl.scala 742:106] + node _T_5229 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 742:35] + node _T_5230 = eq(_T_5229, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 742:82] + node _T_5231 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 742:108] + node _T_5232 = and(_T_5230, _T_5231) @[el2_ifu_mem_ctl.scala 742:91] + node _T_5233 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 743:27] + node _T_5234 = eq(_T_5233, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 743:74] + node _T_5235 = bits(perr_err_inv_way, 0, 0) @[el2_ifu_mem_ctl.scala 743:101] + node _T_5236 = and(_T_5234, _T_5235) @[el2_ifu_mem_ctl.scala 743:83] + node _T_5237 = or(_T_5232, _T_5236) @[el2_ifu_mem_ctl.scala 742:113] + node _T_5238 = or(_T_5237, reset_all_tags) @[el2_ifu_mem_ctl.scala 743:106] + node _T_5239 = bits(ifu_ic_rw_int_addr_ff, 5, 4) @[el2_ifu_mem_ctl.scala 742:35] + node _T_5240 = eq(_T_5239, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 742:82] + node _T_5241 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 742:108] + node _T_5242 = and(_T_5240, _T_5241) @[el2_ifu_mem_ctl.scala 742:91] + node _T_5243 = bits(perr_ic_index_ff, 5, 4) @[el2_ifu_mem_ctl.scala 743:27] + node _T_5244 = eq(_T_5243, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 743:74] + node _T_5245 = bits(perr_err_inv_way, 1, 1) @[el2_ifu_mem_ctl.scala 743:101] + node _T_5246 = and(_T_5244, _T_5245) @[el2_ifu_mem_ctl.scala 743:83] + node _T_5247 = or(_T_5242, _T_5246) @[el2_ifu_mem_ctl.scala 742:113] + node _T_5248 = or(_T_5247, reset_all_tags) @[el2_ifu_mem_ctl.scala 743:106] node tag_valid_clken_3 = cat(_T_5238, _T_5248) @[Cat.scala 29:58] - wire ic_tag_valid_out : UInt<1>[128][2] @[el2_ifu_mem_ctl.scala 745:32] - node _T_5249 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5250 = eq(_T_5249, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5251 = and(ic_valid_ff, _T_5250) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5252 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5253 = and(_T_5251, _T_5252) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5254 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5255 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5256 = and(_T_5254, _T_5255) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5257 = eq(perr_ic_index_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5258 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5259 = and(_T_5257, _T_5258) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5260 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5261 = and(_T_5259, _T_5260) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5262 = or(_T_5256, _T_5261) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5263 = bits(_T_5262, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + wire ic_tag_valid_out : UInt<1>[128][2] @[el2_ifu_mem_ctl.scala 746:32] + node _T_5249 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5250 = eq(_T_5249, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5251 = and(ic_valid_ff, _T_5250) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5252 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5253 = and(_T_5251, _T_5252) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5254 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5255 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5256 = and(_T_5254, _T_5255) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5257 = eq(perr_ic_index_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5258 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5259 = and(_T_5257, _T_5258) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5260 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5261 = and(_T_5259, _T_5260) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5262 = or(_T_5256, _T_5261) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5263 = bits(_T_5262, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5264 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5263 : @[Reg.scala 28:19] _T_5264 <= _T_5253 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][0] <= _T_5264 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5265 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5266 = eq(_T_5265, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5267 = and(ic_valid_ff, _T_5266) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5268 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5269 = and(_T_5267, _T_5268) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5270 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5271 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5272 = and(_T_5270, _T_5271) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5273 = eq(perr_ic_index_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5274 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5275 = and(_T_5273, _T_5274) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5276 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5277 = and(_T_5275, _T_5276) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5278 = or(_T_5272, _T_5277) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5279 = bits(_T_5278, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][0] <= _T_5264 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5265 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5266 = eq(_T_5265, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5267 = and(ic_valid_ff, _T_5266) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5268 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5269 = and(_T_5267, _T_5268) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5270 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5271 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5272 = and(_T_5270, _T_5271) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5273 = eq(perr_ic_index_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5274 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5275 = and(_T_5273, _T_5274) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5276 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5277 = and(_T_5275, _T_5276) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5278 = or(_T_5272, _T_5277) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5279 = bits(_T_5278, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5280 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5279 : @[Reg.scala 28:19] _T_5280 <= _T_5269 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][1] <= _T_5280 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5281 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5282 = eq(_T_5281, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5283 = and(ic_valid_ff, _T_5282) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5284 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5285 = and(_T_5283, _T_5284) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5286 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5287 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5288 = and(_T_5286, _T_5287) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5289 = eq(perr_ic_index_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5290 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5291 = and(_T_5289, _T_5290) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5292 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5293 = and(_T_5291, _T_5292) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5294 = or(_T_5288, _T_5293) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5295 = bits(_T_5294, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][1] <= _T_5280 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5281 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5282 = eq(_T_5281, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5283 = and(ic_valid_ff, _T_5282) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5284 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5285 = and(_T_5283, _T_5284) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5286 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5287 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5288 = and(_T_5286, _T_5287) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5289 = eq(perr_ic_index_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5290 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5291 = and(_T_5289, _T_5290) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5292 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5293 = and(_T_5291, _T_5292) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5294 = or(_T_5288, _T_5293) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5295 = bits(_T_5294, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5296 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5295 : @[Reg.scala 28:19] _T_5296 <= _T_5285 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][2] <= _T_5296 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5297 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5298 = eq(_T_5297, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5299 = and(ic_valid_ff, _T_5298) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5300 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5301 = and(_T_5299, _T_5300) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5302 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5303 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5304 = and(_T_5302, _T_5303) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5305 = eq(perr_ic_index_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5306 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5307 = and(_T_5305, _T_5306) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5308 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5309 = and(_T_5307, _T_5308) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5310 = or(_T_5304, _T_5309) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5311 = bits(_T_5310, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][2] <= _T_5296 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5297 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5298 = eq(_T_5297, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5299 = and(ic_valid_ff, _T_5298) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5300 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5301 = and(_T_5299, _T_5300) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5302 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5303 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5304 = and(_T_5302, _T_5303) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5305 = eq(perr_ic_index_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5306 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5307 = and(_T_5305, _T_5306) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5308 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5309 = and(_T_5307, _T_5308) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5310 = or(_T_5304, _T_5309) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5311 = bits(_T_5310, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5312 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5311 : @[Reg.scala 28:19] _T_5312 <= _T_5301 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][3] <= _T_5312 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5313 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5314 = eq(_T_5313, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5315 = and(ic_valid_ff, _T_5314) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5316 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5317 = and(_T_5315, _T_5316) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5318 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5319 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5320 = and(_T_5318, _T_5319) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5321 = eq(perr_ic_index_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5322 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5323 = and(_T_5321, _T_5322) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5324 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5325 = and(_T_5323, _T_5324) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5326 = or(_T_5320, _T_5325) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5327 = bits(_T_5326, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][3] <= _T_5312 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5313 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5314 = eq(_T_5313, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5315 = and(ic_valid_ff, _T_5314) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5316 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5317 = and(_T_5315, _T_5316) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5318 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5319 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5320 = and(_T_5318, _T_5319) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5321 = eq(perr_ic_index_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5322 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5323 = and(_T_5321, _T_5322) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5324 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5325 = and(_T_5323, _T_5324) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5326 = or(_T_5320, _T_5325) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5327 = bits(_T_5326, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5328 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5327 : @[Reg.scala 28:19] _T_5328 <= _T_5317 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][4] <= _T_5328 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5329 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5330 = eq(_T_5329, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5331 = and(ic_valid_ff, _T_5330) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5332 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5333 = and(_T_5331, _T_5332) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5334 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5335 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5336 = and(_T_5334, _T_5335) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5337 = eq(perr_ic_index_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5338 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5339 = and(_T_5337, _T_5338) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5340 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5341 = and(_T_5339, _T_5340) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5342 = or(_T_5336, _T_5341) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5343 = bits(_T_5342, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][4] <= _T_5328 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5329 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5330 = eq(_T_5329, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5331 = and(ic_valid_ff, _T_5330) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5332 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5333 = and(_T_5331, _T_5332) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5334 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5335 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5336 = and(_T_5334, _T_5335) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5337 = eq(perr_ic_index_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5338 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5339 = and(_T_5337, _T_5338) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5340 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5341 = and(_T_5339, _T_5340) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5342 = or(_T_5336, _T_5341) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5343 = bits(_T_5342, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5344 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5343 : @[Reg.scala 28:19] _T_5344 <= _T_5333 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][5] <= _T_5344 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5345 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5346 = eq(_T_5345, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5347 = and(ic_valid_ff, _T_5346) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5348 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5349 = and(_T_5347, _T_5348) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5350 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5351 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5352 = and(_T_5350, _T_5351) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5353 = eq(perr_ic_index_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5354 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5355 = and(_T_5353, _T_5354) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5356 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5357 = and(_T_5355, _T_5356) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5358 = or(_T_5352, _T_5357) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5359 = bits(_T_5358, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][5] <= _T_5344 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5345 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5346 = eq(_T_5345, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5347 = and(ic_valid_ff, _T_5346) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5348 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5349 = and(_T_5347, _T_5348) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5350 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5351 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5352 = and(_T_5350, _T_5351) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5353 = eq(perr_ic_index_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5354 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5355 = and(_T_5353, _T_5354) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5356 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5357 = and(_T_5355, _T_5356) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5358 = or(_T_5352, _T_5357) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5359 = bits(_T_5358, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5360 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5359 : @[Reg.scala 28:19] _T_5360 <= _T_5349 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][6] <= _T_5360 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5361 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5362 = eq(_T_5361, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5363 = and(ic_valid_ff, _T_5362) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5364 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5365 = and(_T_5363, _T_5364) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5366 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5367 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5368 = and(_T_5366, _T_5367) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5369 = eq(perr_ic_index_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5370 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5371 = and(_T_5369, _T_5370) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5372 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5373 = and(_T_5371, _T_5372) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5374 = or(_T_5368, _T_5373) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5375 = bits(_T_5374, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][6] <= _T_5360 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5361 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5362 = eq(_T_5361, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5363 = and(ic_valid_ff, _T_5362) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5364 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5365 = and(_T_5363, _T_5364) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5366 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5367 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5368 = and(_T_5366, _T_5367) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5369 = eq(perr_ic_index_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5370 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5371 = and(_T_5369, _T_5370) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5372 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5373 = and(_T_5371, _T_5372) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5374 = or(_T_5368, _T_5373) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5375 = bits(_T_5374, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5376 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5375 : @[Reg.scala 28:19] _T_5376 <= _T_5365 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][7] <= _T_5376 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5377 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5378 = eq(_T_5377, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5379 = and(ic_valid_ff, _T_5378) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5380 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5381 = and(_T_5379, _T_5380) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5382 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5383 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5384 = and(_T_5382, _T_5383) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5385 = eq(perr_ic_index_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5386 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5387 = and(_T_5385, _T_5386) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5388 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5389 = and(_T_5387, _T_5388) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5390 = or(_T_5384, _T_5389) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5391 = bits(_T_5390, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][7] <= _T_5376 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5377 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5378 = eq(_T_5377, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5379 = and(ic_valid_ff, _T_5378) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5380 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5381 = and(_T_5379, _T_5380) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5382 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5383 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5384 = and(_T_5382, _T_5383) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5385 = eq(perr_ic_index_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5386 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5387 = and(_T_5385, _T_5386) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5388 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5389 = and(_T_5387, _T_5388) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5390 = or(_T_5384, _T_5389) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5391 = bits(_T_5390, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5392 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5391 : @[Reg.scala 28:19] _T_5392 <= _T_5381 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][8] <= _T_5392 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5393 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5394 = eq(_T_5393, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5395 = and(ic_valid_ff, _T_5394) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5396 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5397 = and(_T_5395, _T_5396) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5398 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5399 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5400 = and(_T_5398, _T_5399) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5401 = eq(perr_ic_index_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5402 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5403 = and(_T_5401, _T_5402) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5404 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5405 = and(_T_5403, _T_5404) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5406 = or(_T_5400, _T_5405) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5407 = bits(_T_5406, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][8] <= _T_5392 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5393 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5394 = eq(_T_5393, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5395 = and(ic_valid_ff, _T_5394) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5396 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5397 = and(_T_5395, _T_5396) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5398 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5399 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5400 = and(_T_5398, _T_5399) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5401 = eq(perr_ic_index_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5402 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5403 = and(_T_5401, _T_5402) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5404 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5405 = and(_T_5403, _T_5404) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5406 = or(_T_5400, _T_5405) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5407 = bits(_T_5406, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5408 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5407 : @[Reg.scala 28:19] _T_5408 <= _T_5397 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][9] <= _T_5408 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5409 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5410 = eq(_T_5409, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5411 = and(ic_valid_ff, _T_5410) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5412 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5413 = and(_T_5411, _T_5412) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5414 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5415 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5416 = and(_T_5414, _T_5415) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5417 = eq(perr_ic_index_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5418 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5419 = and(_T_5417, _T_5418) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5420 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5421 = and(_T_5419, _T_5420) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5422 = or(_T_5416, _T_5421) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5423 = bits(_T_5422, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][9] <= _T_5408 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5409 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5410 = eq(_T_5409, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5411 = and(ic_valid_ff, _T_5410) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5412 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5413 = and(_T_5411, _T_5412) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5414 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5415 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5416 = and(_T_5414, _T_5415) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5417 = eq(perr_ic_index_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5418 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5419 = and(_T_5417, _T_5418) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5420 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5421 = and(_T_5419, _T_5420) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5422 = or(_T_5416, _T_5421) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5423 = bits(_T_5422, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5424 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5423 : @[Reg.scala 28:19] _T_5424 <= _T_5413 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][10] <= _T_5424 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5425 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5426 = eq(_T_5425, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5427 = and(ic_valid_ff, _T_5426) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5428 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5429 = and(_T_5427, _T_5428) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5430 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5431 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5432 = and(_T_5430, _T_5431) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5433 = eq(perr_ic_index_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5434 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5435 = and(_T_5433, _T_5434) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5436 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5437 = and(_T_5435, _T_5436) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5438 = or(_T_5432, _T_5437) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5439 = bits(_T_5438, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][10] <= _T_5424 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5425 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5426 = eq(_T_5425, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5427 = and(ic_valid_ff, _T_5426) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5428 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5429 = and(_T_5427, _T_5428) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5430 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5431 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5432 = and(_T_5430, _T_5431) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5433 = eq(perr_ic_index_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5434 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5435 = and(_T_5433, _T_5434) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5436 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5437 = and(_T_5435, _T_5436) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5438 = or(_T_5432, _T_5437) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5439 = bits(_T_5438, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5440 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5439 : @[Reg.scala 28:19] _T_5440 <= _T_5429 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][11] <= _T_5440 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5441 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5442 = eq(_T_5441, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5443 = and(ic_valid_ff, _T_5442) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5444 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5445 = and(_T_5443, _T_5444) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5446 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5447 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5448 = and(_T_5446, _T_5447) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5449 = eq(perr_ic_index_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5450 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5451 = and(_T_5449, _T_5450) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5452 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5453 = and(_T_5451, _T_5452) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5454 = or(_T_5448, _T_5453) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5455 = bits(_T_5454, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][11] <= _T_5440 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5441 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5442 = eq(_T_5441, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5443 = and(ic_valid_ff, _T_5442) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5444 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5445 = and(_T_5443, _T_5444) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5446 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5447 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5448 = and(_T_5446, _T_5447) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5449 = eq(perr_ic_index_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5450 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5451 = and(_T_5449, _T_5450) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5452 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5453 = and(_T_5451, _T_5452) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5454 = or(_T_5448, _T_5453) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5455 = bits(_T_5454, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5456 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5455 : @[Reg.scala 28:19] _T_5456 <= _T_5445 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][12] <= _T_5456 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5457 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5458 = eq(_T_5457, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5459 = and(ic_valid_ff, _T_5458) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5460 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5461 = and(_T_5459, _T_5460) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5462 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5463 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5464 = and(_T_5462, _T_5463) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5465 = eq(perr_ic_index_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5466 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5467 = and(_T_5465, _T_5466) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5468 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5469 = and(_T_5467, _T_5468) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5470 = or(_T_5464, _T_5469) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5471 = bits(_T_5470, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][12] <= _T_5456 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5457 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5458 = eq(_T_5457, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5459 = and(ic_valid_ff, _T_5458) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5460 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5461 = and(_T_5459, _T_5460) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5462 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5463 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5464 = and(_T_5462, _T_5463) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5465 = eq(perr_ic_index_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5466 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5467 = and(_T_5465, _T_5466) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5468 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5469 = and(_T_5467, _T_5468) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5470 = or(_T_5464, _T_5469) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5471 = bits(_T_5470, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5472 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5471 : @[Reg.scala 28:19] _T_5472 <= _T_5461 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][13] <= _T_5472 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5473 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5474 = eq(_T_5473, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5475 = and(ic_valid_ff, _T_5474) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5476 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5477 = and(_T_5475, _T_5476) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5478 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5479 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5480 = and(_T_5478, _T_5479) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5481 = eq(perr_ic_index_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5482 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5483 = and(_T_5481, _T_5482) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5484 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5485 = and(_T_5483, _T_5484) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5486 = or(_T_5480, _T_5485) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5487 = bits(_T_5486, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][13] <= _T_5472 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5473 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5474 = eq(_T_5473, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5475 = and(ic_valid_ff, _T_5474) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5476 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5477 = and(_T_5475, _T_5476) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5478 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5479 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5480 = and(_T_5478, _T_5479) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5481 = eq(perr_ic_index_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5482 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5483 = and(_T_5481, _T_5482) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5484 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5485 = and(_T_5483, _T_5484) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5486 = or(_T_5480, _T_5485) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5487 = bits(_T_5486, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5488 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5487 : @[Reg.scala 28:19] _T_5488 <= _T_5477 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][14] <= _T_5488 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5489 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5490 = eq(_T_5489, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5491 = and(ic_valid_ff, _T_5490) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5492 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5493 = and(_T_5491, _T_5492) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5494 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5495 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5496 = and(_T_5494, _T_5495) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5497 = eq(perr_ic_index_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5498 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5499 = and(_T_5497, _T_5498) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5500 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5501 = and(_T_5499, _T_5500) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5502 = or(_T_5496, _T_5501) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5503 = bits(_T_5502, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][14] <= _T_5488 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5489 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5490 = eq(_T_5489, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5491 = and(ic_valid_ff, _T_5490) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5492 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5493 = and(_T_5491, _T_5492) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5494 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5495 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5496 = and(_T_5494, _T_5495) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5497 = eq(perr_ic_index_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5498 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5499 = and(_T_5497, _T_5498) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5500 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5501 = and(_T_5499, _T_5500) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5502 = or(_T_5496, _T_5501) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5503 = bits(_T_5502, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5504 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5503 : @[Reg.scala 28:19] _T_5504 <= _T_5493 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][15] <= _T_5504 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5505 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5506 = eq(_T_5505, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5507 = and(ic_valid_ff, _T_5506) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5508 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5509 = and(_T_5507, _T_5508) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5510 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5511 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5512 = and(_T_5510, _T_5511) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5513 = eq(perr_ic_index_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5514 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5515 = and(_T_5513, _T_5514) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5516 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5517 = and(_T_5515, _T_5516) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5518 = or(_T_5512, _T_5517) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5519 = bits(_T_5518, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][15] <= _T_5504 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5505 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5506 = eq(_T_5505, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5507 = and(ic_valid_ff, _T_5506) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5508 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5509 = and(_T_5507, _T_5508) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5510 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5511 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5512 = and(_T_5510, _T_5511) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5513 = eq(perr_ic_index_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5514 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5515 = and(_T_5513, _T_5514) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5516 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5517 = and(_T_5515, _T_5516) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5518 = or(_T_5512, _T_5517) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5519 = bits(_T_5518, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5520 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5519 : @[Reg.scala 28:19] _T_5520 <= _T_5509 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][16] <= _T_5520 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5521 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5522 = eq(_T_5521, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5523 = and(ic_valid_ff, _T_5522) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5524 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5525 = and(_T_5523, _T_5524) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5526 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5527 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5528 = and(_T_5526, _T_5527) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5529 = eq(perr_ic_index_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5530 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5531 = and(_T_5529, _T_5530) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5532 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5533 = and(_T_5531, _T_5532) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5534 = or(_T_5528, _T_5533) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5535 = bits(_T_5534, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][16] <= _T_5520 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5521 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5522 = eq(_T_5521, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5523 = and(ic_valid_ff, _T_5522) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5524 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5525 = and(_T_5523, _T_5524) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5526 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5527 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5528 = and(_T_5526, _T_5527) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5529 = eq(perr_ic_index_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5530 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5531 = and(_T_5529, _T_5530) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5532 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5533 = and(_T_5531, _T_5532) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5534 = or(_T_5528, _T_5533) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5535 = bits(_T_5534, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5536 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5535 : @[Reg.scala 28:19] _T_5536 <= _T_5525 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][17] <= _T_5536 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5537 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5538 = eq(_T_5537, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5539 = and(ic_valid_ff, _T_5538) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5540 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5541 = and(_T_5539, _T_5540) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5542 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5543 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5544 = and(_T_5542, _T_5543) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5545 = eq(perr_ic_index_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5546 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5547 = and(_T_5545, _T_5546) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5548 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5549 = and(_T_5547, _T_5548) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5550 = or(_T_5544, _T_5549) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5551 = bits(_T_5550, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][17] <= _T_5536 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5537 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5538 = eq(_T_5537, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5539 = and(ic_valid_ff, _T_5538) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5540 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5541 = and(_T_5539, _T_5540) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5542 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5543 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5544 = and(_T_5542, _T_5543) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5545 = eq(perr_ic_index_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5546 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5547 = and(_T_5545, _T_5546) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5548 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5549 = and(_T_5547, _T_5548) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5550 = or(_T_5544, _T_5549) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5551 = bits(_T_5550, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5552 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5551 : @[Reg.scala 28:19] _T_5552 <= _T_5541 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][18] <= _T_5552 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5553 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5554 = eq(_T_5553, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5555 = and(ic_valid_ff, _T_5554) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5556 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5557 = and(_T_5555, _T_5556) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5558 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5559 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5560 = and(_T_5558, _T_5559) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5561 = eq(perr_ic_index_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5562 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5563 = and(_T_5561, _T_5562) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5564 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5565 = and(_T_5563, _T_5564) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5566 = or(_T_5560, _T_5565) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5567 = bits(_T_5566, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][18] <= _T_5552 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5553 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5554 = eq(_T_5553, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5555 = and(ic_valid_ff, _T_5554) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5556 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5557 = and(_T_5555, _T_5556) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5558 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5559 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5560 = and(_T_5558, _T_5559) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5561 = eq(perr_ic_index_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5562 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5563 = and(_T_5561, _T_5562) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5564 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5565 = and(_T_5563, _T_5564) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5566 = or(_T_5560, _T_5565) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5567 = bits(_T_5566, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5568 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5567 : @[Reg.scala 28:19] _T_5568 <= _T_5557 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][19] <= _T_5568 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5569 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5570 = eq(_T_5569, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5571 = and(ic_valid_ff, _T_5570) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5572 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5573 = and(_T_5571, _T_5572) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5574 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5575 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5576 = and(_T_5574, _T_5575) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5577 = eq(perr_ic_index_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5578 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5579 = and(_T_5577, _T_5578) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5580 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5581 = and(_T_5579, _T_5580) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5582 = or(_T_5576, _T_5581) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5583 = bits(_T_5582, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][19] <= _T_5568 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5569 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5570 = eq(_T_5569, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5571 = and(ic_valid_ff, _T_5570) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5572 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5573 = and(_T_5571, _T_5572) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5574 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5575 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5576 = and(_T_5574, _T_5575) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5577 = eq(perr_ic_index_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5578 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5579 = and(_T_5577, _T_5578) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5580 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5581 = and(_T_5579, _T_5580) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5582 = or(_T_5576, _T_5581) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5583 = bits(_T_5582, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5584 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5583 : @[Reg.scala 28:19] _T_5584 <= _T_5573 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][20] <= _T_5584 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5585 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5586 = eq(_T_5585, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5587 = and(ic_valid_ff, _T_5586) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5588 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5589 = and(_T_5587, _T_5588) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5590 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5591 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5592 = and(_T_5590, _T_5591) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5593 = eq(perr_ic_index_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5594 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5595 = and(_T_5593, _T_5594) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5596 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5597 = and(_T_5595, _T_5596) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5598 = or(_T_5592, _T_5597) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5599 = bits(_T_5598, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][20] <= _T_5584 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5585 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5586 = eq(_T_5585, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5587 = and(ic_valid_ff, _T_5586) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5588 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5589 = and(_T_5587, _T_5588) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5590 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5591 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5592 = and(_T_5590, _T_5591) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5593 = eq(perr_ic_index_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5594 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5595 = and(_T_5593, _T_5594) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5596 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5597 = and(_T_5595, _T_5596) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5598 = or(_T_5592, _T_5597) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5599 = bits(_T_5598, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5600 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5599 : @[Reg.scala 28:19] _T_5600 <= _T_5589 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][21] <= _T_5600 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5601 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5602 = eq(_T_5601, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5603 = and(ic_valid_ff, _T_5602) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5604 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5605 = and(_T_5603, _T_5604) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5606 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5607 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5608 = and(_T_5606, _T_5607) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5609 = eq(perr_ic_index_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5610 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5611 = and(_T_5609, _T_5610) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5612 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5613 = and(_T_5611, _T_5612) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5614 = or(_T_5608, _T_5613) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5615 = bits(_T_5614, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][21] <= _T_5600 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5601 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5602 = eq(_T_5601, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5603 = and(ic_valid_ff, _T_5602) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5604 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5605 = and(_T_5603, _T_5604) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5606 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5607 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5608 = and(_T_5606, _T_5607) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5609 = eq(perr_ic_index_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5610 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5611 = and(_T_5609, _T_5610) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5612 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5613 = and(_T_5611, _T_5612) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5614 = or(_T_5608, _T_5613) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5615 = bits(_T_5614, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5616 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5615 : @[Reg.scala 28:19] _T_5616 <= _T_5605 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][22] <= _T_5616 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5617 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5618 = eq(_T_5617, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5619 = and(ic_valid_ff, _T_5618) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5620 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5621 = and(_T_5619, _T_5620) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5622 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5623 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5624 = and(_T_5622, _T_5623) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5625 = eq(perr_ic_index_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5626 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5627 = and(_T_5625, _T_5626) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5628 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5629 = and(_T_5627, _T_5628) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5630 = or(_T_5624, _T_5629) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5631 = bits(_T_5630, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][22] <= _T_5616 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5617 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5618 = eq(_T_5617, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5619 = and(ic_valid_ff, _T_5618) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5620 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5621 = and(_T_5619, _T_5620) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5622 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5623 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5624 = and(_T_5622, _T_5623) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5625 = eq(perr_ic_index_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5626 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5627 = and(_T_5625, _T_5626) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5628 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5629 = and(_T_5627, _T_5628) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5630 = or(_T_5624, _T_5629) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5631 = bits(_T_5630, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5632 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5631 : @[Reg.scala 28:19] _T_5632 <= _T_5621 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][23] <= _T_5632 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5633 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5634 = eq(_T_5633, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5635 = and(ic_valid_ff, _T_5634) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5636 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5637 = and(_T_5635, _T_5636) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5638 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5639 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5640 = and(_T_5638, _T_5639) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5641 = eq(perr_ic_index_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5642 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5643 = and(_T_5641, _T_5642) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5644 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5645 = and(_T_5643, _T_5644) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5646 = or(_T_5640, _T_5645) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5647 = bits(_T_5646, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][23] <= _T_5632 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5633 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5634 = eq(_T_5633, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5635 = and(ic_valid_ff, _T_5634) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5636 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5637 = and(_T_5635, _T_5636) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5638 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5639 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5640 = and(_T_5638, _T_5639) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5641 = eq(perr_ic_index_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5642 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5643 = and(_T_5641, _T_5642) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5644 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5645 = and(_T_5643, _T_5644) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5646 = or(_T_5640, _T_5645) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5647 = bits(_T_5646, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5648 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5647 : @[Reg.scala 28:19] _T_5648 <= _T_5637 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][24] <= _T_5648 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5649 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5650 = eq(_T_5649, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5651 = and(ic_valid_ff, _T_5650) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5652 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5653 = and(_T_5651, _T_5652) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5654 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5655 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5656 = and(_T_5654, _T_5655) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5657 = eq(perr_ic_index_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5658 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5659 = and(_T_5657, _T_5658) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5660 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5661 = and(_T_5659, _T_5660) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5662 = or(_T_5656, _T_5661) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5663 = bits(_T_5662, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][24] <= _T_5648 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5649 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5650 = eq(_T_5649, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5651 = and(ic_valid_ff, _T_5650) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5652 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5653 = and(_T_5651, _T_5652) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5654 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5655 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5656 = and(_T_5654, _T_5655) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5657 = eq(perr_ic_index_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5658 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5659 = and(_T_5657, _T_5658) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5660 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5661 = and(_T_5659, _T_5660) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5662 = or(_T_5656, _T_5661) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5663 = bits(_T_5662, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5664 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5663 : @[Reg.scala 28:19] _T_5664 <= _T_5653 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][25] <= _T_5664 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5665 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5666 = eq(_T_5665, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5667 = and(ic_valid_ff, _T_5666) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5668 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5669 = and(_T_5667, _T_5668) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5670 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5671 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5672 = and(_T_5670, _T_5671) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5673 = eq(perr_ic_index_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5674 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5675 = and(_T_5673, _T_5674) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5676 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5677 = and(_T_5675, _T_5676) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5678 = or(_T_5672, _T_5677) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5679 = bits(_T_5678, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][25] <= _T_5664 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5665 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5666 = eq(_T_5665, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5667 = and(ic_valid_ff, _T_5666) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5668 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5669 = and(_T_5667, _T_5668) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5670 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5671 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5672 = and(_T_5670, _T_5671) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5673 = eq(perr_ic_index_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5674 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5675 = and(_T_5673, _T_5674) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5676 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5677 = and(_T_5675, _T_5676) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5678 = or(_T_5672, _T_5677) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5679 = bits(_T_5678, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5680 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5679 : @[Reg.scala 28:19] _T_5680 <= _T_5669 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][26] <= _T_5680 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5681 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5682 = eq(_T_5681, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5683 = and(ic_valid_ff, _T_5682) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5684 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5685 = and(_T_5683, _T_5684) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5686 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5687 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5688 = and(_T_5686, _T_5687) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5689 = eq(perr_ic_index_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5690 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5691 = and(_T_5689, _T_5690) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5692 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5693 = and(_T_5691, _T_5692) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5694 = or(_T_5688, _T_5693) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5695 = bits(_T_5694, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][26] <= _T_5680 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5681 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5682 = eq(_T_5681, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5683 = and(ic_valid_ff, _T_5682) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5684 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5685 = and(_T_5683, _T_5684) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5686 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5687 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5688 = and(_T_5686, _T_5687) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5689 = eq(perr_ic_index_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5690 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5691 = and(_T_5689, _T_5690) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5692 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5693 = and(_T_5691, _T_5692) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5694 = or(_T_5688, _T_5693) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5695 = bits(_T_5694, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5696 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5695 : @[Reg.scala 28:19] _T_5696 <= _T_5685 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][27] <= _T_5696 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5697 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5698 = eq(_T_5697, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5699 = and(ic_valid_ff, _T_5698) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5700 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5701 = and(_T_5699, _T_5700) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5702 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5703 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5704 = and(_T_5702, _T_5703) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5705 = eq(perr_ic_index_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5706 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5707 = and(_T_5705, _T_5706) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5708 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5709 = and(_T_5707, _T_5708) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5710 = or(_T_5704, _T_5709) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5711 = bits(_T_5710, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][27] <= _T_5696 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5697 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5698 = eq(_T_5697, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5699 = and(ic_valid_ff, _T_5698) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5700 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5701 = and(_T_5699, _T_5700) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5702 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5703 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5704 = and(_T_5702, _T_5703) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5705 = eq(perr_ic_index_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5706 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5707 = and(_T_5705, _T_5706) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5708 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5709 = and(_T_5707, _T_5708) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5710 = or(_T_5704, _T_5709) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5711 = bits(_T_5710, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5712 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5711 : @[Reg.scala 28:19] _T_5712 <= _T_5701 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][28] <= _T_5712 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5713 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5714 = eq(_T_5713, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5715 = and(ic_valid_ff, _T_5714) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5716 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5717 = and(_T_5715, _T_5716) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5718 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5719 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5720 = and(_T_5718, _T_5719) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5721 = eq(perr_ic_index_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5722 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5723 = and(_T_5721, _T_5722) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5724 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5725 = and(_T_5723, _T_5724) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5726 = or(_T_5720, _T_5725) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5727 = bits(_T_5726, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][28] <= _T_5712 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5713 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5714 = eq(_T_5713, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5715 = and(ic_valid_ff, _T_5714) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5716 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5717 = and(_T_5715, _T_5716) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5718 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5719 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5720 = and(_T_5718, _T_5719) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5721 = eq(perr_ic_index_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5722 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5723 = and(_T_5721, _T_5722) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5724 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5725 = and(_T_5723, _T_5724) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5726 = or(_T_5720, _T_5725) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5727 = bits(_T_5726, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5728 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5727 : @[Reg.scala 28:19] _T_5728 <= _T_5717 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][29] <= _T_5728 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5729 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5730 = eq(_T_5729, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5731 = and(ic_valid_ff, _T_5730) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5732 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5733 = and(_T_5731, _T_5732) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5734 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5735 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5736 = and(_T_5734, _T_5735) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5737 = eq(perr_ic_index_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5738 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5739 = and(_T_5737, _T_5738) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5740 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5741 = and(_T_5739, _T_5740) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5742 = or(_T_5736, _T_5741) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5743 = bits(_T_5742, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][29] <= _T_5728 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5729 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5730 = eq(_T_5729, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5731 = and(ic_valid_ff, _T_5730) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5732 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5733 = and(_T_5731, _T_5732) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5734 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5735 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5736 = and(_T_5734, _T_5735) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5737 = eq(perr_ic_index_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5738 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5739 = and(_T_5737, _T_5738) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5740 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5741 = and(_T_5739, _T_5740) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5742 = or(_T_5736, _T_5741) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5743 = bits(_T_5742, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5744 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5743 : @[Reg.scala 28:19] _T_5744 <= _T_5733 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][30] <= _T_5744 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5745 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5746 = eq(_T_5745, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5747 = and(ic_valid_ff, _T_5746) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5748 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5749 = and(_T_5747, _T_5748) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5750 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5751 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5752 = and(_T_5750, _T_5751) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5753 = eq(perr_ic_index_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5754 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5755 = and(_T_5753, _T_5754) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5756 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5757 = and(_T_5755, _T_5756) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5758 = or(_T_5752, _T_5757) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5759 = bits(_T_5758, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][30] <= _T_5744 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5745 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5746 = eq(_T_5745, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5747 = and(ic_valid_ff, _T_5746) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5748 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5749 = and(_T_5747, _T_5748) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5750 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5751 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5752 = and(_T_5750, _T_5751) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5753 = eq(perr_ic_index_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5754 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5755 = and(_T_5753, _T_5754) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5756 = bits(tag_valid_clken_0, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5757 = and(_T_5755, _T_5756) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5758 = or(_T_5752, _T_5757) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5759 = bits(_T_5758, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5760 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5759 : @[Reg.scala 28:19] _T_5760 <= _T_5749 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][31] <= _T_5760 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5761 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5762 = eq(_T_5761, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5763 = and(ic_valid_ff, _T_5762) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5764 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5765 = and(_T_5763, _T_5764) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5766 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5767 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5768 = and(_T_5766, _T_5767) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5769 = eq(perr_ic_index_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5770 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5771 = and(_T_5769, _T_5770) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5772 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5773 = and(_T_5771, _T_5772) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5774 = or(_T_5768, _T_5773) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5775 = bits(_T_5774, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][31] <= _T_5760 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5761 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5762 = eq(_T_5761, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5763 = and(ic_valid_ff, _T_5762) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5764 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5765 = and(_T_5763, _T_5764) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5766 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5767 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5768 = and(_T_5766, _T_5767) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5769 = eq(perr_ic_index_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5770 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5771 = and(_T_5769, _T_5770) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5772 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5773 = and(_T_5771, _T_5772) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5774 = or(_T_5768, _T_5773) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5775 = bits(_T_5774, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5776 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5775 : @[Reg.scala 28:19] _T_5776 <= _T_5765 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][0] <= _T_5776 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5777 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5778 = eq(_T_5777, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5779 = and(ic_valid_ff, _T_5778) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5780 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5781 = and(_T_5779, _T_5780) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5782 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5783 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5784 = and(_T_5782, _T_5783) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5785 = eq(perr_ic_index_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5786 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5787 = and(_T_5785, _T_5786) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5788 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5789 = and(_T_5787, _T_5788) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5790 = or(_T_5784, _T_5789) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5791 = bits(_T_5790, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][0] <= _T_5776 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5777 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5778 = eq(_T_5777, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5779 = and(ic_valid_ff, _T_5778) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5780 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5781 = and(_T_5779, _T_5780) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5782 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5783 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5784 = and(_T_5782, _T_5783) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5785 = eq(perr_ic_index_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5786 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5787 = and(_T_5785, _T_5786) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5788 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5789 = and(_T_5787, _T_5788) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5790 = or(_T_5784, _T_5789) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5791 = bits(_T_5790, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5792 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5791 : @[Reg.scala 28:19] _T_5792 <= _T_5781 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][1] <= _T_5792 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5793 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5794 = eq(_T_5793, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5795 = and(ic_valid_ff, _T_5794) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5796 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5797 = and(_T_5795, _T_5796) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5798 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5799 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5800 = and(_T_5798, _T_5799) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5801 = eq(perr_ic_index_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5802 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5803 = and(_T_5801, _T_5802) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5804 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5805 = and(_T_5803, _T_5804) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5806 = or(_T_5800, _T_5805) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5807 = bits(_T_5806, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][1] <= _T_5792 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5793 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5794 = eq(_T_5793, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5795 = and(ic_valid_ff, _T_5794) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5796 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5797 = and(_T_5795, _T_5796) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5798 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5799 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5800 = and(_T_5798, _T_5799) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5801 = eq(perr_ic_index_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5802 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5803 = and(_T_5801, _T_5802) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5804 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5805 = and(_T_5803, _T_5804) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5806 = or(_T_5800, _T_5805) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5807 = bits(_T_5806, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5808 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5807 : @[Reg.scala 28:19] _T_5808 <= _T_5797 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][2] <= _T_5808 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5809 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5810 = eq(_T_5809, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5811 = and(ic_valid_ff, _T_5810) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5812 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5813 = and(_T_5811, _T_5812) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5814 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5815 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5816 = and(_T_5814, _T_5815) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5817 = eq(perr_ic_index_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5818 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5819 = and(_T_5817, _T_5818) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5820 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5821 = and(_T_5819, _T_5820) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5822 = or(_T_5816, _T_5821) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5823 = bits(_T_5822, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][2] <= _T_5808 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5809 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5810 = eq(_T_5809, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5811 = and(ic_valid_ff, _T_5810) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5812 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5813 = and(_T_5811, _T_5812) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5814 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5815 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5816 = and(_T_5814, _T_5815) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5817 = eq(perr_ic_index_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5818 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5819 = and(_T_5817, _T_5818) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5820 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5821 = and(_T_5819, _T_5820) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5822 = or(_T_5816, _T_5821) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5823 = bits(_T_5822, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5824 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5823 : @[Reg.scala 28:19] _T_5824 <= _T_5813 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][3] <= _T_5824 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5825 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5826 = eq(_T_5825, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5827 = and(ic_valid_ff, _T_5826) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5828 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5829 = and(_T_5827, _T_5828) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5830 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5831 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5832 = and(_T_5830, _T_5831) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5833 = eq(perr_ic_index_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5834 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5835 = and(_T_5833, _T_5834) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5836 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5837 = and(_T_5835, _T_5836) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5838 = or(_T_5832, _T_5837) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5839 = bits(_T_5838, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][3] <= _T_5824 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5825 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5826 = eq(_T_5825, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5827 = and(ic_valid_ff, _T_5826) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5828 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5829 = and(_T_5827, _T_5828) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5830 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5831 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5832 = and(_T_5830, _T_5831) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5833 = eq(perr_ic_index_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5834 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5835 = and(_T_5833, _T_5834) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5836 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5837 = and(_T_5835, _T_5836) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5838 = or(_T_5832, _T_5837) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5839 = bits(_T_5838, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5840 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5839 : @[Reg.scala 28:19] _T_5840 <= _T_5829 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][4] <= _T_5840 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5841 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5842 = eq(_T_5841, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5843 = and(ic_valid_ff, _T_5842) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5844 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5845 = and(_T_5843, _T_5844) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5846 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5847 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5848 = and(_T_5846, _T_5847) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5849 = eq(perr_ic_index_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5850 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5851 = and(_T_5849, _T_5850) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5852 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5853 = and(_T_5851, _T_5852) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5854 = or(_T_5848, _T_5853) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5855 = bits(_T_5854, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][4] <= _T_5840 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5841 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5842 = eq(_T_5841, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5843 = and(ic_valid_ff, _T_5842) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5844 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5845 = and(_T_5843, _T_5844) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5846 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5847 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5848 = and(_T_5846, _T_5847) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5849 = eq(perr_ic_index_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5850 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5851 = and(_T_5849, _T_5850) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5852 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5853 = and(_T_5851, _T_5852) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5854 = or(_T_5848, _T_5853) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5855 = bits(_T_5854, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5856 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5855 : @[Reg.scala 28:19] _T_5856 <= _T_5845 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][5] <= _T_5856 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5857 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5858 = eq(_T_5857, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5859 = and(ic_valid_ff, _T_5858) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5860 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5861 = and(_T_5859, _T_5860) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5862 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5863 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5864 = and(_T_5862, _T_5863) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5865 = eq(perr_ic_index_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5866 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5867 = and(_T_5865, _T_5866) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5868 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5869 = and(_T_5867, _T_5868) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5870 = or(_T_5864, _T_5869) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5871 = bits(_T_5870, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][5] <= _T_5856 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5857 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5858 = eq(_T_5857, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5859 = and(ic_valid_ff, _T_5858) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5860 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5861 = and(_T_5859, _T_5860) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5862 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5863 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5864 = and(_T_5862, _T_5863) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5865 = eq(perr_ic_index_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5866 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5867 = and(_T_5865, _T_5866) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5868 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5869 = and(_T_5867, _T_5868) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5870 = or(_T_5864, _T_5869) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5871 = bits(_T_5870, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5872 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5871 : @[Reg.scala 28:19] _T_5872 <= _T_5861 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][6] <= _T_5872 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5873 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5874 = eq(_T_5873, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5875 = and(ic_valid_ff, _T_5874) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5876 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5877 = and(_T_5875, _T_5876) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5878 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5879 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5880 = and(_T_5878, _T_5879) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5881 = eq(perr_ic_index_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5882 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5883 = and(_T_5881, _T_5882) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5884 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5885 = and(_T_5883, _T_5884) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5886 = or(_T_5880, _T_5885) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5887 = bits(_T_5886, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][6] <= _T_5872 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5873 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5874 = eq(_T_5873, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5875 = and(ic_valid_ff, _T_5874) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5876 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5877 = and(_T_5875, _T_5876) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5878 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5879 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5880 = and(_T_5878, _T_5879) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5881 = eq(perr_ic_index_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5882 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5883 = and(_T_5881, _T_5882) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5884 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5885 = and(_T_5883, _T_5884) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5886 = or(_T_5880, _T_5885) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5887 = bits(_T_5886, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5888 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5887 : @[Reg.scala 28:19] _T_5888 <= _T_5877 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][7] <= _T_5888 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5889 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5890 = eq(_T_5889, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5891 = and(ic_valid_ff, _T_5890) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5892 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5893 = and(_T_5891, _T_5892) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5894 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5895 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5896 = and(_T_5894, _T_5895) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5897 = eq(perr_ic_index_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5898 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5899 = and(_T_5897, _T_5898) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5900 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5901 = and(_T_5899, _T_5900) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5902 = or(_T_5896, _T_5901) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5903 = bits(_T_5902, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][7] <= _T_5888 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5889 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5890 = eq(_T_5889, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5891 = and(ic_valid_ff, _T_5890) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5892 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5893 = and(_T_5891, _T_5892) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5894 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5895 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5896 = and(_T_5894, _T_5895) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5897 = eq(perr_ic_index_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5898 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5899 = and(_T_5897, _T_5898) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5900 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5901 = and(_T_5899, _T_5900) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5902 = or(_T_5896, _T_5901) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5903 = bits(_T_5902, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5904 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5903 : @[Reg.scala 28:19] _T_5904 <= _T_5893 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][8] <= _T_5904 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5905 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5906 = eq(_T_5905, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5907 = and(ic_valid_ff, _T_5906) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5908 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5909 = and(_T_5907, _T_5908) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5910 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5911 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5912 = and(_T_5910, _T_5911) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5913 = eq(perr_ic_index_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5914 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5915 = and(_T_5913, _T_5914) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5916 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5917 = and(_T_5915, _T_5916) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5918 = or(_T_5912, _T_5917) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5919 = bits(_T_5918, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][8] <= _T_5904 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5905 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5906 = eq(_T_5905, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5907 = and(ic_valid_ff, _T_5906) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5908 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5909 = and(_T_5907, _T_5908) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5910 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5911 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5912 = and(_T_5910, _T_5911) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5913 = eq(perr_ic_index_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5914 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5915 = and(_T_5913, _T_5914) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5916 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5917 = and(_T_5915, _T_5916) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5918 = or(_T_5912, _T_5917) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5919 = bits(_T_5918, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5920 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5919 : @[Reg.scala 28:19] _T_5920 <= _T_5909 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][9] <= _T_5920 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5921 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5922 = eq(_T_5921, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5923 = and(ic_valid_ff, _T_5922) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5924 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5925 = and(_T_5923, _T_5924) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5926 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5927 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5928 = and(_T_5926, _T_5927) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5929 = eq(perr_ic_index_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5930 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5931 = and(_T_5929, _T_5930) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5932 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5933 = and(_T_5931, _T_5932) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5934 = or(_T_5928, _T_5933) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5935 = bits(_T_5934, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][9] <= _T_5920 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5921 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5922 = eq(_T_5921, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5923 = and(ic_valid_ff, _T_5922) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5924 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5925 = and(_T_5923, _T_5924) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5926 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5927 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5928 = and(_T_5926, _T_5927) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5929 = eq(perr_ic_index_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5930 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5931 = and(_T_5929, _T_5930) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5932 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5933 = and(_T_5931, _T_5932) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5934 = or(_T_5928, _T_5933) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5935 = bits(_T_5934, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5936 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5935 : @[Reg.scala 28:19] _T_5936 <= _T_5925 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][10] <= _T_5936 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5937 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5938 = eq(_T_5937, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5939 = and(ic_valid_ff, _T_5938) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5940 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5941 = and(_T_5939, _T_5940) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5942 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5943 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5944 = and(_T_5942, _T_5943) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5945 = eq(perr_ic_index_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5946 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5947 = and(_T_5945, _T_5946) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5948 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5949 = and(_T_5947, _T_5948) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5950 = or(_T_5944, _T_5949) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5951 = bits(_T_5950, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][10] <= _T_5936 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5937 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5938 = eq(_T_5937, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5939 = and(ic_valid_ff, _T_5938) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5940 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5941 = and(_T_5939, _T_5940) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5942 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5943 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5944 = and(_T_5942, _T_5943) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5945 = eq(perr_ic_index_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5946 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5947 = and(_T_5945, _T_5946) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5948 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5949 = and(_T_5947, _T_5948) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5950 = or(_T_5944, _T_5949) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5951 = bits(_T_5950, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5952 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5951 : @[Reg.scala 28:19] _T_5952 <= _T_5941 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][11] <= _T_5952 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5953 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5954 = eq(_T_5953, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5955 = and(ic_valid_ff, _T_5954) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5956 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5957 = and(_T_5955, _T_5956) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5958 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5959 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5960 = and(_T_5958, _T_5959) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5961 = eq(perr_ic_index_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5962 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5963 = and(_T_5961, _T_5962) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5964 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5965 = and(_T_5963, _T_5964) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5966 = or(_T_5960, _T_5965) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5967 = bits(_T_5966, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][11] <= _T_5952 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5953 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5954 = eq(_T_5953, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5955 = and(ic_valid_ff, _T_5954) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5956 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5957 = and(_T_5955, _T_5956) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5958 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5959 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5960 = and(_T_5958, _T_5959) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5961 = eq(perr_ic_index_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5962 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5963 = and(_T_5961, _T_5962) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5964 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5965 = and(_T_5963, _T_5964) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5966 = or(_T_5960, _T_5965) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5967 = bits(_T_5966, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5968 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5967 : @[Reg.scala 28:19] _T_5968 <= _T_5957 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][12] <= _T_5968 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5969 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5970 = eq(_T_5969, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5971 = and(ic_valid_ff, _T_5970) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5972 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5973 = and(_T_5971, _T_5972) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5974 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5975 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5976 = and(_T_5974, _T_5975) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5977 = eq(perr_ic_index_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5978 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5979 = and(_T_5977, _T_5978) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5980 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5981 = and(_T_5979, _T_5980) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5982 = or(_T_5976, _T_5981) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5983 = bits(_T_5982, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][12] <= _T_5968 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5969 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5970 = eq(_T_5969, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5971 = and(ic_valid_ff, _T_5970) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5972 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5973 = and(_T_5971, _T_5972) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5974 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5975 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5976 = and(_T_5974, _T_5975) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5977 = eq(perr_ic_index_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5978 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5979 = and(_T_5977, _T_5978) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5980 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5981 = and(_T_5979, _T_5980) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5982 = or(_T_5976, _T_5981) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5983 = bits(_T_5982, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_5984 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5983 : @[Reg.scala 28:19] _T_5984 <= _T_5973 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][13] <= _T_5984 @[el2_ifu_mem_ctl.scala 747:39] - node _T_5985 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_5986 = eq(_T_5985, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_5987 = and(ic_valid_ff, _T_5986) @[el2_ifu_mem_ctl.scala 747:64] - node _T_5988 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_5989 = and(_T_5987, _T_5988) @[el2_ifu_mem_ctl.scala 747:89] - node _T_5990 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_5991 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_5992 = and(_T_5990, _T_5991) @[el2_ifu_mem_ctl.scala 748:58] - node _T_5993 = eq(perr_ic_index_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_5994 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_5995 = and(_T_5993, _T_5994) @[el2_ifu_mem_ctl.scala 748:123] - node _T_5996 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_5997 = and(_T_5995, _T_5996) @[el2_ifu_mem_ctl.scala 748:144] - node _T_5998 = or(_T_5992, _T_5997) @[el2_ifu_mem_ctl.scala 748:80] - node _T_5999 = bits(_T_5998, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][13] <= _T_5984 @[el2_ifu_mem_ctl.scala 748:39] + node _T_5985 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_5986 = eq(_T_5985, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_5987 = and(ic_valid_ff, _T_5986) @[el2_ifu_mem_ctl.scala 748:64] + node _T_5988 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_5989 = and(_T_5987, _T_5988) @[el2_ifu_mem_ctl.scala 748:89] + node _T_5990 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_5991 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_5992 = and(_T_5990, _T_5991) @[el2_ifu_mem_ctl.scala 749:58] + node _T_5993 = eq(perr_ic_index_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_5994 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_5995 = and(_T_5993, _T_5994) @[el2_ifu_mem_ctl.scala 749:123] + node _T_5996 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_5997 = and(_T_5995, _T_5996) @[el2_ifu_mem_ctl.scala 749:144] + node _T_5998 = or(_T_5992, _T_5997) @[el2_ifu_mem_ctl.scala 749:80] + node _T_5999 = bits(_T_5998, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6000 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_5999 : @[Reg.scala 28:19] _T_6000 <= _T_5989 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][14] <= _T_6000 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6001 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6002 = eq(_T_6001, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6003 = and(ic_valid_ff, _T_6002) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6004 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6005 = and(_T_6003, _T_6004) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6006 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6007 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6008 = and(_T_6006, _T_6007) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6009 = eq(perr_ic_index_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6010 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6011 = and(_T_6009, _T_6010) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6012 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6013 = and(_T_6011, _T_6012) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6014 = or(_T_6008, _T_6013) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6015 = bits(_T_6014, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][14] <= _T_6000 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6001 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6002 = eq(_T_6001, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6003 = and(ic_valid_ff, _T_6002) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6004 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6005 = and(_T_6003, _T_6004) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6006 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6007 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6008 = and(_T_6006, _T_6007) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6009 = eq(perr_ic_index_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6010 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6011 = and(_T_6009, _T_6010) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6012 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6013 = and(_T_6011, _T_6012) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6014 = or(_T_6008, _T_6013) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6015 = bits(_T_6014, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6016 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6015 : @[Reg.scala 28:19] _T_6016 <= _T_6005 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][15] <= _T_6016 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6017 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6018 = eq(_T_6017, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6019 = and(ic_valid_ff, _T_6018) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6020 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6021 = and(_T_6019, _T_6020) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6022 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6023 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6024 = and(_T_6022, _T_6023) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6025 = eq(perr_ic_index_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6026 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6027 = and(_T_6025, _T_6026) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6028 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6029 = and(_T_6027, _T_6028) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6030 = or(_T_6024, _T_6029) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6031 = bits(_T_6030, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][15] <= _T_6016 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6017 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6018 = eq(_T_6017, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6019 = and(ic_valid_ff, _T_6018) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6020 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6021 = and(_T_6019, _T_6020) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6022 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6023 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6024 = and(_T_6022, _T_6023) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6025 = eq(perr_ic_index_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6026 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6027 = and(_T_6025, _T_6026) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6028 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6029 = and(_T_6027, _T_6028) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6030 = or(_T_6024, _T_6029) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6031 = bits(_T_6030, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6032 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6031 : @[Reg.scala 28:19] _T_6032 <= _T_6021 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][16] <= _T_6032 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6033 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6034 = eq(_T_6033, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6035 = and(ic_valid_ff, _T_6034) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6036 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6037 = and(_T_6035, _T_6036) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6038 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6039 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6040 = and(_T_6038, _T_6039) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6041 = eq(perr_ic_index_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6042 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6043 = and(_T_6041, _T_6042) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6044 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6045 = and(_T_6043, _T_6044) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6046 = or(_T_6040, _T_6045) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6047 = bits(_T_6046, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][16] <= _T_6032 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6033 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6034 = eq(_T_6033, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6035 = and(ic_valid_ff, _T_6034) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6036 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6037 = and(_T_6035, _T_6036) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6038 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6039 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6040 = and(_T_6038, _T_6039) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6041 = eq(perr_ic_index_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6042 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6043 = and(_T_6041, _T_6042) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6044 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6045 = and(_T_6043, _T_6044) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6046 = or(_T_6040, _T_6045) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6047 = bits(_T_6046, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6048 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6047 : @[Reg.scala 28:19] _T_6048 <= _T_6037 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][17] <= _T_6048 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6049 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6050 = eq(_T_6049, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6051 = and(ic_valid_ff, _T_6050) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6052 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6053 = and(_T_6051, _T_6052) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6054 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6055 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6056 = and(_T_6054, _T_6055) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6057 = eq(perr_ic_index_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6058 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6059 = and(_T_6057, _T_6058) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6060 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6061 = and(_T_6059, _T_6060) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6062 = or(_T_6056, _T_6061) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6063 = bits(_T_6062, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][17] <= _T_6048 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6049 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6050 = eq(_T_6049, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6051 = and(ic_valid_ff, _T_6050) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6052 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6053 = and(_T_6051, _T_6052) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6054 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6055 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6056 = and(_T_6054, _T_6055) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6057 = eq(perr_ic_index_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6058 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6059 = and(_T_6057, _T_6058) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6060 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6061 = and(_T_6059, _T_6060) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6062 = or(_T_6056, _T_6061) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6063 = bits(_T_6062, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6064 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6063 : @[Reg.scala 28:19] _T_6064 <= _T_6053 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][18] <= _T_6064 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6065 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6066 = eq(_T_6065, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6067 = and(ic_valid_ff, _T_6066) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6068 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6069 = and(_T_6067, _T_6068) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6070 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6071 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6072 = and(_T_6070, _T_6071) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6073 = eq(perr_ic_index_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6074 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6075 = and(_T_6073, _T_6074) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6076 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6077 = and(_T_6075, _T_6076) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6078 = or(_T_6072, _T_6077) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6079 = bits(_T_6078, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][18] <= _T_6064 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6065 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6066 = eq(_T_6065, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6067 = and(ic_valid_ff, _T_6066) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6068 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6069 = and(_T_6067, _T_6068) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6070 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6071 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6072 = and(_T_6070, _T_6071) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6073 = eq(perr_ic_index_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6074 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6075 = and(_T_6073, _T_6074) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6076 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6077 = and(_T_6075, _T_6076) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6078 = or(_T_6072, _T_6077) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6079 = bits(_T_6078, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6080 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6079 : @[Reg.scala 28:19] _T_6080 <= _T_6069 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][19] <= _T_6080 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6081 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6082 = eq(_T_6081, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6083 = and(ic_valid_ff, _T_6082) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6084 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6085 = and(_T_6083, _T_6084) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6086 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6087 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6088 = and(_T_6086, _T_6087) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6089 = eq(perr_ic_index_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6090 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6091 = and(_T_6089, _T_6090) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6092 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6093 = and(_T_6091, _T_6092) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6094 = or(_T_6088, _T_6093) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6095 = bits(_T_6094, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][19] <= _T_6080 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6081 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6082 = eq(_T_6081, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6083 = and(ic_valid_ff, _T_6082) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6084 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6085 = and(_T_6083, _T_6084) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6086 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6087 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6088 = and(_T_6086, _T_6087) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6089 = eq(perr_ic_index_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6090 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6091 = and(_T_6089, _T_6090) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6092 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6093 = and(_T_6091, _T_6092) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6094 = or(_T_6088, _T_6093) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6095 = bits(_T_6094, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6096 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6095 : @[Reg.scala 28:19] _T_6096 <= _T_6085 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][20] <= _T_6096 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6097 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6098 = eq(_T_6097, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6099 = and(ic_valid_ff, _T_6098) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6100 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6101 = and(_T_6099, _T_6100) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6102 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6103 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6104 = and(_T_6102, _T_6103) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6105 = eq(perr_ic_index_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6106 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6107 = and(_T_6105, _T_6106) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6108 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6109 = and(_T_6107, _T_6108) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6110 = or(_T_6104, _T_6109) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6111 = bits(_T_6110, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][20] <= _T_6096 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6097 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6098 = eq(_T_6097, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6099 = and(ic_valid_ff, _T_6098) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6100 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6101 = and(_T_6099, _T_6100) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6102 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6103 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6104 = and(_T_6102, _T_6103) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6105 = eq(perr_ic_index_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6106 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6107 = and(_T_6105, _T_6106) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6108 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6109 = and(_T_6107, _T_6108) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6110 = or(_T_6104, _T_6109) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6111 = bits(_T_6110, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6112 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6111 : @[Reg.scala 28:19] _T_6112 <= _T_6101 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][21] <= _T_6112 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6113 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6114 = eq(_T_6113, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6115 = and(ic_valid_ff, _T_6114) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6116 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6117 = and(_T_6115, _T_6116) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6118 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6119 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6120 = and(_T_6118, _T_6119) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6121 = eq(perr_ic_index_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6122 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6123 = and(_T_6121, _T_6122) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6124 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6125 = and(_T_6123, _T_6124) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6126 = or(_T_6120, _T_6125) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6127 = bits(_T_6126, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][21] <= _T_6112 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6113 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6114 = eq(_T_6113, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6115 = and(ic_valid_ff, _T_6114) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6116 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6117 = and(_T_6115, _T_6116) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6118 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6119 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6120 = and(_T_6118, _T_6119) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6121 = eq(perr_ic_index_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6122 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6123 = and(_T_6121, _T_6122) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6124 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6125 = and(_T_6123, _T_6124) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6126 = or(_T_6120, _T_6125) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6127 = bits(_T_6126, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6128 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6127 : @[Reg.scala 28:19] _T_6128 <= _T_6117 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][22] <= _T_6128 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6129 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6130 = eq(_T_6129, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6131 = and(ic_valid_ff, _T_6130) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6132 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6133 = and(_T_6131, _T_6132) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6134 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6135 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6136 = and(_T_6134, _T_6135) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6137 = eq(perr_ic_index_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6138 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6139 = and(_T_6137, _T_6138) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6140 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6141 = and(_T_6139, _T_6140) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6142 = or(_T_6136, _T_6141) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6143 = bits(_T_6142, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][22] <= _T_6128 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6129 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6130 = eq(_T_6129, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6131 = and(ic_valid_ff, _T_6130) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6132 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6133 = and(_T_6131, _T_6132) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6134 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6135 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6136 = and(_T_6134, _T_6135) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6137 = eq(perr_ic_index_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6138 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6139 = and(_T_6137, _T_6138) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6140 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6141 = and(_T_6139, _T_6140) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6142 = or(_T_6136, _T_6141) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6143 = bits(_T_6142, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6144 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6143 : @[Reg.scala 28:19] _T_6144 <= _T_6133 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][23] <= _T_6144 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6145 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6146 = eq(_T_6145, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6147 = and(ic_valid_ff, _T_6146) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6148 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6149 = and(_T_6147, _T_6148) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6150 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6151 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6152 = and(_T_6150, _T_6151) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6153 = eq(perr_ic_index_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6154 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6155 = and(_T_6153, _T_6154) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6156 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6157 = and(_T_6155, _T_6156) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6158 = or(_T_6152, _T_6157) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6159 = bits(_T_6158, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][23] <= _T_6144 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6145 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6146 = eq(_T_6145, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6147 = and(ic_valid_ff, _T_6146) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6148 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6149 = and(_T_6147, _T_6148) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6150 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6151 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6152 = and(_T_6150, _T_6151) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6153 = eq(perr_ic_index_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6154 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6155 = and(_T_6153, _T_6154) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6156 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6157 = and(_T_6155, _T_6156) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6158 = or(_T_6152, _T_6157) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6159 = bits(_T_6158, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6160 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6159 : @[Reg.scala 28:19] _T_6160 <= _T_6149 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][24] <= _T_6160 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6161 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6162 = eq(_T_6161, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6163 = and(ic_valid_ff, _T_6162) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6164 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6165 = and(_T_6163, _T_6164) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6166 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6167 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6168 = and(_T_6166, _T_6167) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6169 = eq(perr_ic_index_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6170 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6171 = and(_T_6169, _T_6170) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6172 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6173 = and(_T_6171, _T_6172) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6174 = or(_T_6168, _T_6173) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6175 = bits(_T_6174, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][24] <= _T_6160 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6161 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6162 = eq(_T_6161, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6163 = and(ic_valid_ff, _T_6162) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6164 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6165 = and(_T_6163, _T_6164) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6166 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6167 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6168 = and(_T_6166, _T_6167) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6169 = eq(perr_ic_index_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6170 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6171 = and(_T_6169, _T_6170) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6172 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6173 = and(_T_6171, _T_6172) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6174 = or(_T_6168, _T_6173) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6175 = bits(_T_6174, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6176 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6175 : @[Reg.scala 28:19] _T_6176 <= _T_6165 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][25] <= _T_6176 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6177 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6178 = eq(_T_6177, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6179 = and(ic_valid_ff, _T_6178) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6180 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6181 = and(_T_6179, _T_6180) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6182 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6183 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6184 = and(_T_6182, _T_6183) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6185 = eq(perr_ic_index_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6186 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6187 = and(_T_6185, _T_6186) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6188 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6189 = and(_T_6187, _T_6188) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6190 = or(_T_6184, _T_6189) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6191 = bits(_T_6190, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][25] <= _T_6176 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6177 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6178 = eq(_T_6177, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6179 = and(ic_valid_ff, _T_6178) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6180 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6181 = and(_T_6179, _T_6180) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6182 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6183 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6184 = and(_T_6182, _T_6183) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6185 = eq(perr_ic_index_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6186 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6187 = and(_T_6185, _T_6186) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6188 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6189 = and(_T_6187, _T_6188) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6190 = or(_T_6184, _T_6189) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6191 = bits(_T_6190, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6192 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6191 : @[Reg.scala 28:19] _T_6192 <= _T_6181 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][26] <= _T_6192 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6193 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6194 = eq(_T_6193, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6195 = and(ic_valid_ff, _T_6194) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6196 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6197 = and(_T_6195, _T_6196) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6198 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6199 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6200 = and(_T_6198, _T_6199) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6201 = eq(perr_ic_index_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6202 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6203 = and(_T_6201, _T_6202) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6204 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6205 = and(_T_6203, _T_6204) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6206 = or(_T_6200, _T_6205) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6207 = bits(_T_6206, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][26] <= _T_6192 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6193 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6194 = eq(_T_6193, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6195 = and(ic_valid_ff, _T_6194) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6196 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6197 = and(_T_6195, _T_6196) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6198 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6199 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6200 = and(_T_6198, _T_6199) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6201 = eq(perr_ic_index_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6202 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6203 = and(_T_6201, _T_6202) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6204 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6205 = and(_T_6203, _T_6204) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6206 = or(_T_6200, _T_6205) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6207 = bits(_T_6206, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6208 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6207 : @[Reg.scala 28:19] _T_6208 <= _T_6197 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][27] <= _T_6208 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6209 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6210 = eq(_T_6209, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6211 = and(ic_valid_ff, _T_6210) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6212 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6213 = and(_T_6211, _T_6212) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6214 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6215 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6216 = and(_T_6214, _T_6215) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6217 = eq(perr_ic_index_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6218 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6219 = and(_T_6217, _T_6218) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6220 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6221 = and(_T_6219, _T_6220) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6222 = or(_T_6216, _T_6221) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6223 = bits(_T_6222, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][27] <= _T_6208 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6209 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6210 = eq(_T_6209, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6211 = and(ic_valid_ff, _T_6210) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6212 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6213 = and(_T_6211, _T_6212) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6214 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6215 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6216 = and(_T_6214, _T_6215) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6217 = eq(perr_ic_index_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6218 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6219 = and(_T_6217, _T_6218) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6220 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6221 = and(_T_6219, _T_6220) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6222 = or(_T_6216, _T_6221) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6223 = bits(_T_6222, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6224 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6223 : @[Reg.scala 28:19] _T_6224 <= _T_6213 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][28] <= _T_6224 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6225 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6226 = eq(_T_6225, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6227 = and(ic_valid_ff, _T_6226) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6228 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6229 = and(_T_6227, _T_6228) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6230 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6231 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6232 = and(_T_6230, _T_6231) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6233 = eq(perr_ic_index_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6234 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6235 = and(_T_6233, _T_6234) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6236 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6237 = and(_T_6235, _T_6236) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6238 = or(_T_6232, _T_6237) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6239 = bits(_T_6238, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][28] <= _T_6224 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6225 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6226 = eq(_T_6225, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6227 = and(ic_valid_ff, _T_6226) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6228 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6229 = and(_T_6227, _T_6228) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6230 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6231 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6232 = and(_T_6230, _T_6231) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6233 = eq(perr_ic_index_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6234 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6235 = and(_T_6233, _T_6234) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6236 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6237 = and(_T_6235, _T_6236) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6238 = or(_T_6232, _T_6237) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6239 = bits(_T_6238, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6240 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6239 : @[Reg.scala 28:19] _T_6240 <= _T_6229 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][29] <= _T_6240 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6241 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6242 = eq(_T_6241, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6243 = and(ic_valid_ff, _T_6242) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6244 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6245 = and(_T_6243, _T_6244) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6246 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6247 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6248 = and(_T_6246, _T_6247) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6249 = eq(perr_ic_index_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6250 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6251 = and(_T_6249, _T_6250) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6252 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6253 = and(_T_6251, _T_6252) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6254 = or(_T_6248, _T_6253) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6255 = bits(_T_6254, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][29] <= _T_6240 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6241 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6242 = eq(_T_6241, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6243 = and(ic_valid_ff, _T_6242) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6244 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6245 = and(_T_6243, _T_6244) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6246 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6247 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6248 = and(_T_6246, _T_6247) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6249 = eq(perr_ic_index_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6250 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6251 = and(_T_6249, _T_6250) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6252 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6253 = and(_T_6251, _T_6252) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6254 = or(_T_6248, _T_6253) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6255 = bits(_T_6254, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6256 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6255 : @[Reg.scala 28:19] _T_6256 <= _T_6245 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][30] <= _T_6256 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6257 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6258 = eq(_T_6257, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6259 = and(ic_valid_ff, _T_6258) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6260 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6261 = and(_T_6259, _T_6260) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6262 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6263 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6264 = and(_T_6262, _T_6263) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6265 = eq(perr_ic_index_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6266 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6267 = and(_T_6265, _T_6266) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6268 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6269 = and(_T_6267, _T_6268) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6270 = or(_T_6264, _T_6269) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6271 = bits(_T_6270, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][30] <= _T_6256 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6257 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6258 = eq(_T_6257, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6259 = and(ic_valid_ff, _T_6258) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6260 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6261 = and(_T_6259, _T_6260) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6262 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6263 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6264 = and(_T_6262, _T_6263) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6265 = eq(perr_ic_index_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6266 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6267 = and(_T_6265, _T_6266) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6268 = bits(tag_valid_clken_0, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6269 = and(_T_6267, _T_6268) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6270 = or(_T_6264, _T_6269) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6271 = bits(_T_6270, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6272 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6271 : @[Reg.scala 28:19] _T_6272 <= _T_6261 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][31] <= _T_6272 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6273 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6274 = eq(_T_6273, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6275 = and(ic_valid_ff, _T_6274) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6276 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6277 = and(_T_6275, _T_6276) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6278 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6279 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6280 = and(_T_6278, _T_6279) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6281 = eq(perr_ic_index_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6282 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6283 = and(_T_6281, _T_6282) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6284 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6285 = and(_T_6283, _T_6284) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6286 = or(_T_6280, _T_6285) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6287 = bits(_T_6286, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][31] <= _T_6272 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6273 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6274 = eq(_T_6273, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6275 = and(ic_valid_ff, _T_6274) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6276 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6277 = and(_T_6275, _T_6276) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6278 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6279 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6280 = and(_T_6278, _T_6279) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6281 = eq(perr_ic_index_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6282 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6283 = and(_T_6281, _T_6282) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6284 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6285 = and(_T_6283, _T_6284) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6286 = or(_T_6280, _T_6285) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6287 = bits(_T_6286, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6288 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6287 : @[Reg.scala 28:19] _T_6288 <= _T_6277 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][32] <= _T_6288 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6289 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6290 = eq(_T_6289, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6291 = and(ic_valid_ff, _T_6290) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6292 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6293 = and(_T_6291, _T_6292) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6294 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6295 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6296 = and(_T_6294, _T_6295) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6297 = eq(perr_ic_index_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6298 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6299 = and(_T_6297, _T_6298) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6300 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6301 = and(_T_6299, _T_6300) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6302 = or(_T_6296, _T_6301) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6303 = bits(_T_6302, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][32] <= _T_6288 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6289 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6290 = eq(_T_6289, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6291 = and(ic_valid_ff, _T_6290) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6292 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6293 = and(_T_6291, _T_6292) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6294 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6295 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6296 = and(_T_6294, _T_6295) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6297 = eq(perr_ic_index_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6298 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6299 = and(_T_6297, _T_6298) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6300 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6301 = and(_T_6299, _T_6300) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6302 = or(_T_6296, _T_6301) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6303 = bits(_T_6302, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6304 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6303 : @[Reg.scala 28:19] _T_6304 <= _T_6293 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][33] <= _T_6304 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6305 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6306 = eq(_T_6305, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6307 = and(ic_valid_ff, _T_6306) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6308 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6309 = and(_T_6307, _T_6308) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6310 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6311 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6312 = and(_T_6310, _T_6311) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6313 = eq(perr_ic_index_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6314 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6315 = and(_T_6313, _T_6314) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6316 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6317 = and(_T_6315, _T_6316) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6318 = or(_T_6312, _T_6317) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6319 = bits(_T_6318, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][33] <= _T_6304 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6305 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6306 = eq(_T_6305, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6307 = and(ic_valid_ff, _T_6306) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6308 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6309 = and(_T_6307, _T_6308) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6310 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6311 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6312 = and(_T_6310, _T_6311) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6313 = eq(perr_ic_index_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6314 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6315 = and(_T_6313, _T_6314) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6316 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6317 = and(_T_6315, _T_6316) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6318 = or(_T_6312, _T_6317) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6319 = bits(_T_6318, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6320 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6319 : @[Reg.scala 28:19] _T_6320 <= _T_6309 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][34] <= _T_6320 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6321 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6322 = eq(_T_6321, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6323 = and(ic_valid_ff, _T_6322) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6324 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6325 = and(_T_6323, _T_6324) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6326 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6327 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6328 = and(_T_6326, _T_6327) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6329 = eq(perr_ic_index_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6330 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6331 = and(_T_6329, _T_6330) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6332 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6333 = and(_T_6331, _T_6332) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6334 = or(_T_6328, _T_6333) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6335 = bits(_T_6334, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][34] <= _T_6320 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6321 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6322 = eq(_T_6321, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6323 = and(ic_valid_ff, _T_6322) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6324 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6325 = and(_T_6323, _T_6324) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6326 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6327 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6328 = and(_T_6326, _T_6327) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6329 = eq(perr_ic_index_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6330 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6331 = and(_T_6329, _T_6330) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6332 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6333 = and(_T_6331, _T_6332) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6334 = or(_T_6328, _T_6333) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6335 = bits(_T_6334, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6336 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6335 : @[Reg.scala 28:19] _T_6336 <= _T_6325 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][35] <= _T_6336 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6337 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6338 = eq(_T_6337, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6339 = and(ic_valid_ff, _T_6338) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6340 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6341 = and(_T_6339, _T_6340) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6342 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6343 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6344 = and(_T_6342, _T_6343) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6345 = eq(perr_ic_index_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6346 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6347 = and(_T_6345, _T_6346) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6348 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6349 = and(_T_6347, _T_6348) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6350 = or(_T_6344, _T_6349) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6351 = bits(_T_6350, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][35] <= _T_6336 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6337 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6338 = eq(_T_6337, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6339 = and(ic_valid_ff, _T_6338) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6340 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6341 = and(_T_6339, _T_6340) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6342 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6343 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6344 = and(_T_6342, _T_6343) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6345 = eq(perr_ic_index_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6346 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6347 = and(_T_6345, _T_6346) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6348 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6349 = and(_T_6347, _T_6348) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6350 = or(_T_6344, _T_6349) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6351 = bits(_T_6350, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6352 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6351 : @[Reg.scala 28:19] _T_6352 <= _T_6341 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][36] <= _T_6352 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6353 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6354 = eq(_T_6353, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6355 = and(ic_valid_ff, _T_6354) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6356 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6357 = and(_T_6355, _T_6356) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6358 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6359 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6360 = and(_T_6358, _T_6359) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6361 = eq(perr_ic_index_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6362 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6363 = and(_T_6361, _T_6362) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6364 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6365 = and(_T_6363, _T_6364) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6366 = or(_T_6360, _T_6365) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6367 = bits(_T_6366, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][36] <= _T_6352 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6353 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6354 = eq(_T_6353, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6355 = and(ic_valid_ff, _T_6354) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6356 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6357 = and(_T_6355, _T_6356) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6358 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6359 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6360 = and(_T_6358, _T_6359) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6361 = eq(perr_ic_index_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6362 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6363 = and(_T_6361, _T_6362) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6364 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6365 = and(_T_6363, _T_6364) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6366 = or(_T_6360, _T_6365) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6367 = bits(_T_6366, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6368 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6367 : @[Reg.scala 28:19] _T_6368 <= _T_6357 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][37] <= _T_6368 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6369 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6370 = eq(_T_6369, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6371 = and(ic_valid_ff, _T_6370) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6372 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6373 = and(_T_6371, _T_6372) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6374 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6375 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6376 = and(_T_6374, _T_6375) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6377 = eq(perr_ic_index_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6378 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6379 = and(_T_6377, _T_6378) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6380 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6381 = and(_T_6379, _T_6380) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6382 = or(_T_6376, _T_6381) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6383 = bits(_T_6382, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][37] <= _T_6368 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6369 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6370 = eq(_T_6369, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6371 = and(ic_valid_ff, _T_6370) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6372 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6373 = and(_T_6371, _T_6372) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6374 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6375 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6376 = and(_T_6374, _T_6375) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6377 = eq(perr_ic_index_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6378 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6379 = and(_T_6377, _T_6378) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6380 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6381 = and(_T_6379, _T_6380) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6382 = or(_T_6376, _T_6381) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6383 = bits(_T_6382, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6384 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6383 : @[Reg.scala 28:19] _T_6384 <= _T_6373 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][38] <= _T_6384 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6385 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6386 = eq(_T_6385, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6387 = and(ic_valid_ff, _T_6386) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6388 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6389 = and(_T_6387, _T_6388) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6390 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6391 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6392 = and(_T_6390, _T_6391) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6393 = eq(perr_ic_index_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6394 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6395 = and(_T_6393, _T_6394) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6396 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6397 = and(_T_6395, _T_6396) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6398 = or(_T_6392, _T_6397) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6399 = bits(_T_6398, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][38] <= _T_6384 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6385 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6386 = eq(_T_6385, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6387 = and(ic_valid_ff, _T_6386) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6388 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6389 = and(_T_6387, _T_6388) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6390 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6391 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6392 = and(_T_6390, _T_6391) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6393 = eq(perr_ic_index_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6394 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6395 = and(_T_6393, _T_6394) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6396 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6397 = and(_T_6395, _T_6396) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6398 = or(_T_6392, _T_6397) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6399 = bits(_T_6398, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6400 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6399 : @[Reg.scala 28:19] _T_6400 <= _T_6389 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][39] <= _T_6400 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6401 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6402 = eq(_T_6401, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6403 = and(ic_valid_ff, _T_6402) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6404 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6405 = and(_T_6403, _T_6404) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6406 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6407 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6408 = and(_T_6406, _T_6407) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6409 = eq(perr_ic_index_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6410 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6411 = and(_T_6409, _T_6410) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6412 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6413 = and(_T_6411, _T_6412) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6414 = or(_T_6408, _T_6413) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6415 = bits(_T_6414, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][39] <= _T_6400 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6401 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6402 = eq(_T_6401, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6403 = and(ic_valid_ff, _T_6402) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6404 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6405 = and(_T_6403, _T_6404) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6406 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6407 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6408 = and(_T_6406, _T_6407) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6409 = eq(perr_ic_index_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6410 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6411 = and(_T_6409, _T_6410) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6412 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6413 = and(_T_6411, _T_6412) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6414 = or(_T_6408, _T_6413) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6415 = bits(_T_6414, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6416 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6415 : @[Reg.scala 28:19] _T_6416 <= _T_6405 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][40] <= _T_6416 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6417 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6418 = eq(_T_6417, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6419 = and(ic_valid_ff, _T_6418) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6420 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6421 = and(_T_6419, _T_6420) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6422 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6423 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6424 = and(_T_6422, _T_6423) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6425 = eq(perr_ic_index_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6426 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6427 = and(_T_6425, _T_6426) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6428 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6429 = and(_T_6427, _T_6428) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6430 = or(_T_6424, _T_6429) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6431 = bits(_T_6430, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][40] <= _T_6416 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6417 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6418 = eq(_T_6417, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6419 = and(ic_valid_ff, _T_6418) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6420 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6421 = and(_T_6419, _T_6420) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6422 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6423 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6424 = and(_T_6422, _T_6423) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6425 = eq(perr_ic_index_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6426 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6427 = and(_T_6425, _T_6426) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6428 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6429 = and(_T_6427, _T_6428) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6430 = or(_T_6424, _T_6429) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6431 = bits(_T_6430, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6432 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6431 : @[Reg.scala 28:19] _T_6432 <= _T_6421 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][41] <= _T_6432 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6433 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6434 = eq(_T_6433, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6435 = and(ic_valid_ff, _T_6434) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6436 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6437 = and(_T_6435, _T_6436) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6438 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6439 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6440 = and(_T_6438, _T_6439) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6441 = eq(perr_ic_index_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6442 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6443 = and(_T_6441, _T_6442) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6444 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6445 = and(_T_6443, _T_6444) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6446 = or(_T_6440, _T_6445) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6447 = bits(_T_6446, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][41] <= _T_6432 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6433 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6434 = eq(_T_6433, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6435 = and(ic_valid_ff, _T_6434) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6436 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6437 = and(_T_6435, _T_6436) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6438 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6439 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6440 = and(_T_6438, _T_6439) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6441 = eq(perr_ic_index_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6442 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6443 = and(_T_6441, _T_6442) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6444 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6445 = and(_T_6443, _T_6444) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6446 = or(_T_6440, _T_6445) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6447 = bits(_T_6446, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6448 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6447 : @[Reg.scala 28:19] _T_6448 <= _T_6437 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][42] <= _T_6448 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6449 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6450 = eq(_T_6449, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6451 = and(ic_valid_ff, _T_6450) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6452 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6453 = and(_T_6451, _T_6452) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6454 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6455 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6456 = and(_T_6454, _T_6455) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6457 = eq(perr_ic_index_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6458 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6459 = and(_T_6457, _T_6458) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6460 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6461 = and(_T_6459, _T_6460) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6462 = or(_T_6456, _T_6461) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6463 = bits(_T_6462, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][42] <= _T_6448 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6449 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6450 = eq(_T_6449, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6451 = and(ic_valid_ff, _T_6450) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6452 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6453 = and(_T_6451, _T_6452) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6454 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6455 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6456 = and(_T_6454, _T_6455) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6457 = eq(perr_ic_index_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6458 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6459 = and(_T_6457, _T_6458) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6460 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6461 = and(_T_6459, _T_6460) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6462 = or(_T_6456, _T_6461) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6463 = bits(_T_6462, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6464 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6463 : @[Reg.scala 28:19] _T_6464 <= _T_6453 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][43] <= _T_6464 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6465 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6466 = eq(_T_6465, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6467 = and(ic_valid_ff, _T_6466) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6468 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6469 = and(_T_6467, _T_6468) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6470 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6471 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6472 = and(_T_6470, _T_6471) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6473 = eq(perr_ic_index_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6474 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6475 = and(_T_6473, _T_6474) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6476 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6477 = and(_T_6475, _T_6476) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6478 = or(_T_6472, _T_6477) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6479 = bits(_T_6478, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][43] <= _T_6464 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6465 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6466 = eq(_T_6465, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6467 = and(ic_valid_ff, _T_6466) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6468 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6469 = and(_T_6467, _T_6468) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6470 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6471 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6472 = and(_T_6470, _T_6471) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6473 = eq(perr_ic_index_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6474 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6475 = and(_T_6473, _T_6474) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6476 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6477 = and(_T_6475, _T_6476) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6478 = or(_T_6472, _T_6477) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6479 = bits(_T_6478, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6480 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6479 : @[Reg.scala 28:19] _T_6480 <= _T_6469 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][44] <= _T_6480 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6481 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6482 = eq(_T_6481, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6483 = and(ic_valid_ff, _T_6482) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6484 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6485 = and(_T_6483, _T_6484) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6486 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6487 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6488 = and(_T_6486, _T_6487) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6489 = eq(perr_ic_index_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6490 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6491 = and(_T_6489, _T_6490) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6492 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6493 = and(_T_6491, _T_6492) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6494 = or(_T_6488, _T_6493) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6495 = bits(_T_6494, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][44] <= _T_6480 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6481 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6482 = eq(_T_6481, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6483 = and(ic_valid_ff, _T_6482) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6484 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6485 = and(_T_6483, _T_6484) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6486 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6487 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6488 = and(_T_6486, _T_6487) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6489 = eq(perr_ic_index_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6490 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6491 = and(_T_6489, _T_6490) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6492 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6493 = and(_T_6491, _T_6492) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6494 = or(_T_6488, _T_6493) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6495 = bits(_T_6494, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6496 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6495 : @[Reg.scala 28:19] _T_6496 <= _T_6485 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][45] <= _T_6496 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6497 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6498 = eq(_T_6497, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6499 = and(ic_valid_ff, _T_6498) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6500 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6501 = and(_T_6499, _T_6500) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6502 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6503 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6504 = and(_T_6502, _T_6503) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6505 = eq(perr_ic_index_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6506 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6507 = and(_T_6505, _T_6506) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6508 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6509 = and(_T_6507, _T_6508) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6510 = or(_T_6504, _T_6509) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6511 = bits(_T_6510, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][45] <= _T_6496 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6497 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6498 = eq(_T_6497, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6499 = and(ic_valid_ff, _T_6498) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6500 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6501 = and(_T_6499, _T_6500) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6502 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6503 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6504 = and(_T_6502, _T_6503) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6505 = eq(perr_ic_index_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6506 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6507 = and(_T_6505, _T_6506) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6508 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6509 = and(_T_6507, _T_6508) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6510 = or(_T_6504, _T_6509) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6511 = bits(_T_6510, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6512 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6511 : @[Reg.scala 28:19] _T_6512 <= _T_6501 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][46] <= _T_6512 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6513 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6514 = eq(_T_6513, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6515 = and(ic_valid_ff, _T_6514) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6516 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6517 = and(_T_6515, _T_6516) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6518 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6519 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6520 = and(_T_6518, _T_6519) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6521 = eq(perr_ic_index_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6522 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6523 = and(_T_6521, _T_6522) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6524 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6525 = and(_T_6523, _T_6524) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6526 = or(_T_6520, _T_6525) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6527 = bits(_T_6526, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][46] <= _T_6512 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6513 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6514 = eq(_T_6513, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6515 = and(ic_valid_ff, _T_6514) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6516 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6517 = and(_T_6515, _T_6516) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6518 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6519 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6520 = and(_T_6518, _T_6519) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6521 = eq(perr_ic_index_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6522 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6523 = and(_T_6521, _T_6522) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6524 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6525 = and(_T_6523, _T_6524) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6526 = or(_T_6520, _T_6525) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6527 = bits(_T_6526, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6528 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6527 : @[Reg.scala 28:19] _T_6528 <= _T_6517 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][47] <= _T_6528 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6529 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6530 = eq(_T_6529, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6531 = and(ic_valid_ff, _T_6530) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6532 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6533 = and(_T_6531, _T_6532) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6534 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6535 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6536 = and(_T_6534, _T_6535) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6537 = eq(perr_ic_index_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6538 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6539 = and(_T_6537, _T_6538) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6540 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6541 = and(_T_6539, _T_6540) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6542 = or(_T_6536, _T_6541) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6543 = bits(_T_6542, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][47] <= _T_6528 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6529 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6530 = eq(_T_6529, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6531 = and(ic_valid_ff, _T_6530) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6532 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6533 = and(_T_6531, _T_6532) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6534 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6535 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6536 = and(_T_6534, _T_6535) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6537 = eq(perr_ic_index_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6538 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6539 = and(_T_6537, _T_6538) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6540 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6541 = and(_T_6539, _T_6540) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6542 = or(_T_6536, _T_6541) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6543 = bits(_T_6542, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6544 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6543 : @[Reg.scala 28:19] _T_6544 <= _T_6533 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][48] <= _T_6544 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6545 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6546 = eq(_T_6545, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6547 = and(ic_valid_ff, _T_6546) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6548 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6549 = and(_T_6547, _T_6548) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6550 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6551 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6552 = and(_T_6550, _T_6551) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6553 = eq(perr_ic_index_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6554 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6555 = and(_T_6553, _T_6554) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6556 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6557 = and(_T_6555, _T_6556) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6558 = or(_T_6552, _T_6557) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6559 = bits(_T_6558, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][48] <= _T_6544 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6545 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6546 = eq(_T_6545, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6547 = and(ic_valid_ff, _T_6546) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6548 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6549 = and(_T_6547, _T_6548) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6550 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6551 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6552 = and(_T_6550, _T_6551) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6553 = eq(perr_ic_index_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6554 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6555 = and(_T_6553, _T_6554) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6556 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6557 = and(_T_6555, _T_6556) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6558 = or(_T_6552, _T_6557) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6559 = bits(_T_6558, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6560 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6559 : @[Reg.scala 28:19] _T_6560 <= _T_6549 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][49] <= _T_6560 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6561 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6562 = eq(_T_6561, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6563 = and(ic_valid_ff, _T_6562) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6564 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6565 = and(_T_6563, _T_6564) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6566 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6567 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6568 = and(_T_6566, _T_6567) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6569 = eq(perr_ic_index_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6570 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6571 = and(_T_6569, _T_6570) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6572 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6573 = and(_T_6571, _T_6572) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6574 = or(_T_6568, _T_6573) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6575 = bits(_T_6574, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][49] <= _T_6560 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6561 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6562 = eq(_T_6561, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6563 = and(ic_valid_ff, _T_6562) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6564 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6565 = and(_T_6563, _T_6564) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6566 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6567 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6568 = and(_T_6566, _T_6567) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6569 = eq(perr_ic_index_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6570 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6571 = and(_T_6569, _T_6570) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6572 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6573 = and(_T_6571, _T_6572) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6574 = or(_T_6568, _T_6573) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6575 = bits(_T_6574, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6576 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6575 : @[Reg.scala 28:19] _T_6576 <= _T_6565 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][50] <= _T_6576 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6577 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6578 = eq(_T_6577, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6579 = and(ic_valid_ff, _T_6578) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6580 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6581 = and(_T_6579, _T_6580) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6582 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6583 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6584 = and(_T_6582, _T_6583) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6585 = eq(perr_ic_index_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6586 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6587 = and(_T_6585, _T_6586) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6588 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6589 = and(_T_6587, _T_6588) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6590 = or(_T_6584, _T_6589) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6591 = bits(_T_6590, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][50] <= _T_6576 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6577 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6578 = eq(_T_6577, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6579 = and(ic_valid_ff, _T_6578) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6580 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6581 = and(_T_6579, _T_6580) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6582 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6583 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6584 = and(_T_6582, _T_6583) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6585 = eq(perr_ic_index_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6586 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6587 = and(_T_6585, _T_6586) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6588 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6589 = and(_T_6587, _T_6588) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6590 = or(_T_6584, _T_6589) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6591 = bits(_T_6590, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6592 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6591 : @[Reg.scala 28:19] _T_6592 <= _T_6581 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][51] <= _T_6592 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6593 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6594 = eq(_T_6593, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6595 = and(ic_valid_ff, _T_6594) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6596 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6597 = and(_T_6595, _T_6596) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6598 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6599 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6600 = and(_T_6598, _T_6599) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6601 = eq(perr_ic_index_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6602 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6603 = and(_T_6601, _T_6602) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6604 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6605 = and(_T_6603, _T_6604) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6606 = or(_T_6600, _T_6605) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6607 = bits(_T_6606, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][51] <= _T_6592 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6593 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6594 = eq(_T_6593, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6595 = and(ic_valid_ff, _T_6594) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6596 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6597 = and(_T_6595, _T_6596) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6598 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6599 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6600 = and(_T_6598, _T_6599) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6601 = eq(perr_ic_index_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6602 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6603 = and(_T_6601, _T_6602) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6604 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6605 = and(_T_6603, _T_6604) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6606 = or(_T_6600, _T_6605) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6607 = bits(_T_6606, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6608 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6607 : @[Reg.scala 28:19] _T_6608 <= _T_6597 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][52] <= _T_6608 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6609 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6610 = eq(_T_6609, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6611 = and(ic_valid_ff, _T_6610) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6612 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6613 = and(_T_6611, _T_6612) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6614 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6615 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6616 = and(_T_6614, _T_6615) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6617 = eq(perr_ic_index_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6618 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6619 = and(_T_6617, _T_6618) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6620 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6621 = and(_T_6619, _T_6620) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6622 = or(_T_6616, _T_6621) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6623 = bits(_T_6622, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][52] <= _T_6608 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6609 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6610 = eq(_T_6609, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6611 = and(ic_valid_ff, _T_6610) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6612 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6613 = and(_T_6611, _T_6612) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6614 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6615 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6616 = and(_T_6614, _T_6615) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6617 = eq(perr_ic_index_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6618 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6619 = and(_T_6617, _T_6618) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6620 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6621 = and(_T_6619, _T_6620) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6622 = or(_T_6616, _T_6621) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6623 = bits(_T_6622, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6624 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6623 : @[Reg.scala 28:19] _T_6624 <= _T_6613 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][53] <= _T_6624 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6625 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6626 = eq(_T_6625, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6627 = and(ic_valid_ff, _T_6626) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6628 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6629 = and(_T_6627, _T_6628) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6630 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6631 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6632 = and(_T_6630, _T_6631) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6633 = eq(perr_ic_index_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6634 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6635 = and(_T_6633, _T_6634) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6636 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6637 = and(_T_6635, _T_6636) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6638 = or(_T_6632, _T_6637) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6639 = bits(_T_6638, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][53] <= _T_6624 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6625 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6626 = eq(_T_6625, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6627 = and(ic_valid_ff, _T_6626) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6628 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6629 = and(_T_6627, _T_6628) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6630 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6631 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6632 = and(_T_6630, _T_6631) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6633 = eq(perr_ic_index_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6634 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6635 = and(_T_6633, _T_6634) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6636 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6637 = and(_T_6635, _T_6636) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6638 = or(_T_6632, _T_6637) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6639 = bits(_T_6638, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6640 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6639 : @[Reg.scala 28:19] _T_6640 <= _T_6629 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][54] <= _T_6640 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6641 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6642 = eq(_T_6641, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6643 = and(ic_valid_ff, _T_6642) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6644 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6645 = and(_T_6643, _T_6644) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6646 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6647 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6648 = and(_T_6646, _T_6647) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6649 = eq(perr_ic_index_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6650 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6651 = and(_T_6649, _T_6650) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6652 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6653 = and(_T_6651, _T_6652) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6654 = or(_T_6648, _T_6653) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6655 = bits(_T_6654, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][54] <= _T_6640 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6641 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6642 = eq(_T_6641, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6643 = and(ic_valid_ff, _T_6642) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6644 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6645 = and(_T_6643, _T_6644) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6646 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6647 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6648 = and(_T_6646, _T_6647) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6649 = eq(perr_ic_index_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6650 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6651 = and(_T_6649, _T_6650) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6652 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6653 = and(_T_6651, _T_6652) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6654 = or(_T_6648, _T_6653) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6655 = bits(_T_6654, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6656 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6655 : @[Reg.scala 28:19] _T_6656 <= _T_6645 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][55] <= _T_6656 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6657 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6658 = eq(_T_6657, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6659 = and(ic_valid_ff, _T_6658) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6660 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6661 = and(_T_6659, _T_6660) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6662 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6663 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6664 = and(_T_6662, _T_6663) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6665 = eq(perr_ic_index_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6666 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6667 = and(_T_6665, _T_6666) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6668 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6669 = and(_T_6667, _T_6668) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6670 = or(_T_6664, _T_6669) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6671 = bits(_T_6670, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][55] <= _T_6656 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6657 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6658 = eq(_T_6657, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6659 = and(ic_valid_ff, _T_6658) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6660 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6661 = and(_T_6659, _T_6660) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6662 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6663 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6664 = and(_T_6662, _T_6663) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6665 = eq(perr_ic_index_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6666 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6667 = and(_T_6665, _T_6666) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6668 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6669 = and(_T_6667, _T_6668) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6670 = or(_T_6664, _T_6669) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6671 = bits(_T_6670, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6672 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6671 : @[Reg.scala 28:19] _T_6672 <= _T_6661 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][56] <= _T_6672 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6673 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6674 = eq(_T_6673, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6675 = and(ic_valid_ff, _T_6674) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6676 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6677 = and(_T_6675, _T_6676) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6678 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6679 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6680 = and(_T_6678, _T_6679) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6681 = eq(perr_ic_index_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6682 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6683 = and(_T_6681, _T_6682) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6684 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6685 = and(_T_6683, _T_6684) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6686 = or(_T_6680, _T_6685) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6687 = bits(_T_6686, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][56] <= _T_6672 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6673 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6674 = eq(_T_6673, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6675 = and(ic_valid_ff, _T_6674) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6676 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6677 = and(_T_6675, _T_6676) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6678 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6679 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6680 = and(_T_6678, _T_6679) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6681 = eq(perr_ic_index_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6682 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6683 = and(_T_6681, _T_6682) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6684 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6685 = and(_T_6683, _T_6684) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6686 = or(_T_6680, _T_6685) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6687 = bits(_T_6686, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6688 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6687 : @[Reg.scala 28:19] _T_6688 <= _T_6677 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][57] <= _T_6688 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6689 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6690 = eq(_T_6689, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6691 = and(ic_valid_ff, _T_6690) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6692 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6693 = and(_T_6691, _T_6692) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6694 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6695 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6696 = and(_T_6694, _T_6695) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6697 = eq(perr_ic_index_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6698 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6699 = and(_T_6697, _T_6698) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6700 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6701 = and(_T_6699, _T_6700) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6702 = or(_T_6696, _T_6701) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6703 = bits(_T_6702, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][57] <= _T_6688 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6689 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6690 = eq(_T_6689, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6691 = and(ic_valid_ff, _T_6690) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6692 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6693 = and(_T_6691, _T_6692) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6694 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6695 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6696 = and(_T_6694, _T_6695) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6697 = eq(perr_ic_index_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6698 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6699 = and(_T_6697, _T_6698) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6700 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6701 = and(_T_6699, _T_6700) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6702 = or(_T_6696, _T_6701) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6703 = bits(_T_6702, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6704 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6703 : @[Reg.scala 28:19] _T_6704 <= _T_6693 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][58] <= _T_6704 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6705 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6706 = eq(_T_6705, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6707 = and(ic_valid_ff, _T_6706) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6708 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6709 = and(_T_6707, _T_6708) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6710 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6711 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6712 = and(_T_6710, _T_6711) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6713 = eq(perr_ic_index_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6714 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6715 = and(_T_6713, _T_6714) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6716 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6717 = and(_T_6715, _T_6716) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6718 = or(_T_6712, _T_6717) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6719 = bits(_T_6718, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][58] <= _T_6704 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6705 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6706 = eq(_T_6705, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6707 = and(ic_valid_ff, _T_6706) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6708 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6709 = and(_T_6707, _T_6708) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6710 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6711 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6712 = and(_T_6710, _T_6711) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6713 = eq(perr_ic_index_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6714 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6715 = and(_T_6713, _T_6714) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6716 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6717 = and(_T_6715, _T_6716) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6718 = or(_T_6712, _T_6717) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6719 = bits(_T_6718, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6720 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6719 : @[Reg.scala 28:19] _T_6720 <= _T_6709 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][59] <= _T_6720 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6721 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6722 = eq(_T_6721, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6723 = and(ic_valid_ff, _T_6722) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6724 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6725 = and(_T_6723, _T_6724) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6726 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6727 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6728 = and(_T_6726, _T_6727) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6729 = eq(perr_ic_index_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6730 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6731 = and(_T_6729, _T_6730) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6732 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6733 = and(_T_6731, _T_6732) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6734 = or(_T_6728, _T_6733) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6735 = bits(_T_6734, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][59] <= _T_6720 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6721 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6722 = eq(_T_6721, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6723 = and(ic_valid_ff, _T_6722) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6724 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6725 = and(_T_6723, _T_6724) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6726 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6727 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6728 = and(_T_6726, _T_6727) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6729 = eq(perr_ic_index_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6730 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6731 = and(_T_6729, _T_6730) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6732 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6733 = and(_T_6731, _T_6732) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6734 = or(_T_6728, _T_6733) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6735 = bits(_T_6734, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6736 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6735 : @[Reg.scala 28:19] _T_6736 <= _T_6725 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][60] <= _T_6736 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6737 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6738 = eq(_T_6737, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6739 = and(ic_valid_ff, _T_6738) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6740 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6741 = and(_T_6739, _T_6740) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6742 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6743 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6744 = and(_T_6742, _T_6743) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6745 = eq(perr_ic_index_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6746 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6747 = and(_T_6745, _T_6746) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6748 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6749 = and(_T_6747, _T_6748) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6750 = or(_T_6744, _T_6749) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6751 = bits(_T_6750, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][60] <= _T_6736 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6737 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6738 = eq(_T_6737, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6739 = and(ic_valid_ff, _T_6738) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6740 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6741 = and(_T_6739, _T_6740) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6742 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6743 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6744 = and(_T_6742, _T_6743) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6745 = eq(perr_ic_index_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6746 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6747 = and(_T_6745, _T_6746) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6748 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6749 = and(_T_6747, _T_6748) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6750 = or(_T_6744, _T_6749) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6751 = bits(_T_6750, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6752 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6751 : @[Reg.scala 28:19] _T_6752 <= _T_6741 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][61] <= _T_6752 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6753 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6754 = eq(_T_6753, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6755 = and(ic_valid_ff, _T_6754) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6756 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6757 = and(_T_6755, _T_6756) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6758 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6759 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6760 = and(_T_6758, _T_6759) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6761 = eq(perr_ic_index_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6762 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6763 = and(_T_6761, _T_6762) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6764 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6765 = and(_T_6763, _T_6764) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6766 = or(_T_6760, _T_6765) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6767 = bits(_T_6766, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][61] <= _T_6752 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6753 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6754 = eq(_T_6753, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6755 = and(ic_valid_ff, _T_6754) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6756 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6757 = and(_T_6755, _T_6756) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6758 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6759 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6760 = and(_T_6758, _T_6759) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6761 = eq(perr_ic_index_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6762 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6763 = and(_T_6761, _T_6762) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6764 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6765 = and(_T_6763, _T_6764) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6766 = or(_T_6760, _T_6765) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6767 = bits(_T_6766, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6768 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6767 : @[Reg.scala 28:19] _T_6768 <= _T_6757 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][62] <= _T_6768 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6769 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6770 = eq(_T_6769, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6771 = and(ic_valid_ff, _T_6770) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6772 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6773 = and(_T_6771, _T_6772) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6774 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6775 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6776 = and(_T_6774, _T_6775) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6777 = eq(perr_ic_index_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6778 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6779 = and(_T_6777, _T_6778) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6780 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6781 = and(_T_6779, _T_6780) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6782 = or(_T_6776, _T_6781) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6783 = bits(_T_6782, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][62] <= _T_6768 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6769 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6770 = eq(_T_6769, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6771 = and(ic_valid_ff, _T_6770) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6772 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6773 = and(_T_6771, _T_6772) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6774 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6775 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6776 = and(_T_6774, _T_6775) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6777 = eq(perr_ic_index_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6778 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6779 = and(_T_6777, _T_6778) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6780 = bits(tag_valid_clken_1, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6781 = and(_T_6779, _T_6780) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6782 = or(_T_6776, _T_6781) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6783 = bits(_T_6782, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6784 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6783 : @[Reg.scala 28:19] _T_6784 <= _T_6773 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][63] <= _T_6784 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6785 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6786 = eq(_T_6785, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6787 = and(ic_valid_ff, _T_6786) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6788 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6789 = and(_T_6787, _T_6788) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6790 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6791 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6792 = and(_T_6790, _T_6791) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6793 = eq(perr_ic_index_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6794 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6795 = and(_T_6793, _T_6794) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6796 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6797 = and(_T_6795, _T_6796) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6798 = or(_T_6792, _T_6797) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6799 = bits(_T_6798, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][63] <= _T_6784 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6785 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6786 = eq(_T_6785, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6787 = and(ic_valid_ff, _T_6786) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6788 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6789 = and(_T_6787, _T_6788) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6790 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6791 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6792 = and(_T_6790, _T_6791) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6793 = eq(perr_ic_index_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6794 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6795 = and(_T_6793, _T_6794) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6796 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6797 = and(_T_6795, _T_6796) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6798 = or(_T_6792, _T_6797) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6799 = bits(_T_6798, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6800 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6799 : @[Reg.scala 28:19] _T_6800 <= _T_6789 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][32] <= _T_6800 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6801 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6802 = eq(_T_6801, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6803 = and(ic_valid_ff, _T_6802) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6804 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6805 = and(_T_6803, _T_6804) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6806 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6807 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6808 = and(_T_6806, _T_6807) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6809 = eq(perr_ic_index_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6810 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6811 = and(_T_6809, _T_6810) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6812 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6813 = and(_T_6811, _T_6812) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6814 = or(_T_6808, _T_6813) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6815 = bits(_T_6814, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][32] <= _T_6800 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6801 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6802 = eq(_T_6801, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6803 = and(ic_valid_ff, _T_6802) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6804 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6805 = and(_T_6803, _T_6804) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6806 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6807 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6808 = and(_T_6806, _T_6807) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6809 = eq(perr_ic_index_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6810 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6811 = and(_T_6809, _T_6810) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6812 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6813 = and(_T_6811, _T_6812) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6814 = or(_T_6808, _T_6813) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6815 = bits(_T_6814, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6816 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6815 : @[Reg.scala 28:19] _T_6816 <= _T_6805 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][33] <= _T_6816 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6817 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6818 = eq(_T_6817, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6819 = and(ic_valid_ff, _T_6818) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6820 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6821 = and(_T_6819, _T_6820) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6822 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6823 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6824 = and(_T_6822, _T_6823) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6825 = eq(perr_ic_index_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6826 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6827 = and(_T_6825, _T_6826) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6828 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6829 = and(_T_6827, _T_6828) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6830 = or(_T_6824, _T_6829) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6831 = bits(_T_6830, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][33] <= _T_6816 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6817 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6818 = eq(_T_6817, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6819 = and(ic_valid_ff, _T_6818) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6820 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6821 = and(_T_6819, _T_6820) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6822 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6823 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6824 = and(_T_6822, _T_6823) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6825 = eq(perr_ic_index_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6826 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6827 = and(_T_6825, _T_6826) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6828 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6829 = and(_T_6827, _T_6828) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6830 = or(_T_6824, _T_6829) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6831 = bits(_T_6830, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6832 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6831 : @[Reg.scala 28:19] _T_6832 <= _T_6821 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][34] <= _T_6832 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6833 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6834 = eq(_T_6833, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6835 = and(ic_valid_ff, _T_6834) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6836 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6837 = and(_T_6835, _T_6836) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6838 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6839 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6840 = and(_T_6838, _T_6839) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6841 = eq(perr_ic_index_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6842 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6843 = and(_T_6841, _T_6842) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6844 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6845 = and(_T_6843, _T_6844) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6846 = or(_T_6840, _T_6845) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6847 = bits(_T_6846, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][34] <= _T_6832 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6833 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6834 = eq(_T_6833, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6835 = and(ic_valid_ff, _T_6834) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6836 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6837 = and(_T_6835, _T_6836) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6838 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6839 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6840 = and(_T_6838, _T_6839) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6841 = eq(perr_ic_index_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6842 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6843 = and(_T_6841, _T_6842) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6844 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6845 = and(_T_6843, _T_6844) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6846 = or(_T_6840, _T_6845) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6847 = bits(_T_6846, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6848 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6847 : @[Reg.scala 28:19] _T_6848 <= _T_6837 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][35] <= _T_6848 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6849 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6850 = eq(_T_6849, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6851 = and(ic_valid_ff, _T_6850) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6852 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6853 = and(_T_6851, _T_6852) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6854 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6855 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6856 = and(_T_6854, _T_6855) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6857 = eq(perr_ic_index_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6858 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6859 = and(_T_6857, _T_6858) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6860 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6861 = and(_T_6859, _T_6860) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6862 = or(_T_6856, _T_6861) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6863 = bits(_T_6862, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][35] <= _T_6848 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6849 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6850 = eq(_T_6849, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6851 = and(ic_valid_ff, _T_6850) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6852 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6853 = and(_T_6851, _T_6852) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6854 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6855 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6856 = and(_T_6854, _T_6855) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6857 = eq(perr_ic_index_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6858 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6859 = and(_T_6857, _T_6858) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6860 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6861 = and(_T_6859, _T_6860) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6862 = or(_T_6856, _T_6861) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6863 = bits(_T_6862, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6864 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6863 : @[Reg.scala 28:19] _T_6864 <= _T_6853 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][36] <= _T_6864 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6865 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6866 = eq(_T_6865, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6867 = and(ic_valid_ff, _T_6866) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6868 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6869 = and(_T_6867, _T_6868) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6870 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6871 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6872 = and(_T_6870, _T_6871) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6873 = eq(perr_ic_index_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6874 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6875 = and(_T_6873, _T_6874) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6876 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6877 = and(_T_6875, _T_6876) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6878 = or(_T_6872, _T_6877) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6879 = bits(_T_6878, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][36] <= _T_6864 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6865 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6866 = eq(_T_6865, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6867 = and(ic_valid_ff, _T_6866) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6868 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6869 = and(_T_6867, _T_6868) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6870 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6871 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6872 = and(_T_6870, _T_6871) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6873 = eq(perr_ic_index_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6874 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6875 = and(_T_6873, _T_6874) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6876 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6877 = and(_T_6875, _T_6876) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6878 = or(_T_6872, _T_6877) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6879 = bits(_T_6878, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6880 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6879 : @[Reg.scala 28:19] _T_6880 <= _T_6869 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][37] <= _T_6880 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6881 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6882 = eq(_T_6881, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6883 = and(ic_valid_ff, _T_6882) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6884 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6885 = and(_T_6883, _T_6884) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6886 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6887 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6888 = and(_T_6886, _T_6887) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6889 = eq(perr_ic_index_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6890 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6891 = and(_T_6889, _T_6890) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6892 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6893 = and(_T_6891, _T_6892) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6894 = or(_T_6888, _T_6893) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6895 = bits(_T_6894, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][37] <= _T_6880 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6881 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6882 = eq(_T_6881, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6883 = and(ic_valid_ff, _T_6882) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6884 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6885 = and(_T_6883, _T_6884) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6886 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6887 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6888 = and(_T_6886, _T_6887) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6889 = eq(perr_ic_index_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6890 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6891 = and(_T_6889, _T_6890) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6892 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6893 = and(_T_6891, _T_6892) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6894 = or(_T_6888, _T_6893) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6895 = bits(_T_6894, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6896 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6895 : @[Reg.scala 28:19] _T_6896 <= _T_6885 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][38] <= _T_6896 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6897 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6898 = eq(_T_6897, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6899 = and(ic_valid_ff, _T_6898) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6900 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6901 = and(_T_6899, _T_6900) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6902 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6903 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6904 = and(_T_6902, _T_6903) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6905 = eq(perr_ic_index_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6906 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6907 = and(_T_6905, _T_6906) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6908 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6909 = and(_T_6907, _T_6908) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6910 = or(_T_6904, _T_6909) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6911 = bits(_T_6910, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][38] <= _T_6896 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6897 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6898 = eq(_T_6897, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6899 = and(ic_valid_ff, _T_6898) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6900 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6901 = and(_T_6899, _T_6900) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6902 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6903 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6904 = and(_T_6902, _T_6903) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6905 = eq(perr_ic_index_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6906 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6907 = and(_T_6905, _T_6906) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6908 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6909 = and(_T_6907, _T_6908) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6910 = or(_T_6904, _T_6909) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6911 = bits(_T_6910, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6912 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6911 : @[Reg.scala 28:19] _T_6912 <= _T_6901 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][39] <= _T_6912 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6913 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6914 = eq(_T_6913, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6915 = and(ic_valid_ff, _T_6914) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6916 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6917 = and(_T_6915, _T_6916) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6918 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6919 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6920 = and(_T_6918, _T_6919) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6921 = eq(perr_ic_index_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6922 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6923 = and(_T_6921, _T_6922) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6924 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6925 = and(_T_6923, _T_6924) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6926 = or(_T_6920, _T_6925) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6927 = bits(_T_6926, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][39] <= _T_6912 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6913 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6914 = eq(_T_6913, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6915 = and(ic_valid_ff, _T_6914) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6916 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6917 = and(_T_6915, _T_6916) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6918 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6919 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6920 = and(_T_6918, _T_6919) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6921 = eq(perr_ic_index_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6922 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6923 = and(_T_6921, _T_6922) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6924 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6925 = and(_T_6923, _T_6924) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6926 = or(_T_6920, _T_6925) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6927 = bits(_T_6926, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6928 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6927 : @[Reg.scala 28:19] _T_6928 <= _T_6917 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][40] <= _T_6928 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6929 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6930 = eq(_T_6929, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6931 = and(ic_valid_ff, _T_6930) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6932 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6933 = and(_T_6931, _T_6932) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6934 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6935 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6936 = and(_T_6934, _T_6935) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6937 = eq(perr_ic_index_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6938 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6939 = and(_T_6937, _T_6938) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6940 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6941 = and(_T_6939, _T_6940) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6942 = or(_T_6936, _T_6941) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6943 = bits(_T_6942, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][40] <= _T_6928 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6929 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6930 = eq(_T_6929, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6931 = and(ic_valid_ff, _T_6930) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6932 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6933 = and(_T_6931, _T_6932) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6934 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6935 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6936 = and(_T_6934, _T_6935) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6937 = eq(perr_ic_index_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6938 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6939 = and(_T_6937, _T_6938) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6940 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6941 = and(_T_6939, _T_6940) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6942 = or(_T_6936, _T_6941) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6943 = bits(_T_6942, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6944 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6943 : @[Reg.scala 28:19] _T_6944 <= _T_6933 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][41] <= _T_6944 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6945 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6946 = eq(_T_6945, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6947 = and(ic_valid_ff, _T_6946) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6948 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6949 = and(_T_6947, _T_6948) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6950 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6951 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6952 = and(_T_6950, _T_6951) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6953 = eq(perr_ic_index_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6954 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6955 = and(_T_6953, _T_6954) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6956 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6957 = and(_T_6955, _T_6956) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6958 = or(_T_6952, _T_6957) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6959 = bits(_T_6958, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][41] <= _T_6944 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6945 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6946 = eq(_T_6945, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6947 = and(ic_valid_ff, _T_6946) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6948 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6949 = and(_T_6947, _T_6948) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6950 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6951 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6952 = and(_T_6950, _T_6951) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6953 = eq(perr_ic_index_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6954 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6955 = and(_T_6953, _T_6954) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6956 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6957 = and(_T_6955, _T_6956) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6958 = or(_T_6952, _T_6957) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6959 = bits(_T_6958, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6960 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6959 : @[Reg.scala 28:19] _T_6960 <= _T_6949 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][42] <= _T_6960 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6961 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6962 = eq(_T_6961, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6963 = and(ic_valid_ff, _T_6962) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6964 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6965 = and(_T_6963, _T_6964) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6966 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6967 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6968 = and(_T_6966, _T_6967) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6969 = eq(perr_ic_index_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6970 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6971 = and(_T_6969, _T_6970) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6972 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6973 = and(_T_6971, _T_6972) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6974 = or(_T_6968, _T_6973) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6975 = bits(_T_6974, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][42] <= _T_6960 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6961 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6962 = eq(_T_6961, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6963 = and(ic_valid_ff, _T_6962) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6964 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6965 = and(_T_6963, _T_6964) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6966 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6967 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6968 = and(_T_6966, _T_6967) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6969 = eq(perr_ic_index_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6970 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6971 = and(_T_6969, _T_6970) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6972 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6973 = and(_T_6971, _T_6972) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6974 = or(_T_6968, _T_6973) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6975 = bits(_T_6974, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6976 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6975 : @[Reg.scala 28:19] _T_6976 <= _T_6965 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][43] <= _T_6976 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6977 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6978 = eq(_T_6977, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6979 = and(ic_valid_ff, _T_6978) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6980 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6981 = and(_T_6979, _T_6980) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6982 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6983 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_6984 = and(_T_6982, _T_6983) @[el2_ifu_mem_ctl.scala 748:58] - node _T_6985 = eq(perr_ic_index_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_6986 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_6987 = and(_T_6985, _T_6986) @[el2_ifu_mem_ctl.scala 748:123] - node _T_6988 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_6989 = and(_T_6987, _T_6988) @[el2_ifu_mem_ctl.scala 748:144] - node _T_6990 = or(_T_6984, _T_6989) @[el2_ifu_mem_ctl.scala 748:80] - node _T_6991 = bits(_T_6990, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][43] <= _T_6976 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6977 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6978 = eq(_T_6977, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6979 = and(ic_valid_ff, _T_6978) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6980 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6981 = and(_T_6979, _T_6980) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6982 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6983 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_6984 = and(_T_6982, _T_6983) @[el2_ifu_mem_ctl.scala 749:58] + node _T_6985 = eq(perr_ic_index_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_6986 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_6987 = and(_T_6985, _T_6986) @[el2_ifu_mem_ctl.scala 749:123] + node _T_6988 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_6989 = and(_T_6987, _T_6988) @[el2_ifu_mem_ctl.scala 749:144] + node _T_6990 = or(_T_6984, _T_6989) @[el2_ifu_mem_ctl.scala 749:80] + node _T_6991 = bits(_T_6990, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_6992 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_6991 : @[Reg.scala 28:19] _T_6992 <= _T_6981 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][44] <= _T_6992 @[el2_ifu_mem_ctl.scala 747:39] - node _T_6993 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_6994 = eq(_T_6993, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_6995 = and(ic_valid_ff, _T_6994) @[el2_ifu_mem_ctl.scala 747:64] - node _T_6996 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_6997 = and(_T_6995, _T_6996) @[el2_ifu_mem_ctl.scala 747:89] - node _T_6998 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_6999 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7000 = and(_T_6998, _T_6999) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7001 = eq(perr_ic_index_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7002 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7003 = and(_T_7001, _T_7002) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7004 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7005 = and(_T_7003, _T_7004) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7006 = or(_T_7000, _T_7005) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7007 = bits(_T_7006, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][44] <= _T_6992 @[el2_ifu_mem_ctl.scala 748:39] + node _T_6993 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_6994 = eq(_T_6993, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_6995 = and(ic_valid_ff, _T_6994) @[el2_ifu_mem_ctl.scala 748:64] + node _T_6996 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_6997 = and(_T_6995, _T_6996) @[el2_ifu_mem_ctl.scala 748:89] + node _T_6998 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_6999 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7000 = and(_T_6998, _T_6999) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7001 = eq(perr_ic_index_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7002 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7003 = and(_T_7001, _T_7002) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7004 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7005 = and(_T_7003, _T_7004) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7006 = or(_T_7000, _T_7005) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7007 = bits(_T_7006, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7008 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7007 : @[Reg.scala 28:19] _T_7008 <= _T_6997 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][45] <= _T_7008 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7009 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7010 = eq(_T_7009, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7011 = and(ic_valid_ff, _T_7010) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7012 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7013 = and(_T_7011, _T_7012) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7014 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7015 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7016 = and(_T_7014, _T_7015) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7017 = eq(perr_ic_index_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7018 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7019 = and(_T_7017, _T_7018) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7020 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7021 = and(_T_7019, _T_7020) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7022 = or(_T_7016, _T_7021) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7023 = bits(_T_7022, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][45] <= _T_7008 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7009 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7010 = eq(_T_7009, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7011 = and(ic_valid_ff, _T_7010) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7012 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7013 = and(_T_7011, _T_7012) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7014 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7015 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7016 = and(_T_7014, _T_7015) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7017 = eq(perr_ic_index_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7018 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7019 = and(_T_7017, _T_7018) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7020 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7021 = and(_T_7019, _T_7020) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7022 = or(_T_7016, _T_7021) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7023 = bits(_T_7022, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7024 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7023 : @[Reg.scala 28:19] _T_7024 <= _T_7013 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][46] <= _T_7024 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7025 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7026 = eq(_T_7025, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7027 = and(ic_valid_ff, _T_7026) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7028 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7029 = and(_T_7027, _T_7028) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7030 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7031 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7032 = and(_T_7030, _T_7031) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7033 = eq(perr_ic_index_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7034 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7035 = and(_T_7033, _T_7034) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7036 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7037 = and(_T_7035, _T_7036) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7038 = or(_T_7032, _T_7037) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7039 = bits(_T_7038, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][46] <= _T_7024 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7025 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7026 = eq(_T_7025, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7027 = and(ic_valid_ff, _T_7026) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7028 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7029 = and(_T_7027, _T_7028) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7030 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7031 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7032 = and(_T_7030, _T_7031) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7033 = eq(perr_ic_index_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7034 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7035 = and(_T_7033, _T_7034) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7036 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7037 = and(_T_7035, _T_7036) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7038 = or(_T_7032, _T_7037) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7039 = bits(_T_7038, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7040 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7039 : @[Reg.scala 28:19] _T_7040 <= _T_7029 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][47] <= _T_7040 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7041 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7042 = eq(_T_7041, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7043 = and(ic_valid_ff, _T_7042) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7044 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7045 = and(_T_7043, _T_7044) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7046 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7047 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7048 = and(_T_7046, _T_7047) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7049 = eq(perr_ic_index_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7050 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7051 = and(_T_7049, _T_7050) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7052 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7053 = and(_T_7051, _T_7052) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7054 = or(_T_7048, _T_7053) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7055 = bits(_T_7054, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][47] <= _T_7040 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7041 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7042 = eq(_T_7041, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7043 = and(ic_valid_ff, _T_7042) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7044 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7045 = and(_T_7043, _T_7044) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7046 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7047 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7048 = and(_T_7046, _T_7047) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7049 = eq(perr_ic_index_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7050 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7051 = and(_T_7049, _T_7050) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7052 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7053 = and(_T_7051, _T_7052) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7054 = or(_T_7048, _T_7053) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7055 = bits(_T_7054, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7056 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7055 : @[Reg.scala 28:19] _T_7056 <= _T_7045 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][48] <= _T_7056 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7057 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7058 = eq(_T_7057, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7059 = and(ic_valid_ff, _T_7058) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7060 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7061 = and(_T_7059, _T_7060) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7062 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7063 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7064 = and(_T_7062, _T_7063) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7065 = eq(perr_ic_index_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7066 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7067 = and(_T_7065, _T_7066) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7068 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7069 = and(_T_7067, _T_7068) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7070 = or(_T_7064, _T_7069) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7071 = bits(_T_7070, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][48] <= _T_7056 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7057 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7058 = eq(_T_7057, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7059 = and(ic_valid_ff, _T_7058) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7060 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7061 = and(_T_7059, _T_7060) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7062 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7063 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7064 = and(_T_7062, _T_7063) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7065 = eq(perr_ic_index_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7066 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7067 = and(_T_7065, _T_7066) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7068 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7069 = and(_T_7067, _T_7068) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7070 = or(_T_7064, _T_7069) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7071 = bits(_T_7070, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7072 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7071 : @[Reg.scala 28:19] _T_7072 <= _T_7061 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][49] <= _T_7072 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7073 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7074 = eq(_T_7073, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7075 = and(ic_valid_ff, _T_7074) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7076 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7077 = and(_T_7075, _T_7076) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7078 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7079 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7080 = and(_T_7078, _T_7079) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7081 = eq(perr_ic_index_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7082 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7083 = and(_T_7081, _T_7082) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7084 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7085 = and(_T_7083, _T_7084) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7086 = or(_T_7080, _T_7085) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7087 = bits(_T_7086, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][49] <= _T_7072 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7073 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7074 = eq(_T_7073, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7075 = and(ic_valid_ff, _T_7074) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7076 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7077 = and(_T_7075, _T_7076) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7078 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7079 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7080 = and(_T_7078, _T_7079) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7081 = eq(perr_ic_index_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7082 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7083 = and(_T_7081, _T_7082) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7084 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7085 = and(_T_7083, _T_7084) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7086 = or(_T_7080, _T_7085) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7087 = bits(_T_7086, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7088 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7087 : @[Reg.scala 28:19] _T_7088 <= _T_7077 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][50] <= _T_7088 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7089 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7090 = eq(_T_7089, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7091 = and(ic_valid_ff, _T_7090) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7092 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7093 = and(_T_7091, _T_7092) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7094 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7095 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7096 = and(_T_7094, _T_7095) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7097 = eq(perr_ic_index_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7098 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7099 = and(_T_7097, _T_7098) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7100 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7101 = and(_T_7099, _T_7100) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7102 = or(_T_7096, _T_7101) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7103 = bits(_T_7102, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][50] <= _T_7088 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7089 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7090 = eq(_T_7089, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7091 = and(ic_valid_ff, _T_7090) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7092 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7093 = and(_T_7091, _T_7092) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7094 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7095 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7096 = and(_T_7094, _T_7095) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7097 = eq(perr_ic_index_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7098 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7099 = and(_T_7097, _T_7098) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7100 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7101 = and(_T_7099, _T_7100) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7102 = or(_T_7096, _T_7101) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7103 = bits(_T_7102, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7104 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7103 : @[Reg.scala 28:19] _T_7104 <= _T_7093 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][51] <= _T_7104 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7105 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7106 = eq(_T_7105, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7107 = and(ic_valid_ff, _T_7106) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7108 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7109 = and(_T_7107, _T_7108) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7110 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7111 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7112 = and(_T_7110, _T_7111) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7113 = eq(perr_ic_index_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7114 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7115 = and(_T_7113, _T_7114) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7116 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7117 = and(_T_7115, _T_7116) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7118 = or(_T_7112, _T_7117) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7119 = bits(_T_7118, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][51] <= _T_7104 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7105 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7106 = eq(_T_7105, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7107 = and(ic_valid_ff, _T_7106) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7108 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7109 = and(_T_7107, _T_7108) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7110 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7111 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7112 = and(_T_7110, _T_7111) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7113 = eq(perr_ic_index_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7114 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7115 = and(_T_7113, _T_7114) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7116 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7117 = and(_T_7115, _T_7116) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7118 = or(_T_7112, _T_7117) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7119 = bits(_T_7118, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7120 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7119 : @[Reg.scala 28:19] _T_7120 <= _T_7109 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][52] <= _T_7120 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7121 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7122 = eq(_T_7121, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7123 = and(ic_valid_ff, _T_7122) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7124 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7125 = and(_T_7123, _T_7124) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7126 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7127 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7128 = and(_T_7126, _T_7127) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7129 = eq(perr_ic_index_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7130 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7131 = and(_T_7129, _T_7130) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7132 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7133 = and(_T_7131, _T_7132) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7134 = or(_T_7128, _T_7133) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7135 = bits(_T_7134, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][52] <= _T_7120 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7121 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7122 = eq(_T_7121, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7123 = and(ic_valid_ff, _T_7122) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7124 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7125 = and(_T_7123, _T_7124) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7126 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7127 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7128 = and(_T_7126, _T_7127) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7129 = eq(perr_ic_index_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7130 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7131 = and(_T_7129, _T_7130) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7132 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7133 = and(_T_7131, _T_7132) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7134 = or(_T_7128, _T_7133) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7135 = bits(_T_7134, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7136 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7135 : @[Reg.scala 28:19] _T_7136 <= _T_7125 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][53] <= _T_7136 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7137 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7138 = eq(_T_7137, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7139 = and(ic_valid_ff, _T_7138) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7140 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7141 = and(_T_7139, _T_7140) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7142 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7143 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7144 = and(_T_7142, _T_7143) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7145 = eq(perr_ic_index_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7146 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7147 = and(_T_7145, _T_7146) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7148 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7149 = and(_T_7147, _T_7148) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7150 = or(_T_7144, _T_7149) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7151 = bits(_T_7150, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][53] <= _T_7136 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7137 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7138 = eq(_T_7137, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7139 = and(ic_valid_ff, _T_7138) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7140 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7141 = and(_T_7139, _T_7140) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7142 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7143 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7144 = and(_T_7142, _T_7143) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7145 = eq(perr_ic_index_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7146 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7147 = and(_T_7145, _T_7146) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7148 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7149 = and(_T_7147, _T_7148) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7150 = or(_T_7144, _T_7149) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7151 = bits(_T_7150, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7152 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7151 : @[Reg.scala 28:19] _T_7152 <= _T_7141 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][54] <= _T_7152 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7153 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7154 = eq(_T_7153, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7155 = and(ic_valid_ff, _T_7154) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7156 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7157 = and(_T_7155, _T_7156) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7158 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7159 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7160 = and(_T_7158, _T_7159) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7161 = eq(perr_ic_index_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7162 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7163 = and(_T_7161, _T_7162) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7164 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7165 = and(_T_7163, _T_7164) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7166 = or(_T_7160, _T_7165) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7167 = bits(_T_7166, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][54] <= _T_7152 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7153 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7154 = eq(_T_7153, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7155 = and(ic_valid_ff, _T_7154) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7156 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7157 = and(_T_7155, _T_7156) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7158 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7159 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7160 = and(_T_7158, _T_7159) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7161 = eq(perr_ic_index_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7162 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7163 = and(_T_7161, _T_7162) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7164 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7165 = and(_T_7163, _T_7164) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7166 = or(_T_7160, _T_7165) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7167 = bits(_T_7166, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7168 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7167 : @[Reg.scala 28:19] _T_7168 <= _T_7157 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][55] <= _T_7168 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7169 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7170 = eq(_T_7169, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7171 = and(ic_valid_ff, _T_7170) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7172 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7173 = and(_T_7171, _T_7172) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7174 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7175 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7176 = and(_T_7174, _T_7175) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7177 = eq(perr_ic_index_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7178 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7179 = and(_T_7177, _T_7178) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7180 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7181 = and(_T_7179, _T_7180) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7182 = or(_T_7176, _T_7181) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7183 = bits(_T_7182, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][55] <= _T_7168 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7169 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7170 = eq(_T_7169, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7171 = and(ic_valid_ff, _T_7170) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7172 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7173 = and(_T_7171, _T_7172) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7174 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7175 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7176 = and(_T_7174, _T_7175) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7177 = eq(perr_ic_index_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7178 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7179 = and(_T_7177, _T_7178) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7180 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7181 = and(_T_7179, _T_7180) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7182 = or(_T_7176, _T_7181) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7183 = bits(_T_7182, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7184 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7183 : @[Reg.scala 28:19] _T_7184 <= _T_7173 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][56] <= _T_7184 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7185 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7186 = eq(_T_7185, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7187 = and(ic_valid_ff, _T_7186) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7188 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7189 = and(_T_7187, _T_7188) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7190 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7191 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7192 = and(_T_7190, _T_7191) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7193 = eq(perr_ic_index_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7194 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7195 = and(_T_7193, _T_7194) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7196 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7197 = and(_T_7195, _T_7196) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7198 = or(_T_7192, _T_7197) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7199 = bits(_T_7198, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][56] <= _T_7184 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7185 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7186 = eq(_T_7185, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7187 = and(ic_valid_ff, _T_7186) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7188 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7189 = and(_T_7187, _T_7188) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7190 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7191 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7192 = and(_T_7190, _T_7191) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7193 = eq(perr_ic_index_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7194 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7195 = and(_T_7193, _T_7194) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7196 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7197 = and(_T_7195, _T_7196) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7198 = or(_T_7192, _T_7197) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7199 = bits(_T_7198, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7200 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7199 : @[Reg.scala 28:19] _T_7200 <= _T_7189 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][57] <= _T_7200 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7201 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7202 = eq(_T_7201, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7203 = and(ic_valid_ff, _T_7202) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7204 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7205 = and(_T_7203, _T_7204) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7206 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7207 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7208 = and(_T_7206, _T_7207) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7209 = eq(perr_ic_index_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7210 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7211 = and(_T_7209, _T_7210) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7212 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7213 = and(_T_7211, _T_7212) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7214 = or(_T_7208, _T_7213) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7215 = bits(_T_7214, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][57] <= _T_7200 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7201 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7202 = eq(_T_7201, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7203 = and(ic_valid_ff, _T_7202) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7204 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7205 = and(_T_7203, _T_7204) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7206 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7207 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7208 = and(_T_7206, _T_7207) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7209 = eq(perr_ic_index_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7210 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7211 = and(_T_7209, _T_7210) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7212 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7213 = and(_T_7211, _T_7212) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7214 = or(_T_7208, _T_7213) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7215 = bits(_T_7214, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7216 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7215 : @[Reg.scala 28:19] _T_7216 <= _T_7205 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][58] <= _T_7216 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7217 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7218 = eq(_T_7217, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7219 = and(ic_valid_ff, _T_7218) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7220 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7221 = and(_T_7219, _T_7220) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7222 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7223 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7224 = and(_T_7222, _T_7223) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7225 = eq(perr_ic_index_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7226 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7227 = and(_T_7225, _T_7226) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7228 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7229 = and(_T_7227, _T_7228) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7230 = or(_T_7224, _T_7229) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7231 = bits(_T_7230, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][58] <= _T_7216 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7217 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7218 = eq(_T_7217, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7219 = and(ic_valid_ff, _T_7218) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7220 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7221 = and(_T_7219, _T_7220) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7222 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7223 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7224 = and(_T_7222, _T_7223) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7225 = eq(perr_ic_index_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7226 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7227 = and(_T_7225, _T_7226) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7228 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7229 = and(_T_7227, _T_7228) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7230 = or(_T_7224, _T_7229) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7231 = bits(_T_7230, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7232 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7231 : @[Reg.scala 28:19] _T_7232 <= _T_7221 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][59] <= _T_7232 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7233 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7234 = eq(_T_7233, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7235 = and(ic_valid_ff, _T_7234) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7236 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7237 = and(_T_7235, _T_7236) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7238 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7239 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7240 = and(_T_7238, _T_7239) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7241 = eq(perr_ic_index_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7242 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7243 = and(_T_7241, _T_7242) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7244 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7245 = and(_T_7243, _T_7244) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7246 = or(_T_7240, _T_7245) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7247 = bits(_T_7246, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][59] <= _T_7232 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7233 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7234 = eq(_T_7233, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7235 = and(ic_valid_ff, _T_7234) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7236 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7237 = and(_T_7235, _T_7236) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7238 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7239 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7240 = and(_T_7238, _T_7239) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7241 = eq(perr_ic_index_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7242 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7243 = and(_T_7241, _T_7242) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7244 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7245 = and(_T_7243, _T_7244) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7246 = or(_T_7240, _T_7245) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7247 = bits(_T_7246, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7248 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7247 : @[Reg.scala 28:19] _T_7248 <= _T_7237 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][60] <= _T_7248 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7249 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7250 = eq(_T_7249, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7251 = and(ic_valid_ff, _T_7250) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7252 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7253 = and(_T_7251, _T_7252) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7254 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7255 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7256 = and(_T_7254, _T_7255) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7257 = eq(perr_ic_index_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7258 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7259 = and(_T_7257, _T_7258) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7260 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7261 = and(_T_7259, _T_7260) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7262 = or(_T_7256, _T_7261) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7263 = bits(_T_7262, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][60] <= _T_7248 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7249 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7250 = eq(_T_7249, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7251 = and(ic_valid_ff, _T_7250) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7252 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7253 = and(_T_7251, _T_7252) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7254 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7255 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7256 = and(_T_7254, _T_7255) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7257 = eq(perr_ic_index_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7258 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7259 = and(_T_7257, _T_7258) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7260 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7261 = and(_T_7259, _T_7260) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7262 = or(_T_7256, _T_7261) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7263 = bits(_T_7262, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7264 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7263 : @[Reg.scala 28:19] _T_7264 <= _T_7253 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][61] <= _T_7264 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7265 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7266 = eq(_T_7265, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7267 = and(ic_valid_ff, _T_7266) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7268 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7269 = and(_T_7267, _T_7268) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7270 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7271 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7272 = and(_T_7270, _T_7271) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7273 = eq(perr_ic_index_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7274 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7275 = and(_T_7273, _T_7274) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7276 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7277 = and(_T_7275, _T_7276) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7278 = or(_T_7272, _T_7277) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7279 = bits(_T_7278, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][61] <= _T_7264 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7265 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7266 = eq(_T_7265, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7267 = and(ic_valid_ff, _T_7266) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7268 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7269 = and(_T_7267, _T_7268) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7270 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7271 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7272 = and(_T_7270, _T_7271) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7273 = eq(perr_ic_index_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7274 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7275 = and(_T_7273, _T_7274) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7276 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7277 = and(_T_7275, _T_7276) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7278 = or(_T_7272, _T_7277) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7279 = bits(_T_7278, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7280 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7279 : @[Reg.scala 28:19] _T_7280 <= _T_7269 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][62] <= _T_7280 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7281 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7282 = eq(_T_7281, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7283 = and(ic_valid_ff, _T_7282) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7284 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7285 = and(_T_7283, _T_7284) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7286 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7287 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7288 = and(_T_7286, _T_7287) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7289 = eq(perr_ic_index_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7290 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7291 = and(_T_7289, _T_7290) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7292 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7293 = and(_T_7291, _T_7292) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7294 = or(_T_7288, _T_7293) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7295 = bits(_T_7294, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][62] <= _T_7280 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7281 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7282 = eq(_T_7281, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7283 = and(ic_valid_ff, _T_7282) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7284 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7285 = and(_T_7283, _T_7284) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7286 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7287 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7288 = and(_T_7286, _T_7287) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7289 = eq(perr_ic_index_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7290 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7291 = and(_T_7289, _T_7290) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7292 = bits(tag_valid_clken_1, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7293 = and(_T_7291, _T_7292) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7294 = or(_T_7288, _T_7293) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7295 = bits(_T_7294, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7296 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7295 : @[Reg.scala 28:19] _T_7296 <= _T_7285 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][63] <= _T_7296 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7297 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7298 = eq(_T_7297, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7299 = and(ic_valid_ff, _T_7298) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7300 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7301 = and(_T_7299, _T_7300) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7302 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7303 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7304 = and(_T_7302, _T_7303) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7305 = eq(perr_ic_index_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7306 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7307 = and(_T_7305, _T_7306) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7308 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7309 = and(_T_7307, _T_7308) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7310 = or(_T_7304, _T_7309) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7311 = bits(_T_7310, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][63] <= _T_7296 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7297 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7298 = eq(_T_7297, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7299 = and(ic_valid_ff, _T_7298) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7300 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7301 = and(_T_7299, _T_7300) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7302 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7303 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7304 = and(_T_7302, _T_7303) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7305 = eq(perr_ic_index_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7306 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7307 = and(_T_7305, _T_7306) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7308 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7309 = and(_T_7307, _T_7308) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7310 = or(_T_7304, _T_7309) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7311 = bits(_T_7310, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7312 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7311 : @[Reg.scala 28:19] _T_7312 <= _T_7301 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][64] <= _T_7312 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7313 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7314 = eq(_T_7313, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7315 = and(ic_valid_ff, _T_7314) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7316 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7317 = and(_T_7315, _T_7316) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7318 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7319 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7320 = and(_T_7318, _T_7319) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7321 = eq(perr_ic_index_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7322 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7323 = and(_T_7321, _T_7322) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7324 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7325 = and(_T_7323, _T_7324) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7326 = or(_T_7320, _T_7325) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7327 = bits(_T_7326, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][64] <= _T_7312 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7313 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7314 = eq(_T_7313, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7315 = and(ic_valid_ff, _T_7314) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7316 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7317 = and(_T_7315, _T_7316) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7318 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7319 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7320 = and(_T_7318, _T_7319) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7321 = eq(perr_ic_index_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7322 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7323 = and(_T_7321, _T_7322) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7324 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7325 = and(_T_7323, _T_7324) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7326 = or(_T_7320, _T_7325) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7327 = bits(_T_7326, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7328 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7327 : @[Reg.scala 28:19] _T_7328 <= _T_7317 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][65] <= _T_7328 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7329 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7330 = eq(_T_7329, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7331 = and(ic_valid_ff, _T_7330) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7332 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7333 = and(_T_7331, _T_7332) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7334 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7335 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7336 = and(_T_7334, _T_7335) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7337 = eq(perr_ic_index_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7338 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7339 = and(_T_7337, _T_7338) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7340 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7341 = and(_T_7339, _T_7340) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7342 = or(_T_7336, _T_7341) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7343 = bits(_T_7342, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][65] <= _T_7328 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7329 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7330 = eq(_T_7329, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7331 = and(ic_valid_ff, _T_7330) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7332 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7333 = and(_T_7331, _T_7332) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7334 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7335 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7336 = and(_T_7334, _T_7335) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7337 = eq(perr_ic_index_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7338 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7339 = and(_T_7337, _T_7338) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7340 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7341 = and(_T_7339, _T_7340) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7342 = or(_T_7336, _T_7341) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7343 = bits(_T_7342, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7344 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7343 : @[Reg.scala 28:19] _T_7344 <= _T_7333 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][66] <= _T_7344 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7345 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7346 = eq(_T_7345, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7347 = and(ic_valid_ff, _T_7346) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7348 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7349 = and(_T_7347, _T_7348) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7350 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7351 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7352 = and(_T_7350, _T_7351) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7353 = eq(perr_ic_index_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7354 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7355 = and(_T_7353, _T_7354) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7356 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7357 = and(_T_7355, _T_7356) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7358 = or(_T_7352, _T_7357) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7359 = bits(_T_7358, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][66] <= _T_7344 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7345 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7346 = eq(_T_7345, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7347 = and(ic_valid_ff, _T_7346) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7348 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7349 = and(_T_7347, _T_7348) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7350 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7351 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7352 = and(_T_7350, _T_7351) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7353 = eq(perr_ic_index_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7354 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7355 = and(_T_7353, _T_7354) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7356 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7357 = and(_T_7355, _T_7356) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7358 = or(_T_7352, _T_7357) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7359 = bits(_T_7358, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7360 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7359 : @[Reg.scala 28:19] _T_7360 <= _T_7349 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][67] <= _T_7360 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7361 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7362 = eq(_T_7361, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7363 = and(ic_valid_ff, _T_7362) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7364 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7365 = and(_T_7363, _T_7364) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7366 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7367 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7368 = and(_T_7366, _T_7367) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7369 = eq(perr_ic_index_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7370 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7371 = and(_T_7369, _T_7370) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7372 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7373 = and(_T_7371, _T_7372) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7374 = or(_T_7368, _T_7373) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7375 = bits(_T_7374, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][67] <= _T_7360 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7361 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7362 = eq(_T_7361, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7363 = and(ic_valid_ff, _T_7362) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7364 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7365 = and(_T_7363, _T_7364) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7366 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7367 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7368 = and(_T_7366, _T_7367) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7369 = eq(perr_ic_index_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7370 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7371 = and(_T_7369, _T_7370) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7372 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7373 = and(_T_7371, _T_7372) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7374 = or(_T_7368, _T_7373) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7375 = bits(_T_7374, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7376 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7375 : @[Reg.scala 28:19] _T_7376 <= _T_7365 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][68] <= _T_7376 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7377 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7378 = eq(_T_7377, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7379 = and(ic_valid_ff, _T_7378) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7380 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7381 = and(_T_7379, _T_7380) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7382 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7383 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7384 = and(_T_7382, _T_7383) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7385 = eq(perr_ic_index_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7386 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7387 = and(_T_7385, _T_7386) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7388 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7389 = and(_T_7387, _T_7388) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7390 = or(_T_7384, _T_7389) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7391 = bits(_T_7390, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][68] <= _T_7376 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7377 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7378 = eq(_T_7377, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7379 = and(ic_valid_ff, _T_7378) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7380 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7381 = and(_T_7379, _T_7380) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7382 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7383 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7384 = and(_T_7382, _T_7383) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7385 = eq(perr_ic_index_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7386 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7387 = and(_T_7385, _T_7386) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7388 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7389 = and(_T_7387, _T_7388) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7390 = or(_T_7384, _T_7389) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7391 = bits(_T_7390, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7392 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7391 : @[Reg.scala 28:19] _T_7392 <= _T_7381 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][69] <= _T_7392 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7393 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7394 = eq(_T_7393, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7395 = and(ic_valid_ff, _T_7394) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7396 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7397 = and(_T_7395, _T_7396) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7398 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7399 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7400 = and(_T_7398, _T_7399) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7401 = eq(perr_ic_index_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7402 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7403 = and(_T_7401, _T_7402) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7404 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7405 = and(_T_7403, _T_7404) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7406 = or(_T_7400, _T_7405) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7407 = bits(_T_7406, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][69] <= _T_7392 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7393 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7394 = eq(_T_7393, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7395 = and(ic_valid_ff, _T_7394) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7396 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7397 = and(_T_7395, _T_7396) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7398 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7399 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7400 = and(_T_7398, _T_7399) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7401 = eq(perr_ic_index_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7402 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7403 = and(_T_7401, _T_7402) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7404 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7405 = and(_T_7403, _T_7404) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7406 = or(_T_7400, _T_7405) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7407 = bits(_T_7406, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7408 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7407 : @[Reg.scala 28:19] _T_7408 <= _T_7397 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][70] <= _T_7408 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7409 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7410 = eq(_T_7409, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7411 = and(ic_valid_ff, _T_7410) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7412 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7413 = and(_T_7411, _T_7412) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7414 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7415 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7416 = and(_T_7414, _T_7415) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7417 = eq(perr_ic_index_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7418 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7419 = and(_T_7417, _T_7418) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7420 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7421 = and(_T_7419, _T_7420) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7422 = or(_T_7416, _T_7421) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7423 = bits(_T_7422, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][70] <= _T_7408 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7409 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7410 = eq(_T_7409, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7411 = and(ic_valid_ff, _T_7410) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7412 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7413 = and(_T_7411, _T_7412) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7414 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7415 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7416 = and(_T_7414, _T_7415) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7417 = eq(perr_ic_index_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7418 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7419 = and(_T_7417, _T_7418) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7420 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7421 = and(_T_7419, _T_7420) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7422 = or(_T_7416, _T_7421) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7423 = bits(_T_7422, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7424 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7423 : @[Reg.scala 28:19] _T_7424 <= _T_7413 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][71] <= _T_7424 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7425 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7426 = eq(_T_7425, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7427 = and(ic_valid_ff, _T_7426) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7428 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7429 = and(_T_7427, _T_7428) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7430 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7431 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7432 = and(_T_7430, _T_7431) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7433 = eq(perr_ic_index_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7434 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7435 = and(_T_7433, _T_7434) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7436 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7437 = and(_T_7435, _T_7436) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7438 = or(_T_7432, _T_7437) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7439 = bits(_T_7438, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][71] <= _T_7424 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7425 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7426 = eq(_T_7425, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7427 = and(ic_valid_ff, _T_7426) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7428 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7429 = and(_T_7427, _T_7428) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7430 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7431 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7432 = and(_T_7430, _T_7431) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7433 = eq(perr_ic_index_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7434 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7435 = and(_T_7433, _T_7434) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7436 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7437 = and(_T_7435, _T_7436) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7438 = or(_T_7432, _T_7437) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7439 = bits(_T_7438, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7440 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7439 : @[Reg.scala 28:19] _T_7440 <= _T_7429 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][72] <= _T_7440 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7441 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7442 = eq(_T_7441, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7443 = and(ic_valid_ff, _T_7442) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7444 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7445 = and(_T_7443, _T_7444) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7446 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7447 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7448 = and(_T_7446, _T_7447) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7449 = eq(perr_ic_index_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7450 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7451 = and(_T_7449, _T_7450) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7452 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7453 = and(_T_7451, _T_7452) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7454 = or(_T_7448, _T_7453) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7455 = bits(_T_7454, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][72] <= _T_7440 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7441 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7442 = eq(_T_7441, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7443 = and(ic_valid_ff, _T_7442) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7444 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7445 = and(_T_7443, _T_7444) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7446 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7447 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7448 = and(_T_7446, _T_7447) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7449 = eq(perr_ic_index_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7450 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7451 = and(_T_7449, _T_7450) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7452 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7453 = and(_T_7451, _T_7452) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7454 = or(_T_7448, _T_7453) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7455 = bits(_T_7454, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7456 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7455 : @[Reg.scala 28:19] _T_7456 <= _T_7445 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][73] <= _T_7456 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7457 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7458 = eq(_T_7457, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7459 = and(ic_valid_ff, _T_7458) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7460 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7461 = and(_T_7459, _T_7460) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7462 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7463 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7464 = and(_T_7462, _T_7463) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7465 = eq(perr_ic_index_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7466 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7467 = and(_T_7465, _T_7466) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7468 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7469 = and(_T_7467, _T_7468) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7470 = or(_T_7464, _T_7469) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7471 = bits(_T_7470, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][73] <= _T_7456 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7457 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7458 = eq(_T_7457, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7459 = and(ic_valid_ff, _T_7458) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7460 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7461 = and(_T_7459, _T_7460) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7462 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7463 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7464 = and(_T_7462, _T_7463) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7465 = eq(perr_ic_index_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7466 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7467 = and(_T_7465, _T_7466) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7468 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7469 = and(_T_7467, _T_7468) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7470 = or(_T_7464, _T_7469) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7471 = bits(_T_7470, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7472 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7471 : @[Reg.scala 28:19] _T_7472 <= _T_7461 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][74] <= _T_7472 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7473 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7474 = eq(_T_7473, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7475 = and(ic_valid_ff, _T_7474) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7476 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7477 = and(_T_7475, _T_7476) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7478 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7479 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7480 = and(_T_7478, _T_7479) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7481 = eq(perr_ic_index_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7482 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7483 = and(_T_7481, _T_7482) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7484 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7485 = and(_T_7483, _T_7484) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7486 = or(_T_7480, _T_7485) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7487 = bits(_T_7486, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][74] <= _T_7472 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7473 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7474 = eq(_T_7473, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7475 = and(ic_valid_ff, _T_7474) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7476 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7477 = and(_T_7475, _T_7476) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7478 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7479 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7480 = and(_T_7478, _T_7479) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7481 = eq(perr_ic_index_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7482 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7483 = and(_T_7481, _T_7482) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7484 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7485 = and(_T_7483, _T_7484) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7486 = or(_T_7480, _T_7485) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7487 = bits(_T_7486, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7488 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7487 : @[Reg.scala 28:19] _T_7488 <= _T_7477 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][75] <= _T_7488 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7489 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7490 = eq(_T_7489, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7491 = and(ic_valid_ff, _T_7490) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7492 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7493 = and(_T_7491, _T_7492) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7494 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7495 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7496 = and(_T_7494, _T_7495) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7497 = eq(perr_ic_index_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7498 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7499 = and(_T_7497, _T_7498) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7500 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7501 = and(_T_7499, _T_7500) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7502 = or(_T_7496, _T_7501) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7503 = bits(_T_7502, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][75] <= _T_7488 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7489 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7490 = eq(_T_7489, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7491 = and(ic_valid_ff, _T_7490) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7492 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7493 = and(_T_7491, _T_7492) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7494 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7495 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7496 = and(_T_7494, _T_7495) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7497 = eq(perr_ic_index_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7498 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7499 = and(_T_7497, _T_7498) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7500 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7501 = and(_T_7499, _T_7500) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7502 = or(_T_7496, _T_7501) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7503 = bits(_T_7502, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7504 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7503 : @[Reg.scala 28:19] _T_7504 <= _T_7493 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][76] <= _T_7504 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7505 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7506 = eq(_T_7505, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7507 = and(ic_valid_ff, _T_7506) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7508 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7509 = and(_T_7507, _T_7508) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7510 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7511 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7512 = and(_T_7510, _T_7511) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7513 = eq(perr_ic_index_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7514 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7515 = and(_T_7513, _T_7514) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7516 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7517 = and(_T_7515, _T_7516) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7518 = or(_T_7512, _T_7517) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7519 = bits(_T_7518, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][76] <= _T_7504 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7505 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7506 = eq(_T_7505, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7507 = and(ic_valid_ff, _T_7506) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7508 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7509 = and(_T_7507, _T_7508) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7510 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7511 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7512 = and(_T_7510, _T_7511) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7513 = eq(perr_ic_index_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7514 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7515 = and(_T_7513, _T_7514) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7516 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7517 = and(_T_7515, _T_7516) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7518 = or(_T_7512, _T_7517) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7519 = bits(_T_7518, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7520 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7519 : @[Reg.scala 28:19] _T_7520 <= _T_7509 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][77] <= _T_7520 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7521 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7522 = eq(_T_7521, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7523 = and(ic_valid_ff, _T_7522) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7524 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7525 = and(_T_7523, _T_7524) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7526 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7527 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7528 = and(_T_7526, _T_7527) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7529 = eq(perr_ic_index_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7530 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7531 = and(_T_7529, _T_7530) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7532 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7533 = and(_T_7531, _T_7532) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7534 = or(_T_7528, _T_7533) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7535 = bits(_T_7534, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][77] <= _T_7520 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7521 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7522 = eq(_T_7521, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7523 = and(ic_valid_ff, _T_7522) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7524 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7525 = and(_T_7523, _T_7524) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7526 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7527 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7528 = and(_T_7526, _T_7527) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7529 = eq(perr_ic_index_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7530 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7531 = and(_T_7529, _T_7530) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7532 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7533 = and(_T_7531, _T_7532) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7534 = or(_T_7528, _T_7533) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7535 = bits(_T_7534, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7536 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7535 : @[Reg.scala 28:19] _T_7536 <= _T_7525 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][78] <= _T_7536 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7537 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7538 = eq(_T_7537, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7539 = and(ic_valid_ff, _T_7538) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7540 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7541 = and(_T_7539, _T_7540) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7542 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7543 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7544 = and(_T_7542, _T_7543) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7545 = eq(perr_ic_index_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7546 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7547 = and(_T_7545, _T_7546) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7548 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7549 = and(_T_7547, _T_7548) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7550 = or(_T_7544, _T_7549) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7551 = bits(_T_7550, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][78] <= _T_7536 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7537 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7538 = eq(_T_7537, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7539 = and(ic_valid_ff, _T_7538) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7540 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7541 = and(_T_7539, _T_7540) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7542 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7543 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7544 = and(_T_7542, _T_7543) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7545 = eq(perr_ic_index_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7546 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7547 = and(_T_7545, _T_7546) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7548 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7549 = and(_T_7547, _T_7548) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7550 = or(_T_7544, _T_7549) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7551 = bits(_T_7550, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7552 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7551 : @[Reg.scala 28:19] _T_7552 <= _T_7541 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][79] <= _T_7552 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7553 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7554 = eq(_T_7553, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7555 = and(ic_valid_ff, _T_7554) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7556 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7557 = and(_T_7555, _T_7556) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7558 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7559 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7560 = and(_T_7558, _T_7559) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7561 = eq(perr_ic_index_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7562 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7563 = and(_T_7561, _T_7562) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7564 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7565 = and(_T_7563, _T_7564) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7566 = or(_T_7560, _T_7565) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7567 = bits(_T_7566, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][79] <= _T_7552 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7553 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7554 = eq(_T_7553, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7555 = and(ic_valid_ff, _T_7554) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7556 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7557 = and(_T_7555, _T_7556) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7558 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7559 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7560 = and(_T_7558, _T_7559) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7561 = eq(perr_ic_index_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7562 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7563 = and(_T_7561, _T_7562) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7564 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7565 = and(_T_7563, _T_7564) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7566 = or(_T_7560, _T_7565) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7567 = bits(_T_7566, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7568 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7567 : @[Reg.scala 28:19] _T_7568 <= _T_7557 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][80] <= _T_7568 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7569 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7570 = eq(_T_7569, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7571 = and(ic_valid_ff, _T_7570) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7572 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7573 = and(_T_7571, _T_7572) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7574 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7575 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7576 = and(_T_7574, _T_7575) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7577 = eq(perr_ic_index_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7578 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7579 = and(_T_7577, _T_7578) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7580 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7581 = and(_T_7579, _T_7580) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7582 = or(_T_7576, _T_7581) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7583 = bits(_T_7582, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][80] <= _T_7568 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7569 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7570 = eq(_T_7569, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7571 = and(ic_valid_ff, _T_7570) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7572 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7573 = and(_T_7571, _T_7572) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7574 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7575 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7576 = and(_T_7574, _T_7575) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7577 = eq(perr_ic_index_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7578 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7579 = and(_T_7577, _T_7578) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7580 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7581 = and(_T_7579, _T_7580) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7582 = or(_T_7576, _T_7581) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7583 = bits(_T_7582, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7584 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7583 : @[Reg.scala 28:19] _T_7584 <= _T_7573 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][81] <= _T_7584 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7585 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7586 = eq(_T_7585, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7587 = and(ic_valid_ff, _T_7586) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7588 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7589 = and(_T_7587, _T_7588) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7590 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7591 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7592 = and(_T_7590, _T_7591) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7593 = eq(perr_ic_index_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7594 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7595 = and(_T_7593, _T_7594) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7596 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7597 = and(_T_7595, _T_7596) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7598 = or(_T_7592, _T_7597) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7599 = bits(_T_7598, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][81] <= _T_7584 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7585 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7586 = eq(_T_7585, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7587 = and(ic_valid_ff, _T_7586) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7588 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7589 = and(_T_7587, _T_7588) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7590 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7591 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7592 = and(_T_7590, _T_7591) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7593 = eq(perr_ic_index_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7594 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7595 = and(_T_7593, _T_7594) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7596 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7597 = and(_T_7595, _T_7596) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7598 = or(_T_7592, _T_7597) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7599 = bits(_T_7598, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7600 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7599 : @[Reg.scala 28:19] _T_7600 <= _T_7589 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][82] <= _T_7600 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7601 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7602 = eq(_T_7601, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7603 = and(ic_valid_ff, _T_7602) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7604 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7605 = and(_T_7603, _T_7604) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7606 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7607 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7608 = and(_T_7606, _T_7607) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7609 = eq(perr_ic_index_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7610 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7611 = and(_T_7609, _T_7610) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7612 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7613 = and(_T_7611, _T_7612) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7614 = or(_T_7608, _T_7613) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7615 = bits(_T_7614, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][82] <= _T_7600 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7601 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7602 = eq(_T_7601, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7603 = and(ic_valid_ff, _T_7602) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7604 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7605 = and(_T_7603, _T_7604) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7606 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7607 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7608 = and(_T_7606, _T_7607) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7609 = eq(perr_ic_index_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7610 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7611 = and(_T_7609, _T_7610) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7612 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7613 = and(_T_7611, _T_7612) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7614 = or(_T_7608, _T_7613) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7615 = bits(_T_7614, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7616 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7615 : @[Reg.scala 28:19] _T_7616 <= _T_7605 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][83] <= _T_7616 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7617 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7618 = eq(_T_7617, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7619 = and(ic_valid_ff, _T_7618) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7620 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7621 = and(_T_7619, _T_7620) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7622 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7623 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7624 = and(_T_7622, _T_7623) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7625 = eq(perr_ic_index_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7626 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7627 = and(_T_7625, _T_7626) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7628 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7629 = and(_T_7627, _T_7628) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7630 = or(_T_7624, _T_7629) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7631 = bits(_T_7630, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][83] <= _T_7616 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7617 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7618 = eq(_T_7617, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7619 = and(ic_valid_ff, _T_7618) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7620 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7621 = and(_T_7619, _T_7620) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7622 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7623 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7624 = and(_T_7622, _T_7623) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7625 = eq(perr_ic_index_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7626 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7627 = and(_T_7625, _T_7626) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7628 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7629 = and(_T_7627, _T_7628) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7630 = or(_T_7624, _T_7629) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7631 = bits(_T_7630, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7632 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7631 : @[Reg.scala 28:19] _T_7632 <= _T_7621 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][84] <= _T_7632 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7633 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7634 = eq(_T_7633, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7635 = and(ic_valid_ff, _T_7634) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7636 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7637 = and(_T_7635, _T_7636) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7638 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7639 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7640 = and(_T_7638, _T_7639) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7641 = eq(perr_ic_index_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7642 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7643 = and(_T_7641, _T_7642) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7644 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7645 = and(_T_7643, _T_7644) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7646 = or(_T_7640, _T_7645) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7647 = bits(_T_7646, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][84] <= _T_7632 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7633 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7634 = eq(_T_7633, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7635 = and(ic_valid_ff, _T_7634) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7636 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7637 = and(_T_7635, _T_7636) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7638 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7639 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7640 = and(_T_7638, _T_7639) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7641 = eq(perr_ic_index_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7642 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7643 = and(_T_7641, _T_7642) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7644 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7645 = and(_T_7643, _T_7644) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7646 = or(_T_7640, _T_7645) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7647 = bits(_T_7646, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7648 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7647 : @[Reg.scala 28:19] _T_7648 <= _T_7637 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][85] <= _T_7648 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7649 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7650 = eq(_T_7649, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7651 = and(ic_valid_ff, _T_7650) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7652 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7653 = and(_T_7651, _T_7652) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7654 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7655 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7656 = and(_T_7654, _T_7655) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7657 = eq(perr_ic_index_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7658 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7659 = and(_T_7657, _T_7658) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7660 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7661 = and(_T_7659, _T_7660) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7662 = or(_T_7656, _T_7661) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7663 = bits(_T_7662, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][85] <= _T_7648 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7649 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7650 = eq(_T_7649, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7651 = and(ic_valid_ff, _T_7650) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7652 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7653 = and(_T_7651, _T_7652) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7654 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7655 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7656 = and(_T_7654, _T_7655) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7657 = eq(perr_ic_index_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7658 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7659 = and(_T_7657, _T_7658) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7660 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7661 = and(_T_7659, _T_7660) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7662 = or(_T_7656, _T_7661) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7663 = bits(_T_7662, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7664 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7663 : @[Reg.scala 28:19] _T_7664 <= _T_7653 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][86] <= _T_7664 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7665 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7666 = eq(_T_7665, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7667 = and(ic_valid_ff, _T_7666) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7668 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7669 = and(_T_7667, _T_7668) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7670 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7671 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7672 = and(_T_7670, _T_7671) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7673 = eq(perr_ic_index_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7674 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7675 = and(_T_7673, _T_7674) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7676 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7677 = and(_T_7675, _T_7676) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7678 = or(_T_7672, _T_7677) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7679 = bits(_T_7678, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][86] <= _T_7664 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7665 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7666 = eq(_T_7665, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7667 = and(ic_valid_ff, _T_7666) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7668 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7669 = and(_T_7667, _T_7668) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7670 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7671 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7672 = and(_T_7670, _T_7671) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7673 = eq(perr_ic_index_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7674 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7675 = and(_T_7673, _T_7674) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7676 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7677 = and(_T_7675, _T_7676) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7678 = or(_T_7672, _T_7677) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7679 = bits(_T_7678, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7680 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7679 : @[Reg.scala 28:19] _T_7680 <= _T_7669 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][87] <= _T_7680 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7681 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7682 = eq(_T_7681, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7683 = and(ic_valid_ff, _T_7682) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7684 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7685 = and(_T_7683, _T_7684) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7686 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7687 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7688 = and(_T_7686, _T_7687) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7689 = eq(perr_ic_index_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7690 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7691 = and(_T_7689, _T_7690) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7692 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7693 = and(_T_7691, _T_7692) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7694 = or(_T_7688, _T_7693) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7695 = bits(_T_7694, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][87] <= _T_7680 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7681 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7682 = eq(_T_7681, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7683 = and(ic_valid_ff, _T_7682) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7684 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7685 = and(_T_7683, _T_7684) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7686 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7687 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7688 = and(_T_7686, _T_7687) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7689 = eq(perr_ic_index_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7690 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7691 = and(_T_7689, _T_7690) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7692 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7693 = and(_T_7691, _T_7692) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7694 = or(_T_7688, _T_7693) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7695 = bits(_T_7694, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7696 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7695 : @[Reg.scala 28:19] _T_7696 <= _T_7685 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][88] <= _T_7696 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7697 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7698 = eq(_T_7697, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7699 = and(ic_valid_ff, _T_7698) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7700 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7701 = and(_T_7699, _T_7700) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7702 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7703 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7704 = and(_T_7702, _T_7703) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7705 = eq(perr_ic_index_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7706 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7707 = and(_T_7705, _T_7706) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7708 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7709 = and(_T_7707, _T_7708) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7710 = or(_T_7704, _T_7709) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7711 = bits(_T_7710, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][88] <= _T_7696 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7697 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7698 = eq(_T_7697, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7699 = and(ic_valid_ff, _T_7698) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7700 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7701 = and(_T_7699, _T_7700) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7702 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7703 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7704 = and(_T_7702, _T_7703) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7705 = eq(perr_ic_index_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7706 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7707 = and(_T_7705, _T_7706) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7708 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7709 = and(_T_7707, _T_7708) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7710 = or(_T_7704, _T_7709) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7711 = bits(_T_7710, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7712 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7711 : @[Reg.scala 28:19] _T_7712 <= _T_7701 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][89] <= _T_7712 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7713 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7714 = eq(_T_7713, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7715 = and(ic_valid_ff, _T_7714) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7716 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7717 = and(_T_7715, _T_7716) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7718 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7719 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7720 = and(_T_7718, _T_7719) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7721 = eq(perr_ic_index_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7722 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7723 = and(_T_7721, _T_7722) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7724 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7725 = and(_T_7723, _T_7724) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7726 = or(_T_7720, _T_7725) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7727 = bits(_T_7726, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][89] <= _T_7712 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7713 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7714 = eq(_T_7713, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7715 = and(ic_valid_ff, _T_7714) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7716 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7717 = and(_T_7715, _T_7716) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7718 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7719 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7720 = and(_T_7718, _T_7719) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7721 = eq(perr_ic_index_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7722 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7723 = and(_T_7721, _T_7722) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7724 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7725 = and(_T_7723, _T_7724) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7726 = or(_T_7720, _T_7725) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7727 = bits(_T_7726, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7728 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7727 : @[Reg.scala 28:19] _T_7728 <= _T_7717 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][90] <= _T_7728 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7729 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7730 = eq(_T_7729, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7731 = and(ic_valid_ff, _T_7730) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7732 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7733 = and(_T_7731, _T_7732) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7734 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7735 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7736 = and(_T_7734, _T_7735) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7737 = eq(perr_ic_index_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7738 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7739 = and(_T_7737, _T_7738) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7740 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7741 = and(_T_7739, _T_7740) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7742 = or(_T_7736, _T_7741) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7743 = bits(_T_7742, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][90] <= _T_7728 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7729 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7730 = eq(_T_7729, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7731 = and(ic_valid_ff, _T_7730) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7732 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7733 = and(_T_7731, _T_7732) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7734 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7735 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7736 = and(_T_7734, _T_7735) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7737 = eq(perr_ic_index_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7738 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7739 = and(_T_7737, _T_7738) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7740 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7741 = and(_T_7739, _T_7740) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7742 = or(_T_7736, _T_7741) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7743 = bits(_T_7742, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7744 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7743 : @[Reg.scala 28:19] _T_7744 <= _T_7733 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][91] <= _T_7744 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7745 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7746 = eq(_T_7745, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7747 = and(ic_valid_ff, _T_7746) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7748 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7749 = and(_T_7747, _T_7748) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7750 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7751 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7752 = and(_T_7750, _T_7751) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7753 = eq(perr_ic_index_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7754 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7755 = and(_T_7753, _T_7754) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7756 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7757 = and(_T_7755, _T_7756) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7758 = or(_T_7752, _T_7757) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7759 = bits(_T_7758, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][91] <= _T_7744 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7745 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7746 = eq(_T_7745, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7747 = and(ic_valid_ff, _T_7746) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7748 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7749 = and(_T_7747, _T_7748) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7750 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7751 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7752 = and(_T_7750, _T_7751) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7753 = eq(perr_ic_index_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7754 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7755 = and(_T_7753, _T_7754) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7756 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7757 = and(_T_7755, _T_7756) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7758 = or(_T_7752, _T_7757) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7759 = bits(_T_7758, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7760 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7759 : @[Reg.scala 28:19] _T_7760 <= _T_7749 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][92] <= _T_7760 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7761 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7762 = eq(_T_7761, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7763 = and(ic_valid_ff, _T_7762) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7764 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7765 = and(_T_7763, _T_7764) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7766 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7767 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7768 = and(_T_7766, _T_7767) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7769 = eq(perr_ic_index_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7770 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7771 = and(_T_7769, _T_7770) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7772 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7773 = and(_T_7771, _T_7772) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7774 = or(_T_7768, _T_7773) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7775 = bits(_T_7774, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][92] <= _T_7760 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7761 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7762 = eq(_T_7761, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7763 = and(ic_valid_ff, _T_7762) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7764 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7765 = and(_T_7763, _T_7764) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7766 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7767 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7768 = and(_T_7766, _T_7767) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7769 = eq(perr_ic_index_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7770 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7771 = and(_T_7769, _T_7770) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7772 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7773 = and(_T_7771, _T_7772) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7774 = or(_T_7768, _T_7773) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7775 = bits(_T_7774, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7776 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7775 : @[Reg.scala 28:19] _T_7776 <= _T_7765 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][93] <= _T_7776 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7777 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7778 = eq(_T_7777, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7779 = and(ic_valid_ff, _T_7778) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7780 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7781 = and(_T_7779, _T_7780) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7782 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7783 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7784 = and(_T_7782, _T_7783) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7785 = eq(perr_ic_index_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7786 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7787 = and(_T_7785, _T_7786) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7788 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7789 = and(_T_7787, _T_7788) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7790 = or(_T_7784, _T_7789) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7791 = bits(_T_7790, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][93] <= _T_7776 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7777 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7778 = eq(_T_7777, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7779 = and(ic_valid_ff, _T_7778) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7780 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7781 = and(_T_7779, _T_7780) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7782 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7783 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7784 = and(_T_7782, _T_7783) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7785 = eq(perr_ic_index_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7786 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7787 = and(_T_7785, _T_7786) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7788 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7789 = and(_T_7787, _T_7788) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7790 = or(_T_7784, _T_7789) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7791 = bits(_T_7790, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7792 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7791 : @[Reg.scala 28:19] _T_7792 <= _T_7781 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][94] <= _T_7792 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7793 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7794 = eq(_T_7793, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7795 = and(ic_valid_ff, _T_7794) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7796 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7797 = and(_T_7795, _T_7796) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7798 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7799 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7800 = and(_T_7798, _T_7799) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7801 = eq(perr_ic_index_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7802 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7803 = and(_T_7801, _T_7802) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7804 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7805 = and(_T_7803, _T_7804) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7806 = or(_T_7800, _T_7805) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7807 = bits(_T_7806, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][94] <= _T_7792 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7793 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7794 = eq(_T_7793, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7795 = and(ic_valid_ff, _T_7794) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7796 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7797 = and(_T_7795, _T_7796) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7798 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7799 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7800 = and(_T_7798, _T_7799) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7801 = eq(perr_ic_index_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7802 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7803 = and(_T_7801, _T_7802) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7804 = bits(tag_valid_clken_2, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7805 = and(_T_7803, _T_7804) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7806 = or(_T_7800, _T_7805) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7807 = bits(_T_7806, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7808 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7807 : @[Reg.scala 28:19] _T_7808 <= _T_7797 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][95] <= _T_7808 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7809 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7810 = eq(_T_7809, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7811 = and(ic_valid_ff, _T_7810) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7812 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7813 = and(_T_7811, _T_7812) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7814 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7815 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7816 = and(_T_7814, _T_7815) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7817 = eq(perr_ic_index_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7818 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7819 = and(_T_7817, _T_7818) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7820 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7821 = and(_T_7819, _T_7820) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7822 = or(_T_7816, _T_7821) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7823 = bits(_T_7822, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][95] <= _T_7808 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7809 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7810 = eq(_T_7809, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7811 = and(ic_valid_ff, _T_7810) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7812 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7813 = and(_T_7811, _T_7812) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7814 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7815 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7816 = and(_T_7814, _T_7815) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7817 = eq(perr_ic_index_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7818 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7819 = and(_T_7817, _T_7818) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7820 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7821 = and(_T_7819, _T_7820) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7822 = or(_T_7816, _T_7821) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7823 = bits(_T_7822, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7824 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7823 : @[Reg.scala 28:19] _T_7824 <= _T_7813 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][64] <= _T_7824 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7825 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7826 = eq(_T_7825, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7827 = and(ic_valid_ff, _T_7826) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7828 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7829 = and(_T_7827, _T_7828) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7830 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7831 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7832 = and(_T_7830, _T_7831) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7833 = eq(perr_ic_index_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7834 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7835 = and(_T_7833, _T_7834) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7836 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7837 = and(_T_7835, _T_7836) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7838 = or(_T_7832, _T_7837) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7839 = bits(_T_7838, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][64] <= _T_7824 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7825 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7826 = eq(_T_7825, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7827 = and(ic_valid_ff, _T_7826) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7828 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7829 = and(_T_7827, _T_7828) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7830 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7831 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7832 = and(_T_7830, _T_7831) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7833 = eq(perr_ic_index_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7834 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7835 = and(_T_7833, _T_7834) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7836 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7837 = and(_T_7835, _T_7836) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7838 = or(_T_7832, _T_7837) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7839 = bits(_T_7838, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7840 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7839 : @[Reg.scala 28:19] _T_7840 <= _T_7829 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][65] <= _T_7840 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7841 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7842 = eq(_T_7841, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7843 = and(ic_valid_ff, _T_7842) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7844 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7845 = and(_T_7843, _T_7844) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7846 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7847 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7848 = and(_T_7846, _T_7847) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7849 = eq(perr_ic_index_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7850 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7851 = and(_T_7849, _T_7850) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7852 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7853 = and(_T_7851, _T_7852) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7854 = or(_T_7848, _T_7853) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7855 = bits(_T_7854, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][65] <= _T_7840 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7841 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7842 = eq(_T_7841, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7843 = and(ic_valid_ff, _T_7842) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7844 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7845 = and(_T_7843, _T_7844) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7846 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7847 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7848 = and(_T_7846, _T_7847) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7849 = eq(perr_ic_index_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7850 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7851 = and(_T_7849, _T_7850) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7852 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7853 = and(_T_7851, _T_7852) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7854 = or(_T_7848, _T_7853) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7855 = bits(_T_7854, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7856 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7855 : @[Reg.scala 28:19] _T_7856 <= _T_7845 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][66] <= _T_7856 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7857 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7858 = eq(_T_7857, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7859 = and(ic_valid_ff, _T_7858) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7860 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7861 = and(_T_7859, _T_7860) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7862 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7863 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7864 = and(_T_7862, _T_7863) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7865 = eq(perr_ic_index_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7866 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7867 = and(_T_7865, _T_7866) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7868 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7869 = and(_T_7867, _T_7868) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7870 = or(_T_7864, _T_7869) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7871 = bits(_T_7870, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][66] <= _T_7856 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7857 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7858 = eq(_T_7857, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7859 = and(ic_valid_ff, _T_7858) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7860 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7861 = and(_T_7859, _T_7860) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7862 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7863 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7864 = and(_T_7862, _T_7863) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7865 = eq(perr_ic_index_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7866 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7867 = and(_T_7865, _T_7866) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7868 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7869 = and(_T_7867, _T_7868) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7870 = or(_T_7864, _T_7869) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7871 = bits(_T_7870, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7872 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7871 : @[Reg.scala 28:19] _T_7872 <= _T_7861 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][67] <= _T_7872 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7873 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7874 = eq(_T_7873, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7875 = and(ic_valid_ff, _T_7874) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7876 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7877 = and(_T_7875, _T_7876) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7878 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7879 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7880 = and(_T_7878, _T_7879) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7881 = eq(perr_ic_index_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7882 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7883 = and(_T_7881, _T_7882) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7884 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7885 = and(_T_7883, _T_7884) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7886 = or(_T_7880, _T_7885) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7887 = bits(_T_7886, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][67] <= _T_7872 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7873 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7874 = eq(_T_7873, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7875 = and(ic_valid_ff, _T_7874) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7876 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7877 = and(_T_7875, _T_7876) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7878 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7879 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7880 = and(_T_7878, _T_7879) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7881 = eq(perr_ic_index_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7882 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7883 = and(_T_7881, _T_7882) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7884 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7885 = and(_T_7883, _T_7884) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7886 = or(_T_7880, _T_7885) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7887 = bits(_T_7886, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7888 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7887 : @[Reg.scala 28:19] _T_7888 <= _T_7877 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][68] <= _T_7888 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7889 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7890 = eq(_T_7889, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7891 = and(ic_valid_ff, _T_7890) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7892 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7893 = and(_T_7891, _T_7892) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7894 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7895 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7896 = and(_T_7894, _T_7895) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7897 = eq(perr_ic_index_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7898 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7899 = and(_T_7897, _T_7898) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7900 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7901 = and(_T_7899, _T_7900) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7902 = or(_T_7896, _T_7901) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7903 = bits(_T_7902, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][68] <= _T_7888 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7889 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7890 = eq(_T_7889, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7891 = and(ic_valid_ff, _T_7890) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7892 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7893 = and(_T_7891, _T_7892) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7894 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7895 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7896 = and(_T_7894, _T_7895) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7897 = eq(perr_ic_index_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7898 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7899 = and(_T_7897, _T_7898) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7900 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7901 = and(_T_7899, _T_7900) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7902 = or(_T_7896, _T_7901) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7903 = bits(_T_7902, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7904 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7903 : @[Reg.scala 28:19] _T_7904 <= _T_7893 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][69] <= _T_7904 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7905 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7906 = eq(_T_7905, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7907 = and(ic_valid_ff, _T_7906) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7908 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7909 = and(_T_7907, _T_7908) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7910 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7911 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7912 = and(_T_7910, _T_7911) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7913 = eq(perr_ic_index_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7914 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7915 = and(_T_7913, _T_7914) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7916 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7917 = and(_T_7915, _T_7916) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7918 = or(_T_7912, _T_7917) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7919 = bits(_T_7918, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][69] <= _T_7904 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7905 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7906 = eq(_T_7905, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7907 = and(ic_valid_ff, _T_7906) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7908 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7909 = and(_T_7907, _T_7908) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7910 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7911 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7912 = and(_T_7910, _T_7911) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7913 = eq(perr_ic_index_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7914 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7915 = and(_T_7913, _T_7914) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7916 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7917 = and(_T_7915, _T_7916) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7918 = or(_T_7912, _T_7917) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7919 = bits(_T_7918, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7920 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7919 : @[Reg.scala 28:19] _T_7920 <= _T_7909 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][70] <= _T_7920 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7921 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7922 = eq(_T_7921, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7923 = and(ic_valid_ff, _T_7922) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7924 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7925 = and(_T_7923, _T_7924) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7926 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7927 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7928 = and(_T_7926, _T_7927) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7929 = eq(perr_ic_index_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7930 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7931 = and(_T_7929, _T_7930) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7932 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7933 = and(_T_7931, _T_7932) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7934 = or(_T_7928, _T_7933) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7935 = bits(_T_7934, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][70] <= _T_7920 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7921 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7922 = eq(_T_7921, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7923 = and(ic_valid_ff, _T_7922) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7924 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7925 = and(_T_7923, _T_7924) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7926 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7927 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7928 = and(_T_7926, _T_7927) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7929 = eq(perr_ic_index_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7930 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7931 = and(_T_7929, _T_7930) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7932 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7933 = and(_T_7931, _T_7932) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7934 = or(_T_7928, _T_7933) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7935 = bits(_T_7934, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7936 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7935 : @[Reg.scala 28:19] _T_7936 <= _T_7925 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][71] <= _T_7936 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7937 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7938 = eq(_T_7937, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7939 = and(ic_valid_ff, _T_7938) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7940 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7941 = and(_T_7939, _T_7940) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7942 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7943 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7944 = and(_T_7942, _T_7943) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7945 = eq(perr_ic_index_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7946 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7947 = and(_T_7945, _T_7946) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7948 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7949 = and(_T_7947, _T_7948) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7950 = or(_T_7944, _T_7949) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7951 = bits(_T_7950, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][71] <= _T_7936 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7937 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7938 = eq(_T_7937, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7939 = and(ic_valid_ff, _T_7938) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7940 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7941 = and(_T_7939, _T_7940) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7942 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7943 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7944 = and(_T_7942, _T_7943) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7945 = eq(perr_ic_index_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7946 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7947 = and(_T_7945, _T_7946) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7948 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7949 = and(_T_7947, _T_7948) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7950 = or(_T_7944, _T_7949) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7951 = bits(_T_7950, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7952 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7951 : @[Reg.scala 28:19] _T_7952 <= _T_7941 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][72] <= _T_7952 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7953 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7954 = eq(_T_7953, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7955 = and(ic_valid_ff, _T_7954) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7956 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7957 = and(_T_7955, _T_7956) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7958 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7959 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7960 = and(_T_7958, _T_7959) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7961 = eq(perr_ic_index_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7962 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7963 = and(_T_7961, _T_7962) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7964 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7965 = and(_T_7963, _T_7964) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7966 = or(_T_7960, _T_7965) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7967 = bits(_T_7966, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][72] <= _T_7952 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7953 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7954 = eq(_T_7953, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7955 = and(ic_valid_ff, _T_7954) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7956 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7957 = and(_T_7955, _T_7956) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7958 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7959 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7960 = and(_T_7958, _T_7959) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7961 = eq(perr_ic_index_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7962 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7963 = and(_T_7961, _T_7962) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7964 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7965 = and(_T_7963, _T_7964) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7966 = or(_T_7960, _T_7965) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7967 = bits(_T_7966, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7968 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7967 : @[Reg.scala 28:19] _T_7968 <= _T_7957 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][73] <= _T_7968 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7969 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7970 = eq(_T_7969, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7971 = and(ic_valid_ff, _T_7970) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7972 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7973 = and(_T_7971, _T_7972) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7974 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7975 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7976 = and(_T_7974, _T_7975) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7977 = eq(perr_ic_index_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7978 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7979 = and(_T_7977, _T_7978) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7980 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7981 = and(_T_7979, _T_7980) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7982 = or(_T_7976, _T_7981) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7983 = bits(_T_7982, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][73] <= _T_7968 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7969 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7970 = eq(_T_7969, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7971 = and(ic_valid_ff, _T_7970) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7972 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7973 = and(_T_7971, _T_7972) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7974 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7975 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7976 = and(_T_7974, _T_7975) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7977 = eq(perr_ic_index_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7978 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7979 = and(_T_7977, _T_7978) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7980 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7981 = and(_T_7979, _T_7980) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7982 = or(_T_7976, _T_7981) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7983 = bits(_T_7982, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_7984 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7983 : @[Reg.scala 28:19] _T_7984 <= _T_7973 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][74] <= _T_7984 @[el2_ifu_mem_ctl.scala 747:39] - node _T_7985 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_7986 = eq(_T_7985, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_7987 = and(ic_valid_ff, _T_7986) @[el2_ifu_mem_ctl.scala 747:64] - node _T_7988 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_7989 = and(_T_7987, _T_7988) @[el2_ifu_mem_ctl.scala 747:89] - node _T_7990 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_7991 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_7992 = and(_T_7990, _T_7991) @[el2_ifu_mem_ctl.scala 748:58] - node _T_7993 = eq(perr_ic_index_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_7994 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_7995 = and(_T_7993, _T_7994) @[el2_ifu_mem_ctl.scala 748:123] - node _T_7996 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_7997 = and(_T_7995, _T_7996) @[el2_ifu_mem_ctl.scala 748:144] - node _T_7998 = or(_T_7992, _T_7997) @[el2_ifu_mem_ctl.scala 748:80] - node _T_7999 = bits(_T_7998, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][74] <= _T_7984 @[el2_ifu_mem_ctl.scala 748:39] + node _T_7985 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_7986 = eq(_T_7985, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_7987 = and(ic_valid_ff, _T_7986) @[el2_ifu_mem_ctl.scala 748:64] + node _T_7988 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_7989 = and(_T_7987, _T_7988) @[el2_ifu_mem_ctl.scala 748:89] + node _T_7990 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_7991 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_7992 = and(_T_7990, _T_7991) @[el2_ifu_mem_ctl.scala 749:58] + node _T_7993 = eq(perr_ic_index_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_7994 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_7995 = and(_T_7993, _T_7994) @[el2_ifu_mem_ctl.scala 749:123] + node _T_7996 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_7997 = and(_T_7995, _T_7996) @[el2_ifu_mem_ctl.scala 749:144] + node _T_7998 = or(_T_7992, _T_7997) @[el2_ifu_mem_ctl.scala 749:80] + node _T_7999 = bits(_T_7998, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8000 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_7999 : @[Reg.scala 28:19] _T_8000 <= _T_7989 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][75] <= _T_8000 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8001 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8002 = eq(_T_8001, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8003 = and(ic_valid_ff, _T_8002) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8004 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8005 = and(_T_8003, _T_8004) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8006 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8007 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8008 = and(_T_8006, _T_8007) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8009 = eq(perr_ic_index_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8010 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8011 = and(_T_8009, _T_8010) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8012 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8013 = and(_T_8011, _T_8012) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8014 = or(_T_8008, _T_8013) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8015 = bits(_T_8014, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][75] <= _T_8000 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8001 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8002 = eq(_T_8001, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8003 = and(ic_valid_ff, _T_8002) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8004 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8005 = and(_T_8003, _T_8004) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8006 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8007 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8008 = and(_T_8006, _T_8007) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8009 = eq(perr_ic_index_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8010 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8011 = and(_T_8009, _T_8010) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8012 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8013 = and(_T_8011, _T_8012) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8014 = or(_T_8008, _T_8013) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8015 = bits(_T_8014, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8016 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8015 : @[Reg.scala 28:19] _T_8016 <= _T_8005 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][76] <= _T_8016 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8017 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8018 = eq(_T_8017, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8019 = and(ic_valid_ff, _T_8018) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8020 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8021 = and(_T_8019, _T_8020) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8022 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8023 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8024 = and(_T_8022, _T_8023) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8025 = eq(perr_ic_index_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8026 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8027 = and(_T_8025, _T_8026) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8028 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8029 = and(_T_8027, _T_8028) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8030 = or(_T_8024, _T_8029) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8031 = bits(_T_8030, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][76] <= _T_8016 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8017 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8018 = eq(_T_8017, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8019 = and(ic_valid_ff, _T_8018) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8020 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8021 = and(_T_8019, _T_8020) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8022 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8023 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8024 = and(_T_8022, _T_8023) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8025 = eq(perr_ic_index_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8026 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8027 = and(_T_8025, _T_8026) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8028 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8029 = and(_T_8027, _T_8028) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8030 = or(_T_8024, _T_8029) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8031 = bits(_T_8030, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8032 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8031 : @[Reg.scala 28:19] _T_8032 <= _T_8021 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][77] <= _T_8032 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8033 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8034 = eq(_T_8033, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8035 = and(ic_valid_ff, _T_8034) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8036 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8037 = and(_T_8035, _T_8036) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8038 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8039 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8040 = and(_T_8038, _T_8039) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8041 = eq(perr_ic_index_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8042 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8043 = and(_T_8041, _T_8042) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8044 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8045 = and(_T_8043, _T_8044) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8046 = or(_T_8040, _T_8045) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8047 = bits(_T_8046, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][77] <= _T_8032 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8033 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8034 = eq(_T_8033, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8035 = and(ic_valid_ff, _T_8034) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8036 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8037 = and(_T_8035, _T_8036) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8038 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8039 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8040 = and(_T_8038, _T_8039) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8041 = eq(perr_ic_index_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8042 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8043 = and(_T_8041, _T_8042) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8044 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8045 = and(_T_8043, _T_8044) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8046 = or(_T_8040, _T_8045) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8047 = bits(_T_8046, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8048 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8047 : @[Reg.scala 28:19] _T_8048 <= _T_8037 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][78] <= _T_8048 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8049 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8050 = eq(_T_8049, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8051 = and(ic_valid_ff, _T_8050) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8052 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8053 = and(_T_8051, _T_8052) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8054 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8055 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8056 = and(_T_8054, _T_8055) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8057 = eq(perr_ic_index_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8058 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8059 = and(_T_8057, _T_8058) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8060 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8061 = and(_T_8059, _T_8060) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8062 = or(_T_8056, _T_8061) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8063 = bits(_T_8062, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][78] <= _T_8048 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8049 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8050 = eq(_T_8049, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8051 = and(ic_valid_ff, _T_8050) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8052 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8053 = and(_T_8051, _T_8052) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8054 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8055 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8056 = and(_T_8054, _T_8055) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8057 = eq(perr_ic_index_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8058 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8059 = and(_T_8057, _T_8058) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8060 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8061 = and(_T_8059, _T_8060) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8062 = or(_T_8056, _T_8061) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8063 = bits(_T_8062, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8064 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8063 : @[Reg.scala 28:19] _T_8064 <= _T_8053 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][79] <= _T_8064 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8065 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8066 = eq(_T_8065, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8067 = and(ic_valid_ff, _T_8066) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8068 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8069 = and(_T_8067, _T_8068) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8070 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8071 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8072 = and(_T_8070, _T_8071) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8073 = eq(perr_ic_index_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8074 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8075 = and(_T_8073, _T_8074) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8076 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8077 = and(_T_8075, _T_8076) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8078 = or(_T_8072, _T_8077) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8079 = bits(_T_8078, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][79] <= _T_8064 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8065 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8066 = eq(_T_8065, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8067 = and(ic_valid_ff, _T_8066) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8068 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8069 = and(_T_8067, _T_8068) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8070 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8071 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8072 = and(_T_8070, _T_8071) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8073 = eq(perr_ic_index_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8074 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8075 = and(_T_8073, _T_8074) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8076 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8077 = and(_T_8075, _T_8076) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8078 = or(_T_8072, _T_8077) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8079 = bits(_T_8078, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8080 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8079 : @[Reg.scala 28:19] _T_8080 <= _T_8069 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][80] <= _T_8080 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8081 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8082 = eq(_T_8081, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8083 = and(ic_valid_ff, _T_8082) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8084 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8085 = and(_T_8083, _T_8084) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8086 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8087 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8088 = and(_T_8086, _T_8087) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8089 = eq(perr_ic_index_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8090 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8091 = and(_T_8089, _T_8090) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8092 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8093 = and(_T_8091, _T_8092) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8094 = or(_T_8088, _T_8093) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8095 = bits(_T_8094, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][80] <= _T_8080 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8081 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8082 = eq(_T_8081, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8083 = and(ic_valid_ff, _T_8082) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8084 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8085 = and(_T_8083, _T_8084) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8086 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8087 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8088 = and(_T_8086, _T_8087) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8089 = eq(perr_ic_index_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8090 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8091 = and(_T_8089, _T_8090) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8092 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8093 = and(_T_8091, _T_8092) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8094 = or(_T_8088, _T_8093) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8095 = bits(_T_8094, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8096 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8095 : @[Reg.scala 28:19] _T_8096 <= _T_8085 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][81] <= _T_8096 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8097 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8098 = eq(_T_8097, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8099 = and(ic_valid_ff, _T_8098) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8100 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8101 = and(_T_8099, _T_8100) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8102 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8103 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8104 = and(_T_8102, _T_8103) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8105 = eq(perr_ic_index_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8106 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8107 = and(_T_8105, _T_8106) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8108 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8109 = and(_T_8107, _T_8108) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8110 = or(_T_8104, _T_8109) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8111 = bits(_T_8110, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][81] <= _T_8096 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8097 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8098 = eq(_T_8097, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8099 = and(ic_valid_ff, _T_8098) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8100 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8101 = and(_T_8099, _T_8100) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8102 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8103 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8104 = and(_T_8102, _T_8103) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8105 = eq(perr_ic_index_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8106 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8107 = and(_T_8105, _T_8106) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8108 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8109 = and(_T_8107, _T_8108) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8110 = or(_T_8104, _T_8109) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8111 = bits(_T_8110, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8112 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8111 : @[Reg.scala 28:19] _T_8112 <= _T_8101 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][82] <= _T_8112 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8113 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8114 = eq(_T_8113, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8115 = and(ic_valid_ff, _T_8114) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8116 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8117 = and(_T_8115, _T_8116) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8118 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8119 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8120 = and(_T_8118, _T_8119) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8121 = eq(perr_ic_index_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8122 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8123 = and(_T_8121, _T_8122) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8124 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8125 = and(_T_8123, _T_8124) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8126 = or(_T_8120, _T_8125) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8127 = bits(_T_8126, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][82] <= _T_8112 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8113 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8114 = eq(_T_8113, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8115 = and(ic_valid_ff, _T_8114) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8116 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8117 = and(_T_8115, _T_8116) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8118 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8119 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8120 = and(_T_8118, _T_8119) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8121 = eq(perr_ic_index_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8122 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8123 = and(_T_8121, _T_8122) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8124 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8125 = and(_T_8123, _T_8124) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8126 = or(_T_8120, _T_8125) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8127 = bits(_T_8126, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8128 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8127 : @[Reg.scala 28:19] _T_8128 <= _T_8117 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][83] <= _T_8128 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8129 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8130 = eq(_T_8129, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8131 = and(ic_valid_ff, _T_8130) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8132 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8133 = and(_T_8131, _T_8132) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8134 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8135 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8136 = and(_T_8134, _T_8135) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8137 = eq(perr_ic_index_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8138 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8139 = and(_T_8137, _T_8138) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8140 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8141 = and(_T_8139, _T_8140) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8142 = or(_T_8136, _T_8141) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8143 = bits(_T_8142, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][83] <= _T_8128 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8129 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8130 = eq(_T_8129, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8131 = and(ic_valid_ff, _T_8130) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8132 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8133 = and(_T_8131, _T_8132) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8134 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8135 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8136 = and(_T_8134, _T_8135) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8137 = eq(perr_ic_index_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8138 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8139 = and(_T_8137, _T_8138) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8140 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8141 = and(_T_8139, _T_8140) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8142 = or(_T_8136, _T_8141) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8143 = bits(_T_8142, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8144 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8143 : @[Reg.scala 28:19] _T_8144 <= _T_8133 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][84] <= _T_8144 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8145 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8146 = eq(_T_8145, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8147 = and(ic_valid_ff, _T_8146) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8148 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8149 = and(_T_8147, _T_8148) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8150 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8151 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8152 = and(_T_8150, _T_8151) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8153 = eq(perr_ic_index_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8154 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8155 = and(_T_8153, _T_8154) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8156 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8157 = and(_T_8155, _T_8156) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8158 = or(_T_8152, _T_8157) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8159 = bits(_T_8158, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][84] <= _T_8144 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8145 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8146 = eq(_T_8145, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8147 = and(ic_valid_ff, _T_8146) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8148 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8149 = and(_T_8147, _T_8148) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8150 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8151 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8152 = and(_T_8150, _T_8151) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8153 = eq(perr_ic_index_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8154 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8155 = and(_T_8153, _T_8154) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8156 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8157 = and(_T_8155, _T_8156) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8158 = or(_T_8152, _T_8157) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8159 = bits(_T_8158, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8160 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8159 : @[Reg.scala 28:19] _T_8160 <= _T_8149 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][85] <= _T_8160 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8161 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8162 = eq(_T_8161, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8163 = and(ic_valid_ff, _T_8162) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8164 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8165 = and(_T_8163, _T_8164) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8166 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8167 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8168 = and(_T_8166, _T_8167) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8169 = eq(perr_ic_index_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8170 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8171 = and(_T_8169, _T_8170) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8172 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8173 = and(_T_8171, _T_8172) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8174 = or(_T_8168, _T_8173) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8175 = bits(_T_8174, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][85] <= _T_8160 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8161 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8162 = eq(_T_8161, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8163 = and(ic_valid_ff, _T_8162) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8164 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8165 = and(_T_8163, _T_8164) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8166 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8167 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8168 = and(_T_8166, _T_8167) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8169 = eq(perr_ic_index_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8170 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8171 = and(_T_8169, _T_8170) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8172 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8173 = and(_T_8171, _T_8172) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8174 = or(_T_8168, _T_8173) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8175 = bits(_T_8174, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8176 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8175 : @[Reg.scala 28:19] _T_8176 <= _T_8165 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][86] <= _T_8176 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8177 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8178 = eq(_T_8177, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8179 = and(ic_valid_ff, _T_8178) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8180 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8181 = and(_T_8179, _T_8180) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8182 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8183 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8184 = and(_T_8182, _T_8183) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8185 = eq(perr_ic_index_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8186 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8187 = and(_T_8185, _T_8186) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8188 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8189 = and(_T_8187, _T_8188) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8190 = or(_T_8184, _T_8189) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8191 = bits(_T_8190, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][86] <= _T_8176 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8177 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8178 = eq(_T_8177, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8179 = and(ic_valid_ff, _T_8178) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8180 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8181 = and(_T_8179, _T_8180) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8182 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8183 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8184 = and(_T_8182, _T_8183) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8185 = eq(perr_ic_index_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8186 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8187 = and(_T_8185, _T_8186) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8188 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8189 = and(_T_8187, _T_8188) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8190 = or(_T_8184, _T_8189) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8191 = bits(_T_8190, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8192 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8191 : @[Reg.scala 28:19] _T_8192 <= _T_8181 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][87] <= _T_8192 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8193 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8194 = eq(_T_8193, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8195 = and(ic_valid_ff, _T_8194) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8196 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8197 = and(_T_8195, _T_8196) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8198 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8199 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8200 = and(_T_8198, _T_8199) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8201 = eq(perr_ic_index_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8202 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8203 = and(_T_8201, _T_8202) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8204 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8205 = and(_T_8203, _T_8204) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8206 = or(_T_8200, _T_8205) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8207 = bits(_T_8206, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][87] <= _T_8192 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8193 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8194 = eq(_T_8193, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8195 = and(ic_valid_ff, _T_8194) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8196 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8197 = and(_T_8195, _T_8196) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8198 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8199 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8200 = and(_T_8198, _T_8199) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8201 = eq(perr_ic_index_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8202 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8203 = and(_T_8201, _T_8202) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8204 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8205 = and(_T_8203, _T_8204) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8206 = or(_T_8200, _T_8205) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8207 = bits(_T_8206, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8208 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8207 : @[Reg.scala 28:19] _T_8208 <= _T_8197 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][88] <= _T_8208 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8209 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8210 = eq(_T_8209, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8211 = and(ic_valid_ff, _T_8210) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8212 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8213 = and(_T_8211, _T_8212) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8214 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8215 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8216 = and(_T_8214, _T_8215) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8217 = eq(perr_ic_index_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8218 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8219 = and(_T_8217, _T_8218) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8220 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8221 = and(_T_8219, _T_8220) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8222 = or(_T_8216, _T_8221) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8223 = bits(_T_8222, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][88] <= _T_8208 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8209 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8210 = eq(_T_8209, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8211 = and(ic_valid_ff, _T_8210) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8212 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8213 = and(_T_8211, _T_8212) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8214 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8215 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8216 = and(_T_8214, _T_8215) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8217 = eq(perr_ic_index_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8218 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8219 = and(_T_8217, _T_8218) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8220 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8221 = and(_T_8219, _T_8220) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8222 = or(_T_8216, _T_8221) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8223 = bits(_T_8222, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8224 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8223 : @[Reg.scala 28:19] _T_8224 <= _T_8213 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][89] <= _T_8224 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8225 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8226 = eq(_T_8225, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8227 = and(ic_valid_ff, _T_8226) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8228 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8229 = and(_T_8227, _T_8228) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8230 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8231 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8232 = and(_T_8230, _T_8231) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8233 = eq(perr_ic_index_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8234 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8235 = and(_T_8233, _T_8234) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8236 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8237 = and(_T_8235, _T_8236) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8238 = or(_T_8232, _T_8237) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8239 = bits(_T_8238, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][89] <= _T_8224 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8225 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8226 = eq(_T_8225, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8227 = and(ic_valid_ff, _T_8226) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8228 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8229 = and(_T_8227, _T_8228) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8230 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8231 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8232 = and(_T_8230, _T_8231) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8233 = eq(perr_ic_index_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8234 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8235 = and(_T_8233, _T_8234) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8236 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8237 = and(_T_8235, _T_8236) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8238 = or(_T_8232, _T_8237) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8239 = bits(_T_8238, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8240 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8239 : @[Reg.scala 28:19] _T_8240 <= _T_8229 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][90] <= _T_8240 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8241 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8242 = eq(_T_8241, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8243 = and(ic_valid_ff, _T_8242) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8244 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8245 = and(_T_8243, _T_8244) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8246 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8247 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8248 = and(_T_8246, _T_8247) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8249 = eq(perr_ic_index_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8250 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8251 = and(_T_8249, _T_8250) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8252 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8253 = and(_T_8251, _T_8252) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8254 = or(_T_8248, _T_8253) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8255 = bits(_T_8254, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][90] <= _T_8240 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8241 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8242 = eq(_T_8241, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8243 = and(ic_valid_ff, _T_8242) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8244 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8245 = and(_T_8243, _T_8244) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8246 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8247 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8248 = and(_T_8246, _T_8247) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8249 = eq(perr_ic_index_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8250 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8251 = and(_T_8249, _T_8250) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8252 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8253 = and(_T_8251, _T_8252) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8254 = or(_T_8248, _T_8253) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8255 = bits(_T_8254, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8256 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8255 : @[Reg.scala 28:19] _T_8256 <= _T_8245 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][91] <= _T_8256 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8257 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8258 = eq(_T_8257, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8259 = and(ic_valid_ff, _T_8258) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8260 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8261 = and(_T_8259, _T_8260) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8262 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8263 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8264 = and(_T_8262, _T_8263) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8265 = eq(perr_ic_index_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8266 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8267 = and(_T_8265, _T_8266) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8268 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8269 = and(_T_8267, _T_8268) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8270 = or(_T_8264, _T_8269) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8271 = bits(_T_8270, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][91] <= _T_8256 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8257 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8258 = eq(_T_8257, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8259 = and(ic_valid_ff, _T_8258) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8260 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8261 = and(_T_8259, _T_8260) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8262 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8263 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8264 = and(_T_8262, _T_8263) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8265 = eq(perr_ic_index_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8266 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8267 = and(_T_8265, _T_8266) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8268 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8269 = and(_T_8267, _T_8268) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8270 = or(_T_8264, _T_8269) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8271 = bits(_T_8270, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8272 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8271 : @[Reg.scala 28:19] _T_8272 <= _T_8261 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][92] <= _T_8272 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8273 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8274 = eq(_T_8273, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8275 = and(ic_valid_ff, _T_8274) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8276 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8277 = and(_T_8275, _T_8276) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8278 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8279 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8280 = and(_T_8278, _T_8279) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8281 = eq(perr_ic_index_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8282 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8283 = and(_T_8281, _T_8282) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8284 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8285 = and(_T_8283, _T_8284) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8286 = or(_T_8280, _T_8285) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8287 = bits(_T_8286, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][92] <= _T_8272 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8273 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8274 = eq(_T_8273, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8275 = and(ic_valid_ff, _T_8274) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8276 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8277 = and(_T_8275, _T_8276) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8278 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8279 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8280 = and(_T_8278, _T_8279) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8281 = eq(perr_ic_index_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8282 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8283 = and(_T_8281, _T_8282) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8284 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8285 = and(_T_8283, _T_8284) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8286 = or(_T_8280, _T_8285) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8287 = bits(_T_8286, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8288 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8287 : @[Reg.scala 28:19] _T_8288 <= _T_8277 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][93] <= _T_8288 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8289 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8290 = eq(_T_8289, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8291 = and(ic_valid_ff, _T_8290) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8292 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8293 = and(_T_8291, _T_8292) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8294 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8295 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8296 = and(_T_8294, _T_8295) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8297 = eq(perr_ic_index_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8298 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8299 = and(_T_8297, _T_8298) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8300 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8301 = and(_T_8299, _T_8300) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8302 = or(_T_8296, _T_8301) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8303 = bits(_T_8302, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][93] <= _T_8288 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8289 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8290 = eq(_T_8289, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8291 = and(ic_valid_ff, _T_8290) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8292 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8293 = and(_T_8291, _T_8292) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8294 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8295 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8296 = and(_T_8294, _T_8295) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8297 = eq(perr_ic_index_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8298 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8299 = and(_T_8297, _T_8298) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8300 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8301 = and(_T_8299, _T_8300) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8302 = or(_T_8296, _T_8301) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8303 = bits(_T_8302, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8304 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8303 : @[Reg.scala 28:19] _T_8304 <= _T_8293 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][94] <= _T_8304 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8305 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8306 = eq(_T_8305, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8307 = and(ic_valid_ff, _T_8306) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8308 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8309 = and(_T_8307, _T_8308) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8310 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8311 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8312 = and(_T_8310, _T_8311) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8313 = eq(perr_ic_index_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8314 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8315 = and(_T_8313, _T_8314) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8316 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8317 = and(_T_8315, _T_8316) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8318 = or(_T_8312, _T_8317) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8319 = bits(_T_8318, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][94] <= _T_8304 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8305 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8306 = eq(_T_8305, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8307 = and(ic_valid_ff, _T_8306) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8308 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8309 = and(_T_8307, _T_8308) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8310 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8311 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8312 = and(_T_8310, _T_8311) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8313 = eq(perr_ic_index_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8314 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8315 = and(_T_8313, _T_8314) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8316 = bits(tag_valid_clken_2, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8317 = and(_T_8315, _T_8316) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8318 = or(_T_8312, _T_8317) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8319 = bits(_T_8318, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8320 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8319 : @[Reg.scala 28:19] _T_8320 <= _T_8309 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][95] <= _T_8320 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8321 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8322 = eq(_T_8321, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8323 = and(ic_valid_ff, _T_8322) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8324 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8325 = and(_T_8323, _T_8324) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8326 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8327 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8328 = and(_T_8326, _T_8327) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8329 = eq(perr_ic_index_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8330 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8331 = and(_T_8329, _T_8330) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8332 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8333 = and(_T_8331, _T_8332) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8334 = or(_T_8328, _T_8333) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8335 = bits(_T_8334, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][95] <= _T_8320 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8321 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8322 = eq(_T_8321, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8323 = and(ic_valid_ff, _T_8322) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8324 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8325 = and(_T_8323, _T_8324) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8326 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8327 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8328 = and(_T_8326, _T_8327) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8329 = eq(perr_ic_index_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8330 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8331 = and(_T_8329, _T_8330) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8332 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8333 = and(_T_8331, _T_8332) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8334 = or(_T_8328, _T_8333) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8335 = bits(_T_8334, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8336 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8335 : @[Reg.scala 28:19] _T_8336 <= _T_8325 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][96] <= _T_8336 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8337 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8338 = eq(_T_8337, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8339 = and(ic_valid_ff, _T_8338) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8340 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8341 = and(_T_8339, _T_8340) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8342 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8343 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8344 = and(_T_8342, _T_8343) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8345 = eq(perr_ic_index_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8346 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8347 = and(_T_8345, _T_8346) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8348 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8349 = and(_T_8347, _T_8348) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8350 = or(_T_8344, _T_8349) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8351 = bits(_T_8350, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][96] <= _T_8336 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8337 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8338 = eq(_T_8337, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8339 = and(ic_valid_ff, _T_8338) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8340 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8341 = and(_T_8339, _T_8340) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8342 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8343 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8344 = and(_T_8342, _T_8343) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8345 = eq(perr_ic_index_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8346 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8347 = and(_T_8345, _T_8346) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8348 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8349 = and(_T_8347, _T_8348) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8350 = or(_T_8344, _T_8349) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8351 = bits(_T_8350, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8352 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8351 : @[Reg.scala 28:19] _T_8352 <= _T_8341 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][97] <= _T_8352 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8353 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8354 = eq(_T_8353, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8355 = and(ic_valid_ff, _T_8354) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8356 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8357 = and(_T_8355, _T_8356) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8358 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8359 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8360 = and(_T_8358, _T_8359) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8361 = eq(perr_ic_index_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8362 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8363 = and(_T_8361, _T_8362) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8364 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8365 = and(_T_8363, _T_8364) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8366 = or(_T_8360, _T_8365) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8367 = bits(_T_8366, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][97] <= _T_8352 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8353 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8354 = eq(_T_8353, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8355 = and(ic_valid_ff, _T_8354) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8356 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8357 = and(_T_8355, _T_8356) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8358 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8359 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8360 = and(_T_8358, _T_8359) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8361 = eq(perr_ic_index_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8362 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8363 = and(_T_8361, _T_8362) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8364 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8365 = and(_T_8363, _T_8364) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8366 = or(_T_8360, _T_8365) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8367 = bits(_T_8366, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8368 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8367 : @[Reg.scala 28:19] _T_8368 <= _T_8357 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][98] <= _T_8368 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8369 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8370 = eq(_T_8369, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8371 = and(ic_valid_ff, _T_8370) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8372 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8373 = and(_T_8371, _T_8372) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8374 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8375 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8376 = and(_T_8374, _T_8375) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8377 = eq(perr_ic_index_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8378 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8379 = and(_T_8377, _T_8378) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8380 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8381 = and(_T_8379, _T_8380) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8382 = or(_T_8376, _T_8381) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8383 = bits(_T_8382, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][98] <= _T_8368 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8369 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8370 = eq(_T_8369, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8371 = and(ic_valid_ff, _T_8370) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8372 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8373 = and(_T_8371, _T_8372) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8374 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8375 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8376 = and(_T_8374, _T_8375) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8377 = eq(perr_ic_index_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8378 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8379 = and(_T_8377, _T_8378) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8380 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8381 = and(_T_8379, _T_8380) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8382 = or(_T_8376, _T_8381) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8383 = bits(_T_8382, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8384 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8383 : @[Reg.scala 28:19] _T_8384 <= _T_8373 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][99] <= _T_8384 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8385 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8386 = eq(_T_8385, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8387 = and(ic_valid_ff, _T_8386) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8388 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8389 = and(_T_8387, _T_8388) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8390 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8391 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8392 = and(_T_8390, _T_8391) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8393 = eq(perr_ic_index_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8394 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8395 = and(_T_8393, _T_8394) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8396 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8397 = and(_T_8395, _T_8396) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8398 = or(_T_8392, _T_8397) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8399 = bits(_T_8398, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][99] <= _T_8384 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8385 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8386 = eq(_T_8385, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8387 = and(ic_valid_ff, _T_8386) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8388 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8389 = and(_T_8387, _T_8388) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8390 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8391 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8392 = and(_T_8390, _T_8391) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8393 = eq(perr_ic_index_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8394 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8395 = and(_T_8393, _T_8394) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8396 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8397 = and(_T_8395, _T_8396) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8398 = or(_T_8392, _T_8397) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8399 = bits(_T_8398, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8400 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8399 : @[Reg.scala 28:19] _T_8400 <= _T_8389 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][100] <= _T_8400 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8401 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8402 = eq(_T_8401, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8403 = and(ic_valid_ff, _T_8402) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8404 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8405 = and(_T_8403, _T_8404) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8406 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8407 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8408 = and(_T_8406, _T_8407) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8409 = eq(perr_ic_index_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8410 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8411 = and(_T_8409, _T_8410) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8412 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8413 = and(_T_8411, _T_8412) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8414 = or(_T_8408, _T_8413) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8415 = bits(_T_8414, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][100] <= _T_8400 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8401 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8402 = eq(_T_8401, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8403 = and(ic_valid_ff, _T_8402) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8404 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8405 = and(_T_8403, _T_8404) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8406 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8407 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8408 = and(_T_8406, _T_8407) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8409 = eq(perr_ic_index_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8410 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8411 = and(_T_8409, _T_8410) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8412 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8413 = and(_T_8411, _T_8412) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8414 = or(_T_8408, _T_8413) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8415 = bits(_T_8414, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8416 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8415 : @[Reg.scala 28:19] _T_8416 <= _T_8405 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][101] <= _T_8416 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8417 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8418 = eq(_T_8417, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8419 = and(ic_valid_ff, _T_8418) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8420 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8421 = and(_T_8419, _T_8420) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8422 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8423 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8424 = and(_T_8422, _T_8423) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8425 = eq(perr_ic_index_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8426 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8427 = and(_T_8425, _T_8426) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8428 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8429 = and(_T_8427, _T_8428) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8430 = or(_T_8424, _T_8429) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8431 = bits(_T_8430, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][101] <= _T_8416 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8417 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8418 = eq(_T_8417, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8419 = and(ic_valid_ff, _T_8418) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8420 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8421 = and(_T_8419, _T_8420) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8422 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8423 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8424 = and(_T_8422, _T_8423) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8425 = eq(perr_ic_index_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8426 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8427 = and(_T_8425, _T_8426) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8428 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8429 = and(_T_8427, _T_8428) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8430 = or(_T_8424, _T_8429) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8431 = bits(_T_8430, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8432 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8431 : @[Reg.scala 28:19] _T_8432 <= _T_8421 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][102] <= _T_8432 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8433 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8434 = eq(_T_8433, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8435 = and(ic_valid_ff, _T_8434) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8436 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8437 = and(_T_8435, _T_8436) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8438 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8439 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8440 = and(_T_8438, _T_8439) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8441 = eq(perr_ic_index_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8442 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8443 = and(_T_8441, _T_8442) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8444 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8445 = and(_T_8443, _T_8444) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8446 = or(_T_8440, _T_8445) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8447 = bits(_T_8446, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][102] <= _T_8432 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8433 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8434 = eq(_T_8433, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8435 = and(ic_valid_ff, _T_8434) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8436 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8437 = and(_T_8435, _T_8436) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8438 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8439 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8440 = and(_T_8438, _T_8439) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8441 = eq(perr_ic_index_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8442 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8443 = and(_T_8441, _T_8442) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8444 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8445 = and(_T_8443, _T_8444) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8446 = or(_T_8440, _T_8445) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8447 = bits(_T_8446, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8448 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8447 : @[Reg.scala 28:19] _T_8448 <= _T_8437 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][103] <= _T_8448 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8449 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8450 = eq(_T_8449, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8451 = and(ic_valid_ff, _T_8450) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8452 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8453 = and(_T_8451, _T_8452) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8454 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8455 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8456 = and(_T_8454, _T_8455) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8457 = eq(perr_ic_index_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8458 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8459 = and(_T_8457, _T_8458) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8460 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8461 = and(_T_8459, _T_8460) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8462 = or(_T_8456, _T_8461) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8463 = bits(_T_8462, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][103] <= _T_8448 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8449 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8450 = eq(_T_8449, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8451 = and(ic_valid_ff, _T_8450) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8452 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8453 = and(_T_8451, _T_8452) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8454 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8455 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8456 = and(_T_8454, _T_8455) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8457 = eq(perr_ic_index_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8458 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8459 = and(_T_8457, _T_8458) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8460 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8461 = and(_T_8459, _T_8460) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8462 = or(_T_8456, _T_8461) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8463 = bits(_T_8462, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8464 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8463 : @[Reg.scala 28:19] _T_8464 <= _T_8453 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][104] <= _T_8464 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8465 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8466 = eq(_T_8465, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8467 = and(ic_valid_ff, _T_8466) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8468 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8469 = and(_T_8467, _T_8468) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8470 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8471 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8472 = and(_T_8470, _T_8471) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8473 = eq(perr_ic_index_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8474 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8475 = and(_T_8473, _T_8474) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8476 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8477 = and(_T_8475, _T_8476) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8478 = or(_T_8472, _T_8477) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8479 = bits(_T_8478, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][104] <= _T_8464 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8465 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8466 = eq(_T_8465, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8467 = and(ic_valid_ff, _T_8466) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8468 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8469 = and(_T_8467, _T_8468) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8470 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8471 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8472 = and(_T_8470, _T_8471) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8473 = eq(perr_ic_index_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8474 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8475 = and(_T_8473, _T_8474) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8476 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8477 = and(_T_8475, _T_8476) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8478 = or(_T_8472, _T_8477) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8479 = bits(_T_8478, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8480 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8479 : @[Reg.scala 28:19] _T_8480 <= _T_8469 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][105] <= _T_8480 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8481 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8482 = eq(_T_8481, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8483 = and(ic_valid_ff, _T_8482) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8484 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8485 = and(_T_8483, _T_8484) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8486 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8487 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8488 = and(_T_8486, _T_8487) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8489 = eq(perr_ic_index_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8490 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8491 = and(_T_8489, _T_8490) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8492 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8493 = and(_T_8491, _T_8492) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8494 = or(_T_8488, _T_8493) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8495 = bits(_T_8494, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][105] <= _T_8480 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8481 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8482 = eq(_T_8481, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8483 = and(ic_valid_ff, _T_8482) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8484 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8485 = and(_T_8483, _T_8484) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8486 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8487 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8488 = and(_T_8486, _T_8487) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8489 = eq(perr_ic_index_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8490 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8491 = and(_T_8489, _T_8490) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8492 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8493 = and(_T_8491, _T_8492) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8494 = or(_T_8488, _T_8493) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8495 = bits(_T_8494, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8496 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8495 : @[Reg.scala 28:19] _T_8496 <= _T_8485 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][106] <= _T_8496 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8497 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8498 = eq(_T_8497, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8499 = and(ic_valid_ff, _T_8498) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8500 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8501 = and(_T_8499, _T_8500) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8502 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8503 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8504 = and(_T_8502, _T_8503) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8505 = eq(perr_ic_index_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8506 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8507 = and(_T_8505, _T_8506) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8508 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8509 = and(_T_8507, _T_8508) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8510 = or(_T_8504, _T_8509) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8511 = bits(_T_8510, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][106] <= _T_8496 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8497 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8498 = eq(_T_8497, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8499 = and(ic_valid_ff, _T_8498) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8500 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8501 = and(_T_8499, _T_8500) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8502 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8503 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8504 = and(_T_8502, _T_8503) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8505 = eq(perr_ic_index_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8506 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8507 = and(_T_8505, _T_8506) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8508 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8509 = and(_T_8507, _T_8508) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8510 = or(_T_8504, _T_8509) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8511 = bits(_T_8510, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8512 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8511 : @[Reg.scala 28:19] _T_8512 <= _T_8501 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][107] <= _T_8512 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8513 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8514 = eq(_T_8513, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8515 = and(ic_valid_ff, _T_8514) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8516 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8517 = and(_T_8515, _T_8516) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8518 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8519 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8520 = and(_T_8518, _T_8519) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8521 = eq(perr_ic_index_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8522 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8523 = and(_T_8521, _T_8522) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8524 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8525 = and(_T_8523, _T_8524) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8526 = or(_T_8520, _T_8525) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8527 = bits(_T_8526, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][107] <= _T_8512 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8513 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8514 = eq(_T_8513, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8515 = and(ic_valid_ff, _T_8514) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8516 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8517 = and(_T_8515, _T_8516) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8518 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8519 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8520 = and(_T_8518, _T_8519) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8521 = eq(perr_ic_index_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8522 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8523 = and(_T_8521, _T_8522) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8524 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8525 = and(_T_8523, _T_8524) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8526 = or(_T_8520, _T_8525) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8527 = bits(_T_8526, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8528 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8527 : @[Reg.scala 28:19] _T_8528 <= _T_8517 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][108] <= _T_8528 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8529 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8530 = eq(_T_8529, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8531 = and(ic_valid_ff, _T_8530) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8532 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8533 = and(_T_8531, _T_8532) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8534 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8535 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8536 = and(_T_8534, _T_8535) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8537 = eq(perr_ic_index_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8538 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8539 = and(_T_8537, _T_8538) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8540 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8541 = and(_T_8539, _T_8540) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8542 = or(_T_8536, _T_8541) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8543 = bits(_T_8542, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][108] <= _T_8528 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8529 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8530 = eq(_T_8529, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8531 = and(ic_valid_ff, _T_8530) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8532 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8533 = and(_T_8531, _T_8532) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8534 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8535 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8536 = and(_T_8534, _T_8535) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8537 = eq(perr_ic_index_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8538 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8539 = and(_T_8537, _T_8538) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8540 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8541 = and(_T_8539, _T_8540) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8542 = or(_T_8536, _T_8541) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8543 = bits(_T_8542, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8544 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8543 : @[Reg.scala 28:19] _T_8544 <= _T_8533 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][109] <= _T_8544 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8545 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8546 = eq(_T_8545, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8547 = and(ic_valid_ff, _T_8546) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8548 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8549 = and(_T_8547, _T_8548) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8550 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8551 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8552 = and(_T_8550, _T_8551) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8553 = eq(perr_ic_index_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8554 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8555 = and(_T_8553, _T_8554) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8556 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8557 = and(_T_8555, _T_8556) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8558 = or(_T_8552, _T_8557) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8559 = bits(_T_8558, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][109] <= _T_8544 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8545 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8546 = eq(_T_8545, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8547 = and(ic_valid_ff, _T_8546) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8548 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8549 = and(_T_8547, _T_8548) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8550 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8551 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8552 = and(_T_8550, _T_8551) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8553 = eq(perr_ic_index_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8554 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8555 = and(_T_8553, _T_8554) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8556 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8557 = and(_T_8555, _T_8556) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8558 = or(_T_8552, _T_8557) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8559 = bits(_T_8558, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8560 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8559 : @[Reg.scala 28:19] _T_8560 <= _T_8549 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][110] <= _T_8560 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8561 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8562 = eq(_T_8561, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8563 = and(ic_valid_ff, _T_8562) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8564 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8565 = and(_T_8563, _T_8564) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8566 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8567 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8568 = and(_T_8566, _T_8567) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8569 = eq(perr_ic_index_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8570 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8571 = and(_T_8569, _T_8570) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8572 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8573 = and(_T_8571, _T_8572) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8574 = or(_T_8568, _T_8573) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8575 = bits(_T_8574, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][110] <= _T_8560 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8561 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8562 = eq(_T_8561, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8563 = and(ic_valid_ff, _T_8562) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8564 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8565 = and(_T_8563, _T_8564) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8566 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8567 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8568 = and(_T_8566, _T_8567) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8569 = eq(perr_ic_index_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8570 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8571 = and(_T_8569, _T_8570) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8572 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8573 = and(_T_8571, _T_8572) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8574 = or(_T_8568, _T_8573) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8575 = bits(_T_8574, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8576 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8575 : @[Reg.scala 28:19] _T_8576 <= _T_8565 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][111] <= _T_8576 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8577 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8578 = eq(_T_8577, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8579 = and(ic_valid_ff, _T_8578) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8580 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8581 = and(_T_8579, _T_8580) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8582 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8583 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8584 = and(_T_8582, _T_8583) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8585 = eq(perr_ic_index_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8586 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8587 = and(_T_8585, _T_8586) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8588 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8589 = and(_T_8587, _T_8588) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8590 = or(_T_8584, _T_8589) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8591 = bits(_T_8590, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][111] <= _T_8576 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8577 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8578 = eq(_T_8577, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8579 = and(ic_valid_ff, _T_8578) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8580 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8581 = and(_T_8579, _T_8580) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8582 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8583 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8584 = and(_T_8582, _T_8583) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8585 = eq(perr_ic_index_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8586 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8587 = and(_T_8585, _T_8586) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8588 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8589 = and(_T_8587, _T_8588) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8590 = or(_T_8584, _T_8589) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8591 = bits(_T_8590, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8592 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8591 : @[Reg.scala 28:19] _T_8592 <= _T_8581 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][112] <= _T_8592 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8593 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8594 = eq(_T_8593, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8595 = and(ic_valid_ff, _T_8594) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8596 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8597 = and(_T_8595, _T_8596) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8598 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8599 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8600 = and(_T_8598, _T_8599) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8601 = eq(perr_ic_index_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8602 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8603 = and(_T_8601, _T_8602) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8604 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8605 = and(_T_8603, _T_8604) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8606 = or(_T_8600, _T_8605) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8607 = bits(_T_8606, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][112] <= _T_8592 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8593 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8594 = eq(_T_8593, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8595 = and(ic_valid_ff, _T_8594) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8596 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8597 = and(_T_8595, _T_8596) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8598 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8599 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8600 = and(_T_8598, _T_8599) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8601 = eq(perr_ic_index_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8602 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8603 = and(_T_8601, _T_8602) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8604 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8605 = and(_T_8603, _T_8604) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8606 = or(_T_8600, _T_8605) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8607 = bits(_T_8606, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8608 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8607 : @[Reg.scala 28:19] _T_8608 <= _T_8597 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][113] <= _T_8608 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8609 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8610 = eq(_T_8609, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8611 = and(ic_valid_ff, _T_8610) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8612 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8613 = and(_T_8611, _T_8612) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8614 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8615 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8616 = and(_T_8614, _T_8615) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8617 = eq(perr_ic_index_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8618 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8619 = and(_T_8617, _T_8618) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8620 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8621 = and(_T_8619, _T_8620) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8622 = or(_T_8616, _T_8621) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8623 = bits(_T_8622, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][113] <= _T_8608 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8609 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8610 = eq(_T_8609, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8611 = and(ic_valid_ff, _T_8610) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8612 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8613 = and(_T_8611, _T_8612) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8614 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8615 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8616 = and(_T_8614, _T_8615) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8617 = eq(perr_ic_index_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8618 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8619 = and(_T_8617, _T_8618) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8620 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8621 = and(_T_8619, _T_8620) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8622 = or(_T_8616, _T_8621) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8623 = bits(_T_8622, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8624 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8623 : @[Reg.scala 28:19] _T_8624 <= _T_8613 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][114] <= _T_8624 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8625 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8626 = eq(_T_8625, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8627 = and(ic_valid_ff, _T_8626) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8628 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8629 = and(_T_8627, _T_8628) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8630 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8631 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8632 = and(_T_8630, _T_8631) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8633 = eq(perr_ic_index_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8634 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8635 = and(_T_8633, _T_8634) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8636 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8637 = and(_T_8635, _T_8636) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8638 = or(_T_8632, _T_8637) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8639 = bits(_T_8638, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][114] <= _T_8624 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8625 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8626 = eq(_T_8625, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8627 = and(ic_valid_ff, _T_8626) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8628 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8629 = and(_T_8627, _T_8628) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8630 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8631 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8632 = and(_T_8630, _T_8631) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8633 = eq(perr_ic_index_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8634 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8635 = and(_T_8633, _T_8634) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8636 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8637 = and(_T_8635, _T_8636) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8638 = or(_T_8632, _T_8637) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8639 = bits(_T_8638, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8640 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8639 : @[Reg.scala 28:19] _T_8640 <= _T_8629 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][115] <= _T_8640 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8641 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8642 = eq(_T_8641, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8643 = and(ic_valid_ff, _T_8642) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8644 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8645 = and(_T_8643, _T_8644) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8646 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8647 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8648 = and(_T_8646, _T_8647) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8649 = eq(perr_ic_index_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8650 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8651 = and(_T_8649, _T_8650) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8652 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8653 = and(_T_8651, _T_8652) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8654 = or(_T_8648, _T_8653) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8655 = bits(_T_8654, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][115] <= _T_8640 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8641 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8642 = eq(_T_8641, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8643 = and(ic_valid_ff, _T_8642) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8644 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8645 = and(_T_8643, _T_8644) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8646 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8647 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8648 = and(_T_8646, _T_8647) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8649 = eq(perr_ic_index_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8650 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8651 = and(_T_8649, _T_8650) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8652 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8653 = and(_T_8651, _T_8652) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8654 = or(_T_8648, _T_8653) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8655 = bits(_T_8654, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8656 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8655 : @[Reg.scala 28:19] _T_8656 <= _T_8645 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][116] <= _T_8656 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8657 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8658 = eq(_T_8657, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8659 = and(ic_valid_ff, _T_8658) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8660 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8661 = and(_T_8659, _T_8660) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8662 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8663 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8664 = and(_T_8662, _T_8663) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8665 = eq(perr_ic_index_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8666 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8667 = and(_T_8665, _T_8666) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8668 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8669 = and(_T_8667, _T_8668) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8670 = or(_T_8664, _T_8669) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8671 = bits(_T_8670, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][116] <= _T_8656 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8657 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8658 = eq(_T_8657, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8659 = and(ic_valid_ff, _T_8658) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8660 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8661 = and(_T_8659, _T_8660) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8662 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8663 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8664 = and(_T_8662, _T_8663) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8665 = eq(perr_ic_index_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8666 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8667 = and(_T_8665, _T_8666) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8668 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8669 = and(_T_8667, _T_8668) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8670 = or(_T_8664, _T_8669) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8671 = bits(_T_8670, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8672 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8671 : @[Reg.scala 28:19] _T_8672 <= _T_8661 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][117] <= _T_8672 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8673 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8674 = eq(_T_8673, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8675 = and(ic_valid_ff, _T_8674) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8676 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8677 = and(_T_8675, _T_8676) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8678 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8679 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8680 = and(_T_8678, _T_8679) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8681 = eq(perr_ic_index_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8682 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8683 = and(_T_8681, _T_8682) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8684 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8685 = and(_T_8683, _T_8684) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8686 = or(_T_8680, _T_8685) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8687 = bits(_T_8686, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][117] <= _T_8672 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8673 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8674 = eq(_T_8673, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8675 = and(ic_valid_ff, _T_8674) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8676 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8677 = and(_T_8675, _T_8676) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8678 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8679 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8680 = and(_T_8678, _T_8679) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8681 = eq(perr_ic_index_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8682 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8683 = and(_T_8681, _T_8682) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8684 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8685 = and(_T_8683, _T_8684) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8686 = or(_T_8680, _T_8685) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8687 = bits(_T_8686, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8688 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8687 : @[Reg.scala 28:19] _T_8688 <= _T_8677 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][118] <= _T_8688 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8689 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8690 = eq(_T_8689, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8691 = and(ic_valid_ff, _T_8690) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8692 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8693 = and(_T_8691, _T_8692) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8694 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8695 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8696 = and(_T_8694, _T_8695) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8697 = eq(perr_ic_index_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8698 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8699 = and(_T_8697, _T_8698) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8700 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8701 = and(_T_8699, _T_8700) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8702 = or(_T_8696, _T_8701) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8703 = bits(_T_8702, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][118] <= _T_8688 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8689 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8690 = eq(_T_8689, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8691 = and(ic_valid_ff, _T_8690) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8692 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8693 = and(_T_8691, _T_8692) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8694 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8695 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8696 = and(_T_8694, _T_8695) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8697 = eq(perr_ic_index_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8698 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8699 = and(_T_8697, _T_8698) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8700 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8701 = and(_T_8699, _T_8700) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8702 = or(_T_8696, _T_8701) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8703 = bits(_T_8702, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8704 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8703 : @[Reg.scala 28:19] _T_8704 <= _T_8693 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][119] <= _T_8704 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8705 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8706 = eq(_T_8705, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8707 = and(ic_valid_ff, _T_8706) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8708 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8709 = and(_T_8707, _T_8708) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8710 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8711 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8712 = and(_T_8710, _T_8711) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8713 = eq(perr_ic_index_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8714 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8715 = and(_T_8713, _T_8714) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8716 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8717 = and(_T_8715, _T_8716) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8718 = or(_T_8712, _T_8717) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8719 = bits(_T_8718, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][119] <= _T_8704 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8705 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8706 = eq(_T_8705, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8707 = and(ic_valid_ff, _T_8706) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8708 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8709 = and(_T_8707, _T_8708) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8710 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8711 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8712 = and(_T_8710, _T_8711) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8713 = eq(perr_ic_index_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8714 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8715 = and(_T_8713, _T_8714) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8716 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8717 = and(_T_8715, _T_8716) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8718 = or(_T_8712, _T_8717) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8719 = bits(_T_8718, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8720 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8719 : @[Reg.scala 28:19] _T_8720 <= _T_8709 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][120] <= _T_8720 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8721 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8722 = eq(_T_8721, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8723 = and(ic_valid_ff, _T_8722) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8724 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8725 = and(_T_8723, _T_8724) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8726 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8727 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8728 = and(_T_8726, _T_8727) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8729 = eq(perr_ic_index_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8730 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8731 = and(_T_8729, _T_8730) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8732 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8733 = and(_T_8731, _T_8732) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8734 = or(_T_8728, _T_8733) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8735 = bits(_T_8734, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][120] <= _T_8720 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8721 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8722 = eq(_T_8721, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8723 = and(ic_valid_ff, _T_8722) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8724 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8725 = and(_T_8723, _T_8724) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8726 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8727 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8728 = and(_T_8726, _T_8727) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8729 = eq(perr_ic_index_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8730 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8731 = and(_T_8729, _T_8730) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8732 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8733 = and(_T_8731, _T_8732) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8734 = or(_T_8728, _T_8733) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8735 = bits(_T_8734, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8736 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8735 : @[Reg.scala 28:19] _T_8736 <= _T_8725 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][121] <= _T_8736 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8737 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8738 = eq(_T_8737, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8739 = and(ic_valid_ff, _T_8738) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8740 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8741 = and(_T_8739, _T_8740) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8742 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8743 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8744 = and(_T_8742, _T_8743) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8745 = eq(perr_ic_index_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8746 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8747 = and(_T_8745, _T_8746) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8748 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8749 = and(_T_8747, _T_8748) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8750 = or(_T_8744, _T_8749) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8751 = bits(_T_8750, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][121] <= _T_8736 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8737 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8738 = eq(_T_8737, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8739 = and(ic_valid_ff, _T_8738) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8740 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8741 = and(_T_8739, _T_8740) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8742 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8743 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8744 = and(_T_8742, _T_8743) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8745 = eq(perr_ic_index_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8746 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8747 = and(_T_8745, _T_8746) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8748 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8749 = and(_T_8747, _T_8748) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8750 = or(_T_8744, _T_8749) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8751 = bits(_T_8750, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8752 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8751 : @[Reg.scala 28:19] _T_8752 <= _T_8741 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][122] <= _T_8752 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8753 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8754 = eq(_T_8753, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8755 = and(ic_valid_ff, _T_8754) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8756 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8757 = and(_T_8755, _T_8756) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8758 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8759 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8760 = and(_T_8758, _T_8759) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8761 = eq(perr_ic_index_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8762 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8763 = and(_T_8761, _T_8762) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8764 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8765 = and(_T_8763, _T_8764) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8766 = or(_T_8760, _T_8765) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8767 = bits(_T_8766, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][122] <= _T_8752 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8753 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8754 = eq(_T_8753, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8755 = and(ic_valid_ff, _T_8754) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8756 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8757 = and(_T_8755, _T_8756) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8758 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8759 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8760 = and(_T_8758, _T_8759) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8761 = eq(perr_ic_index_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8762 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8763 = and(_T_8761, _T_8762) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8764 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8765 = and(_T_8763, _T_8764) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8766 = or(_T_8760, _T_8765) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8767 = bits(_T_8766, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8768 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8767 : @[Reg.scala 28:19] _T_8768 <= _T_8757 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][123] <= _T_8768 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8769 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8770 = eq(_T_8769, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8771 = and(ic_valid_ff, _T_8770) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8772 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8773 = and(_T_8771, _T_8772) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8774 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8775 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8776 = and(_T_8774, _T_8775) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8777 = eq(perr_ic_index_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8778 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8779 = and(_T_8777, _T_8778) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8780 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8781 = and(_T_8779, _T_8780) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8782 = or(_T_8776, _T_8781) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8783 = bits(_T_8782, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][123] <= _T_8768 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8769 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8770 = eq(_T_8769, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8771 = and(ic_valid_ff, _T_8770) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8772 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8773 = and(_T_8771, _T_8772) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8774 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8775 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8776 = and(_T_8774, _T_8775) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8777 = eq(perr_ic_index_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8778 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8779 = and(_T_8777, _T_8778) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8780 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8781 = and(_T_8779, _T_8780) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8782 = or(_T_8776, _T_8781) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8783 = bits(_T_8782, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8784 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8783 : @[Reg.scala 28:19] _T_8784 <= _T_8773 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][124] <= _T_8784 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8785 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8786 = eq(_T_8785, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8787 = and(ic_valid_ff, _T_8786) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8788 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8789 = and(_T_8787, _T_8788) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8790 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8791 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8792 = and(_T_8790, _T_8791) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8793 = eq(perr_ic_index_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8794 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8795 = and(_T_8793, _T_8794) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8796 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8797 = and(_T_8795, _T_8796) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8798 = or(_T_8792, _T_8797) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8799 = bits(_T_8798, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][124] <= _T_8784 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8785 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8786 = eq(_T_8785, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8787 = and(ic_valid_ff, _T_8786) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8788 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8789 = and(_T_8787, _T_8788) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8790 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8791 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8792 = and(_T_8790, _T_8791) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8793 = eq(perr_ic_index_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8794 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8795 = and(_T_8793, _T_8794) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8796 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8797 = and(_T_8795, _T_8796) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8798 = or(_T_8792, _T_8797) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8799 = bits(_T_8798, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8800 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8799 : @[Reg.scala 28:19] _T_8800 <= _T_8789 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][125] <= _T_8800 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8801 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8802 = eq(_T_8801, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8803 = and(ic_valid_ff, _T_8802) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8804 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8805 = and(_T_8803, _T_8804) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8806 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8807 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8808 = and(_T_8806, _T_8807) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8809 = eq(perr_ic_index_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8810 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8811 = and(_T_8809, _T_8810) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8812 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8813 = and(_T_8811, _T_8812) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8814 = or(_T_8808, _T_8813) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8815 = bits(_T_8814, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][125] <= _T_8800 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8801 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8802 = eq(_T_8801, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8803 = and(ic_valid_ff, _T_8802) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8804 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8805 = and(_T_8803, _T_8804) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8806 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8807 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8808 = and(_T_8806, _T_8807) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8809 = eq(perr_ic_index_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8810 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8811 = and(_T_8809, _T_8810) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8812 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8813 = and(_T_8811, _T_8812) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8814 = or(_T_8808, _T_8813) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8815 = bits(_T_8814, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8816 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8815 : @[Reg.scala 28:19] _T_8816 <= _T_8805 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][126] <= _T_8816 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8817 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8818 = eq(_T_8817, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8819 = and(ic_valid_ff, _T_8818) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8820 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8821 = and(_T_8819, _T_8820) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8822 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8823 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8824 = and(_T_8822, _T_8823) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8825 = eq(perr_ic_index_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8826 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8827 = and(_T_8825, _T_8826) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8828 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8829 = and(_T_8827, _T_8828) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8830 = or(_T_8824, _T_8829) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8831 = bits(_T_8830, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][126] <= _T_8816 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8817 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8818 = eq(_T_8817, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8819 = and(ic_valid_ff, _T_8818) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8820 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8821 = and(_T_8819, _T_8820) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8822 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8823 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8824 = and(_T_8822, _T_8823) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8825 = eq(perr_ic_index_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8826 = bits(ifu_tag_wren_ff, 0, 0) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8827 = and(_T_8825, _T_8826) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8828 = bits(tag_valid_clken_3, 0, 0) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8829 = and(_T_8827, _T_8828) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8830 = or(_T_8824, _T_8829) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8831 = bits(_T_8830, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8832 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8831 : @[Reg.scala 28:19] _T_8832 <= _T_8821 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[0][127] <= _T_8832 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8833 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8834 = eq(_T_8833, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8835 = and(ic_valid_ff, _T_8834) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8836 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8837 = and(_T_8835, _T_8836) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8838 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8839 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8840 = and(_T_8838, _T_8839) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8841 = eq(perr_ic_index_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8842 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8843 = and(_T_8841, _T_8842) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8844 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8845 = and(_T_8843, _T_8844) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8846 = or(_T_8840, _T_8845) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8847 = bits(_T_8846, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[0][127] <= _T_8832 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8833 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8834 = eq(_T_8833, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8835 = and(ic_valid_ff, _T_8834) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8836 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8837 = and(_T_8835, _T_8836) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8838 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8839 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8840 = and(_T_8838, _T_8839) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8841 = eq(perr_ic_index_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8842 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8843 = and(_T_8841, _T_8842) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8844 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8845 = and(_T_8843, _T_8844) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8846 = or(_T_8840, _T_8845) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8847 = bits(_T_8846, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8848 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8847 : @[Reg.scala 28:19] _T_8848 <= _T_8837 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][96] <= _T_8848 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8849 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8850 = eq(_T_8849, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8851 = and(ic_valid_ff, _T_8850) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8852 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8853 = and(_T_8851, _T_8852) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8854 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8855 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8856 = and(_T_8854, _T_8855) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8857 = eq(perr_ic_index_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8858 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8859 = and(_T_8857, _T_8858) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8860 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8861 = and(_T_8859, _T_8860) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8862 = or(_T_8856, _T_8861) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8863 = bits(_T_8862, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][96] <= _T_8848 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8849 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8850 = eq(_T_8849, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8851 = and(ic_valid_ff, _T_8850) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8852 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8853 = and(_T_8851, _T_8852) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8854 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8855 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8856 = and(_T_8854, _T_8855) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8857 = eq(perr_ic_index_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8858 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8859 = and(_T_8857, _T_8858) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8860 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8861 = and(_T_8859, _T_8860) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8862 = or(_T_8856, _T_8861) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8863 = bits(_T_8862, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8864 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8863 : @[Reg.scala 28:19] _T_8864 <= _T_8853 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][97] <= _T_8864 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8865 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8866 = eq(_T_8865, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8867 = and(ic_valid_ff, _T_8866) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8868 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8869 = and(_T_8867, _T_8868) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8870 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8871 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8872 = and(_T_8870, _T_8871) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8873 = eq(perr_ic_index_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8874 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8875 = and(_T_8873, _T_8874) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8876 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8877 = and(_T_8875, _T_8876) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8878 = or(_T_8872, _T_8877) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8879 = bits(_T_8878, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][97] <= _T_8864 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8865 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8866 = eq(_T_8865, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8867 = and(ic_valid_ff, _T_8866) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8868 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8869 = and(_T_8867, _T_8868) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8870 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8871 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8872 = and(_T_8870, _T_8871) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8873 = eq(perr_ic_index_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8874 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8875 = and(_T_8873, _T_8874) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8876 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8877 = and(_T_8875, _T_8876) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8878 = or(_T_8872, _T_8877) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8879 = bits(_T_8878, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8880 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8879 : @[Reg.scala 28:19] _T_8880 <= _T_8869 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][98] <= _T_8880 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8881 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8882 = eq(_T_8881, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8883 = and(ic_valid_ff, _T_8882) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8884 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8885 = and(_T_8883, _T_8884) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8886 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8887 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8888 = and(_T_8886, _T_8887) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8889 = eq(perr_ic_index_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8890 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8891 = and(_T_8889, _T_8890) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8892 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8893 = and(_T_8891, _T_8892) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8894 = or(_T_8888, _T_8893) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8895 = bits(_T_8894, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][98] <= _T_8880 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8881 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8882 = eq(_T_8881, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8883 = and(ic_valid_ff, _T_8882) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8884 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8885 = and(_T_8883, _T_8884) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8886 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8887 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8888 = and(_T_8886, _T_8887) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8889 = eq(perr_ic_index_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8890 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8891 = and(_T_8889, _T_8890) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8892 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8893 = and(_T_8891, _T_8892) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8894 = or(_T_8888, _T_8893) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8895 = bits(_T_8894, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8896 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8895 : @[Reg.scala 28:19] _T_8896 <= _T_8885 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][99] <= _T_8896 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8897 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8898 = eq(_T_8897, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8899 = and(ic_valid_ff, _T_8898) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8900 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8901 = and(_T_8899, _T_8900) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8902 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8903 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8904 = and(_T_8902, _T_8903) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8905 = eq(perr_ic_index_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8906 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8907 = and(_T_8905, _T_8906) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8908 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8909 = and(_T_8907, _T_8908) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8910 = or(_T_8904, _T_8909) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8911 = bits(_T_8910, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][99] <= _T_8896 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8897 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8898 = eq(_T_8897, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8899 = and(ic_valid_ff, _T_8898) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8900 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8901 = and(_T_8899, _T_8900) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8902 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8903 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8904 = and(_T_8902, _T_8903) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8905 = eq(perr_ic_index_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8906 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8907 = and(_T_8905, _T_8906) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8908 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8909 = and(_T_8907, _T_8908) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8910 = or(_T_8904, _T_8909) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8911 = bits(_T_8910, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8912 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8911 : @[Reg.scala 28:19] _T_8912 <= _T_8901 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][100] <= _T_8912 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8913 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8914 = eq(_T_8913, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8915 = and(ic_valid_ff, _T_8914) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8916 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8917 = and(_T_8915, _T_8916) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8918 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8919 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8920 = and(_T_8918, _T_8919) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8921 = eq(perr_ic_index_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8922 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8923 = and(_T_8921, _T_8922) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8924 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8925 = and(_T_8923, _T_8924) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8926 = or(_T_8920, _T_8925) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8927 = bits(_T_8926, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][100] <= _T_8912 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8913 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8914 = eq(_T_8913, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8915 = and(ic_valid_ff, _T_8914) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8916 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8917 = and(_T_8915, _T_8916) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8918 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8919 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8920 = and(_T_8918, _T_8919) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8921 = eq(perr_ic_index_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8922 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8923 = and(_T_8921, _T_8922) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8924 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8925 = and(_T_8923, _T_8924) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8926 = or(_T_8920, _T_8925) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8927 = bits(_T_8926, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8928 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8927 : @[Reg.scala 28:19] _T_8928 <= _T_8917 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][101] <= _T_8928 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8929 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8930 = eq(_T_8929, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8931 = and(ic_valid_ff, _T_8930) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8932 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8933 = and(_T_8931, _T_8932) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8934 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8935 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8936 = and(_T_8934, _T_8935) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8937 = eq(perr_ic_index_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8938 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8939 = and(_T_8937, _T_8938) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8940 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8941 = and(_T_8939, _T_8940) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8942 = or(_T_8936, _T_8941) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8943 = bits(_T_8942, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][101] <= _T_8928 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8929 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8930 = eq(_T_8929, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8931 = and(ic_valid_ff, _T_8930) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8932 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8933 = and(_T_8931, _T_8932) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8934 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8935 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8936 = and(_T_8934, _T_8935) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8937 = eq(perr_ic_index_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8938 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8939 = and(_T_8937, _T_8938) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8940 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8941 = and(_T_8939, _T_8940) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8942 = or(_T_8936, _T_8941) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8943 = bits(_T_8942, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8944 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8943 : @[Reg.scala 28:19] _T_8944 <= _T_8933 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][102] <= _T_8944 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8945 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8946 = eq(_T_8945, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8947 = and(ic_valid_ff, _T_8946) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8948 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8949 = and(_T_8947, _T_8948) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8950 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8951 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8952 = and(_T_8950, _T_8951) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8953 = eq(perr_ic_index_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8954 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8955 = and(_T_8953, _T_8954) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8956 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8957 = and(_T_8955, _T_8956) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8958 = or(_T_8952, _T_8957) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8959 = bits(_T_8958, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][102] <= _T_8944 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8945 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8946 = eq(_T_8945, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8947 = and(ic_valid_ff, _T_8946) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8948 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8949 = and(_T_8947, _T_8948) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8950 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8951 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8952 = and(_T_8950, _T_8951) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8953 = eq(perr_ic_index_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8954 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8955 = and(_T_8953, _T_8954) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8956 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8957 = and(_T_8955, _T_8956) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8958 = or(_T_8952, _T_8957) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8959 = bits(_T_8958, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8960 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8959 : @[Reg.scala 28:19] _T_8960 <= _T_8949 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][103] <= _T_8960 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8961 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8962 = eq(_T_8961, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8963 = and(ic_valid_ff, _T_8962) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8964 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8965 = and(_T_8963, _T_8964) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8966 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8967 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8968 = and(_T_8966, _T_8967) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8969 = eq(perr_ic_index_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8970 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8971 = and(_T_8969, _T_8970) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8972 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8973 = and(_T_8971, _T_8972) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8974 = or(_T_8968, _T_8973) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8975 = bits(_T_8974, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][103] <= _T_8960 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8961 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8962 = eq(_T_8961, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8963 = and(ic_valid_ff, _T_8962) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8964 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8965 = and(_T_8963, _T_8964) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8966 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8967 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8968 = and(_T_8966, _T_8967) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8969 = eq(perr_ic_index_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8970 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8971 = and(_T_8969, _T_8970) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8972 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8973 = and(_T_8971, _T_8972) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8974 = or(_T_8968, _T_8973) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8975 = bits(_T_8974, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8976 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8975 : @[Reg.scala 28:19] _T_8976 <= _T_8965 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][104] <= _T_8976 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8977 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8978 = eq(_T_8977, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8979 = and(ic_valid_ff, _T_8978) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8980 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8981 = and(_T_8979, _T_8980) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8982 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8983 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_8984 = and(_T_8982, _T_8983) @[el2_ifu_mem_ctl.scala 748:58] - node _T_8985 = eq(perr_ic_index_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_8986 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_8987 = and(_T_8985, _T_8986) @[el2_ifu_mem_ctl.scala 748:123] - node _T_8988 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_8989 = and(_T_8987, _T_8988) @[el2_ifu_mem_ctl.scala 748:144] - node _T_8990 = or(_T_8984, _T_8989) @[el2_ifu_mem_ctl.scala 748:80] - node _T_8991 = bits(_T_8990, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][104] <= _T_8976 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8977 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8978 = eq(_T_8977, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8979 = and(ic_valid_ff, _T_8978) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8980 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8981 = and(_T_8979, _T_8980) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8982 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8983 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_8984 = and(_T_8982, _T_8983) @[el2_ifu_mem_ctl.scala 749:58] + node _T_8985 = eq(perr_ic_index_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_8986 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_8987 = and(_T_8985, _T_8986) @[el2_ifu_mem_ctl.scala 749:123] + node _T_8988 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_8989 = and(_T_8987, _T_8988) @[el2_ifu_mem_ctl.scala 749:144] + node _T_8990 = or(_T_8984, _T_8989) @[el2_ifu_mem_ctl.scala 749:80] + node _T_8991 = bits(_T_8990, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_8992 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_8991 : @[Reg.scala 28:19] _T_8992 <= _T_8981 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][105] <= _T_8992 @[el2_ifu_mem_ctl.scala 747:39] - node _T_8993 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_8994 = eq(_T_8993, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_8995 = and(ic_valid_ff, _T_8994) @[el2_ifu_mem_ctl.scala 747:64] - node _T_8996 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_8997 = and(_T_8995, _T_8996) @[el2_ifu_mem_ctl.scala 747:89] - node _T_8998 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_8999 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9000 = and(_T_8998, _T_8999) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9001 = eq(perr_ic_index_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9002 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9003 = and(_T_9001, _T_9002) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9004 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9005 = and(_T_9003, _T_9004) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9006 = or(_T_9000, _T_9005) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9007 = bits(_T_9006, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][105] <= _T_8992 @[el2_ifu_mem_ctl.scala 748:39] + node _T_8993 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_8994 = eq(_T_8993, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_8995 = and(ic_valid_ff, _T_8994) @[el2_ifu_mem_ctl.scala 748:64] + node _T_8996 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_8997 = and(_T_8995, _T_8996) @[el2_ifu_mem_ctl.scala 748:89] + node _T_8998 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_8999 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9000 = and(_T_8998, _T_8999) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9001 = eq(perr_ic_index_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9002 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9003 = and(_T_9001, _T_9002) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9004 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9005 = and(_T_9003, _T_9004) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9006 = or(_T_9000, _T_9005) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9007 = bits(_T_9006, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9008 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9007 : @[Reg.scala 28:19] _T_9008 <= _T_8997 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][106] <= _T_9008 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9009 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9010 = eq(_T_9009, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9011 = and(ic_valid_ff, _T_9010) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9012 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9013 = and(_T_9011, _T_9012) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9014 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9015 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9016 = and(_T_9014, _T_9015) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9017 = eq(perr_ic_index_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9018 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9019 = and(_T_9017, _T_9018) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9020 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9021 = and(_T_9019, _T_9020) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9022 = or(_T_9016, _T_9021) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9023 = bits(_T_9022, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][106] <= _T_9008 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9009 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9010 = eq(_T_9009, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9011 = and(ic_valid_ff, _T_9010) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9012 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9013 = and(_T_9011, _T_9012) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9014 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9015 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9016 = and(_T_9014, _T_9015) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9017 = eq(perr_ic_index_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9018 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9019 = and(_T_9017, _T_9018) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9020 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9021 = and(_T_9019, _T_9020) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9022 = or(_T_9016, _T_9021) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9023 = bits(_T_9022, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9024 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9023 : @[Reg.scala 28:19] _T_9024 <= _T_9013 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][107] <= _T_9024 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9025 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9026 = eq(_T_9025, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9027 = and(ic_valid_ff, _T_9026) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9028 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9029 = and(_T_9027, _T_9028) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9030 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9031 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9032 = and(_T_9030, _T_9031) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9033 = eq(perr_ic_index_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9034 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9035 = and(_T_9033, _T_9034) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9036 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9037 = and(_T_9035, _T_9036) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9038 = or(_T_9032, _T_9037) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9039 = bits(_T_9038, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][107] <= _T_9024 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9025 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9026 = eq(_T_9025, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9027 = and(ic_valid_ff, _T_9026) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9028 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9029 = and(_T_9027, _T_9028) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9030 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9031 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9032 = and(_T_9030, _T_9031) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9033 = eq(perr_ic_index_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9034 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9035 = and(_T_9033, _T_9034) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9036 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9037 = and(_T_9035, _T_9036) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9038 = or(_T_9032, _T_9037) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9039 = bits(_T_9038, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9040 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9039 : @[Reg.scala 28:19] _T_9040 <= _T_9029 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][108] <= _T_9040 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9041 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9042 = eq(_T_9041, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9043 = and(ic_valid_ff, _T_9042) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9044 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9045 = and(_T_9043, _T_9044) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9046 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9047 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9048 = and(_T_9046, _T_9047) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9049 = eq(perr_ic_index_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9050 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9051 = and(_T_9049, _T_9050) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9052 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9053 = and(_T_9051, _T_9052) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9054 = or(_T_9048, _T_9053) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9055 = bits(_T_9054, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][108] <= _T_9040 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9041 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9042 = eq(_T_9041, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9043 = and(ic_valid_ff, _T_9042) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9044 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9045 = and(_T_9043, _T_9044) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9046 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9047 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9048 = and(_T_9046, _T_9047) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9049 = eq(perr_ic_index_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9050 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9051 = and(_T_9049, _T_9050) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9052 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9053 = and(_T_9051, _T_9052) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9054 = or(_T_9048, _T_9053) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9055 = bits(_T_9054, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9056 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9055 : @[Reg.scala 28:19] _T_9056 <= _T_9045 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][109] <= _T_9056 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9057 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9058 = eq(_T_9057, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9059 = and(ic_valid_ff, _T_9058) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9060 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9061 = and(_T_9059, _T_9060) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9062 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9063 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9064 = and(_T_9062, _T_9063) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9065 = eq(perr_ic_index_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9066 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9067 = and(_T_9065, _T_9066) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9068 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9069 = and(_T_9067, _T_9068) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9070 = or(_T_9064, _T_9069) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9071 = bits(_T_9070, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][109] <= _T_9056 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9057 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9058 = eq(_T_9057, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9059 = and(ic_valid_ff, _T_9058) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9060 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9061 = and(_T_9059, _T_9060) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9062 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9063 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9064 = and(_T_9062, _T_9063) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9065 = eq(perr_ic_index_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9066 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9067 = and(_T_9065, _T_9066) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9068 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9069 = and(_T_9067, _T_9068) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9070 = or(_T_9064, _T_9069) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9071 = bits(_T_9070, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9072 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9071 : @[Reg.scala 28:19] _T_9072 <= _T_9061 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][110] <= _T_9072 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9073 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9074 = eq(_T_9073, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9075 = and(ic_valid_ff, _T_9074) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9076 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9077 = and(_T_9075, _T_9076) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9078 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9079 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9080 = and(_T_9078, _T_9079) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9081 = eq(perr_ic_index_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9082 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9083 = and(_T_9081, _T_9082) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9084 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9085 = and(_T_9083, _T_9084) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9086 = or(_T_9080, _T_9085) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9087 = bits(_T_9086, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][110] <= _T_9072 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9073 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9074 = eq(_T_9073, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9075 = and(ic_valid_ff, _T_9074) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9076 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9077 = and(_T_9075, _T_9076) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9078 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9079 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9080 = and(_T_9078, _T_9079) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9081 = eq(perr_ic_index_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9082 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9083 = and(_T_9081, _T_9082) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9084 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9085 = and(_T_9083, _T_9084) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9086 = or(_T_9080, _T_9085) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9087 = bits(_T_9086, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9088 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9087 : @[Reg.scala 28:19] _T_9088 <= _T_9077 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][111] <= _T_9088 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9089 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9090 = eq(_T_9089, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9091 = and(ic_valid_ff, _T_9090) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9092 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9093 = and(_T_9091, _T_9092) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9094 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9095 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9096 = and(_T_9094, _T_9095) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9097 = eq(perr_ic_index_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9098 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9099 = and(_T_9097, _T_9098) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9100 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9101 = and(_T_9099, _T_9100) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9102 = or(_T_9096, _T_9101) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9103 = bits(_T_9102, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][111] <= _T_9088 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9089 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9090 = eq(_T_9089, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9091 = and(ic_valid_ff, _T_9090) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9092 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9093 = and(_T_9091, _T_9092) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9094 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9095 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9096 = and(_T_9094, _T_9095) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9097 = eq(perr_ic_index_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9098 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9099 = and(_T_9097, _T_9098) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9100 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9101 = and(_T_9099, _T_9100) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9102 = or(_T_9096, _T_9101) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9103 = bits(_T_9102, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9104 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9103 : @[Reg.scala 28:19] _T_9104 <= _T_9093 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][112] <= _T_9104 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9105 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9106 = eq(_T_9105, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9107 = and(ic_valid_ff, _T_9106) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9108 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9109 = and(_T_9107, _T_9108) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9110 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9111 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9112 = and(_T_9110, _T_9111) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9113 = eq(perr_ic_index_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9114 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9115 = and(_T_9113, _T_9114) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9116 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9117 = and(_T_9115, _T_9116) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9118 = or(_T_9112, _T_9117) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9119 = bits(_T_9118, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][112] <= _T_9104 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9105 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9106 = eq(_T_9105, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9107 = and(ic_valid_ff, _T_9106) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9108 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9109 = and(_T_9107, _T_9108) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9110 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9111 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9112 = and(_T_9110, _T_9111) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9113 = eq(perr_ic_index_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9114 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9115 = and(_T_9113, _T_9114) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9116 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9117 = and(_T_9115, _T_9116) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9118 = or(_T_9112, _T_9117) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9119 = bits(_T_9118, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9120 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9119 : @[Reg.scala 28:19] _T_9120 <= _T_9109 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][113] <= _T_9120 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9121 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9122 = eq(_T_9121, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9123 = and(ic_valid_ff, _T_9122) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9124 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9125 = and(_T_9123, _T_9124) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9126 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9127 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9128 = and(_T_9126, _T_9127) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9129 = eq(perr_ic_index_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9130 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9131 = and(_T_9129, _T_9130) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9132 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9133 = and(_T_9131, _T_9132) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9134 = or(_T_9128, _T_9133) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9135 = bits(_T_9134, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][113] <= _T_9120 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9121 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9122 = eq(_T_9121, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9123 = and(ic_valid_ff, _T_9122) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9124 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9125 = and(_T_9123, _T_9124) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9126 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9127 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9128 = and(_T_9126, _T_9127) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9129 = eq(perr_ic_index_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9130 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9131 = and(_T_9129, _T_9130) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9132 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9133 = and(_T_9131, _T_9132) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9134 = or(_T_9128, _T_9133) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9135 = bits(_T_9134, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9136 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9135 : @[Reg.scala 28:19] _T_9136 <= _T_9125 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][114] <= _T_9136 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9137 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9138 = eq(_T_9137, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9139 = and(ic_valid_ff, _T_9138) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9140 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9141 = and(_T_9139, _T_9140) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9142 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9143 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9144 = and(_T_9142, _T_9143) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9145 = eq(perr_ic_index_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9146 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9147 = and(_T_9145, _T_9146) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9148 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9149 = and(_T_9147, _T_9148) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9150 = or(_T_9144, _T_9149) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9151 = bits(_T_9150, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][114] <= _T_9136 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9137 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9138 = eq(_T_9137, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9139 = and(ic_valid_ff, _T_9138) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9140 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9141 = and(_T_9139, _T_9140) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9142 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9143 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9144 = and(_T_9142, _T_9143) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9145 = eq(perr_ic_index_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9146 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9147 = and(_T_9145, _T_9146) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9148 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9149 = and(_T_9147, _T_9148) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9150 = or(_T_9144, _T_9149) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9151 = bits(_T_9150, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9152 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9151 : @[Reg.scala 28:19] _T_9152 <= _T_9141 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][115] <= _T_9152 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9153 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9154 = eq(_T_9153, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9155 = and(ic_valid_ff, _T_9154) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9156 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9157 = and(_T_9155, _T_9156) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9158 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9159 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9160 = and(_T_9158, _T_9159) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9161 = eq(perr_ic_index_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9162 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9163 = and(_T_9161, _T_9162) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9164 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9165 = and(_T_9163, _T_9164) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9166 = or(_T_9160, _T_9165) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9167 = bits(_T_9166, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][115] <= _T_9152 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9153 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9154 = eq(_T_9153, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9155 = and(ic_valid_ff, _T_9154) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9156 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9157 = and(_T_9155, _T_9156) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9158 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9159 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9160 = and(_T_9158, _T_9159) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9161 = eq(perr_ic_index_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9162 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9163 = and(_T_9161, _T_9162) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9164 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9165 = and(_T_9163, _T_9164) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9166 = or(_T_9160, _T_9165) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9167 = bits(_T_9166, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9168 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9167 : @[Reg.scala 28:19] _T_9168 <= _T_9157 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][116] <= _T_9168 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9169 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9170 = eq(_T_9169, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9171 = and(ic_valid_ff, _T_9170) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9172 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9173 = and(_T_9171, _T_9172) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9174 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9175 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9176 = and(_T_9174, _T_9175) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9177 = eq(perr_ic_index_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9178 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9179 = and(_T_9177, _T_9178) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9180 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9181 = and(_T_9179, _T_9180) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9182 = or(_T_9176, _T_9181) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9183 = bits(_T_9182, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][116] <= _T_9168 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9169 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9170 = eq(_T_9169, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9171 = and(ic_valid_ff, _T_9170) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9172 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9173 = and(_T_9171, _T_9172) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9174 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9175 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9176 = and(_T_9174, _T_9175) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9177 = eq(perr_ic_index_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9178 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9179 = and(_T_9177, _T_9178) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9180 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9181 = and(_T_9179, _T_9180) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9182 = or(_T_9176, _T_9181) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9183 = bits(_T_9182, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9184 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9183 : @[Reg.scala 28:19] _T_9184 <= _T_9173 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][117] <= _T_9184 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9185 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9186 = eq(_T_9185, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9187 = and(ic_valid_ff, _T_9186) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9188 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9189 = and(_T_9187, _T_9188) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9190 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9191 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9192 = and(_T_9190, _T_9191) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9193 = eq(perr_ic_index_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9194 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9195 = and(_T_9193, _T_9194) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9196 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9197 = and(_T_9195, _T_9196) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9198 = or(_T_9192, _T_9197) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9199 = bits(_T_9198, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][117] <= _T_9184 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9185 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9186 = eq(_T_9185, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9187 = and(ic_valid_ff, _T_9186) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9188 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9189 = and(_T_9187, _T_9188) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9190 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9191 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9192 = and(_T_9190, _T_9191) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9193 = eq(perr_ic_index_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9194 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9195 = and(_T_9193, _T_9194) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9196 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9197 = and(_T_9195, _T_9196) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9198 = or(_T_9192, _T_9197) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9199 = bits(_T_9198, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9200 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9199 : @[Reg.scala 28:19] _T_9200 <= _T_9189 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][118] <= _T_9200 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9201 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9202 = eq(_T_9201, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9203 = and(ic_valid_ff, _T_9202) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9204 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9205 = and(_T_9203, _T_9204) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9206 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9207 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9208 = and(_T_9206, _T_9207) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9209 = eq(perr_ic_index_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9210 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9211 = and(_T_9209, _T_9210) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9212 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9213 = and(_T_9211, _T_9212) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9214 = or(_T_9208, _T_9213) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9215 = bits(_T_9214, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][118] <= _T_9200 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9201 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9202 = eq(_T_9201, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9203 = and(ic_valid_ff, _T_9202) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9204 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9205 = and(_T_9203, _T_9204) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9206 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9207 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9208 = and(_T_9206, _T_9207) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9209 = eq(perr_ic_index_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9210 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9211 = and(_T_9209, _T_9210) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9212 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9213 = and(_T_9211, _T_9212) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9214 = or(_T_9208, _T_9213) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9215 = bits(_T_9214, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9216 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9215 : @[Reg.scala 28:19] _T_9216 <= _T_9205 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][119] <= _T_9216 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9217 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9218 = eq(_T_9217, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9219 = and(ic_valid_ff, _T_9218) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9220 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9221 = and(_T_9219, _T_9220) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9222 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9223 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9224 = and(_T_9222, _T_9223) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9225 = eq(perr_ic_index_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9226 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9227 = and(_T_9225, _T_9226) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9228 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9229 = and(_T_9227, _T_9228) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9230 = or(_T_9224, _T_9229) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9231 = bits(_T_9230, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][119] <= _T_9216 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9217 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9218 = eq(_T_9217, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9219 = and(ic_valid_ff, _T_9218) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9220 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9221 = and(_T_9219, _T_9220) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9222 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9223 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9224 = and(_T_9222, _T_9223) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9225 = eq(perr_ic_index_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9226 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9227 = and(_T_9225, _T_9226) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9228 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9229 = and(_T_9227, _T_9228) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9230 = or(_T_9224, _T_9229) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9231 = bits(_T_9230, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9232 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9231 : @[Reg.scala 28:19] _T_9232 <= _T_9221 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][120] <= _T_9232 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9233 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9234 = eq(_T_9233, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9235 = and(ic_valid_ff, _T_9234) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9236 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9237 = and(_T_9235, _T_9236) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9238 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9239 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9240 = and(_T_9238, _T_9239) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9241 = eq(perr_ic_index_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9242 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9243 = and(_T_9241, _T_9242) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9244 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9245 = and(_T_9243, _T_9244) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9246 = or(_T_9240, _T_9245) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9247 = bits(_T_9246, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][120] <= _T_9232 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9233 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9234 = eq(_T_9233, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9235 = and(ic_valid_ff, _T_9234) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9236 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9237 = and(_T_9235, _T_9236) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9238 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9239 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9240 = and(_T_9238, _T_9239) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9241 = eq(perr_ic_index_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9242 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9243 = and(_T_9241, _T_9242) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9244 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9245 = and(_T_9243, _T_9244) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9246 = or(_T_9240, _T_9245) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9247 = bits(_T_9246, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9248 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9247 : @[Reg.scala 28:19] _T_9248 <= _T_9237 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][121] <= _T_9248 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9249 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9250 = eq(_T_9249, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9251 = and(ic_valid_ff, _T_9250) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9252 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9253 = and(_T_9251, _T_9252) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9254 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9255 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9256 = and(_T_9254, _T_9255) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9257 = eq(perr_ic_index_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9258 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9259 = and(_T_9257, _T_9258) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9260 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9261 = and(_T_9259, _T_9260) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9262 = or(_T_9256, _T_9261) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9263 = bits(_T_9262, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][121] <= _T_9248 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9249 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9250 = eq(_T_9249, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9251 = and(ic_valid_ff, _T_9250) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9252 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9253 = and(_T_9251, _T_9252) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9254 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9255 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9256 = and(_T_9254, _T_9255) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9257 = eq(perr_ic_index_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9258 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9259 = and(_T_9257, _T_9258) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9260 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9261 = and(_T_9259, _T_9260) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9262 = or(_T_9256, _T_9261) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9263 = bits(_T_9262, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9264 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9263 : @[Reg.scala 28:19] _T_9264 <= _T_9253 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][122] <= _T_9264 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9265 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9266 = eq(_T_9265, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9267 = and(ic_valid_ff, _T_9266) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9268 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9269 = and(_T_9267, _T_9268) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9270 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9271 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9272 = and(_T_9270, _T_9271) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9273 = eq(perr_ic_index_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9274 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9275 = and(_T_9273, _T_9274) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9276 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9277 = and(_T_9275, _T_9276) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9278 = or(_T_9272, _T_9277) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9279 = bits(_T_9278, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][122] <= _T_9264 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9265 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9266 = eq(_T_9265, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9267 = and(ic_valid_ff, _T_9266) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9268 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9269 = and(_T_9267, _T_9268) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9270 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9271 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9272 = and(_T_9270, _T_9271) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9273 = eq(perr_ic_index_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9274 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9275 = and(_T_9273, _T_9274) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9276 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9277 = and(_T_9275, _T_9276) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9278 = or(_T_9272, _T_9277) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9279 = bits(_T_9278, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9280 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9279 : @[Reg.scala 28:19] _T_9280 <= _T_9269 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][123] <= _T_9280 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9281 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9282 = eq(_T_9281, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9283 = and(ic_valid_ff, _T_9282) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9284 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9285 = and(_T_9283, _T_9284) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9286 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9287 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9288 = and(_T_9286, _T_9287) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9289 = eq(perr_ic_index_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9290 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9291 = and(_T_9289, _T_9290) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9292 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9293 = and(_T_9291, _T_9292) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9294 = or(_T_9288, _T_9293) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9295 = bits(_T_9294, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][123] <= _T_9280 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9281 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9282 = eq(_T_9281, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9283 = and(ic_valid_ff, _T_9282) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9284 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9285 = and(_T_9283, _T_9284) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9286 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9287 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9288 = and(_T_9286, _T_9287) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9289 = eq(perr_ic_index_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9290 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9291 = and(_T_9289, _T_9290) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9292 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9293 = and(_T_9291, _T_9292) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9294 = or(_T_9288, _T_9293) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9295 = bits(_T_9294, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9296 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9295 : @[Reg.scala 28:19] _T_9296 <= _T_9285 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][124] <= _T_9296 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9297 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9298 = eq(_T_9297, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9299 = and(ic_valid_ff, _T_9298) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9300 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9301 = and(_T_9299, _T_9300) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9302 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9303 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9304 = and(_T_9302, _T_9303) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9305 = eq(perr_ic_index_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9306 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9307 = and(_T_9305, _T_9306) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9308 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9309 = and(_T_9307, _T_9308) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9310 = or(_T_9304, _T_9309) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9311 = bits(_T_9310, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][124] <= _T_9296 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9297 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9298 = eq(_T_9297, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9299 = and(ic_valid_ff, _T_9298) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9300 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9301 = and(_T_9299, _T_9300) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9302 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9303 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9304 = and(_T_9302, _T_9303) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9305 = eq(perr_ic_index_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9306 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9307 = and(_T_9305, _T_9306) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9308 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9309 = and(_T_9307, _T_9308) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9310 = or(_T_9304, _T_9309) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9311 = bits(_T_9310, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9312 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9311 : @[Reg.scala 28:19] _T_9312 <= _T_9301 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][125] <= _T_9312 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9313 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9314 = eq(_T_9313, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9315 = and(ic_valid_ff, _T_9314) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9316 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9317 = and(_T_9315, _T_9316) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9318 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9319 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9320 = and(_T_9318, _T_9319) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9321 = eq(perr_ic_index_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9322 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9323 = and(_T_9321, _T_9322) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9324 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9325 = and(_T_9323, _T_9324) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9326 = or(_T_9320, _T_9325) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9327 = bits(_T_9326, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][125] <= _T_9312 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9313 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9314 = eq(_T_9313, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9315 = and(ic_valid_ff, _T_9314) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9316 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9317 = and(_T_9315, _T_9316) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9318 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9319 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9320 = and(_T_9318, _T_9319) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9321 = eq(perr_ic_index_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9322 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9323 = and(_T_9321, _T_9322) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9324 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9325 = and(_T_9323, _T_9324) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9326 = or(_T_9320, _T_9325) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9327 = bits(_T_9326, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9328 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9327 : @[Reg.scala 28:19] _T_9328 <= _T_9317 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][126] <= _T_9328 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9329 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 747:82] - node _T_9330 = eq(_T_9329, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:66] - node _T_9331 = and(ic_valid_ff, _T_9330) @[el2_ifu_mem_ctl.scala 747:64] - node _T_9332 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 747:91] - node _T_9333 = and(_T_9331, _T_9332) @[el2_ifu_mem_ctl.scala 747:89] - node _T_9334 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 748:36] - node _T_9335 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:75] - node _T_9336 = and(_T_9334, _T_9335) @[el2_ifu_mem_ctl.scala 748:58] - node _T_9337 = eq(perr_ic_index_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 748:101] - node _T_9338 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 748:140] - node _T_9339 = and(_T_9337, _T_9338) @[el2_ifu_mem_ctl.scala 748:123] - node _T_9340 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 748:163] - node _T_9341 = and(_T_9339, _T_9340) @[el2_ifu_mem_ctl.scala 748:144] - node _T_9342 = or(_T_9336, _T_9341) @[el2_ifu_mem_ctl.scala 748:80] - node _T_9343 = bits(_T_9342, 0, 0) @[el2_ifu_mem_ctl.scala 748:168] + ic_tag_valid_out[1][126] <= _T_9328 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9329 = bits(reset_all_tags, 0, 0) @[el2_ifu_mem_ctl.scala 748:82] + node _T_9330 = eq(_T_9329, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:66] + node _T_9331 = and(ic_valid_ff, _T_9330) @[el2_ifu_mem_ctl.scala 748:64] + node _T_9332 = eq(perr_sel_invalidate, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 748:91] + node _T_9333 = and(_T_9331, _T_9332) @[el2_ifu_mem_ctl.scala 748:89] + node _T_9334 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 749:36] + node _T_9335 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:75] + node _T_9336 = and(_T_9334, _T_9335) @[el2_ifu_mem_ctl.scala 749:58] + node _T_9337 = eq(perr_ic_index_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 749:101] + node _T_9338 = bits(ifu_tag_wren_ff, 1, 1) @[el2_ifu_mem_ctl.scala 749:140] + node _T_9339 = and(_T_9337, _T_9338) @[el2_ifu_mem_ctl.scala 749:123] + node _T_9340 = bits(tag_valid_clken_3, 1, 1) @[el2_ifu_mem_ctl.scala 749:163] + node _T_9341 = and(_T_9339, _T_9340) @[el2_ifu_mem_ctl.scala 749:144] + node _T_9342 = or(_T_9336, _T_9341) @[el2_ifu_mem_ctl.scala 749:80] + node _T_9343 = bits(_T_9342, 0, 0) @[el2_ifu_mem_ctl.scala 749:168] reg _T_9344 : UInt<1>, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_9343 : @[Reg.scala 28:19] _T_9344 <= _T_9333 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_tag_valid_out[1][127] <= _T_9344 @[el2_ifu_mem_ctl.scala 747:39] - node _T_9345 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9346 = mux(_T_9345, ic_tag_valid_out[0][0], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9347 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9348 = mux(_T_9347, ic_tag_valid_out[0][1], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9349 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9350 = mux(_T_9349, ic_tag_valid_out[0][2], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9351 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9352 = mux(_T_9351, ic_tag_valid_out[0][3], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9353 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9354 = mux(_T_9353, ic_tag_valid_out[0][4], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9355 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9356 = mux(_T_9355, ic_tag_valid_out[0][5], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9357 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9358 = mux(_T_9357, ic_tag_valid_out[0][6], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9359 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9360 = mux(_T_9359, ic_tag_valid_out[0][7], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9361 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9362 = mux(_T_9361, ic_tag_valid_out[0][8], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9363 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9364 = mux(_T_9363, ic_tag_valid_out[0][9], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9365 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9366 = mux(_T_9365, ic_tag_valid_out[0][10], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9367 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9368 = mux(_T_9367, ic_tag_valid_out[0][11], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9369 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9370 = mux(_T_9369, ic_tag_valid_out[0][12], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9371 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9372 = mux(_T_9371, ic_tag_valid_out[0][13], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9373 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9374 = mux(_T_9373, ic_tag_valid_out[0][14], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9375 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9376 = mux(_T_9375, ic_tag_valid_out[0][15], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9377 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9378 = mux(_T_9377, ic_tag_valid_out[0][16], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9379 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9380 = mux(_T_9379, ic_tag_valid_out[0][17], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9381 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9382 = mux(_T_9381, ic_tag_valid_out[0][18], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9383 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9384 = mux(_T_9383, ic_tag_valid_out[0][19], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9385 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9386 = mux(_T_9385, ic_tag_valid_out[0][20], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9387 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9388 = mux(_T_9387, ic_tag_valid_out[0][21], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9389 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9390 = mux(_T_9389, ic_tag_valid_out[0][22], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9391 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9392 = mux(_T_9391, ic_tag_valid_out[0][23], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9393 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9394 = mux(_T_9393, ic_tag_valid_out[0][24], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9395 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9396 = mux(_T_9395, ic_tag_valid_out[0][25], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9397 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9398 = mux(_T_9397, ic_tag_valid_out[0][26], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9399 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9400 = mux(_T_9399, ic_tag_valid_out[0][27], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9401 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9402 = mux(_T_9401, ic_tag_valid_out[0][28], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9403 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9404 = mux(_T_9403, ic_tag_valid_out[0][29], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9405 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9406 = mux(_T_9405, ic_tag_valid_out[0][30], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9407 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9408 = mux(_T_9407, ic_tag_valid_out[0][31], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9409 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9410 = mux(_T_9409, ic_tag_valid_out[0][32], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9411 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9412 = mux(_T_9411, ic_tag_valid_out[0][33], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9413 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9414 = mux(_T_9413, ic_tag_valid_out[0][34], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9415 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9416 = mux(_T_9415, ic_tag_valid_out[0][35], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9417 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9418 = mux(_T_9417, ic_tag_valid_out[0][36], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9419 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9420 = mux(_T_9419, ic_tag_valid_out[0][37], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9421 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9422 = mux(_T_9421, ic_tag_valid_out[0][38], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9423 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9424 = mux(_T_9423, ic_tag_valid_out[0][39], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9425 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9426 = mux(_T_9425, ic_tag_valid_out[0][40], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9427 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9428 = mux(_T_9427, ic_tag_valid_out[0][41], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9429 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9430 = mux(_T_9429, ic_tag_valid_out[0][42], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9431 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9432 = mux(_T_9431, ic_tag_valid_out[0][43], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9433 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9434 = mux(_T_9433, ic_tag_valid_out[0][44], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9435 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9436 = mux(_T_9435, ic_tag_valid_out[0][45], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9437 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9438 = mux(_T_9437, ic_tag_valid_out[0][46], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9439 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9440 = mux(_T_9439, ic_tag_valid_out[0][47], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9441 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9442 = mux(_T_9441, ic_tag_valid_out[0][48], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9443 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9444 = mux(_T_9443, ic_tag_valid_out[0][49], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9445 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9446 = mux(_T_9445, ic_tag_valid_out[0][50], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9447 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9448 = mux(_T_9447, ic_tag_valid_out[0][51], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9449 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9450 = mux(_T_9449, ic_tag_valid_out[0][52], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9451 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9452 = mux(_T_9451, ic_tag_valid_out[0][53], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9453 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9454 = mux(_T_9453, ic_tag_valid_out[0][54], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9455 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9456 = mux(_T_9455, ic_tag_valid_out[0][55], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9457 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9458 = mux(_T_9457, ic_tag_valid_out[0][56], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9459 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9460 = mux(_T_9459, ic_tag_valid_out[0][57], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9461 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9462 = mux(_T_9461, ic_tag_valid_out[0][58], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9463 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9464 = mux(_T_9463, ic_tag_valid_out[0][59], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9465 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9466 = mux(_T_9465, ic_tag_valid_out[0][60], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9467 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9468 = mux(_T_9467, ic_tag_valid_out[0][61], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9469 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9470 = mux(_T_9469, ic_tag_valid_out[0][62], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9471 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9472 = mux(_T_9471, ic_tag_valid_out[0][63], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9473 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9474 = mux(_T_9473, ic_tag_valid_out[0][64], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9475 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9476 = mux(_T_9475, ic_tag_valid_out[0][65], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9477 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9478 = mux(_T_9477, ic_tag_valid_out[0][66], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9479 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9480 = mux(_T_9479, ic_tag_valid_out[0][67], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9481 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9482 = mux(_T_9481, ic_tag_valid_out[0][68], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9483 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9484 = mux(_T_9483, ic_tag_valid_out[0][69], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9485 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9486 = mux(_T_9485, ic_tag_valid_out[0][70], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9487 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9488 = mux(_T_9487, ic_tag_valid_out[0][71], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9489 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9490 = mux(_T_9489, ic_tag_valid_out[0][72], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9491 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9492 = mux(_T_9491, ic_tag_valid_out[0][73], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9493 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9494 = mux(_T_9493, ic_tag_valid_out[0][74], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9495 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9496 = mux(_T_9495, ic_tag_valid_out[0][75], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9497 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9498 = mux(_T_9497, ic_tag_valid_out[0][76], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9499 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9500 = mux(_T_9499, ic_tag_valid_out[0][77], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9501 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9502 = mux(_T_9501, ic_tag_valid_out[0][78], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9503 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9504 = mux(_T_9503, ic_tag_valid_out[0][79], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9505 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9506 = mux(_T_9505, ic_tag_valid_out[0][80], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9507 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9508 = mux(_T_9507, ic_tag_valid_out[0][81], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9509 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9510 = mux(_T_9509, ic_tag_valid_out[0][82], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9511 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9512 = mux(_T_9511, ic_tag_valid_out[0][83], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9513 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9514 = mux(_T_9513, ic_tag_valid_out[0][84], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9515 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9516 = mux(_T_9515, ic_tag_valid_out[0][85], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9517 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9518 = mux(_T_9517, ic_tag_valid_out[0][86], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9519 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9520 = mux(_T_9519, ic_tag_valid_out[0][87], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9521 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9522 = mux(_T_9521, ic_tag_valid_out[0][88], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9523 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9524 = mux(_T_9523, ic_tag_valid_out[0][89], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9525 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9526 = mux(_T_9525, ic_tag_valid_out[0][90], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9527 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9528 = mux(_T_9527, ic_tag_valid_out[0][91], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9529 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9530 = mux(_T_9529, ic_tag_valid_out[0][92], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9531 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9532 = mux(_T_9531, ic_tag_valid_out[0][93], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9533 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9534 = mux(_T_9533, ic_tag_valid_out[0][94], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9535 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9536 = mux(_T_9535, ic_tag_valid_out[0][95], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9537 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9538 = mux(_T_9537, ic_tag_valid_out[0][96], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9539 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9540 = mux(_T_9539, ic_tag_valid_out[0][97], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9541 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9542 = mux(_T_9541, ic_tag_valid_out[0][98], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9543 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9544 = mux(_T_9543, ic_tag_valid_out[0][99], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9545 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9546 = mux(_T_9545, ic_tag_valid_out[0][100], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9547 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9548 = mux(_T_9547, ic_tag_valid_out[0][101], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9549 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9550 = mux(_T_9549, ic_tag_valid_out[0][102], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9551 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9552 = mux(_T_9551, ic_tag_valid_out[0][103], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9553 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9554 = mux(_T_9553, ic_tag_valid_out[0][104], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9555 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9556 = mux(_T_9555, ic_tag_valid_out[0][105], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9557 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9558 = mux(_T_9557, ic_tag_valid_out[0][106], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9559 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9560 = mux(_T_9559, ic_tag_valid_out[0][107], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9561 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9562 = mux(_T_9561, ic_tag_valid_out[0][108], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9563 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9564 = mux(_T_9563, ic_tag_valid_out[0][109], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9565 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9566 = mux(_T_9565, ic_tag_valid_out[0][110], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9567 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9568 = mux(_T_9567, ic_tag_valid_out[0][111], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9569 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9570 = mux(_T_9569, ic_tag_valid_out[0][112], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9571 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9572 = mux(_T_9571, ic_tag_valid_out[0][113], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9573 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9574 = mux(_T_9573, ic_tag_valid_out[0][114], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9575 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9576 = mux(_T_9575, ic_tag_valid_out[0][115], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9577 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9578 = mux(_T_9577, ic_tag_valid_out[0][116], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9579 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9580 = mux(_T_9579, ic_tag_valid_out[0][117], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9581 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9582 = mux(_T_9581, ic_tag_valid_out[0][118], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9583 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9584 = mux(_T_9583, ic_tag_valid_out[0][119], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9585 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9586 = mux(_T_9585, ic_tag_valid_out[0][120], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9587 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9588 = mux(_T_9587, ic_tag_valid_out[0][121], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9589 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9590 = mux(_T_9589, ic_tag_valid_out[0][122], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9591 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9592 = mux(_T_9591, ic_tag_valid_out[0][123], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9593 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9594 = mux(_T_9593, ic_tag_valid_out[0][124], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9595 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9596 = mux(_T_9595, ic_tag_valid_out[0][125], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9597 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9598 = mux(_T_9597, ic_tag_valid_out[0][126], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9599 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9600 = mux(_T_9599, ic_tag_valid_out[0][127], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9601 = or(_T_9346, _T_9348) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9602 = or(_T_9601, _T_9350) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9603 = or(_T_9602, _T_9352) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9604 = or(_T_9603, _T_9354) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9605 = or(_T_9604, _T_9356) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9606 = or(_T_9605, _T_9358) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9607 = or(_T_9606, _T_9360) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9608 = or(_T_9607, _T_9362) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9609 = or(_T_9608, _T_9364) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9610 = or(_T_9609, _T_9366) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9611 = or(_T_9610, _T_9368) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9612 = or(_T_9611, _T_9370) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9613 = or(_T_9612, _T_9372) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9614 = or(_T_9613, _T_9374) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9615 = or(_T_9614, _T_9376) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9616 = or(_T_9615, _T_9378) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9617 = or(_T_9616, _T_9380) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9618 = or(_T_9617, _T_9382) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9619 = or(_T_9618, _T_9384) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9620 = or(_T_9619, _T_9386) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9621 = or(_T_9620, _T_9388) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9622 = or(_T_9621, _T_9390) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9623 = or(_T_9622, _T_9392) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9624 = or(_T_9623, _T_9394) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9625 = or(_T_9624, _T_9396) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9626 = or(_T_9625, _T_9398) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9627 = or(_T_9626, _T_9400) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9628 = or(_T_9627, _T_9402) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9629 = or(_T_9628, _T_9404) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9630 = or(_T_9629, _T_9406) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9631 = or(_T_9630, _T_9408) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9632 = or(_T_9631, _T_9410) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9633 = or(_T_9632, _T_9412) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9634 = or(_T_9633, _T_9414) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9635 = or(_T_9634, _T_9416) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9636 = or(_T_9635, _T_9418) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9637 = or(_T_9636, _T_9420) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9638 = or(_T_9637, _T_9422) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9639 = or(_T_9638, _T_9424) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9640 = or(_T_9639, _T_9426) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9641 = or(_T_9640, _T_9428) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9642 = or(_T_9641, _T_9430) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9643 = or(_T_9642, _T_9432) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9644 = or(_T_9643, _T_9434) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9645 = or(_T_9644, _T_9436) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9646 = or(_T_9645, _T_9438) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9647 = or(_T_9646, _T_9440) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9648 = or(_T_9647, _T_9442) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9649 = or(_T_9648, _T_9444) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9650 = or(_T_9649, _T_9446) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9651 = or(_T_9650, _T_9448) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9652 = or(_T_9651, _T_9450) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9653 = or(_T_9652, _T_9452) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9654 = or(_T_9653, _T_9454) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9655 = or(_T_9654, _T_9456) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9656 = or(_T_9655, _T_9458) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9657 = or(_T_9656, _T_9460) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9658 = or(_T_9657, _T_9462) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9659 = or(_T_9658, _T_9464) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9660 = or(_T_9659, _T_9466) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9661 = or(_T_9660, _T_9468) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9662 = or(_T_9661, _T_9470) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9663 = or(_T_9662, _T_9472) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9664 = or(_T_9663, _T_9474) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9665 = or(_T_9664, _T_9476) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9666 = or(_T_9665, _T_9478) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9667 = or(_T_9666, _T_9480) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9668 = or(_T_9667, _T_9482) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9669 = or(_T_9668, _T_9484) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9670 = or(_T_9669, _T_9486) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9671 = or(_T_9670, _T_9488) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9672 = or(_T_9671, _T_9490) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9673 = or(_T_9672, _T_9492) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9674 = or(_T_9673, _T_9494) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9675 = or(_T_9674, _T_9496) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9676 = or(_T_9675, _T_9498) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9677 = or(_T_9676, _T_9500) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9678 = or(_T_9677, _T_9502) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9679 = or(_T_9678, _T_9504) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9680 = or(_T_9679, _T_9506) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9681 = or(_T_9680, _T_9508) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9682 = or(_T_9681, _T_9510) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9683 = or(_T_9682, _T_9512) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9684 = or(_T_9683, _T_9514) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9685 = or(_T_9684, _T_9516) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9686 = or(_T_9685, _T_9518) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9687 = or(_T_9686, _T_9520) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9688 = or(_T_9687, _T_9522) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9689 = or(_T_9688, _T_9524) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9690 = or(_T_9689, _T_9526) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9691 = or(_T_9690, _T_9528) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9692 = or(_T_9691, _T_9530) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9693 = or(_T_9692, _T_9532) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9694 = or(_T_9693, _T_9534) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9695 = or(_T_9694, _T_9536) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9696 = or(_T_9695, _T_9538) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9697 = or(_T_9696, _T_9540) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9698 = or(_T_9697, _T_9542) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9699 = or(_T_9698, _T_9544) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9700 = or(_T_9699, _T_9546) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9701 = or(_T_9700, _T_9548) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9702 = or(_T_9701, _T_9550) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9703 = or(_T_9702, _T_9552) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9704 = or(_T_9703, _T_9554) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9705 = or(_T_9704, _T_9556) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9706 = or(_T_9705, _T_9558) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9707 = or(_T_9706, _T_9560) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9708 = or(_T_9707, _T_9562) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9709 = or(_T_9708, _T_9564) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9710 = or(_T_9709, _T_9566) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9711 = or(_T_9710, _T_9568) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9712 = or(_T_9711, _T_9570) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9713 = or(_T_9712, _T_9572) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9714 = or(_T_9713, _T_9574) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9715 = or(_T_9714, _T_9576) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9716 = or(_T_9715, _T_9578) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9717 = or(_T_9716, _T_9580) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9718 = or(_T_9717, _T_9582) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9719 = or(_T_9718, _T_9584) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9720 = or(_T_9719, _T_9586) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9721 = or(_T_9720, _T_9588) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9722 = or(_T_9721, _T_9590) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9723 = or(_T_9722, _T_9592) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9724 = or(_T_9723, _T_9594) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9725 = or(_T_9724, _T_9596) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9726 = or(_T_9725, _T_9598) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9727 = or(_T_9726, _T_9600) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9728 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9729 = mux(_T_9728, ic_tag_valid_out[1][0], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9730 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9731 = mux(_T_9730, ic_tag_valid_out[1][1], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9732 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9733 = mux(_T_9732, ic_tag_valid_out[1][2], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9734 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9735 = mux(_T_9734, ic_tag_valid_out[1][3], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9736 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9737 = mux(_T_9736, ic_tag_valid_out[1][4], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9738 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9739 = mux(_T_9738, ic_tag_valid_out[1][5], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9740 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9741 = mux(_T_9740, ic_tag_valid_out[1][6], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9742 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9743 = mux(_T_9742, ic_tag_valid_out[1][7], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9744 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9745 = mux(_T_9744, ic_tag_valid_out[1][8], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9746 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9747 = mux(_T_9746, ic_tag_valid_out[1][9], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9748 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9749 = mux(_T_9748, ic_tag_valid_out[1][10], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9750 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9751 = mux(_T_9750, ic_tag_valid_out[1][11], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9752 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9753 = mux(_T_9752, ic_tag_valid_out[1][12], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9754 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9755 = mux(_T_9754, ic_tag_valid_out[1][13], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9756 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9757 = mux(_T_9756, ic_tag_valid_out[1][14], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9758 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9759 = mux(_T_9758, ic_tag_valid_out[1][15], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9760 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9761 = mux(_T_9760, ic_tag_valid_out[1][16], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9762 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9763 = mux(_T_9762, ic_tag_valid_out[1][17], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9764 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9765 = mux(_T_9764, ic_tag_valid_out[1][18], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9766 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9767 = mux(_T_9766, ic_tag_valid_out[1][19], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9768 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9769 = mux(_T_9768, ic_tag_valid_out[1][20], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9770 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9771 = mux(_T_9770, ic_tag_valid_out[1][21], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9772 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9773 = mux(_T_9772, ic_tag_valid_out[1][22], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9774 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9775 = mux(_T_9774, ic_tag_valid_out[1][23], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9776 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9777 = mux(_T_9776, ic_tag_valid_out[1][24], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9778 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9779 = mux(_T_9778, ic_tag_valid_out[1][25], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9780 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9781 = mux(_T_9780, ic_tag_valid_out[1][26], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9782 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9783 = mux(_T_9782, ic_tag_valid_out[1][27], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9784 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9785 = mux(_T_9784, ic_tag_valid_out[1][28], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9786 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9787 = mux(_T_9786, ic_tag_valid_out[1][29], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9788 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9789 = mux(_T_9788, ic_tag_valid_out[1][30], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9790 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9791 = mux(_T_9790, ic_tag_valid_out[1][31], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9792 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9793 = mux(_T_9792, ic_tag_valid_out[1][32], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9794 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9795 = mux(_T_9794, ic_tag_valid_out[1][33], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9796 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9797 = mux(_T_9796, ic_tag_valid_out[1][34], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9798 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9799 = mux(_T_9798, ic_tag_valid_out[1][35], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9800 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9801 = mux(_T_9800, ic_tag_valid_out[1][36], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9802 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9803 = mux(_T_9802, ic_tag_valid_out[1][37], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9804 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9805 = mux(_T_9804, ic_tag_valid_out[1][38], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9806 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9807 = mux(_T_9806, ic_tag_valid_out[1][39], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9808 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9809 = mux(_T_9808, ic_tag_valid_out[1][40], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9810 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9811 = mux(_T_9810, ic_tag_valid_out[1][41], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9812 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9813 = mux(_T_9812, ic_tag_valid_out[1][42], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9814 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9815 = mux(_T_9814, ic_tag_valid_out[1][43], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9816 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9817 = mux(_T_9816, ic_tag_valid_out[1][44], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9818 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9819 = mux(_T_9818, ic_tag_valid_out[1][45], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9820 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9821 = mux(_T_9820, ic_tag_valid_out[1][46], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9822 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9823 = mux(_T_9822, ic_tag_valid_out[1][47], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9824 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9825 = mux(_T_9824, ic_tag_valid_out[1][48], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9826 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9827 = mux(_T_9826, ic_tag_valid_out[1][49], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9828 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9829 = mux(_T_9828, ic_tag_valid_out[1][50], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9830 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9831 = mux(_T_9830, ic_tag_valid_out[1][51], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9832 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9833 = mux(_T_9832, ic_tag_valid_out[1][52], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9834 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9835 = mux(_T_9834, ic_tag_valid_out[1][53], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9836 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9837 = mux(_T_9836, ic_tag_valid_out[1][54], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9838 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9839 = mux(_T_9838, ic_tag_valid_out[1][55], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9840 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9841 = mux(_T_9840, ic_tag_valid_out[1][56], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9842 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9843 = mux(_T_9842, ic_tag_valid_out[1][57], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9844 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9845 = mux(_T_9844, ic_tag_valid_out[1][58], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9846 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9847 = mux(_T_9846, ic_tag_valid_out[1][59], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9848 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9849 = mux(_T_9848, ic_tag_valid_out[1][60], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9850 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9851 = mux(_T_9850, ic_tag_valid_out[1][61], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9852 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9853 = mux(_T_9852, ic_tag_valid_out[1][62], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9854 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9855 = mux(_T_9854, ic_tag_valid_out[1][63], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9856 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9857 = mux(_T_9856, ic_tag_valid_out[1][64], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9858 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9859 = mux(_T_9858, ic_tag_valid_out[1][65], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9860 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9861 = mux(_T_9860, ic_tag_valid_out[1][66], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9862 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9863 = mux(_T_9862, ic_tag_valid_out[1][67], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9864 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9865 = mux(_T_9864, ic_tag_valid_out[1][68], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9866 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9867 = mux(_T_9866, ic_tag_valid_out[1][69], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9868 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9869 = mux(_T_9868, ic_tag_valid_out[1][70], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9870 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9871 = mux(_T_9870, ic_tag_valid_out[1][71], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9872 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9873 = mux(_T_9872, ic_tag_valid_out[1][72], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9874 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9875 = mux(_T_9874, ic_tag_valid_out[1][73], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9876 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9877 = mux(_T_9876, ic_tag_valid_out[1][74], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9878 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9879 = mux(_T_9878, ic_tag_valid_out[1][75], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9880 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9881 = mux(_T_9880, ic_tag_valid_out[1][76], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9882 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9883 = mux(_T_9882, ic_tag_valid_out[1][77], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9884 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9885 = mux(_T_9884, ic_tag_valid_out[1][78], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9886 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9887 = mux(_T_9886, ic_tag_valid_out[1][79], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9888 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9889 = mux(_T_9888, ic_tag_valid_out[1][80], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9890 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9891 = mux(_T_9890, ic_tag_valid_out[1][81], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9892 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9893 = mux(_T_9892, ic_tag_valid_out[1][82], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9894 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9895 = mux(_T_9894, ic_tag_valid_out[1][83], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9896 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9897 = mux(_T_9896, ic_tag_valid_out[1][84], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9898 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9899 = mux(_T_9898, ic_tag_valid_out[1][85], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9900 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9901 = mux(_T_9900, ic_tag_valid_out[1][86], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9902 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9903 = mux(_T_9902, ic_tag_valid_out[1][87], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9904 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9905 = mux(_T_9904, ic_tag_valid_out[1][88], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9906 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9907 = mux(_T_9906, ic_tag_valid_out[1][89], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9908 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9909 = mux(_T_9908, ic_tag_valid_out[1][90], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9910 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9911 = mux(_T_9910, ic_tag_valid_out[1][91], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9912 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9913 = mux(_T_9912, ic_tag_valid_out[1][92], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9914 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9915 = mux(_T_9914, ic_tag_valid_out[1][93], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9916 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9917 = mux(_T_9916, ic_tag_valid_out[1][94], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9918 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9919 = mux(_T_9918, ic_tag_valid_out[1][95], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9920 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9921 = mux(_T_9920, ic_tag_valid_out[1][96], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9922 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9923 = mux(_T_9922, ic_tag_valid_out[1][97], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9924 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9925 = mux(_T_9924, ic_tag_valid_out[1][98], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9926 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9927 = mux(_T_9926, ic_tag_valid_out[1][99], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9928 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9929 = mux(_T_9928, ic_tag_valid_out[1][100], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9930 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9931 = mux(_T_9930, ic_tag_valid_out[1][101], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9932 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9933 = mux(_T_9932, ic_tag_valid_out[1][102], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9934 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9935 = mux(_T_9934, ic_tag_valid_out[1][103], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9936 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9937 = mux(_T_9936, ic_tag_valid_out[1][104], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9938 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9939 = mux(_T_9938, ic_tag_valid_out[1][105], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9940 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9941 = mux(_T_9940, ic_tag_valid_out[1][106], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9942 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9943 = mux(_T_9942, ic_tag_valid_out[1][107], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9944 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9945 = mux(_T_9944, ic_tag_valid_out[1][108], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9946 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9947 = mux(_T_9946, ic_tag_valid_out[1][109], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9948 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9949 = mux(_T_9948, ic_tag_valid_out[1][110], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9950 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9951 = mux(_T_9950, ic_tag_valid_out[1][111], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9952 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9953 = mux(_T_9952, ic_tag_valid_out[1][112], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9954 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9955 = mux(_T_9954, ic_tag_valid_out[1][113], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9956 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9957 = mux(_T_9956, ic_tag_valid_out[1][114], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9958 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9959 = mux(_T_9958, ic_tag_valid_out[1][115], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9960 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9961 = mux(_T_9960, ic_tag_valid_out[1][116], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9962 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9963 = mux(_T_9962, ic_tag_valid_out[1][117], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9964 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9965 = mux(_T_9964, ic_tag_valid_out[1][118], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9966 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9967 = mux(_T_9966, ic_tag_valid_out[1][119], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9968 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9969 = mux(_T_9968, ic_tag_valid_out[1][120], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9970 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9971 = mux(_T_9970, ic_tag_valid_out[1][121], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9972 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9973 = mux(_T_9972, ic_tag_valid_out[1][122], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9974 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9975 = mux(_T_9974, ic_tag_valid_out[1][123], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9976 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9977 = mux(_T_9976, ic_tag_valid_out[1][124], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9978 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9979 = mux(_T_9978, ic_tag_valid_out[1][125], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9980 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9981 = mux(_T_9980, ic_tag_valid_out[1][126], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9982 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 751:33] - node _T_9983 = mux(_T_9982, ic_tag_valid_out[1][127], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 751:10] - node _T_9984 = or(_T_9729, _T_9731) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9985 = or(_T_9984, _T_9733) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9986 = or(_T_9985, _T_9735) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9987 = or(_T_9986, _T_9737) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9988 = or(_T_9987, _T_9739) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9989 = or(_T_9988, _T_9741) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9990 = or(_T_9989, _T_9743) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9991 = or(_T_9990, _T_9745) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9992 = or(_T_9991, _T_9747) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9993 = or(_T_9992, _T_9749) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9994 = or(_T_9993, _T_9751) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9995 = or(_T_9994, _T_9753) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9996 = or(_T_9995, _T_9755) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9997 = or(_T_9996, _T_9757) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9998 = or(_T_9997, _T_9759) @[el2_ifu_mem_ctl.scala 751:91] - node _T_9999 = or(_T_9998, _T_9761) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10000 = or(_T_9999, _T_9763) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10001 = or(_T_10000, _T_9765) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10002 = or(_T_10001, _T_9767) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10003 = or(_T_10002, _T_9769) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10004 = or(_T_10003, _T_9771) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10005 = or(_T_10004, _T_9773) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10006 = or(_T_10005, _T_9775) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10007 = or(_T_10006, _T_9777) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10008 = or(_T_10007, _T_9779) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10009 = or(_T_10008, _T_9781) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10010 = or(_T_10009, _T_9783) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10011 = or(_T_10010, _T_9785) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10012 = or(_T_10011, _T_9787) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10013 = or(_T_10012, _T_9789) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10014 = or(_T_10013, _T_9791) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10015 = or(_T_10014, _T_9793) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10016 = or(_T_10015, _T_9795) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10017 = or(_T_10016, _T_9797) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10018 = or(_T_10017, _T_9799) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10019 = or(_T_10018, _T_9801) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10020 = or(_T_10019, _T_9803) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10021 = or(_T_10020, _T_9805) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10022 = or(_T_10021, _T_9807) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10023 = or(_T_10022, _T_9809) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10024 = or(_T_10023, _T_9811) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10025 = or(_T_10024, _T_9813) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10026 = or(_T_10025, _T_9815) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10027 = or(_T_10026, _T_9817) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10028 = or(_T_10027, _T_9819) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10029 = or(_T_10028, _T_9821) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10030 = or(_T_10029, _T_9823) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10031 = or(_T_10030, _T_9825) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10032 = or(_T_10031, _T_9827) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10033 = or(_T_10032, _T_9829) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10034 = or(_T_10033, _T_9831) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10035 = or(_T_10034, _T_9833) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10036 = or(_T_10035, _T_9835) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10037 = or(_T_10036, _T_9837) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10038 = or(_T_10037, _T_9839) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10039 = or(_T_10038, _T_9841) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10040 = or(_T_10039, _T_9843) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10041 = or(_T_10040, _T_9845) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10042 = or(_T_10041, _T_9847) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10043 = or(_T_10042, _T_9849) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10044 = or(_T_10043, _T_9851) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10045 = or(_T_10044, _T_9853) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10046 = or(_T_10045, _T_9855) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10047 = or(_T_10046, _T_9857) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10048 = or(_T_10047, _T_9859) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10049 = or(_T_10048, _T_9861) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10050 = or(_T_10049, _T_9863) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10051 = or(_T_10050, _T_9865) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10052 = or(_T_10051, _T_9867) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10053 = or(_T_10052, _T_9869) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10054 = or(_T_10053, _T_9871) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10055 = or(_T_10054, _T_9873) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10056 = or(_T_10055, _T_9875) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10057 = or(_T_10056, _T_9877) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10058 = or(_T_10057, _T_9879) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10059 = or(_T_10058, _T_9881) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10060 = or(_T_10059, _T_9883) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10061 = or(_T_10060, _T_9885) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10062 = or(_T_10061, _T_9887) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10063 = or(_T_10062, _T_9889) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10064 = or(_T_10063, _T_9891) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10065 = or(_T_10064, _T_9893) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10066 = or(_T_10065, _T_9895) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10067 = or(_T_10066, _T_9897) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10068 = or(_T_10067, _T_9899) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10069 = or(_T_10068, _T_9901) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10070 = or(_T_10069, _T_9903) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10071 = or(_T_10070, _T_9905) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10072 = or(_T_10071, _T_9907) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10073 = or(_T_10072, _T_9909) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10074 = or(_T_10073, _T_9911) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10075 = or(_T_10074, _T_9913) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10076 = or(_T_10075, _T_9915) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10077 = or(_T_10076, _T_9917) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10078 = or(_T_10077, _T_9919) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10079 = or(_T_10078, _T_9921) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10080 = or(_T_10079, _T_9923) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10081 = or(_T_10080, _T_9925) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10082 = or(_T_10081, _T_9927) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10083 = or(_T_10082, _T_9929) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10084 = or(_T_10083, _T_9931) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10085 = or(_T_10084, _T_9933) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10086 = or(_T_10085, _T_9935) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10087 = or(_T_10086, _T_9937) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10088 = or(_T_10087, _T_9939) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10089 = or(_T_10088, _T_9941) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10090 = or(_T_10089, _T_9943) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10091 = or(_T_10090, _T_9945) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10092 = or(_T_10091, _T_9947) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10093 = or(_T_10092, _T_9949) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10094 = or(_T_10093, _T_9951) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10095 = or(_T_10094, _T_9953) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10096 = or(_T_10095, _T_9955) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10097 = or(_T_10096, _T_9957) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10098 = or(_T_10097, _T_9959) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10099 = or(_T_10098, _T_9961) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10100 = or(_T_10099, _T_9963) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10101 = or(_T_10100, _T_9965) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10102 = or(_T_10101, _T_9967) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10103 = or(_T_10102, _T_9969) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10104 = or(_T_10103, _T_9971) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10105 = or(_T_10104, _T_9973) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10106 = or(_T_10105, _T_9975) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10107 = or(_T_10106, _T_9977) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10108 = or(_T_10107, _T_9979) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10109 = or(_T_10108, _T_9981) @[el2_ifu_mem_ctl.scala 751:91] - node _T_10110 = or(_T_10109, _T_9983) @[el2_ifu_mem_ctl.scala 751:91] + ic_tag_valid_out[1][127] <= _T_9344 @[el2_ifu_mem_ctl.scala 748:39] + node _T_9345 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9346 = mux(_T_9345, ic_tag_valid_out[0][0], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9347 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9348 = mux(_T_9347, ic_tag_valid_out[0][1], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9349 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9350 = mux(_T_9349, ic_tag_valid_out[0][2], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9351 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9352 = mux(_T_9351, ic_tag_valid_out[0][3], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9353 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9354 = mux(_T_9353, ic_tag_valid_out[0][4], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9355 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9356 = mux(_T_9355, ic_tag_valid_out[0][5], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9357 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9358 = mux(_T_9357, ic_tag_valid_out[0][6], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9359 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9360 = mux(_T_9359, ic_tag_valid_out[0][7], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9361 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9362 = mux(_T_9361, ic_tag_valid_out[0][8], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9363 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9364 = mux(_T_9363, ic_tag_valid_out[0][9], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9365 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9366 = mux(_T_9365, ic_tag_valid_out[0][10], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9367 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9368 = mux(_T_9367, ic_tag_valid_out[0][11], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9369 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9370 = mux(_T_9369, ic_tag_valid_out[0][12], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9371 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9372 = mux(_T_9371, ic_tag_valid_out[0][13], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9373 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9374 = mux(_T_9373, ic_tag_valid_out[0][14], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9375 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9376 = mux(_T_9375, ic_tag_valid_out[0][15], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9377 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9378 = mux(_T_9377, ic_tag_valid_out[0][16], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9379 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9380 = mux(_T_9379, ic_tag_valid_out[0][17], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9381 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9382 = mux(_T_9381, ic_tag_valid_out[0][18], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9383 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9384 = mux(_T_9383, ic_tag_valid_out[0][19], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9385 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9386 = mux(_T_9385, ic_tag_valid_out[0][20], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9387 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9388 = mux(_T_9387, ic_tag_valid_out[0][21], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9389 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9390 = mux(_T_9389, ic_tag_valid_out[0][22], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9391 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9392 = mux(_T_9391, ic_tag_valid_out[0][23], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9393 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9394 = mux(_T_9393, ic_tag_valid_out[0][24], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9395 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9396 = mux(_T_9395, ic_tag_valid_out[0][25], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9397 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9398 = mux(_T_9397, ic_tag_valid_out[0][26], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9399 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9400 = mux(_T_9399, ic_tag_valid_out[0][27], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9401 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9402 = mux(_T_9401, ic_tag_valid_out[0][28], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9403 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9404 = mux(_T_9403, ic_tag_valid_out[0][29], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9405 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9406 = mux(_T_9405, ic_tag_valid_out[0][30], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9407 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9408 = mux(_T_9407, ic_tag_valid_out[0][31], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9409 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9410 = mux(_T_9409, ic_tag_valid_out[0][32], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9411 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9412 = mux(_T_9411, ic_tag_valid_out[0][33], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9413 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9414 = mux(_T_9413, ic_tag_valid_out[0][34], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9415 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9416 = mux(_T_9415, ic_tag_valid_out[0][35], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9417 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9418 = mux(_T_9417, ic_tag_valid_out[0][36], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9419 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9420 = mux(_T_9419, ic_tag_valid_out[0][37], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9421 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9422 = mux(_T_9421, ic_tag_valid_out[0][38], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9423 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9424 = mux(_T_9423, ic_tag_valid_out[0][39], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9425 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9426 = mux(_T_9425, ic_tag_valid_out[0][40], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9427 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9428 = mux(_T_9427, ic_tag_valid_out[0][41], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9429 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9430 = mux(_T_9429, ic_tag_valid_out[0][42], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9431 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9432 = mux(_T_9431, ic_tag_valid_out[0][43], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9433 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9434 = mux(_T_9433, ic_tag_valid_out[0][44], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9435 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9436 = mux(_T_9435, ic_tag_valid_out[0][45], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9437 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9438 = mux(_T_9437, ic_tag_valid_out[0][46], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9439 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9440 = mux(_T_9439, ic_tag_valid_out[0][47], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9441 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9442 = mux(_T_9441, ic_tag_valid_out[0][48], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9443 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9444 = mux(_T_9443, ic_tag_valid_out[0][49], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9445 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9446 = mux(_T_9445, ic_tag_valid_out[0][50], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9447 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9448 = mux(_T_9447, ic_tag_valid_out[0][51], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9449 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9450 = mux(_T_9449, ic_tag_valid_out[0][52], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9451 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9452 = mux(_T_9451, ic_tag_valid_out[0][53], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9453 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9454 = mux(_T_9453, ic_tag_valid_out[0][54], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9455 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9456 = mux(_T_9455, ic_tag_valid_out[0][55], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9457 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9458 = mux(_T_9457, ic_tag_valid_out[0][56], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9459 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9460 = mux(_T_9459, ic_tag_valid_out[0][57], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9461 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9462 = mux(_T_9461, ic_tag_valid_out[0][58], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9463 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9464 = mux(_T_9463, ic_tag_valid_out[0][59], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9465 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9466 = mux(_T_9465, ic_tag_valid_out[0][60], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9467 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9468 = mux(_T_9467, ic_tag_valid_out[0][61], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9469 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9470 = mux(_T_9469, ic_tag_valid_out[0][62], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9471 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9472 = mux(_T_9471, ic_tag_valid_out[0][63], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9473 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9474 = mux(_T_9473, ic_tag_valid_out[0][64], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9475 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9476 = mux(_T_9475, ic_tag_valid_out[0][65], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9477 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9478 = mux(_T_9477, ic_tag_valid_out[0][66], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9479 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9480 = mux(_T_9479, ic_tag_valid_out[0][67], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9481 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9482 = mux(_T_9481, ic_tag_valid_out[0][68], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9483 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9484 = mux(_T_9483, ic_tag_valid_out[0][69], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9485 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9486 = mux(_T_9485, ic_tag_valid_out[0][70], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9487 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9488 = mux(_T_9487, ic_tag_valid_out[0][71], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9489 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9490 = mux(_T_9489, ic_tag_valid_out[0][72], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9491 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9492 = mux(_T_9491, ic_tag_valid_out[0][73], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9493 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9494 = mux(_T_9493, ic_tag_valid_out[0][74], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9495 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9496 = mux(_T_9495, ic_tag_valid_out[0][75], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9497 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9498 = mux(_T_9497, ic_tag_valid_out[0][76], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9499 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9500 = mux(_T_9499, ic_tag_valid_out[0][77], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9501 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9502 = mux(_T_9501, ic_tag_valid_out[0][78], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9503 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9504 = mux(_T_9503, ic_tag_valid_out[0][79], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9505 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9506 = mux(_T_9505, ic_tag_valid_out[0][80], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9507 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9508 = mux(_T_9507, ic_tag_valid_out[0][81], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9509 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9510 = mux(_T_9509, ic_tag_valid_out[0][82], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9511 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9512 = mux(_T_9511, ic_tag_valid_out[0][83], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9513 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9514 = mux(_T_9513, ic_tag_valid_out[0][84], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9515 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9516 = mux(_T_9515, ic_tag_valid_out[0][85], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9517 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9518 = mux(_T_9517, ic_tag_valid_out[0][86], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9519 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9520 = mux(_T_9519, ic_tag_valid_out[0][87], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9521 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9522 = mux(_T_9521, ic_tag_valid_out[0][88], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9523 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9524 = mux(_T_9523, ic_tag_valid_out[0][89], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9525 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9526 = mux(_T_9525, ic_tag_valid_out[0][90], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9527 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9528 = mux(_T_9527, ic_tag_valid_out[0][91], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9529 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9530 = mux(_T_9529, ic_tag_valid_out[0][92], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9531 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9532 = mux(_T_9531, ic_tag_valid_out[0][93], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9533 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9534 = mux(_T_9533, ic_tag_valid_out[0][94], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9535 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9536 = mux(_T_9535, ic_tag_valid_out[0][95], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9537 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9538 = mux(_T_9537, ic_tag_valid_out[0][96], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9539 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9540 = mux(_T_9539, ic_tag_valid_out[0][97], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9541 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9542 = mux(_T_9541, ic_tag_valid_out[0][98], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9543 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9544 = mux(_T_9543, ic_tag_valid_out[0][99], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9545 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9546 = mux(_T_9545, ic_tag_valid_out[0][100], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9547 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9548 = mux(_T_9547, ic_tag_valid_out[0][101], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9549 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9550 = mux(_T_9549, ic_tag_valid_out[0][102], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9551 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9552 = mux(_T_9551, ic_tag_valid_out[0][103], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9553 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9554 = mux(_T_9553, ic_tag_valid_out[0][104], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9555 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9556 = mux(_T_9555, ic_tag_valid_out[0][105], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9557 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9558 = mux(_T_9557, ic_tag_valid_out[0][106], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9559 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9560 = mux(_T_9559, ic_tag_valid_out[0][107], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9561 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9562 = mux(_T_9561, ic_tag_valid_out[0][108], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9563 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9564 = mux(_T_9563, ic_tag_valid_out[0][109], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9565 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9566 = mux(_T_9565, ic_tag_valid_out[0][110], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9567 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9568 = mux(_T_9567, ic_tag_valid_out[0][111], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9569 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9570 = mux(_T_9569, ic_tag_valid_out[0][112], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9571 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9572 = mux(_T_9571, ic_tag_valid_out[0][113], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9573 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9574 = mux(_T_9573, ic_tag_valid_out[0][114], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9575 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9576 = mux(_T_9575, ic_tag_valid_out[0][115], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9577 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9578 = mux(_T_9577, ic_tag_valid_out[0][116], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9579 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9580 = mux(_T_9579, ic_tag_valid_out[0][117], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9581 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9582 = mux(_T_9581, ic_tag_valid_out[0][118], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9583 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9584 = mux(_T_9583, ic_tag_valid_out[0][119], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9585 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9586 = mux(_T_9585, ic_tag_valid_out[0][120], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9587 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9588 = mux(_T_9587, ic_tag_valid_out[0][121], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9589 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9590 = mux(_T_9589, ic_tag_valid_out[0][122], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9591 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9592 = mux(_T_9591, ic_tag_valid_out[0][123], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9593 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9594 = mux(_T_9593, ic_tag_valid_out[0][124], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9595 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9596 = mux(_T_9595, ic_tag_valid_out[0][125], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9597 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9598 = mux(_T_9597, ic_tag_valid_out[0][126], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9599 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9600 = mux(_T_9599, ic_tag_valid_out[0][127], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9601 = or(_T_9346, _T_9348) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9602 = or(_T_9601, _T_9350) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9603 = or(_T_9602, _T_9352) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9604 = or(_T_9603, _T_9354) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9605 = or(_T_9604, _T_9356) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9606 = or(_T_9605, _T_9358) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9607 = or(_T_9606, _T_9360) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9608 = or(_T_9607, _T_9362) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9609 = or(_T_9608, _T_9364) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9610 = or(_T_9609, _T_9366) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9611 = or(_T_9610, _T_9368) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9612 = or(_T_9611, _T_9370) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9613 = or(_T_9612, _T_9372) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9614 = or(_T_9613, _T_9374) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9615 = or(_T_9614, _T_9376) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9616 = or(_T_9615, _T_9378) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9617 = or(_T_9616, _T_9380) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9618 = or(_T_9617, _T_9382) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9619 = or(_T_9618, _T_9384) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9620 = or(_T_9619, _T_9386) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9621 = or(_T_9620, _T_9388) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9622 = or(_T_9621, _T_9390) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9623 = or(_T_9622, _T_9392) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9624 = or(_T_9623, _T_9394) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9625 = or(_T_9624, _T_9396) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9626 = or(_T_9625, _T_9398) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9627 = or(_T_9626, _T_9400) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9628 = or(_T_9627, _T_9402) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9629 = or(_T_9628, _T_9404) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9630 = or(_T_9629, _T_9406) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9631 = or(_T_9630, _T_9408) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9632 = or(_T_9631, _T_9410) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9633 = or(_T_9632, _T_9412) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9634 = or(_T_9633, _T_9414) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9635 = or(_T_9634, _T_9416) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9636 = or(_T_9635, _T_9418) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9637 = or(_T_9636, _T_9420) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9638 = or(_T_9637, _T_9422) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9639 = or(_T_9638, _T_9424) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9640 = or(_T_9639, _T_9426) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9641 = or(_T_9640, _T_9428) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9642 = or(_T_9641, _T_9430) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9643 = or(_T_9642, _T_9432) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9644 = or(_T_9643, _T_9434) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9645 = or(_T_9644, _T_9436) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9646 = or(_T_9645, _T_9438) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9647 = or(_T_9646, _T_9440) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9648 = or(_T_9647, _T_9442) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9649 = or(_T_9648, _T_9444) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9650 = or(_T_9649, _T_9446) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9651 = or(_T_9650, _T_9448) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9652 = or(_T_9651, _T_9450) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9653 = or(_T_9652, _T_9452) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9654 = or(_T_9653, _T_9454) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9655 = or(_T_9654, _T_9456) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9656 = or(_T_9655, _T_9458) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9657 = or(_T_9656, _T_9460) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9658 = or(_T_9657, _T_9462) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9659 = or(_T_9658, _T_9464) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9660 = or(_T_9659, _T_9466) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9661 = or(_T_9660, _T_9468) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9662 = or(_T_9661, _T_9470) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9663 = or(_T_9662, _T_9472) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9664 = or(_T_9663, _T_9474) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9665 = or(_T_9664, _T_9476) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9666 = or(_T_9665, _T_9478) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9667 = or(_T_9666, _T_9480) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9668 = or(_T_9667, _T_9482) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9669 = or(_T_9668, _T_9484) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9670 = or(_T_9669, _T_9486) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9671 = or(_T_9670, _T_9488) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9672 = or(_T_9671, _T_9490) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9673 = or(_T_9672, _T_9492) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9674 = or(_T_9673, _T_9494) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9675 = or(_T_9674, _T_9496) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9676 = or(_T_9675, _T_9498) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9677 = or(_T_9676, _T_9500) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9678 = or(_T_9677, _T_9502) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9679 = or(_T_9678, _T_9504) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9680 = or(_T_9679, _T_9506) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9681 = or(_T_9680, _T_9508) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9682 = or(_T_9681, _T_9510) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9683 = or(_T_9682, _T_9512) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9684 = or(_T_9683, _T_9514) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9685 = or(_T_9684, _T_9516) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9686 = or(_T_9685, _T_9518) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9687 = or(_T_9686, _T_9520) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9688 = or(_T_9687, _T_9522) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9689 = or(_T_9688, _T_9524) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9690 = or(_T_9689, _T_9526) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9691 = or(_T_9690, _T_9528) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9692 = or(_T_9691, _T_9530) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9693 = or(_T_9692, _T_9532) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9694 = or(_T_9693, _T_9534) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9695 = or(_T_9694, _T_9536) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9696 = or(_T_9695, _T_9538) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9697 = or(_T_9696, _T_9540) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9698 = or(_T_9697, _T_9542) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9699 = or(_T_9698, _T_9544) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9700 = or(_T_9699, _T_9546) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9701 = or(_T_9700, _T_9548) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9702 = or(_T_9701, _T_9550) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9703 = or(_T_9702, _T_9552) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9704 = or(_T_9703, _T_9554) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9705 = or(_T_9704, _T_9556) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9706 = or(_T_9705, _T_9558) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9707 = or(_T_9706, _T_9560) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9708 = or(_T_9707, _T_9562) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9709 = or(_T_9708, _T_9564) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9710 = or(_T_9709, _T_9566) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9711 = or(_T_9710, _T_9568) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9712 = or(_T_9711, _T_9570) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9713 = or(_T_9712, _T_9572) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9714 = or(_T_9713, _T_9574) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9715 = or(_T_9714, _T_9576) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9716 = or(_T_9715, _T_9578) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9717 = or(_T_9716, _T_9580) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9718 = or(_T_9717, _T_9582) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9719 = or(_T_9718, _T_9584) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9720 = or(_T_9719, _T_9586) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9721 = or(_T_9720, _T_9588) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9722 = or(_T_9721, _T_9590) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9723 = or(_T_9722, _T_9592) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9724 = or(_T_9723, _T_9594) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9725 = or(_T_9724, _T_9596) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9726 = or(_T_9725, _T_9598) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9727 = or(_T_9726, _T_9600) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9728 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9729 = mux(_T_9728, ic_tag_valid_out[1][0], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9730 = eq(ifu_ic_rw_int_addr_ff, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9731 = mux(_T_9730, ic_tag_valid_out[1][1], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9732 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9733 = mux(_T_9732, ic_tag_valid_out[1][2], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9734 = eq(ifu_ic_rw_int_addr_ff, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9735 = mux(_T_9734, ic_tag_valid_out[1][3], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9736 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h04")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9737 = mux(_T_9736, ic_tag_valid_out[1][4], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9738 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h05")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9739 = mux(_T_9738, ic_tag_valid_out[1][5], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9740 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h06")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9741 = mux(_T_9740, ic_tag_valid_out[1][6], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9742 = eq(ifu_ic_rw_int_addr_ff, UInt<3>("h07")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9743 = mux(_T_9742, ic_tag_valid_out[1][7], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9744 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h08")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9745 = mux(_T_9744, ic_tag_valid_out[1][8], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9746 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h09")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9747 = mux(_T_9746, ic_tag_valid_out[1][9], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9748 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0a")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9749 = mux(_T_9748, ic_tag_valid_out[1][10], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9750 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0b")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9751 = mux(_T_9750, ic_tag_valid_out[1][11], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9752 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0c")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9753 = mux(_T_9752, ic_tag_valid_out[1][12], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9754 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0d")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9755 = mux(_T_9754, ic_tag_valid_out[1][13], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9756 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0e")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9757 = mux(_T_9756, ic_tag_valid_out[1][14], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9758 = eq(ifu_ic_rw_int_addr_ff, UInt<4>("h0f")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9759 = mux(_T_9758, ic_tag_valid_out[1][15], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9760 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h010")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9761 = mux(_T_9760, ic_tag_valid_out[1][16], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9762 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h011")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9763 = mux(_T_9762, ic_tag_valid_out[1][17], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9764 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h012")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9765 = mux(_T_9764, ic_tag_valid_out[1][18], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9766 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h013")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9767 = mux(_T_9766, ic_tag_valid_out[1][19], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9768 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h014")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9769 = mux(_T_9768, ic_tag_valid_out[1][20], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9770 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h015")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9771 = mux(_T_9770, ic_tag_valid_out[1][21], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9772 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h016")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9773 = mux(_T_9772, ic_tag_valid_out[1][22], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9774 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h017")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9775 = mux(_T_9774, ic_tag_valid_out[1][23], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9776 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h018")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9777 = mux(_T_9776, ic_tag_valid_out[1][24], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9778 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h019")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9779 = mux(_T_9778, ic_tag_valid_out[1][25], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9780 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01a")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9781 = mux(_T_9780, ic_tag_valid_out[1][26], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9782 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01b")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9783 = mux(_T_9782, ic_tag_valid_out[1][27], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9784 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01c")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9785 = mux(_T_9784, ic_tag_valid_out[1][28], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9786 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01d")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9787 = mux(_T_9786, ic_tag_valid_out[1][29], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9788 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01e")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9789 = mux(_T_9788, ic_tag_valid_out[1][30], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9790 = eq(ifu_ic_rw_int_addr_ff, UInt<5>("h01f")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9791 = mux(_T_9790, ic_tag_valid_out[1][31], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9792 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h020")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9793 = mux(_T_9792, ic_tag_valid_out[1][32], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9794 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h021")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9795 = mux(_T_9794, ic_tag_valid_out[1][33], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9796 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h022")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9797 = mux(_T_9796, ic_tag_valid_out[1][34], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9798 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h023")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9799 = mux(_T_9798, ic_tag_valid_out[1][35], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9800 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h024")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9801 = mux(_T_9800, ic_tag_valid_out[1][36], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9802 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h025")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9803 = mux(_T_9802, ic_tag_valid_out[1][37], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9804 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h026")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9805 = mux(_T_9804, ic_tag_valid_out[1][38], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9806 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h027")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9807 = mux(_T_9806, ic_tag_valid_out[1][39], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9808 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h028")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9809 = mux(_T_9808, ic_tag_valid_out[1][40], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9810 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h029")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9811 = mux(_T_9810, ic_tag_valid_out[1][41], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9812 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02a")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9813 = mux(_T_9812, ic_tag_valid_out[1][42], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9814 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02b")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9815 = mux(_T_9814, ic_tag_valid_out[1][43], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9816 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02c")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9817 = mux(_T_9816, ic_tag_valid_out[1][44], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9818 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02d")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9819 = mux(_T_9818, ic_tag_valid_out[1][45], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9820 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02e")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9821 = mux(_T_9820, ic_tag_valid_out[1][46], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9822 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h02f")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9823 = mux(_T_9822, ic_tag_valid_out[1][47], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9824 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h030")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9825 = mux(_T_9824, ic_tag_valid_out[1][48], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9826 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h031")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9827 = mux(_T_9826, ic_tag_valid_out[1][49], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9828 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h032")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9829 = mux(_T_9828, ic_tag_valid_out[1][50], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9830 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h033")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9831 = mux(_T_9830, ic_tag_valid_out[1][51], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9832 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h034")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9833 = mux(_T_9832, ic_tag_valid_out[1][52], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9834 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h035")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9835 = mux(_T_9834, ic_tag_valid_out[1][53], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9836 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h036")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9837 = mux(_T_9836, ic_tag_valid_out[1][54], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9838 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h037")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9839 = mux(_T_9838, ic_tag_valid_out[1][55], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9840 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h038")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9841 = mux(_T_9840, ic_tag_valid_out[1][56], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9842 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h039")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9843 = mux(_T_9842, ic_tag_valid_out[1][57], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9844 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03a")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9845 = mux(_T_9844, ic_tag_valid_out[1][58], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9846 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03b")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9847 = mux(_T_9846, ic_tag_valid_out[1][59], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9848 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03c")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9849 = mux(_T_9848, ic_tag_valid_out[1][60], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9850 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03d")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9851 = mux(_T_9850, ic_tag_valid_out[1][61], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9852 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03e")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9853 = mux(_T_9852, ic_tag_valid_out[1][62], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9854 = eq(ifu_ic_rw_int_addr_ff, UInt<6>("h03f")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9855 = mux(_T_9854, ic_tag_valid_out[1][63], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9856 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h040")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9857 = mux(_T_9856, ic_tag_valid_out[1][64], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9858 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h041")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9859 = mux(_T_9858, ic_tag_valid_out[1][65], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9860 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h042")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9861 = mux(_T_9860, ic_tag_valid_out[1][66], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9862 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h043")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9863 = mux(_T_9862, ic_tag_valid_out[1][67], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9864 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h044")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9865 = mux(_T_9864, ic_tag_valid_out[1][68], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9866 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h045")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9867 = mux(_T_9866, ic_tag_valid_out[1][69], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9868 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h046")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9869 = mux(_T_9868, ic_tag_valid_out[1][70], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9870 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h047")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9871 = mux(_T_9870, ic_tag_valid_out[1][71], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9872 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h048")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9873 = mux(_T_9872, ic_tag_valid_out[1][72], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9874 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h049")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9875 = mux(_T_9874, ic_tag_valid_out[1][73], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9876 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04a")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9877 = mux(_T_9876, ic_tag_valid_out[1][74], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9878 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04b")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9879 = mux(_T_9878, ic_tag_valid_out[1][75], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9880 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04c")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9881 = mux(_T_9880, ic_tag_valid_out[1][76], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9882 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04d")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9883 = mux(_T_9882, ic_tag_valid_out[1][77], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9884 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04e")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9885 = mux(_T_9884, ic_tag_valid_out[1][78], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9886 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h04f")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9887 = mux(_T_9886, ic_tag_valid_out[1][79], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9888 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h050")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9889 = mux(_T_9888, ic_tag_valid_out[1][80], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9890 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h051")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9891 = mux(_T_9890, ic_tag_valid_out[1][81], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9892 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h052")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9893 = mux(_T_9892, ic_tag_valid_out[1][82], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9894 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h053")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9895 = mux(_T_9894, ic_tag_valid_out[1][83], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9896 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h054")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9897 = mux(_T_9896, ic_tag_valid_out[1][84], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9898 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h055")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9899 = mux(_T_9898, ic_tag_valid_out[1][85], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9900 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h056")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9901 = mux(_T_9900, ic_tag_valid_out[1][86], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9902 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h057")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9903 = mux(_T_9902, ic_tag_valid_out[1][87], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9904 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h058")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9905 = mux(_T_9904, ic_tag_valid_out[1][88], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9906 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h059")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9907 = mux(_T_9906, ic_tag_valid_out[1][89], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9908 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05a")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9909 = mux(_T_9908, ic_tag_valid_out[1][90], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9910 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05b")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9911 = mux(_T_9910, ic_tag_valid_out[1][91], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9912 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05c")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9913 = mux(_T_9912, ic_tag_valid_out[1][92], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9914 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05d")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9915 = mux(_T_9914, ic_tag_valid_out[1][93], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9916 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05e")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9917 = mux(_T_9916, ic_tag_valid_out[1][94], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9918 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h05f")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9919 = mux(_T_9918, ic_tag_valid_out[1][95], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9920 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h060")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9921 = mux(_T_9920, ic_tag_valid_out[1][96], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9922 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h061")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9923 = mux(_T_9922, ic_tag_valid_out[1][97], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9924 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h062")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9925 = mux(_T_9924, ic_tag_valid_out[1][98], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9926 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h063")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9927 = mux(_T_9926, ic_tag_valid_out[1][99], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9928 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h064")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9929 = mux(_T_9928, ic_tag_valid_out[1][100], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9930 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h065")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9931 = mux(_T_9930, ic_tag_valid_out[1][101], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9932 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h066")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9933 = mux(_T_9932, ic_tag_valid_out[1][102], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9934 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h067")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9935 = mux(_T_9934, ic_tag_valid_out[1][103], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9936 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h068")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9937 = mux(_T_9936, ic_tag_valid_out[1][104], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9938 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h069")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9939 = mux(_T_9938, ic_tag_valid_out[1][105], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9940 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06a")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9941 = mux(_T_9940, ic_tag_valid_out[1][106], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9942 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06b")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9943 = mux(_T_9942, ic_tag_valid_out[1][107], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9944 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06c")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9945 = mux(_T_9944, ic_tag_valid_out[1][108], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9946 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06d")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9947 = mux(_T_9946, ic_tag_valid_out[1][109], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9948 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06e")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9949 = mux(_T_9948, ic_tag_valid_out[1][110], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9950 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h06f")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9951 = mux(_T_9950, ic_tag_valid_out[1][111], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9952 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h070")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9953 = mux(_T_9952, ic_tag_valid_out[1][112], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9954 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h071")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9955 = mux(_T_9954, ic_tag_valid_out[1][113], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9956 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h072")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9957 = mux(_T_9956, ic_tag_valid_out[1][114], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9958 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h073")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9959 = mux(_T_9958, ic_tag_valid_out[1][115], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9960 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h074")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9961 = mux(_T_9960, ic_tag_valid_out[1][116], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9962 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h075")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9963 = mux(_T_9962, ic_tag_valid_out[1][117], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9964 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h076")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9965 = mux(_T_9964, ic_tag_valid_out[1][118], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9966 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h077")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9967 = mux(_T_9966, ic_tag_valid_out[1][119], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9968 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h078")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9969 = mux(_T_9968, ic_tag_valid_out[1][120], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9970 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h079")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9971 = mux(_T_9970, ic_tag_valid_out[1][121], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9972 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07a")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9973 = mux(_T_9972, ic_tag_valid_out[1][122], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9974 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07b")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9975 = mux(_T_9974, ic_tag_valid_out[1][123], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9976 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07c")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9977 = mux(_T_9976, ic_tag_valid_out[1][124], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9978 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07d")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9979 = mux(_T_9978, ic_tag_valid_out[1][125], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9980 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07e")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9981 = mux(_T_9980, ic_tag_valid_out[1][126], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9982 = eq(ifu_ic_rw_int_addr_ff, UInt<7>("h07f")) @[el2_ifu_mem_ctl.scala 752:33] + node _T_9983 = mux(_T_9982, ic_tag_valid_out[1][127], UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 752:10] + node _T_9984 = or(_T_9729, _T_9731) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9985 = or(_T_9984, _T_9733) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9986 = or(_T_9985, _T_9735) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9987 = or(_T_9986, _T_9737) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9988 = or(_T_9987, _T_9739) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9989 = or(_T_9988, _T_9741) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9990 = or(_T_9989, _T_9743) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9991 = or(_T_9990, _T_9745) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9992 = or(_T_9991, _T_9747) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9993 = or(_T_9992, _T_9749) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9994 = or(_T_9993, _T_9751) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9995 = or(_T_9994, _T_9753) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9996 = or(_T_9995, _T_9755) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9997 = or(_T_9996, _T_9757) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9998 = or(_T_9997, _T_9759) @[el2_ifu_mem_ctl.scala 752:91] + node _T_9999 = or(_T_9998, _T_9761) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10000 = or(_T_9999, _T_9763) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10001 = or(_T_10000, _T_9765) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10002 = or(_T_10001, _T_9767) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10003 = or(_T_10002, _T_9769) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10004 = or(_T_10003, _T_9771) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10005 = or(_T_10004, _T_9773) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10006 = or(_T_10005, _T_9775) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10007 = or(_T_10006, _T_9777) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10008 = or(_T_10007, _T_9779) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10009 = or(_T_10008, _T_9781) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10010 = or(_T_10009, _T_9783) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10011 = or(_T_10010, _T_9785) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10012 = or(_T_10011, _T_9787) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10013 = or(_T_10012, _T_9789) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10014 = or(_T_10013, _T_9791) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10015 = or(_T_10014, _T_9793) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10016 = or(_T_10015, _T_9795) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10017 = or(_T_10016, _T_9797) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10018 = or(_T_10017, _T_9799) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10019 = or(_T_10018, _T_9801) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10020 = or(_T_10019, _T_9803) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10021 = or(_T_10020, _T_9805) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10022 = or(_T_10021, _T_9807) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10023 = or(_T_10022, _T_9809) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10024 = or(_T_10023, _T_9811) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10025 = or(_T_10024, _T_9813) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10026 = or(_T_10025, _T_9815) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10027 = or(_T_10026, _T_9817) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10028 = or(_T_10027, _T_9819) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10029 = or(_T_10028, _T_9821) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10030 = or(_T_10029, _T_9823) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10031 = or(_T_10030, _T_9825) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10032 = or(_T_10031, _T_9827) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10033 = or(_T_10032, _T_9829) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10034 = or(_T_10033, _T_9831) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10035 = or(_T_10034, _T_9833) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10036 = or(_T_10035, _T_9835) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10037 = or(_T_10036, _T_9837) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10038 = or(_T_10037, _T_9839) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10039 = or(_T_10038, _T_9841) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10040 = or(_T_10039, _T_9843) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10041 = or(_T_10040, _T_9845) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10042 = or(_T_10041, _T_9847) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10043 = or(_T_10042, _T_9849) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10044 = or(_T_10043, _T_9851) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10045 = or(_T_10044, _T_9853) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10046 = or(_T_10045, _T_9855) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10047 = or(_T_10046, _T_9857) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10048 = or(_T_10047, _T_9859) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10049 = or(_T_10048, _T_9861) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10050 = or(_T_10049, _T_9863) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10051 = or(_T_10050, _T_9865) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10052 = or(_T_10051, _T_9867) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10053 = or(_T_10052, _T_9869) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10054 = or(_T_10053, _T_9871) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10055 = or(_T_10054, _T_9873) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10056 = or(_T_10055, _T_9875) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10057 = or(_T_10056, _T_9877) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10058 = or(_T_10057, _T_9879) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10059 = or(_T_10058, _T_9881) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10060 = or(_T_10059, _T_9883) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10061 = or(_T_10060, _T_9885) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10062 = or(_T_10061, _T_9887) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10063 = or(_T_10062, _T_9889) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10064 = or(_T_10063, _T_9891) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10065 = or(_T_10064, _T_9893) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10066 = or(_T_10065, _T_9895) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10067 = or(_T_10066, _T_9897) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10068 = or(_T_10067, _T_9899) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10069 = or(_T_10068, _T_9901) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10070 = or(_T_10069, _T_9903) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10071 = or(_T_10070, _T_9905) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10072 = or(_T_10071, _T_9907) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10073 = or(_T_10072, _T_9909) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10074 = or(_T_10073, _T_9911) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10075 = or(_T_10074, _T_9913) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10076 = or(_T_10075, _T_9915) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10077 = or(_T_10076, _T_9917) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10078 = or(_T_10077, _T_9919) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10079 = or(_T_10078, _T_9921) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10080 = or(_T_10079, _T_9923) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10081 = or(_T_10080, _T_9925) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10082 = or(_T_10081, _T_9927) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10083 = or(_T_10082, _T_9929) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10084 = or(_T_10083, _T_9931) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10085 = or(_T_10084, _T_9933) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10086 = or(_T_10085, _T_9935) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10087 = or(_T_10086, _T_9937) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10088 = or(_T_10087, _T_9939) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10089 = or(_T_10088, _T_9941) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10090 = or(_T_10089, _T_9943) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10091 = or(_T_10090, _T_9945) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10092 = or(_T_10091, _T_9947) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10093 = or(_T_10092, _T_9949) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10094 = or(_T_10093, _T_9951) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10095 = or(_T_10094, _T_9953) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10096 = or(_T_10095, _T_9955) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10097 = or(_T_10096, _T_9957) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10098 = or(_T_10097, _T_9959) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10099 = or(_T_10098, _T_9961) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10100 = or(_T_10099, _T_9963) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10101 = or(_T_10100, _T_9965) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10102 = or(_T_10101, _T_9967) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10103 = or(_T_10102, _T_9969) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10104 = or(_T_10103, _T_9971) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10105 = or(_T_10104, _T_9973) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10106 = or(_T_10105, _T_9975) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10107 = or(_T_10106, _T_9977) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10108 = or(_T_10107, _T_9979) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10109 = or(_T_10108, _T_9981) @[el2_ifu_mem_ctl.scala 752:91] + node _T_10110 = or(_T_10109, _T_9983) @[el2_ifu_mem_ctl.scala 752:91] node ic_tag_valid_unq = cat(_T_10110, _T_9727) @[Cat.scala 29:58] wire way_status_hit_new : UInt<1> way_status_hit_new <= UInt<1>("h00") - node _T_10111 = eq(way_status_mb_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 776:33] - node _T_10112 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 776:63] - node _T_10113 = and(_T_10111, _T_10112) @[el2_ifu_mem_ctl.scala 776:51] - node _T_10114 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 776:79] - node _T_10115 = and(_T_10113, _T_10114) @[el2_ifu_mem_ctl.scala 776:67] - node _T_10116 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 776:97] - node _T_10117 = eq(_T_10116, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 776:86] - node _T_10118 = or(_T_10115, _T_10117) @[el2_ifu_mem_ctl.scala 776:84] - replace_way_mb_any[0] <= _T_10118 @[el2_ifu_mem_ctl.scala 776:29] - node _T_10119 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 777:62] - node _T_10120 = and(way_status_mb_ff, _T_10119) @[el2_ifu_mem_ctl.scala 777:50] - node _T_10121 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 777:78] - node _T_10122 = and(_T_10120, _T_10121) @[el2_ifu_mem_ctl.scala 777:66] - node _T_10123 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 777:96] - node _T_10124 = eq(_T_10123, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 777:85] - node _T_10125 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 777:112] - node _T_10126 = and(_T_10124, _T_10125) @[el2_ifu_mem_ctl.scala 777:100] - node _T_10127 = or(_T_10122, _T_10126) @[el2_ifu_mem_ctl.scala 777:83] - replace_way_mb_any[1] <= _T_10127 @[el2_ifu_mem_ctl.scala 777:29] - node _T_10128 = bits(io.ic_rd_hit, 0, 0) @[el2_ifu_mem_ctl.scala 778:41] - way_status_hit_new <= _T_10128 @[el2_ifu_mem_ctl.scala 778:26] - way_status_rep_new <= replace_way_mb_any[0] @[el2_ifu_mem_ctl.scala 779:26] - node _T_10129 = and(bus_ifu_wr_en_ff_q, last_beat) @[el2_ifu_mem_ctl.scala 781:47] - node _T_10130 = bits(_T_10129, 0, 0) @[el2_ifu_mem_ctl.scala 781:60] - node _T_10131 = mux(_T_10130, way_status_rep_new, way_status_hit_new) @[el2_ifu_mem_ctl.scala 781:26] - way_status_new <= _T_10131 @[el2_ifu_mem_ctl.scala 781:20] - node _T_10132 = and(bus_ifu_wr_en_ff_q, last_beat) @[el2_ifu_mem_ctl.scala 782:45] - node _T_10133 = or(_T_10132, ic_act_hit_f) @[el2_ifu_mem_ctl.scala 782:58] - way_status_wr_en <= _T_10133 @[el2_ifu_mem_ctl.scala 782:22] - node _T_10134 = and(bus_ifu_wr_en_ff_q, replace_way_mb_any[0]) @[el2_ifu_mem_ctl.scala 783:74] - node bus_wren_0 = and(_T_10134, miss_pending) @[el2_ifu_mem_ctl.scala 783:98] - node _T_10135 = and(bus_ifu_wr_en_ff_q, replace_way_mb_any[1]) @[el2_ifu_mem_ctl.scala 783:74] - node bus_wren_1 = and(_T_10135, miss_pending) @[el2_ifu_mem_ctl.scala 783:98] - node _T_10136 = and(bus_ifu_wr_en_ff_wo_err, replace_way_mb_any[0]) @[el2_ifu_mem_ctl.scala 785:84] - node _T_10137 = and(_T_10136, miss_pending) @[el2_ifu_mem_ctl.scala 785:108] - node bus_wren_last_0 = and(_T_10137, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 785:123] - node _T_10138 = and(bus_ifu_wr_en_ff_wo_err, replace_way_mb_any[1]) @[el2_ifu_mem_ctl.scala 785:84] - node _T_10139 = and(_T_10138, miss_pending) @[el2_ifu_mem_ctl.scala 785:108] - node bus_wren_last_1 = and(_T_10139, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 785:123] - node wren_reset_miss_0 = and(replace_way_mb_any[0], reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 786:84] - node wren_reset_miss_1 = and(replace_way_mb_any[1], reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 786:84] - node _T_10140 = or(bus_wren_last_0, wren_reset_miss_0) @[el2_ifu_mem_ctl.scala 787:73] - node _T_10141 = or(bus_wren_last_1, wren_reset_miss_1) @[el2_ifu_mem_ctl.scala 787:73] + node _T_10111 = eq(way_status_mb_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 777:33] + node _T_10112 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 777:63] + node _T_10113 = and(_T_10111, _T_10112) @[el2_ifu_mem_ctl.scala 777:51] + node _T_10114 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 777:79] + node _T_10115 = and(_T_10113, _T_10114) @[el2_ifu_mem_ctl.scala 777:67] + node _T_10116 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 777:97] + node _T_10117 = eq(_T_10116, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 777:86] + node _T_10118 = or(_T_10115, _T_10117) @[el2_ifu_mem_ctl.scala 777:84] + replace_way_mb_any[0] <= _T_10118 @[el2_ifu_mem_ctl.scala 777:29] + node _T_10119 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 778:62] + node _T_10120 = and(way_status_mb_ff, _T_10119) @[el2_ifu_mem_ctl.scala 778:50] + node _T_10121 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 778:78] + node _T_10122 = and(_T_10120, _T_10121) @[el2_ifu_mem_ctl.scala 778:66] + node _T_10123 = bits(tagv_mb_ff, 1, 1) @[el2_ifu_mem_ctl.scala 778:96] + node _T_10124 = eq(_T_10123, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 778:85] + node _T_10125 = bits(tagv_mb_ff, 0, 0) @[el2_ifu_mem_ctl.scala 778:112] + node _T_10126 = and(_T_10124, _T_10125) @[el2_ifu_mem_ctl.scala 778:100] + node _T_10127 = or(_T_10122, _T_10126) @[el2_ifu_mem_ctl.scala 778:83] + replace_way_mb_any[1] <= _T_10127 @[el2_ifu_mem_ctl.scala 778:29] + node _T_10128 = bits(io.ic_rd_hit, 0, 0) @[el2_ifu_mem_ctl.scala 779:41] + way_status_hit_new <= _T_10128 @[el2_ifu_mem_ctl.scala 779:26] + way_status_rep_new <= replace_way_mb_any[0] @[el2_ifu_mem_ctl.scala 780:26] + node _T_10129 = and(bus_ifu_wr_en_ff_q, last_beat) @[el2_ifu_mem_ctl.scala 782:47] + node _T_10130 = bits(_T_10129, 0, 0) @[el2_ifu_mem_ctl.scala 782:60] + node _T_10131 = mux(_T_10130, way_status_rep_new, way_status_hit_new) @[el2_ifu_mem_ctl.scala 782:26] + way_status_new <= _T_10131 @[el2_ifu_mem_ctl.scala 782:20] + node _T_10132 = and(bus_ifu_wr_en_ff_q, last_beat) @[el2_ifu_mem_ctl.scala 783:45] + node _T_10133 = or(_T_10132, ic_act_hit_f) @[el2_ifu_mem_ctl.scala 783:58] + way_status_wr_en <= _T_10133 @[el2_ifu_mem_ctl.scala 783:22] + node _T_10134 = and(bus_ifu_wr_en_ff_q, replace_way_mb_any[0]) @[el2_ifu_mem_ctl.scala 784:74] + node bus_wren_0 = and(_T_10134, miss_pending) @[el2_ifu_mem_ctl.scala 784:98] + node _T_10135 = and(bus_ifu_wr_en_ff_q, replace_way_mb_any[1]) @[el2_ifu_mem_ctl.scala 784:74] + node bus_wren_1 = and(_T_10135, miss_pending) @[el2_ifu_mem_ctl.scala 784:98] + node _T_10136 = and(bus_ifu_wr_en_ff_wo_err, replace_way_mb_any[0]) @[el2_ifu_mem_ctl.scala 786:84] + node _T_10137 = and(_T_10136, miss_pending) @[el2_ifu_mem_ctl.scala 786:108] + node bus_wren_last_0 = and(_T_10137, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 786:123] + node _T_10138 = and(bus_ifu_wr_en_ff_wo_err, replace_way_mb_any[1]) @[el2_ifu_mem_ctl.scala 786:84] + node _T_10139 = and(_T_10138, miss_pending) @[el2_ifu_mem_ctl.scala 786:108] + node bus_wren_last_1 = and(_T_10139, bus_last_data_beat) @[el2_ifu_mem_ctl.scala 786:123] + node wren_reset_miss_0 = and(replace_way_mb_any[0], reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 787:84] + node wren_reset_miss_1 = and(replace_way_mb_any[1], reset_tag_valid_for_miss) @[el2_ifu_mem_ctl.scala 787:84] + node _T_10140 = or(bus_wren_last_0, wren_reset_miss_0) @[el2_ifu_mem_ctl.scala 788:73] + node _T_10141 = or(bus_wren_last_1, wren_reset_miss_1) @[el2_ifu_mem_ctl.scala 788:73] node _T_10142 = cat(_T_10141, _T_10140) @[Cat.scala 29:58] - ifu_tag_wren <= _T_10142 @[el2_ifu_mem_ctl.scala 787:18] - node _T_10143 = eq(fetch_uncacheable_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 802:63] - node _T_10144 = and(_T_10143, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 802:85] - node _T_10145 = bits(_T_10144, 0, 0) @[Bitwise.scala 72:15] - node _T_10146 = mux(_T_10145, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_10147 = and(ic_tag_valid_unq, _T_10146) @[el2_ifu_mem_ctl.scala 802:39] - io.ic_tag_valid <= _T_10147 @[el2_ifu_mem_ctl.scala 802:19] + ifu_tag_wren <= _T_10142 @[el2_ifu_mem_ctl.scala 788:18] + node _T_10143 = cat(bus_wren_1, bus_wren_0) @[Cat.scala 29:58] + bus_ic_wr_en <= _T_10143 @[el2_ifu_mem_ctl.scala 790:16] + node _T_10144 = eq(fetch_uncacheable_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 804:63] + node _T_10145 = and(_T_10144, ifc_fetch_req_f) @[el2_ifu_mem_ctl.scala 804:85] + node _T_10146 = bits(_T_10145, 0, 0) @[Bitwise.scala 72:15] + node _T_10147 = mux(_T_10146, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_10148 = and(ic_tag_valid_unq, _T_10147) @[el2_ifu_mem_ctl.scala 804:39] + io.ic_tag_valid <= _T_10148 @[el2_ifu_mem_ctl.scala 804:19] wire ic_debug_way_ff : UInt<2> ic_debug_way_ff <= UInt<1>("h00") - node _T_10148 = bits(ic_debug_rd_en_ff, 0, 0) @[Bitwise.scala 72:15] - node _T_10149 = mux(_T_10148, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_10150 = and(ic_debug_way_ff, _T_10149) @[el2_ifu_mem_ctl.scala 805:67] - node _T_10151 = and(ic_tag_valid_unq, _T_10150) @[el2_ifu_mem_ctl.scala 805:48] - node _T_10152 = orr(_T_10151) @[el2_ifu_mem_ctl.scala 805:115] - ic_debug_tag_val_rd_out <= _T_10152 @[el2_ifu_mem_ctl.scala 805:27] - reg _T_10153 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 807:57] - _T_10153 <= ic_act_miss_f @[el2_ifu_mem_ctl.scala 807:57] - io.ifu_pmu_ic_miss <= _T_10153 @[el2_ifu_mem_ctl.scala 807:22] - reg _T_10154 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 808:56] - _T_10154 <= ic_act_hit_f @[el2_ifu_mem_ctl.scala 808:56] - io.ifu_pmu_ic_hit <= _T_10154 @[el2_ifu_mem_ctl.scala 808:21] - reg _T_10155 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 809:59] - _T_10155 <= ifc_bus_acc_fault_f @[el2_ifu_mem_ctl.scala 809:59] - io.ifu_pmu_bus_error <= _T_10155 @[el2_ifu_mem_ctl.scala 809:24] - node _T_10156 = eq(ifu_bus_arready_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 810:80] - node _T_10157 = and(ifu_bus_arvalid_ff, _T_10156) @[el2_ifu_mem_ctl.scala 810:78] - node _T_10158 = and(_T_10157, miss_pending) @[el2_ifu_mem_ctl.scala 810:100] - reg _T_10159 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 810:58] - _T_10159 <= _T_10158 @[el2_ifu_mem_ctl.scala 810:58] - io.ifu_pmu_bus_busy <= _T_10159 @[el2_ifu_mem_ctl.scala 810:23] - reg _T_10160 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 811:58] - _T_10160 <= bus_cmd_sent @[el2_ifu_mem_ctl.scala 811:58] - io.ifu_pmu_bus_trxn <= _T_10160 @[el2_ifu_mem_ctl.scala 811:23] - io.ic_debug_addr <= io.dec_tlu_ic_diag_pkt.icache_dicawics @[el2_ifu_mem_ctl.scala 814:20] - node _T_10161 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 16, 16) @[el2_ifu_mem_ctl.scala 815:66] - io.ic_debug_tag_array <= _T_10161 @[el2_ifu_mem_ctl.scala 815:25] - io.ic_debug_rd_en <= io.dec_tlu_ic_diag_pkt.icache_rd_valid @[el2_ifu_mem_ctl.scala 816:21] - io.ic_debug_wr_en <= io.dec_tlu_ic_diag_pkt.icache_wr_valid @[el2_ifu_mem_ctl.scala 817:21] - node _T_10162 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 818:64] - node _T_10163 = eq(_T_10162, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 818:71] - node _T_10164 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 818:117] - node _T_10165 = eq(_T_10164, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 818:124] - node _T_10166 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 819:43] - node _T_10167 = eq(_T_10166, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 819:50] - node _T_10168 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 819:96] - node _T_10169 = eq(_T_10168, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 819:103] - node _T_10170 = cat(_T_10167, _T_10169) @[Cat.scala 29:58] - node _T_10171 = cat(_T_10163, _T_10165) @[Cat.scala 29:58] - node _T_10172 = cat(_T_10171, _T_10170) @[Cat.scala 29:58] - io.ic_debug_way <= _T_10172 @[el2_ifu_mem_ctl.scala 818:19] - node _T_10173 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 820:65] - node _T_10174 = bits(_T_10173, 0, 0) @[Bitwise.scala 72:15] - node _T_10175 = mux(_T_10174, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_10176 = and(_T_10175, io.ic_debug_way) @[el2_ifu_mem_ctl.scala 820:90] - ic_debug_tag_wr_en <= _T_10176 @[el2_ifu_mem_ctl.scala 820:22] - node ic_debug_ict_array_sel_in = and(io.ic_debug_rd_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 821:53] - node _T_10177 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 822:72] - reg _T_10178 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_10177 : @[Reg.scala 28:19] - _T_10178 <= io.ic_debug_way @[Reg.scala 28:23] + node _T_10149 = bits(ic_debug_rd_en_ff, 0, 0) @[Bitwise.scala 72:15] + node _T_10150 = mux(_T_10149, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_10151 = and(ic_debug_way_ff, _T_10150) @[el2_ifu_mem_ctl.scala 807:67] + node _T_10152 = and(ic_tag_valid_unq, _T_10151) @[el2_ifu_mem_ctl.scala 807:48] + node _T_10153 = orr(_T_10152) @[el2_ifu_mem_ctl.scala 807:115] + ic_debug_tag_val_rd_out <= _T_10153 @[el2_ifu_mem_ctl.scala 807:27] + reg _T_10154 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 809:57] + _T_10154 <= ic_act_miss_f @[el2_ifu_mem_ctl.scala 809:57] + io.ifu_pmu_ic_miss <= _T_10154 @[el2_ifu_mem_ctl.scala 809:22] + reg _T_10155 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 810:56] + _T_10155 <= ic_act_hit_f @[el2_ifu_mem_ctl.scala 810:56] + io.ifu_pmu_ic_hit <= _T_10155 @[el2_ifu_mem_ctl.scala 810:21] + reg _T_10156 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 811:59] + _T_10156 <= ifc_bus_acc_fault_f @[el2_ifu_mem_ctl.scala 811:59] + io.ifu_pmu_bus_error <= _T_10156 @[el2_ifu_mem_ctl.scala 811:24] + node _T_10157 = eq(ifu_bus_arready_ff, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 812:80] + node _T_10158 = and(ifu_bus_arvalid_ff, _T_10157) @[el2_ifu_mem_ctl.scala 812:78] + node _T_10159 = and(_T_10158, miss_pending) @[el2_ifu_mem_ctl.scala 812:100] + reg _T_10160 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 812:58] + _T_10160 <= _T_10159 @[el2_ifu_mem_ctl.scala 812:58] + io.ifu_pmu_bus_busy <= _T_10160 @[el2_ifu_mem_ctl.scala 812:23] + reg _T_10161 : UInt<1>, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 813:58] + _T_10161 <= bus_cmd_sent @[el2_ifu_mem_ctl.scala 813:58] + io.ifu_pmu_bus_trxn <= _T_10161 @[el2_ifu_mem_ctl.scala 813:23] + io.ic_debug_addr <= io.dec_tlu_ic_diag_pkt.icache_dicawics @[el2_ifu_mem_ctl.scala 816:20] + node _T_10162 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 16, 16) @[el2_ifu_mem_ctl.scala 817:66] + io.ic_debug_tag_array <= _T_10162 @[el2_ifu_mem_ctl.scala 817:25] + io.ic_debug_rd_en <= io.dec_tlu_ic_diag_pkt.icache_rd_valid @[el2_ifu_mem_ctl.scala 818:21] + io.ic_debug_wr_en <= io.dec_tlu_ic_diag_pkt.icache_wr_valid @[el2_ifu_mem_ctl.scala 819:21] + node _T_10163 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 820:64] + node _T_10164 = eq(_T_10163, UInt<2>("h03")) @[el2_ifu_mem_ctl.scala 820:71] + node _T_10165 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 820:117] + node _T_10166 = eq(_T_10165, UInt<2>("h02")) @[el2_ifu_mem_ctl.scala 820:124] + node _T_10167 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 821:43] + node _T_10168 = eq(_T_10167, UInt<1>("h01")) @[el2_ifu_mem_ctl.scala 821:50] + node _T_10169 = bits(io.dec_tlu_ic_diag_pkt.icache_dicawics, 15, 14) @[el2_ifu_mem_ctl.scala 821:96] + node _T_10170 = eq(_T_10169, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 821:103] + node _T_10171 = cat(_T_10168, _T_10170) @[Cat.scala 29:58] + node _T_10172 = cat(_T_10164, _T_10166) @[Cat.scala 29:58] + node _T_10173 = cat(_T_10172, _T_10171) @[Cat.scala 29:58] + io.ic_debug_way <= _T_10173 @[el2_ifu_mem_ctl.scala 820:19] + node _T_10174 = and(io.ic_debug_wr_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 822:65] + node _T_10175 = bits(_T_10174, 0, 0) @[Bitwise.scala 72:15] + node _T_10176 = mux(_T_10175, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_10177 = and(_T_10176, io.ic_debug_way) @[el2_ifu_mem_ctl.scala 822:90] + ic_debug_tag_wr_en <= _T_10177 @[el2_ifu_mem_ctl.scala 822:22] + node ic_debug_ict_array_sel_in = and(io.ic_debug_rd_en, io.ic_debug_tag_array) @[el2_ifu_mem_ctl.scala 823:53] + node _T_10178 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 824:72] + reg _T_10179 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_10178 : @[Reg.scala 28:19] + _T_10179 <= io.ic_debug_way @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_debug_way_ff <= _T_10178 @[el2_ifu_mem_ctl.scala 822:19] - node _T_10179 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 823:92] - reg _T_10180 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_10179 : @[Reg.scala 28:19] - _T_10180 <= ic_debug_ict_array_sel_in @[Reg.scala 28:23] + ic_debug_way_ff <= _T_10179 @[el2_ifu_mem_ctl.scala 824:19] + node _T_10180 = or(io.ic_debug_rd_en, io.ic_debug_wr_en) @[el2_ifu_mem_ctl.scala 825:92] + reg _T_10181 : UInt, clock with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_10180 : @[Reg.scala 28:19] + _T_10181 <= ic_debug_ict_array_sel_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ic_debug_ict_array_sel_ff <= _T_10180 @[el2_ifu_mem_ctl.scala 823:29] - reg _T_10181 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 824:54] - _T_10181 <= io.ic_debug_rd_en @[el2_ifu_mem_ctl.scala 824:54] - ic_debug_rd_en_ff <= _T_10181 @[el2_ifu_mem_ctl.scala 824:21] - node _T_10182 = bits(ic_debug_rd_en_ff, 0, 0) @[el2_ifu_mem_ctl.scala 825:111] - reg _T_10183 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_10182 : @[Reg.scala 28:19] - _T_10183 <= ic_debug_rd_en_ff @[Reg.scala 28:23] + ic_debug_ict_array_sel_ff <= _T_10181 @[el2_ifu_mem_ctl.scala 825:29] + reg _T_10182 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 826:54] + _T_10182 <= io.ic_debug_rd_en @[el2_ifu_mem_ctl.scala 826:54] + ic_debug_rd_en_ff <= _T_10182 @[el2_ifu_mem_ctl.scala 826:21] + node _T_10183 = bits(ic_debug_rd_en_ff, 0, 0) @[el2_ifu_mem_ctl.scala 827:111] + reg _T_10184 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_10183 : @[Reg.scala 28:19] + _T_10184 <= ic_debug_rd_en_ff @[Reg.scala 28:23] skip @[Reg.scala 28:19] - io.ifu_ic_debug_rd_data_valid <= _T_10183 @[el2_ifu_mem_ctl.scala 825:33] - node _T_10184 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] + io.ifu_ic_debug_rd_data_valid <= _T_10184 @[el2_ifu_mem_ctl.scala 827:33] node _T_10185 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10186 = cat(_T_10185, _T_10184) @[Cat.scala 29:58] - node _T_10187 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_10186 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_10187 = cat(_T_10186, _T_10185) @[Cat.scala 29:58] node _T_10188 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] - node _T_10189 = cat(_T_10188, _T_10187) @[Cat.scala 29:58] - node _T_10190 = cat(_T_10189, _T_10186) @[Cat.scala 29:58] - node _T_10191 = orr(_T_10190) @[el2_ifu_mem_ctl.scala 826:213] - node _T_10192 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10193 = or(_T_10192, UInt<31>("h07fffffff")) @[el2_ifu_mem_ctl.scala 827:62] - node _T_10194 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[el2_ifu_mem_ctl.scala 827:110] - node _T_10195 = eq(_T_10193, _T_10194) @[el2_ifu_mem_ctl.scala 827:85] - node _T_10196 = and(UInt<1>("h01"), _T_10195) @[el2_ifu_mem_ctl.scala 827:27] - node _T_10197 = or(_T_10191, _T_10196) @[el2_ifu_mem_ctl.scala 826:216] - node _T_10198 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10199 = or(_T_10198, UInt<30>("h03fffffff")) @[el2_ifu_mem_ctl.scala 828:62] - node _T_10200 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[el2_ifu_mem_ctl.scala 828:110] - node _T_10201 = eq(_T_10199, _T_10200) @[el2_ifu_mem_ctl.scala 828:85] - node _T_10202 = and(UInt<1>("h01"), _T_10201) @[el2_ifu_mem_ctl.scala 828:27] - node _T_10203 = or(_T_10197, _T_10202) @[el2_ifu_mem_ctl.scala 827:134] - node _T_10204 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10205 = or(_T_10204, UInt<29>("h01fffffff")) @[el2_ifu_mem_ctl.scala 829:62] - node _T_10206 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[el2_ifu_mem_ctl.scala 829:110] - node _T_10207 = eq(_T_10205, _T_10206) @[el2_ifu_mem_ctl.scala 829:85] - node _T_10208 = and(UInt<1>("h01"), _T_10207) @[el2_ifu_mem_ctl.scala 829:27] - node _T_10209 = or(_T_10203, _T_10208) @[el2_ifu_mem_ctl.scala 828:134] - node _T_10210 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10211 = or(_T_10210, UInt<28>("h0fffffff")) @[el2_ifu_mem_ctl.scala 830:62] - node _T_10212 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[el2_ifu_mem_ctl.scala 830:110] - node _T_10213 = eq(_T_10211, _T_10212) @[el2_ifu_mem_ctl.scala 830:85] - node _T_10214 = and(UInt<1>("h01"), _T_10213) @[el2_ifu_mem_ctl.scala 830:27] - node _T_10215 = or(_T_10209, _T_10214) @[el2_ifu_mem_ctl.scala 829:134] - node _T_10216 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10217 = or(_T_10216, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 831:62] - node _T_10218 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 831:110] - node _T_10219 = eq(_T_10217, _T_10218) @[el2_ifu_mem_ctl.scala 831:85] - node _T_10220 = and(UInt<1>("h00"), _T_10219) @[el2_ifu_mem_ctl.scala 831:27] - node _T_10221 = or(_T_10215, _T_10220) @[el2_ifu_mem_ctl.scala 830:134] - node _T_10222 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10223 = or(_T_10222, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 832:62] - node _T_10224 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 832:110] - node _T_10225 = eq(_T_10223, _T_10224) @[el2_ifu_mem_ctl.scala 832:85] - node _T_10226 = and(UInt<1>("h00"), _T_10225) @[el2_ifu_mem_ctl.scala 832:27] - node _T_10227 = or(_T_10221, _T_10226) @[el2_ifu_mem_ctl.scala 831:134] - node _T_10228 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10229 = or(_T_10228, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 833:62] - node _T_10230 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 833:110] - node _T_10231 = eq(_T_10229, _T_10230) @[el2_ifu_mem_ctl.scala 833:85] - node _T_10232 = and(UInt<1>("h00"), _T_10231) @[el2_ifu_mem_ctl.scala 833:27] - node _T_10233 = or(_T_10227, _T_10232) @[el2_ifu_mem_ctl.scala 832:134] - node _T_10234 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_10235 = or(_T_10234, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 834:62] - node _T_10236 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 834:110] - node _T_10237 = eq(_T_10235, _T_10236) @[el2_ifu_mem_ctl.scala 834:85] - node _T_10238 = and(UInt<1>("h00"), _T_10237) @[el2_ifu_mem_ctl.scala 834:27] - node ifc_region_acc_okay = or(_T_10233, _T_10238) @[el2_ifu_mem_ctl.scala 833:134] - node _T_10239 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 835:40] - node _T_10240 = eq(ifc_region_acc_okay, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 835:65] - node _T_10241 = and(_T_10239, _T_10240) @[el2_ifu_mem_ctl.scala 835:63] - node ifc_region_acc_fault_memory_bf = and(_T_10241, io.ifc_fetch_req_bf) @[el2_ifu_mem_ctl.scala 835:86] - node _T_10242 = or(io.ifc_region_acc_fault_bf, ifc_region_acc_fault_memory_bf) @[el2_ifu_mem_ctl.scala 836:63] - ifc_region_acc_fault_final_bf <= _T_10242 @[el2_ifu_mem_ctl.scala 836:33] - reg _T_10243 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 837:66] - _T_10243 <= ifc_region_acc_fault_memory_bf @[el2_ifu_mem_ctl.scala 837:66] - ifc_region_acc_fault_memory_f <= _T_10243 @[el2_ifu_mem_ctl.scala 837:33] + node _T_10189 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_10190 = cat(_T_10189, _T_10188) @[Cat.scala 29:58] + node _T_10191 = cat(_T_10190, _T_10187) @[Cat.scala 29:58] + node _T_10192 = orr(_T_10191) @[el2_ifu_mem_ctl.scala 828:213] + node _T_10193 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_10194 = or(_T_10193, UInt<31>("h07fffffff")) @[el2_ifu_mem_ctl.scala 829:62] + node _T_10195 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[el2_ifu_mem_ctl.scala 829:110] + node _T_10196 = eq(_T_10194, _T_10195) @[el2_ifu_mem_ctl.scala 829:85] + node _T_10197 = and(UInt<1>("h01"), _T_10196) @[el2_ifu_mem_ctl.scala 829:27] + node _T_10198 = or(_T_10192, _T_10197) @[el2_ifu_mem_ctl.scala 828:216] + node _T_10199 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_10200 = or(_T_10199, UInt<30>("h03fffffff")) @[el2_ifu_mem_ctl.scala 830:62] + node _T_10201 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[el2_ifu_mem_ctl.scala 830:110] + node _T_10202 = eq(_T_10200, _T_10201) @[el2_ifu_mem_ctl.scala 830:85] + node _T_10203 = and(UInt<1>("h01"), _T_10202) @[el2_ifu_mem_ctl.scala 830:27] + node _T_10204 = or(_T_10198, _T_10203) @[el2_ifu_mem_ctl.scala 829:134] + node _T_10205 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_10206 = or(_T_10205, UInt<29>("h01fffffff")) @[el2_ifu_mem_ctl.scala 831:62] + node _T_10207 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[el2_ifu_mem_ctl.scala 831:110] + node _T_10208 = eq(_T_10206, _T_10207) @[el2_ifu_mem_ctl.scala 831:85] + node _T_10209 = and(UInt<1>("h01"), _T_10208) @[el2_ifu_mem_ctl.scala 831:27] + node _T_10210 = or(_T_10204, _T_10209) @[el2_ifu_mem_ctl.scala 830:134] + node _T_10211 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_10212 = or(_T_10211, UInt<28>("h0fffffff")) @[el2_ifu_mem_ctl.scala 832:62] + node _T_10213 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[el2_ifu_mem_ctl.scala 832:110] + node _T_10214 = eq(_T_10212, _T_10213) @[el2_ifu_mem_ctl.scala 832:85] + node _T_10215 = and(UInt<1>("h01"), _T_10214) @[el2_ifu_mem_ctl.scala 832:27] + node _T_10216 = or(_T_10210, _T_10215) @[el2_ifu_mem_ctl.scala 831:134] + node _T_10217 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_10218 = or(_T_10217, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 833:62] + node _T_10219 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 833:110] + node _T_10220 = eq(_T_10218, _T_10219) @[el2_ifu_mem_ctl.scala 833:85] + node _T_10221 = and(UInt<1>("h00"), _T_10220) @[el2_ifu_mem_ctl.scala 833:27] + node _T_10222 = or(_T_10216, _T_10221) @[el2_ifu_mem_ctl.scala 832:134] + node _T_10223 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_10224 = or(_T_10223, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 834:62] + node _T_10225 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 834:110] + node _T_10226 = eq(_T_10224, _T_10225) @[el2_ifu_mem_ctl.scala 834:85] + node _T_10227 = and(UInt<1>("h00"), _T_10226) @[el2_ifu_mem_ctl.scala 834:27] + node _T_10228 = or(_T_10222, _T_10227) @[el2_ifu_mem_ctl.scala 833:134] + node _T_10229 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_10230 = or(_T_10229, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 835:62] + node _T_10231 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 835:110] + node _T_10232 = eq(_T_10230, _T_10231) @[el2_ifu_mem_ctl.scala 835:85] + node _T_10233 = and(UInt<1>("h00"), _T_10232) @[el2_ifu_mem_ctl.scala 835:27] + node _T_10234 = or(_T_10228, _T_10233) @[el2_ifu_mem_ctl.scala 834:134] + node _T_10235 = cat(io.ifc_fetch_addr_bf, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_10236 = or(_T_10235, UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 836:62] + node _T_10237 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[el2_ifu_mem_ctl.scala 836:110] + node _T_10238 = eq(_T_10236, _T_10237) @[el2_ifu_mem_ctl.scala 836:85] + node _T_10239 = and(UInt<1>("h00"), _T_10238) @[el2_ifu_mem_ctl.scala 836:27] + node ifc_region_acc_okay = or(_T_10234, _T_10239) @[el2_ifu_mem_ctl.scala 835:134] + node _T_10240 = eq(io.ifc_iccm_access_bf, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 837:40] + node _T_10241 = eq(ifc_region_acc_okay, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 837:65] + node _T_10242 = and(_T_10240, _T_10241) @[el2_ifu_mem_ctl.scala 837:63] + node ifc_region_acc_fault_memory_bf = and(_T_10242, io.ifc_fetch_req_bf) @[el2_ifu_mem_ctl.scala 837:86] + node _T_10243 = or(io.ifc_region_acc_fault_bf, ifc_region_acc_fault_memory_bf) @[el2_ifu_mem_ctl.scala 838:63] + ifc_region_acc_fault_final_bf <= _T_10243 @[el2_ifu_mem_ctl.scala 838:33] + reg _T_10244 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_ifu_mem_ctl.scala 839:66] + _T_10244 <= ifc_region_acc_fault_memory_bf @[el2_ifu_mem_ctl.scala 839:66] + ifc_region_acc_fault_memory_f <= _T_10244 @[el2_ifu_mem_ctl.scala 839:33] diff --git a/el2_ifu_mem_ctl.v b/el2_ifu_mem_ctl.v index 2233c7bf..072c9a95 100644 --- a/el2_ifu_mem_ctl.v +++ b/el2_ifu_mem_ctl.v @@ -593,35 +593,35 @@ module el2_ifu_mem_ctl( reg [31:0] _RAND_467; reg [31:0] _RAND_468; `endif // RANDOMIZE_REG_INIT - reg flush_final_f; // @[el2_ifu_mem_ctl.scala 184:30] - reg ifc_fetch_req_f_raw; // @[el2_ifu_mem_ctl.scala 320:36] - wire _T_317 = ~io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 321:44] - wire ifc_fetch_req_f = ifc_fetch_req_f_raw & _T_317; // @[el2_ifu_mem_ctl.scala 321:42] + reg flush_final_f; // @[el2_ifu_mem_ctl.scala 185:30] + reg ifc_fetch_req_f_raw; // @[el2_ifu_mem_ctl.scala 321:36] + wire _T_317 = ~io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 322:44] + wire ifc_fetch_req_f = ifc_fetch_req_f_raw & _T_317; // @[el2_ifu_mem_ctl.scala 322:42] reg [2:0] miss_state; // @[Reg.scala 27:20] - wire miss_pending = miss_state != 3'h0; // @[el2_ifu_mem_ctl.scala 253:30] - reg scnd_miss_req_q; // @[el2_ifu_mem_ctl.scala 547:52] - wire scnd_miss_req = scnd_miss_req_q & _T_317; // @[el2_ifu_mem_ctl.scala 549:36] - wire debug_c1_clken = io_ic_debug_rd_en | io_ic_debug_wr_en; // @[el2_ifu_mem_ctl.scala 186:42] + wire miss_pending = miss_state != 3'h0; // @[el2_ifu_mem_ctl.scala 254:30] + reg scnd_miss_req_q; // @[el2_ifu_mem_ctl.scala 548:52] + wire scnd_miss_req = scnd_miss_req_q & _T_317; // @[el2_ifu_mem_ctl.scala 550:36] + wire debug_c1_clken = io_ic_debug_rd_en | io_ic_debug_wr_en; // @[el2_ifu_mem_ctl.scala 187:42] wire [3:0] ic_fetch_val_int_f = {2'h0,io_ic_fetch_val_f}; // @[Cat.scala 29:58] - reg [30:0] ifu_fetch_addr_int_f; // @[el2_ifu_mem_ctl.scala 308:34] - wire [4:0] _GEN_464 = {{1'd0}, ic_fetch_val_int_f}; // @[el2_ifu_mem_ctl.scala 664:53] - wire [4:0] ic_fetch_val_shift_right = _GEN_464 << ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 664:53] - wire [1:0] _GEN_465 = {{1'd0}, _T_317}; // @[el2_ifu_mem_ctl.scala 667:91] - wire [1:0] _T_3121 = ic_fetch_val_shift_right[3:2] & _GEN_465; // @[el2_ifu_mem_ctl.scala 667:91] - reg ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 322:31] - wire fetch_req_iccm_f = ifc_fetch_req_f & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 275:46] - wire [1:0] _GEN_466 = {{1'd0}, fetch_req_iccm_f}; // @[el2_ifu_mem_ctl.scala 667:113] - wire [1:0] _T_3122 = _T_3121 & _GEN_466; // @[el2_ifu_mem_ctl.scala 667:113] - reg iccm_dma_rvalid_in; // @[el2_ifu_mem_ctl.scala 653:59] - wire [1:0] _GEN_467 = {{1'd0}, iccm_dma_rvalid_in}; // @[el2_ifu_mem_ctl.scala 667:130] - wire [1:0] _T_3123 = _T_3122 | _GEN_467; // @[el2_ifu_mem_ctl.scala 667:130] - wire _T_3124 = ~io_dec_tlu_core_ecc_disable; // @[el2_ifu_mem_ctl.scala 667:154] - wire [1:0] _GEN_468 = {{1'd0}, _T_3124}; // @[el2_ifu_mem_ctl.scala 667:152] - wire [1:0] _T_3125 = _T_3123 & _GEN_468; // @[el2_ifu_mem_ctl.scala 667:152] - wire [1:0] _T_3114 = ic_fetch_val_shift_right[1:0] & _GEN_465; // @[el2_ifu_mem_ctl.scala 667:91] - wire [1:0] _T_3115 = _T_3114 & _GEN_466; // @[el2_ifu_mem_ctl.scala 667:113] - wire [1:0] _T_3116 = _T_3115 | _GEN_467; // @[el2_ifu_mem_ctl.scala 667:130] - wire [1:0] _T_3118 = _T_3116 & _GEN_468; // @[el2_ifu_mem_ctl.scala 667:152] + reg [30:0] ifu_fetch_addr_int_f; // @[el2_ifu_mem_ctl.scala 309:34] + wire [4:0] _GEN_464 = {{1'd0}, ic_fetch_val_int_f}; // @[el2_ifu_mem_ctl.scala 665:53] + wire [4:0] ic_fetch_val_shift_right = _GEN_464 << ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 665:53] + wire [1:0] _GEN_465 = {{1'd0}, _T_317}; // @[el2_ifu_mem_ctl.scala 668:91] + wire [1:0] _T_3121 = ic_fetch_val_shift_right[3:2] & _GEN_465; // @[el2_ifu_mem_ctl.scala 668:91] + reg ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 323:31] + wire fetch_req_iccm_f = ifc_fetch_req_f & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 276:46] + wire [1:0] _GEN_466 = {{1'd0}, fetch_req_iccm_f}; // @[el2_ifu_mem_ctl.scala 668:113] + wire [1:0] _T_3122 = _T_3121 & _GEN_466; // @[el2_ifu_mem_ctl.scala 668:113] + reg iccm_dma_rvalid_in; // @[el2_ifu_mem_ctl.scala 654:59] + wire [1:0] _GEN_467 = {{1'd0}, iccm_dma_rvalid_in}; // @[el2_ifu_mem_ctl.scala 668:130] + wire [1:0] _T_3123 = _T_3122 | _GEN_467; // @[el2_ifu_mem_ctl.scala 668:130] + wire _T_3124 = ~io_dec_tlu_core_ecc_disable; // @[el2_ifu_mem_ctl.scala 668:154] + wire [1:0] _GEN_468 = {{1'd0}, _T_3124}; // @[el2_ifu_mem_ctl.scala 668:152] + wire [1:0] _T_3125 = _T_3123 & _GEN_468; // @[el2_ifu_mem_ctl.scala 668:152] + wire [1:0] _T_3114 = ic_fetch_val_shift_right[1:0] & _GEN_465; // @[el2_ifu_mem_ctl.scala 668:91] + wire [1:0] _T_3115 = _T_3114 & _GEN_466; // @[el2_ifu_mem_ctl.scala 668:113] + wire [1:0] _T_3116 = _T_3115 | _GEN_467; // @[el2_ifu_mem_ctl.scala 668:130] + wire [1:0] _T_3118 = _T_3116 & _GEN_468; // @[el2_ifu_mem_ctl.scala 668:152] wire [3:0] iccm_ecc_word_enable = {_T_3125,_T_3118}; // @[Cat.scala 29:58] wire _T_3225 = ^io_iccm_rd_data_ecc[31:0]; // @[el2_lib.scala 301:30] wire _T_3226 = ^io_iccm_rd_data_ecc[38:32]; // @[el2_lib.scala 301:44] @@ -684,238 +684,238 @@ module el2_ifu_mem_ctl( wire _T_3724 = iccm_ecc_word_enable[1] & _T_3723; // @[el2_lib.scala 302:32] wire _T_3726 = _T_3724 & _T_3722[6]; // @[el2_lib.scala 302:53] wire [1:0] iccm_single_ecc_error = {_T_3341,_T_3726}; // @[Cat.scala 29:58] - wire _T_3 = |iccm_single_ecc_error; // @[el2_ifu_mem_ctl.scala 189:52] - reg dma_iccm_req_f; // @[el2_ifu_mem_ctl.scala 631:51] - wire _T_6 = io_iccm_rd_ecc_single_err | io_ic_error_start; // @[el2_ifu_mem_ctl.scala 190:57] + wire _T_3 = |iccm_single_ecc_error; // @[el2_ifu_mem_ctl.scala 190:52] + reg dma_iccm_req_f; // @[el2_ifu_mem_ctl.scala 632:51] + wire _T_6 = io_iccm_rd_ecc_single_err | io_ic_error_start; // @[el2_ifu_mem_ctl.scala 191:57] reg [2:0] perr_state; // @[Reg.scala 27:20] - wire _T_7 = perr_state == 3'h4; // @[el2_ifu_mem_ctl.scala 191:54] - wire iccm_correct_ecc = perr_state == 3'h3; // @[el2_ifu_mem_ctl.scala 476:34] - wire _T_8 = iccm_correct_ecc | _T_7; // @[el2_ifu_mem_ctl.scala 191:40] + wire _T_7 = perr_state == 3'h4; // @[el2_ifu_mem_ctl.scala 192:54] + wire iccm_correct_ecc = perr_state == 3'h3; // @[el2_ifu_mem_ctl.scala 477:34] + wire _T_8 = iccm_correct_ecc | _T_7; // @[el2_ifu_mem_ctl.scala 192:40] reg [1:0] err_stop_state; // @[Reg.scala 27:20] - wire _T_9 = err_stop_state == 2'h3; // @[el2_ifu_mem_ctl.scala 191:90] - wire _T_10 = _T_8 | _T_9; // @[el2_ifu_mem_ctl.scala 191:72] + wire _T_9 = err_stop_state == 2'h3; // @[el2_ifu_mem_ctl.scala 192:90] + wire _T_10 = _T_8 | _T_9; // @[el2_ifu_mem_ctl.scala 192:72] wire _T_2490 = 2'h0 == err_stop_state; // @[Conditional.scala 37:30] wire _T_2495 = 2'h1 == err_stop_state; // @[Conditional.scala 37:30] - wire _T_2515 = io_ifu_fetch_val == 2'h3; // @[el2_ifu_mem_ctl.scala 526:48] - wire two_byte_instr = io_ic_data_f[1:0] != 2'h3; // @[el2_ifu_mem_ctl.scala 390:42] - wire _T_2517 = io_ifu_fetch_val[0] & two_byte_instr; // @[el2_ifu_mem_ctl.scala 526:79] - wire _T_2518 = _T_2515 | _T_2517; // @[el2_ifu_mem_ctl.scala 526:56] - wire _T_2519 = io_exu_flush_final | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 526:122] - wire _T_2520 = ~_T_2519; // @[el2_ifu_mem_ctl.scala 526:101] - wire _T_2521 = _T_2518 & _T_2520; // @[el2_ifu_mem_ctl.scala 526:99] + wire _T_2515 = io_ifu_fetch_val == 2'h3; // @[el2_ifu_mem_ctl.scala 527:48] + wire two_byte_instr = io_ic_data_f[1:0] != 2'h3; // @[el2_ifu_mem_ctl.scala 391:42] + wire _T_2517 = io_ifu_fetch_val[0] & two_byte_instr; // @[el2_ifu_mem_ctl.scala 527:79] + wire _T_2518 = _T_2515 | _T_2517; // @[el2_ifu_mem_ctl.scala 527:56] + wire _T_2519 = io_exu_flush_final | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 527:122] + wire _T_2520 = ~_T_2519; // @[el2_ifu_mem_ctl.scala 527:101] + wire _T_2521 = _T_2518 & _T_2520; // @[el2_ifu_mem_ctl.scala 527:99] wire _T_2522 = 2'h2 == err_stop_state; // @[Conditional.scala 37:30] - wire _T_2536 = io_ifu_fetch_val[0] & _T_317; // @[el2_ifu_mem_ctl.scala 533:45] - wire _T_2537 = ~io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 533:69] - wire _T_2538 = _T_2536 & _T_2537; // @[el2_ifu_mem_ctl.scala 533:67] + wire _T_2536 = io_ifu_fetch_val[0] & _T_317; // @[el2_ifu_mem_ctl.scala 534:45] + wire _T_2537 = ~io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 534:69] + wire _T_2538 = _T_2536 & _T_2537; // @[el2_ifu_mem_ctl.scala 534:67] wire _T_2539 = 2'h3 == err_stop_state; // @[Conditional.scala 37:30] wire _GEN_55 = _T_2522 ? _T_2538 : _T_2539; // @[Conditional.scala 39:67] wire _GEN_59 = _T_2495 ? _T_2521 : _GEN_55; // @[Conditional.scala 39:67] wire err_stop_fetch = _T_2490 ? 1'h0 : _GEN_59; // @[Conditional.scala 40:58] - wire _T_11 = _T_10 | err_stop_fetch; // @[el2_ifu_mem_ctl.scala 191:112] - wire _T_13 = io_ifu_axi_rvalid & io_ifu_bus_clk_en; // @[el2_ifu_mem_ctl.scala 193:44] - wire _T_14 = _T_13 & io_ifu_axi_rready; // @[el2_ifu_mem_ctl.scala 193:65] - wire _T_227 = |io_ic_rd_hit; // @[el2_ifu_mem_ctl.scala 283:37] - wire _T_228 = ~_T_227; // @[el2_ifu_mem_ctl.scala 283:23] - reg reset_all_tags; // @[el2_ifu_mem_ctl.scala 699:53] - wire _T_229 = _T_228 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 283:41] - wire _T_207 = ~ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 274:48] - wire _T_208 = ifc_fetch_req_f & _T_207; // @[el2_ifu_mem_ctl.scala 274:46] - reg ifc_region_acc_fault_final_f; // @[el2_ifu_mem_ctl.scala 324:42] - wire _T_209 = ~ifc_region_acc_fault_final_f; // @[el2_ifu_mem_ctl.scala 274:69] - wire fetch_req_icache_f = _T_208 & _T_209; // @[el2_ifu_mem_ctl.scala 274:67] - wire _T_230 = _T_229 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 283:59] - wire _T_231 = ~miss_pending; // @[el2_ifu_mem_ctl.scala 283:82] - wire _T_232 = _T_230 & _T_231; // @[el2_ifu_mem_ctl.scala 283:80] - wire _T_233 = _T_232 | scnd_miss_req; // @[el2_ifu_mem_ctl.scala 283:97] - wire ic_act_miss_f = _T_233 & _T_209; // @[el2_ifu_mem_ctl.scala 283:114] + wire _T_11 = _T_10 | err_stop_fetch; // @[el2_ifu_mem_ctl.scala 192:112] + wire _T_13 = io_ifu_axi_rvalid & io_ifu_bus_clk_en; // @[el2_ifu_mem_ctl.scala 194:44] + wire _T_14 = _T_13 & io_ifu_axi_rready; // @[el2_ifu_mem_ctl.scala 194:65] + wire _T_227 = |io_ic_rd_hit; // @[el2_ifu_mem_ctl.scala 284:37] + wire _T_228 = ~_T_227; // @[el2_ifu_mem_ctl.scala 284:23] + reg reset_all_tags; // @[el2_ifu_mem_ctl.scala 700:53] + wire _T_229 = _T_228 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 284:41] + wire _T_207 = ~ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 275:48] + wire _T_208 = ifc_fetch_req_f & _T_207; // @[el2_ifu_mem_ctl.scala 275:46] + reg ifc_region_acc_fault_final_f; // @[el2_ifu_mem_ctl.scala 325:42] + wire _T_209 = ~ifc_region_acc_fault_final_f; // @[el2_ifu_mem_ctl.scala 275:69] + wire fetch_req_icache_f = _T_208 & _T_209; // @[el2_ifu_mem_ctl.scala 275:67] + wire _T_230 = _T_229 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 284:59] + wire _T_231 = ~miss_pending; // @[el2_ifu_mem_ctl.scala 284:82] + wire _T_232 = _T_230 & _T_231; // @[el2_ifu_mem_ctl.scala 284:80] + wire _T_233 = _T_232 | scnd_miss_req; // @[el2_ifu_mem_ctl.scala 284:97] + wire ic_act_miss_f = _T_233 & _T_209; // @[el2_ifu_mem_ctl.scala 284:114] reg ifu_bus_rvalid_unq_ff; // @[Reg.scala 27:20] - reg bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 546:61] - wire ifu_bus_rvalid_ff = ifu_bus_rvalid_unq_ff & bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 588:49] - wire bus_ifu_wr_en_ff = ifu_bus_rvalid_ff & miss_pending; // @[el2_ifu_mem_ctl.scala 615:41] - reg uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 310:33] - reg [2:0] bus_data_beat_count; // @[el2_ifu_mem_ctl.scala 596:56] - wire _T_2641 = bus_data_beat_count == 3'h1; // @[el2_ifu_mem_ctl.scala 613:69] - wire _T_2642 = &bus_data_beat_count; // @[el2_ifu_mem_ctl.scala 613:101] - wire bus_last_data_beat = uncacheable_miss_ff ? _T_2641 : _T_2642; // @[el2_ifu_mem_ctl.scala 613:28] - wire _T_2588 = bus_ifu_wr_en_ff & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 592:68] - wire _T_2589 = ic_act_miss_f | _T_2588; // @[el2_ifu_mem_ctl.scala 592:48] - wire bus_reset_data_beat_cnt = _T_2589 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 592:91] - wire _T_2585 = ~bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 591:50] - wire _T_2586 = bus_ifu_wr_en_ff & _T_2585; // @[el2_ifu_mem_ctl.scala 591:48] - wire _T_2587 = ~io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 591:72] - wire bus_inc_data_beat_cnt = _T_2586 & _T_2587; // @[el2_ifu_mem_ctl.scala 591:70] - wire [2:0] _T_2593 = bus_data_beat_count + 3'h1; // @[el2_ifu_mem_ctl.scala 595:115] + reg bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 547:61] + wire ifu_bus_rvalid_ff = ifu_bus_rvalid_unq_ff & bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 589:49] + wire bus_ifu_wr_en_ff = ifu_bus_rvalid_ff & miss_pending; // @[el2_ifu_mem_ctl.scala 616:41] + reg uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 311:33] + reg [2:0] bus_data_beat_count; // @[el2_ifu_mem_ctl.scala 597:56] + wire _T_2641 = bus_data_beat_count == 3'h1; // @[el2_ifu_mem_ctl.scala 614:69] + wire _T_2642 = &bus_data_beat_count; // @[el2_ifu_mem_ctl.scala 614:101] + wire bus_last_data_beat = uncacheable_miss_ff ? _T_2641 : _T_2642; // @[el2_ifu_mem_ctl.scala 614:28] + wire _T_2588 = bus_ifu_wr_en_ff & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 593:68] + wire _T_2589 = ic_act_miss_f | _T_2588; // @[el2_ifu_mem_ctl.scala 593:48] + wire bus_reset_data_beat_cnt = _T_2589 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 593:91] + wire _T_2585 = ~bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 592:50] + wire _T_2586 = bus_ifu_wr_en_ff & _T_2585; // @[el2_ifu_mem_ctl.scala 592:48] + wire _T_2587 = ~io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 592:72] + wire bus_inc_data_beat_cnt = _T_2586 & _T_2587; // @[el2_ifu_mem_ctl.scala 592:70] + wire [2:0] _T_2593 = bus_data_beat_count + 3'h1; // @[el2_ifu_mem_ctl.scala 596:115] wire [2:0] _T_2595 = bus_inc_data_beat_cnt ? _T_2593 : 3'h0; // @[Mux.scala 27:72] - wire _T_2590 = ~bus_inc_data_beat_cnt; // @[el2_ifu_mem_ctl.scala 593:32] - wire _T_2591 = ~bus_reset_data_beat_cnt; // @[el2_ifu_mem_ctl.scala 593:57] - wire bus_hold_data_beat_cnt = _T_2590 & _T_2591; // @[el2_ifu_mem_ctl.scala 593:55] + wire _T_2590 = ~bus_inc_data_beat_cnt; // @[el2_ifu_mem_ctl.scala 594:32] + wire _T_2591 = ~bus_reset_data_beat_cnt; // @[el2_ifu_mem_ctl.scala 594:57] + wire bus_hold_data_beat_cnt = _T_2590 & _T_2591; // @[el2_ifu_mem_ctl.scala 594:55] wire [2:0] _T_2596 = bus_hold_data_beat_cnt ? bus_data_beat_count : 3'h0; // @[Mux.scala 27:72] wire [2:0] bus_new_data_beat_count = _T_2595 | _T_2596; // @[Mux.scala 27:72] - wire _T_15 = &bus_new_data_beat_count; // @[el2_ifu_mem_ctl.scala 193:112] - wire _T_16 = _T_14 & _T_15; // @[el2_ifu_mem_ctl.scala 193:85] - wire _T_17 = ~uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 194:5] - wire _T_18 = _T_16 & _T_17; // @[el2_ifu_mem_ctl.scala 193:118] - wire _T_19 = miss_state == 3'h5; // @[el2_ifu_mem_ctl.scala 194:41] + wire _T_15 = &bus_new_data_beat_count; // @[el2_ifu_mem_ctl.scala 194:112] + wire _T_16 = _T_14 & _T_15; // @[el2_ifu_mem_ctl.scala 194:85] + wire _T_17 = ~uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 195:5] + wire _T_18 = _T_16 & _T_17; // @[el2_ifu_mem_ctl.scala 194:118] + wire _T_19 = miss_state == 3'h5; // @[el2_ifu_mem_ctl.scala 195:41] wire _T_24 = 3'h0 == miss_state; // @[Conditional.scala 37:30] - wire _T_26 = ic_act_miss_f & _T_317; // @[el2_ifu_mem_ctl.scala 200:43] - wire [2:0] _T_28 = _T_26 ? 3'h1 : 3'h2; // @[el2_ifu_mem_ctl.scala 200:27] + wire _T_26 = ic_act_miss_f & _T_317; // @[el2_ifu_mem_ctl.scala 201:43] + wire [2:0] _T_28 = _T_26 ? 3'h1 : 3'h2; // @[el2_ifu_mem_ctl.scala 201:27] wire _T_31 = 3'h1 == miss_state; // @[Conditional.scala 37:30] - wire [4:0] byp_fetch_index = ifu_fetch_addr_int_f[4:0]; // @[el2_ifu_mem_ctl.scala 426:45] - wire _T_2120 = byp_fetch_index[4:2] == 3'h0; // @[el2_ifu_mem_ctl.scala 447:127] - reg [7:0] ic_miss_buff_data_valid; // @[el2_ifu_mem_ctl.scala 403:60] + wire [4:0] byp_fetch_index = ifu_fetch_addr_int_f[4:0]; // @[el2_ifu_mem_ctl.scala 427:45] + wire _T_2120 = byp_fetch_index[4:2] == 3'h0; // @[el2_ifu_mem_ctl.scala 448:127] + reg [7:0] ic_miss_buff_data_valid; // @[el2_ifu_mem_ctl.scala 404:60] wire _T_2151 = _T_2120 & ic_miss_buff_data_valid[0]; // @[Mux.scala 27:72] - wire _T_2124 = byp_fetch_index[4:2] == 3'h1; // @[el2_ifu_mem_ctl.scala 447:127] + wire _T_2124 = byp_fetch_index[4:2] == 3'h1; // @[el2_ifu_mem_ctl.scala 448:127] wire _T_2152 = _T_2124 & ic_miss_buff_data_valid[1]; // @[Mux.scala 27:72] wire _T_2159 = _T_2151 | _T_2152; // @[Mux.scala 27:72] - wire _T_2128 = byp_fetch_index[4:2] == 3'h2; // @[el2_ifu_mem_ctl.scala 447:127] + wire _T_2128 = byp_fetch_index[4:2] == 3'h2; // @[el2_ifu_mem_ctl.scala 448:127] wire _T_2153 = _T_2128 & ic_miss_buff_data_valid[2]; // @[Mux.scala 27:72] wire _T_2160 = _T_2159 | _T_2153; // @[Mux.scala 27:72] - wire _T_2132 = byp_fetch_index[4:2] == 3'h3; // @[el2_ifu_mem_ctl.scala 447:127] + wire _T_2132 = byp_fetch_index[4:2] == 3'h3; // @[el2_ifu_mem_ctl.scala 448:127] wire _T_2154 = _T_2132 & ic_miss_buff_data_valid[3]; // @[Mux.scala 27:72] wire _T_2161 = _T_2160 | _T_2154; // @[Mux.scala 27:72] - wire _T_2136 = byp_fetch_index[4:2] == 3'h4; // @[el2_ifu_mem_ctl.scala 447:127] + wire _T_2136 = byp_fetch_index[4:2] == 3'h4; // @[el2_ifu_mem_ctl.scala 448:127] wire _T_2155 = _T_2136 & ic_miss_buff_data_valid[4]; // @[Mux.scala 27:72] wire _T_2162 = _T_2161 | _T_2155; // @[Mux.scala 27:72] - wire _T_2140 = byp_fetch_index[4:2] == 3'h5; // @[el2_ifu_mem_ctl.scala 447:127] + wire _T_2140 = byp_fetch_index[4:2] == 3'h5; // @[el2_ifu_mem_ctl.scala 448:127] wire _T_2156 = _T_2140 & ic_miss_buff_data_valid[5]; // @[Mux.scala 27:72] wire _T_2163 = _T_2162 | _T_2156; // @[Mux.scala 27:72] - wire _T_2144 = byp_fetch_index[4:2] == 3'h6; // @[el2_ifu_mem_ctl.scala 447:127] + wire _T_2144 = byp_fetch_index[4:2] == 3'h6; // @[el2_ifu_mem_ctl.scala 448:127] wire _T_2157 = _T_2144 & ic_miss_buff_data_valid[6]; // @[Mux.scala 27:72] wire _T_2164 = _T_2163 | _T_2157; // @[Mux.scala 27:72] - wire _T_2148 = byp_fetch_index[4:2] == 3'h7; // @[el2_ifu_mem_ctl.scala 447:127] + wire _T_2148 = byp_fetch_index[4:2] == 3'h7; // @[el2_ifu_mem_ctl.scala 448:127] wire _T_2158 = _T_2148 & ic_miss_buff_data_valid[7]; // @[Mux.scala 27:72] wire ic_miss_buff_data_valid_bypass_index = _T_2164 | _T_2158; // @[Mux.scala 27:72] - wire _T_2206 = ~byp_fetch_index[1]; // @[el2_ifu_mem_ctl.scala 449:69] - wire _T_2207 = ic_miss_buff_data_valid_bypass_index & _T_2206; // @[el2_ifu_mem_ctl.scala 449:67] - wire _T_2209 = ~byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 449:91] - wire _T_2210 = _T_2207 & _T_2209; // @[el2_ifu_mem_ctl.scala 449:89] - wire _T_2215 = _T_2207 & byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 450:65] - wire _T_2216 = _T_2210 | _T_2215; // @[el2_ifu_mem_ctl.scala 449:112] - wire _T_2218 = ic_miss_buff_data_valid_bypass_index & byp_fetch_index[1]; // @[el2_ifu_mem_ctl.scala 451:43] - wire _T_2221 = _T_2218 & _T_2209; // @[el2_ifu_mem_ctl.scala 451:65] - wire _T_2222 = _T_2216 | _T_2221; // @[el2_ifu_mem_ctl.scala 450:88] - wire _T_2226 = _T_2218 & byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 452:65] - wire [2:0] byp_fetch_index_inc = ifu_fetch_addr_int_f[4:2] + 3'h1; // @[el2_ifu_mem_ctl.scala 429:75] - wire _T_2166 = byp_fetch_index_inc == 3'h0; // @[el2_ifu_mem_ctl.scala 448:110] + wire _T_2206 = ~byp_fetch_index[1]; // @[el2_ifu_mem_ctl.scala 450:69] + wire _T_2207 = ic_miss_buff_data_valid_bypass_index & _T_2206; // @[el2_ifu_mem_ctl.scala 450:67] + wire _T_2209 = ~byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 450:91] + wire _T_2210 = _T_2207 & _T_2209; // @[el2_ifu_mem_ctl.scala 450:89] + wire _T_2215 = _T_2207 & byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 451:65] + wire _T_2216 = _T_2210 | _T_2215; // @[el2_ifu_mem_ctl.scala 450:112] + wire _T_2218 = ic_miss_buff_data_valid_bypass_index & byp_fetch_index[1]; // @[el2_ifu_mem_ctl.scala 452:43] + wire _T_2221 = _T_2218 & _T_2209; // @[el2_ifu_mem_ctl.scala 452:65] + wire _T_2222 = _T_2216 | _T_2221; // @[el2_ifu_mem_ctl.scala 451:88] + wire _T_2226 = _T_2218 & byp_fetch_index[0]; // @[el2_ifu_mem_ctl.scala 453:65] + wire [2:0] byp_fetch_index_inc = ifu_fetch_addr_int_f[4:2] + 3'h1; // @[el2_ifu_mem_ctl.scala 430:75] + wire _T_2166 = byp_fetch_index_inc == 3'h0; // @[el2_ifu_mem_ctl.scala 449:110] wire _T_2190 = _T_2166 & ic_miss_buff_data_valid[0]; // @[Mux.scala 27:72] - wire _T_2169 = byp_fetch_index_inc == 3'h1; // @[el2_ifu_mem_ctl.scala 448:110] + wire _T_2169 = byp_fetch_index_inc == 3'h1; // @[el2_ifu_mem_ctl.scala 449:110] wire _T_2191 = _T_2169 & ic_miss_buff_data_valid[1]; // @[Mux.scala 27:72] wire _T_2198 = _T_2190 | _T_2191; // @[Mux.scala 27:72] - wire _T_2172 = byp_fetch_index_inc == 3'h2; // @[el2_ifu_mem_ctl.scala 448:110] + wire _T_2172 = byp_fetch_index_inc == 3'h2; // @[el2_ifu_mem_ctl.scala 449:110] wire _T_2192 = _T_2172 & ic_miss_buff_data_valid[2]; // @[Mux.scala 27:72] wire _T_2199 = _T_2198 | _T_2192; // @[Mux.scala 27:72] - wire _T_2175 = byp_fetch_index_inc == 3'h3; // @[el2_ifu_mem_ctl.scala 448:110] + wire _T_2175 = byp_fetch_index_inc == 3'h3; // @[el2_ifu_mem_ctl.scala 449:110] wire _T_2193 = _T_2175 & ic_miss_buff_data_valid[3]; // @[Mux.scala 27:72] wire _T_2200 = _T_2199 | _T_2193; // @[Mux.scala 27:72] - wire _T_2178 = byp_fetch_index_inc == 3'h4; // @[el2_ifu_mem_ctl.scala 448:110] + wire _T_2178 = byp_fetch_index_inc == 3'h4; // @[el2_ifu_mem_ctl.scala 449:110] wire _T_2194 = _T_2178 & ic_miss_buff_data_valid[4]; // @[Mux.scala 27:72] wire _T_2201 = _T_2200 | _T_2194; // @[Mux.scala 27:72] - wire _T_2181 = byp_fetch_index_inc == 3'h5; // @[el2_ifu_mem_ctl.scala 448:110] + wire _T_2181 = byp_fetch_index_inc == 3'h5; // @[el2_ifu_mem_ctl.scala 449:110] wire _T_2195 = _T_2181 & ic_miss_buff_data_valid[5]; // @[Mux.scala 27:72] wire _T_2202 = _T_2201 | _T_2195; // @[Mux.scala 27:72] - wire _T_2184 = byp_fetch_index_inc == 3'h6; // @[el2_ifu_mem_ctl.scala 448:110] + wire _T_2184 = byp_fetch_index_inc == 3'h6; // @[el2_ifu_mem_ctl.scala 449:110] wire _T_2196 = _T_2184 & ic_miss_buff_data_valid[6]; // @[Mux.scala 27:72] wire _T_2203 = _T_2202 | _T_2196; // @[Mux.scala 27:72] - wire _T_2187 = byp_fetch_index_inc == 3'h7; // @[el2_ifu_mem_ctl.scala 448:110] + wire _T_2187 = byp_fetch_index_inc == 3'h7; // @[el2_ifu_mem_ctl.scala 449:110] wire _T_2197 = _T_2187 & ic_miss_buff_data_valid[7]; // @[Mux.scala 27:72] wire ic_miss_buff_data_valid_inc_bypass_index = _T_2203 | _T_2197; // @[Mux.scala 27:72] - wire _T_2227 = _T_2226 & ic_miss_buff_data_valid_inc_bypass_index; // @[el2_ifu_mem_ctl.scala 452:87] - wire _T_2228 = _T_2222 | _T_2227; // @[el2_ifu_mem_ctl.scala 451:88] - wire _T_2232 = ic_miss_buff_data_valid_bypass_index & _T_2148; // @[el2_ifu_mem_ctl.scala 453:43] - wire miss_buff_hit_unq_f = _T_2228 | _T_2232; // @[el2_ifu_mem_ctl.scala 452:131] - wire _T_2248 = miss_state == 3'h4; // @[el2_ifu_mem_ctl.scala 458:55] - wire _T_2249 = miss_state == 3'h1; // @[el2_ifu_mem_ctl.scala 458:87] - wire _T_2250 = _T_2248 | _T_2249; // @[el2_ifu_mem_ctl.scala 458:74] - wire crit_byp_hit_f = miss_buff_hit_unq_f & _T_2250; // @[el2_ifu_mem_ctl.scala 458:41] - wire _T_2233 = miss_state == 3'h6; // @[el2_ifu_mem_ctl.scala 455:30] - reg [30:0] imb_ff; // @[el2_ifu_mem_ctl.scala 311:20] - wire miss_wrap_f = imb_ff[5] != ifu_fetch_addr_int_f[5]; // @[el2_ifu_mem_ctl.scala 446:51] - wire _T_2234 = ~miss_wrap_f; // @[el2_ifu_mem_ctl.scala 455:68] - wire _T_2235 = miss_buff_hit_unq_f & _T_2234; // @[el2_ifu_mem_ctl.scala 455:66] - wire stream_hit_f = _T_2233 & _T_2235; // @[el2_ifu_mem_ctl.scala 455:43] - wire _T_215 = crit_byp_hit_f | stream_hit_f; // @[el2_ifu_mem_ctl.scala 278:35] - wire _T_216 = _T_215 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 278:52] - wire ic_byp_hit_f = _T_216 & miss_pending; // @[el2_ifu_mem_ctl.scala 278:73] - reg last_data_recieved_ff; // @[el2_ifu_mem_ctl.scala 598:58] - wire last_beat = bus_last_data_beat & bus_ifu_wr_en_ff; // @[el2_ifu_mem_ctl.scala 625:35] - wire _T_32 = bus_ifu_wr_en_ff & last_beat; // @[el2_ifu_mem_ctl.scala 204:113] - wire _T_33 = last_data_recieved_ff | _T_32; // @[el2_ifu_mem_ctl.scala 204:93] - wire _T_34 = ic_byp_hit_f & _T_33; // @[el2_ifu_mem_ctl.scala 204:67] - wire _T_35 = _T_34 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 204:127] - wire _T_36 = io_dec_tlu_force_halt | _T_35; // @[el2_ifu_mem_ctl.scala 204:51] - wire _T_38 = ~last_data_recieved_ff; // @[el2_ifu_mem_ctl.scala 205:30] - wire _T_39 = ic_byp_hit_f & _T_38; // @[el2_ifu_mem_ctl.scala 205:27] - wire _T_40 = _T_39 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 205:53] - wire _T_42 = ~ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 206:16] - wire _T_44 = _T_42 & _T_317; // @[el2_ifu_mem_ctl.scala 206:30] - wire _T_46 = _T_44 & _T_32; // @[el2_ifu_mem_ctl.scala 206:52] - wire _T_47 = _T_46 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 206:85] - wire _T_51 = _T_32 & _T_17; // @[el2_ifu_mem_ctl.scala 207:49] - wire _T_54 = ic_byp_hit_f & _T_317; // @[el2_ifu_mem_ctl.scala 208:33] - wire _T_56 = ~_T_32; // @[el2_ifu_mem_ctl.scala 208:57] - wire _T_57 = _T_54 & _T_56; // @[el2_ifu_mem_ctl.scala 208:55] - wire ifu_bp_hit_taken_q_f = io_ifu_bp_hit_taken_f & io_ic_hit_f; // @[el2_ifu_mem_ctl.scala 196:52] - wire _T_58 = ~ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 208:91] - wire _T_59 = _T_57 & _T_58; // @[el2_ifu_mem_ctl.scala 208:89] - wire _T_61 = _T_59 & _T_17; // @[el2_ifu_mem_ctl.scala 208:113] - wire _T_64 = bus_ifu_wr_en_ff & _T_317; // @[el2_ifu_mem_ctl.scala 209:39] - wire _T_67 = _T_64 & _T_56; // @[el2_ifu_mem_ctl.scala 209:61] - wire _T_69 = _T_67 & _T_58; // @[el2_ifu_mem_ctl.scala 209:95] - wire _T_71 = _T_69 & _T_17; // @[el2_ifu_mem_ctl.scala 209:119] - wire _T_79 = _T_46 & _T_17; // @[el2_ifu_mem_ctl.scala 210:100] - wire _T_81 = io_exu_flush_final | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 211:44] - wire _T_84 = _T_81 & _T_56; // @[el2_ifu_mem_ctl.scala 211:68] - wire [2:0] _T_86 = _T_84 ? 3'h2 : 3'h0; // @[el2_ifu_mem_ctl.scala 211:22] - wire [2:0] _T_87 = _T_79 ? 3'h0 : _T_86; // @[el2_ifu_mem_ctl.scala 210:20] - wire [2:0] _T_88 = _T_71 ? 3'h6 : _T_87; // @[el2_ifu_mem_ctl.scala 209:20] - wire [2:0] _T_89 = _T_61 ? 3'h6 : _T_88; // @[el2_ifu_mem_ctl.scala 208:18] - wire [2:0] _T_90 = _T_51 ? 3'h0 : _T_89; // @[el2_ifu_mem_ctl.scala 207:16] - wire [2:0] _T_91 = _T_47 ? 3'h4 : _T_90; // @[el2_ifu_mem_ctl.scala 206:14] - wire [2:0] _T_92 = _T_40 ? 3'h3 : _T_91; // @[el2_ifu_mem_ctl.scala 205:12] - wire [2:0] _T_93 = _T_36 ? 3'h0 : _T_92; // @[el2_ifu_mem_ctl.scala 204:27] + wire _T_2227 = _T_2226 & ic_miss_buff_data_valid_inc_bypass_index; // @[el2_ifu_mem_ctl.scala 453:87] + wire _T_2228 = _T_2222 | _T_2227; // @[el2_ifu_mem_ctl.scala 452:88] + wire _T_2232 = ic_miss_buff_data_valid_bypass_index & _T_2148; // @[el2_ifu_mem_ctl.scala 454:43] + wire miss_buff_hit_unq_f = _T_2228 | _T_2232; // @[el2_ifu_mem_ctl.scala 453:131] + wire _T_2248 = miss_state == 3'h4; // @[el2_ifu_mem_ctl.scala 459:55] + wire _T_2249 = miss_state == 3'h1; // @[el2_ifu_mem_ctl.scala 459:87] + wire _T_2250 = _T_2248 | _T_2249; // @[el2_ifu_mem_ctl.scala 459:74] + wire crit_byp_hit_f = miss_buff_hit_unq_f & _T_2250; // @[el2_ifu_mem_ctl.scala 459:41] + wire _T_2233 = miss_state == 3'h6; // @[el2_ifu_mem_ctl.scala 456:30] + reg [30:0] imb_ff; // @[el2_ifu_mem_ctl.scala 312:20] + wire miss_wrap_f = imb_ff[5] != ifu_fetch_addr_int_f[5]; // @[el2_ifu_mem_ctl.scala 447:51] + wire _T_2234 = ~miss_wrap_f; // @[el2_ifu_mem_ctl.scala 456:68] + wire _T_2235 = miss_buff_hit_unq_f & _T_2234; // @[el2_ifu_mem_ctl.scala 456:66] + wire stream_hit_f = _T_2233 & _T_2235; // @[el2_ifu_mem_ctl.scala 456:43] + wire _T_215 = crit_byp_hit_f | stream_hit_f; // @[el2_ifu_mem_ctl.scala 279:35] + wire _T_216 = _T_215 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 279:52] + wire ic_byp_hit_f = _T_216 & miss_pending; // @[el2_ifu_mem_ctl.scala 279:73] + reg last_data_recieved_ff; // @[el2_ifu_mem_ctl.scala 599:58] + wire last_beat = bus_last_data_beat & bus_ifu_wr_en_ff; // @[el2_ifu_mem_ctl.scala 626:35] + wire _T_32 = bus_ifu_wr_en_ff & last_beat; // @[el2_ifu_mem_ctl.scala 205:113] + wire _T_33 = last_data_recieved_ff | _T_32; // @[el2_ifu_mem_ctl.scala 205:93] + wire _T_34 = ic_byp_hit_f & _T_33; // @[el2_ifu_mem_ctl.scala 205:67] + wire _T_35 = _T_34 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 205:127] + wire _T_36 = io_dec_tlu_force_halt | _T_35; // @[el2_ifu_mem_ctl.scala 205:51] + wire _T_38 = ~last_data_recieved_ff; // @[el2_ifu_mem_ctl.scala 206:30] + wire _T_39 = ic_byp_hit_f & _T_38; // @[el2_ifu_mem_ctl.scala 206:27] + wire _T_40 = _T_39 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 206:53] + wire _T_42 = ~ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 207:16] + wire _T_44 = _T_42 & _T_317; // @[el2_ifu_mem_ctl.scala 207:30] + wire _T_46 = _T_44 & _T_32; // @[el2_ifu_mem_ctl.scala 207:52] + wire _T_47 = _T_46 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 207:85] + wire _T_51 = _T_32 & _T_17; // @[el2_ifu_mem_ctl.scala 208:49] + wire _T_54 = ic_byp_hit_f & _T_317; // @[el2_ifu_mem_ctl.scala 209:33] + wire _T_56 = ~_T_32; // @[el2_ifu_mem_ctl.scala 209:57] + wire _T_57 = _T_54 & _T_56; // @[el2_ifu_mem_ctl.scala 209:55] + wire ifu_bp_hit_taken_q_f = io_ifu_bp_hit_taken_f & io_ic_hit_f; // @[el2_ifu_mem_ctl.scala 197:52] + wire _T_58 = ~ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 209:91] + wire _T_59 = _T_57 & _T_58; // @[el2_ifu_mem_ctl.scala 209:89] + wire _T_61 = _T_59 & _T_17; // @[el2_ifu_mem_ctl.scala 209:113] + wire _T_64 = bus_ifu_wr_en_ff & _T_317; // @[el2_ifu_mem_ctl.scala 210:39] + wire _T_67 = _T_64 & _T_56; // @[el2_ifu_mem_ctl.scala 210:61] + wire _T_69 = _T_67 & _T_58; // @[el2_ifu_mem_ctl.scala 210:95] + wire _T_71 = _T_69 & _T_17; // @[el2_ifu_mem_ctl.scala 210:119] + wire _T_79 = _T_46 & _T_17; // @[el2_ifu_mem_ctl.scala 211:100] + wire _T_81 = io_exu_flush_final | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 212:44] + wire _T_84 = _T_81 & _T_56; // @[el2_ifu_mem_ctl.scala 212:68] + wire [2:0] _T_86 = _T_84 ? 3'h2 : 3'h0; // @[el2_ifu_mem_ctl.scala 212:22] + wire [2:0] _T_87 = _T_79 ? 3'h0 : _T_86; // @[el2_ifu_mem_ctl.scala 211:20] + wire [2:0] _T_88 = _T_71 ? 3'h6 : _T_87; // @[el2_ifu_mem_ctl.scala 210:20] + wire [2:0] _T_89 = _T_61 ? 3'h6 : _T_88; // @[el2_ifu_mem_ctl.scala 209:18] + wire [2:0] _T_90 = _T_51 ? 3'h0 : _T_89; // @[el2_ifu_mem_ctl.scala 208:16] + wire [2:0] _T_91 = _T_47 ? 3'h4 : _T_90; // @[el2_ifu_mem_ctl.scala 207:14] + wire [2:0] _T_92 = _T_40 ? 3'h3 : _T_91; // @[el2_ifu_mem_ctl.scala 206:12] + wire [2:0] _T_93 = _T_36 ? 3'h0 : _T_92; // @[el2_ifu_mem_ctl.scala 205:27] wire _T_102 = 3'h4 == miss_state; // @[Conditional.scala 37:30] wire _T_106 = 3'h6 == miss_state; // @[Conditional.scala 37:30] - wire _T_2245 = byp_fetch_index[4:1] == 4'hf; // @[el2_ifu_mem_ctl.scala 457:60] - wire _T_2246 = _T_2245 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 457:94] - wire stream_eol_f = _T_2246 & stream_hit_f; // @[el2_ifu_mem_ctl.scala 457:112] - wire _T_108 = _T_81 | stream_eol_f; // @[el2_ifu_mem_ctl.scala 219:72] - wire _T_111 = _T_108 & _T_56; // @[el2_ifu_mem_ctl.scala 219:87] - wire _T_113 = _T_111 & _T_2587; // @[el2_ifu_mem_ctl.scala 219:122] - wire [2:0] _T_115 = _T_113 ? 3'h2 : 3'h0; // @[el2_ifu_mem_ctl.scala 219:27] + wire _T_2245 = byp_fetch_index[4:1] == 4'hf; // @[el2_ifu_mem_ctl.scala 458:60] + wire _T_2246 = _T_2245 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 458:94] + wire stream_eol_f = _T_2246 & stream_hit_f; // @[el2_ifu_mem_ctl.scala 458:112] + wire _T_108 = _T_81 | stream_eol_f; // @[el2_ifu_mem_ctl.scala 220:72] + wire _T_111 = _T_108 & _T_56; // @[el2_ifu_mem_ctl.scala 220:87] + wire _T_113 = _T_111 & _T_2587; // @[el2_ifu_mem_ctl.scala 220:122] + wire [2:0] _T_115 = _T_113 ? 3'h2 : 3'h0; // @[el2_ifu_mem_ctl.scala 220:27] wire _T_121 = 3'h3 == miss_state; // @[Conditional.scala 37:30] - wire _T_124 = io_exu_flush_final & _T_56; // @[el2_ifu_mem_ctl.scala 223:48] - wire _T_126 = _T_124 & _T_2587; // @[el2_ifu_mem_ctl.scala 223:82] - wire [2:0] _T_128 = _T_126 ? 3'h2 : 3'h0; // @[el2_ifu_mem_ctl.scala 223:27] + wire _T_124 = io_exu_flush_final & _T_56; // @[el2_ifu_mem_ctl.scala 224:48] + wire _T_126 = _T_124 & _T_2587; // @[el2_ifu_mem_ctl.scala 224:82] + wire [2:0] _T_128 = _T_126 ? 3'h2 : 3'h0; // @[el2_ifu_mem_ctl.scala 224:27] wire _T_132 = 3'h2 == miss_state; // @[Conditional.scala 37:30] - wire _T_236 = io_ic_rd_hit == 2'h0; // @[el2_ifu_mem_ctl.scala 284:28] - wire _T_237 = _T_236 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 284:42] - wire _T_238 = _T_237 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 284:60] - wire _T_239 = miss_state == 3'h2; // @[el2_ifu_mem_ctl.scala 284:94] - wire _T_240 = _T_238 & _T_239; // @[el2_ifu_mem_ctl.scala 284:81] - wire _T_243 = imb_ff[30:5] != ifu_fetch_addr_int_f[30:5]; // @[el2_ifu_mem_ctl.scala 285:39] - wire _T_244 = _T_240 & _T_243; // @[el2_ifu_mem_ctl.scala 284:111] - wire _T_246 = _T_244 & _T_17; // @[el2_ifu_mem_ctl.scala 285:91] - reg sel_mb_addr_ff; // @[el2_ifu_mem_ctl.scala 338:51] - wire _T_247 = ~sel_mb_addr_ff; // @[el2_ifu_mem_ctl.scala 285:116] - wire _T_248 = _T_246 & _T_247; // @[el2_ifu_mem_ctl.scala 285:114] - wire ic_miss_under_miss_f = _T_248 & _T_209; // @[el2_ifu_mem_ctl.scala 285:132] - wire _T_135 = ic_miss_under_miss_f & _T_56; // @[el2_ifu_mem_ctl.scala 227:50] - wire _T_137 = _T_135 & _T_2587; // @[el2_ifu_mem_ctl.scala 227:84] - wire _T_256 = _T_230 & _T_239; // @[el2_ifu_mem_ctl.scala 286:85] - wire _T_259 = imb_ff[30:5] == ifu_fetch_addr_int_f[30:5]; // @[el2_ifu_mem_ctl.scala 287:39] - wire _T_260 = _T_259 | uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 287:91] - wire ic_ignore_2nd_miss_f = _T_256 & _T_260; // @[el2_ifu_mem_ctl.scala 286:117] - wire _T_141 = ic_ignore_2nd_miss_f & _T_56; // @[el2_ifu_mem_ctl.scala 228:35] - wire _T_143 = _T_141 & _T_2587; // @[el2_ifu_mem_ctl.scala 228:69] - wire [2:0] _T_145 = _T_143 ? 3'h7 : 3'h0; // @[el2_ifu_mem_ctl.scala 228:12] - wire [2:0] _T_146 = _T_137 ? 3'h5 : _T_145; // @[el2_ifu_mem_ctl.scala 227:27] + wire _T_236 = io_ic_rd_hit == 2'h0; // @[el2_ifu_mem_ctl.scala 285:28] + wire _T_237 = _T_236 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 285:42] + wire _T_238 = _T_237 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 285:60] + wire _T_239 = miss_state == 3'h2; // @[el2_ifu_mem_ctl.scala 285:94] + wire _T_240 = _T_238 & _T_239; // @[el2_ifu_mem_ctl.scala 285:81] + wire _T_243 = imb_ff[30:5] != ifu_fetch_addr_int_f[30:5]; // @[el2_ifu_mem_ctl.scala 286:39] + wire _T_244 = _T_240 & _T_243; // @[el2_ifu_mem_ctl.scala 285:111] + wire _T_246 = _T_244 & _T_17; // @[el2_ifu_mem_ctl.scala 286:91] + reg sel_mb_addr_ff; // @[el2_ifu_mem_ctl.scala 339:51] + wire _T_247 = ~sel_mb_addr_ff; // @[el2_ifu_mem_ctl.scala 286:116] + wire _T_248 = _T_246 & _T_247; // @[el2_ifu_mem_ctl.scala 286:114] + wire ic_miss_under_miss_f = _T_248 & _T_209; // @[el2_ifu_mem_ctl.scala 286:132] + wire _T_135 = ic_miss_under_miss_f & _T_56; // @[el2_ifu_mem_ctl.scala 228:50] + wire _T_137 = _T_135 & _T_2587; // @[el2_ifu_mem_ctl.scala 228:84] + wire _T_256 = _T_230 & _T_239; // @[el2_ifu_mem_ctl.scala 287:85] + wire _T_259 = imb_ff[30:5] == ifu_fetch_addr_int_f[30:5]; // @[el2_ifu_mem_ctl.scala 288:39] + wire _T_260 = _T_259 | uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 288:91] + wire ic_ignore_2nd_miss_f = _T_256 & _T_260; // @[el2_ifu_mem_ctl.scala 287:117] + wire _T_141 = ic_ignore_2nd_miss_f & _T_56; // @[el2_ifu_mem_ctl.scala 229:35] + wire _T_143 = _T_141 & _T_2587; // @[el2_ifu_mem_ctl.scala 229:69] + wire [2:0] _T_145 = _T_143 ? 3'h7 : 3'h0; // @[el2_ifu_mem_ctl.scala 229:12] + wire [2:0] _T_146 = _T_137 ? 3'h5 : _T_145; // @[el2_ifu_mem_ctl.scala 228:27] wire _T_151 = 3'h5 == miss_state; // @[Conditional.scala 37:30] - wire [2:0] _T_154 = _T_32 ? 3'h0 : 3'h2; // @[el2_ifu_mem_ctl.scala 233:12] - wire [2:0] _T_155 = io_exu_flush_final ? _T_154 : 3'h1; // @[el2_ifu_mem_ctl.scala 232:62] - wire [2:0] _T_156 = io_dec_tlu_force_halt ? 3'h0 : _T_155; // @[el2_ifu_mem_ctl.scala 232:27] + wire [2:0] _T_154 = _T_32 ? 3'h0 : 3'h2; // @[el2_ifu_mem_ctl.scala 234:12] + wire [2:0] _T_155 = io_exu_flush_final ? _T_154 : 3'h1; // @[el2_ifu_mem_ctl.scala 233:62] + wire [2:0] _T_156 = io_dec_tlu_force_halt ? 3'h0 : _T_155; // @[el2_ifu_mem_ctl.scala 233:27] wire _T_160 = 3'h7 == miss_state; // @[Conditional.scala 37:30] - wire [2:0] _T_164 = io_exu_flush_final ? _T_154 : 3'h0; // @[el2_ifu_mem_ctl.scala 237:62] - wire [2:0] _T_165 = io_dec_tlu_force_halt ? 3'h0 : _T_164; // @[el2_ifu_mem_ctl.scala 237:27] + wire [2:0] _T_164 = io_exu_flush_final ? _T_154 : 3'h0; // @[el2_ifu_mem_ctl.scala 238:62] + wire [2:0] _T_165 = io_dec_tlu_force_halt ? 3'h0 : _T_164; // @[el2_ifu_mem_ctl.scala 238:27] wire [2:0] _GEN_0 = _T_160 ? _T_165 : 3'h0; // @[Conditional.scala 39:67] wire [2:0] _GEN_2 = _T_151 ? _T_156 : _GEN_0; // @[Conditional.scala 39:67] wire [2:0] _GEN_4 = _T_132 ? _T_146 : _GEN_2; // @[Conditional.scala 39:67] @@ -924,29 +924,29 @@ module el2_ifu_mem_ctl( wire [2:0] _GEN_10 = _T_102 ? 3'h0 : _GEN_8; // @[Conditional.scala 39:67] wire [2:0] _GEN_12 = _T_31 ? _T_93 : _GEN_10; // @[Conditional.scala 39:67] wire [2:0] miss_nxtstate = _T_24 ? _T_28 : _GEN_12; // @[Conditional.scala 40:58] - wire _T_20 = miss_nxtstate == 3'h5; // @[el2_ifu_mem_ctl.scala 194:73] - wire _T_21 = _T_19 | _T_20; // @[el2_ifu_mem_ctl.scala 194:57] - wire _T_22 = _T_18 & _T_21; // @[el2_ifu_mem_ctl.scala 194:26] - wire scnd_miss_req_in = _T_22 & _T_317; // @[el2_ifu_mem_ctl.scala 194:91] - wire _T_30 = ic_act_miss_f & _T_2587; // @[el2_ifu_mem_ctl.scala 201:38] - wire _T_94 = io_dec_tlu_force_halt | io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 212:46] - wire _T_95 = _T_94 | ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 212:67] - wire _T_96 = _T_95 | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 212:82] - wire _T_98 = _T_96 | _T_32; // @[el2_ifu_mem_ctl.scala 212:105] - wire _T_100 = bus_ifu_wr_en_ff & _T_17; // @[el2_ifu_mem_ctl.scala 212:158] - wire _T_101 = _T_98 | _T_100; // @[el2_ifu_mem_ctl.scala 212:138] - wire _T_103 = io_exu_flush_final | flush_final_f; // @[el2_ifu_mem_ctl.scala 216:43] - wire _T_104 = _T_103 | ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 216:59] - wire _T_105 = _T_104 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 216:74] - wire _T_119 = _T_108 | _T_32; // @[el2_ifu_mem_ctl.scala 220:84] - wire _T_120 = _T_119 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 220:118] - wire _T_130 = io_exu_flush_final | _T_32; // @[el2_ifu_mem_ctl.scala 224:43] - wire _T_131 = _T_130 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 224:76] - wire _T_148 = _T_32 | ic_miss_under_miss_f; // @[el2_ifu_mem_ctl.scala 229:55] - wire _T_149 = _T_148 | ic_ignore_2nd_miss_f; // @[el2_ifu_mem_ctl.scala 229:78] - wire _T_150 = _T_149 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 229:101] - wire _T_158 = _T_32 | io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 234:55] - wire _T_159 = _T_158 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 234:76] + wire _T_20 = miss_nxtstate == 3'h5; // @[el2_ifu_mem_ctl.scala 195:73] + wire _T_21 = _T_19 | _T_20; // @[el2_ifu_mem_ctl.scala 195:57] + wire _T_22 = _T_18 & _T_21; // @[el2_ifu_mem_ctl.scala 195:26] + wire scnd_miss_req_in = _T_22 & _T_317; // @[el2_ifu_mem_ctl.scala 195:91] + wire _T_30 = ic_act_miss_f & _T_2587; // @[el2_ifu_mem_ctl.scala 202:38] + wire _T_94 = io_dec_tlu_force_halt | io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 213:46] + wire _T_95 = _T_94 | ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 213:67] + wire _T_96 = _T_95 | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 213:82] + wire _T_98 = _T_96 | _T_32; // @[el2_ifu_mem_ctl.scala 213:105] + wire _T_100 = bus_ifu_wr_en_ff & _T_17; // @[el2_ifu_mem_ctl.scala 213:158] + wire _T_101 = _T_98 | _T_100; // @[el2_ifu_mem_ctl.scala 213:138] + wire _T_103 = io_exu_flush_final | flush_final_f; // @[el2_ifu_mem_ctl.scala 217:43] + wire _T_104 = _T_103 | ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 217:59] + wire _T_105 = _T_104 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 217:74] + wire _T_119 = _T_108 | _T_32; // @[el2_ifu_mem_ctl.scala 221:84] + wire _T_120 = _T_119 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 221:118] + wire _T_130 = io_exu_flush_final | _T_32; // @[el2_ifu_mem_ctl.scala 225:43] + wire _T_131 = _T_130 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 225:76] + wire _T_148 = _T_32 | ic_miss_under_miss_f; // @[el2_ifu_mem_ctl.scala 230:55] + wire _T_149 = _T_148 | ic_ignore_2nd_miss_f; // @[el2_ifu_mem_ctl.scala 230:78] + wire _T_150 = _T_149 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 230:101] + wire _T_158 = _T_32 | io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 235:55] + wire _T_159 = _T_158 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 235:76] wire _GEN_1 = _T_160 & _T_159; // @[Conditional.scala 39:67] wire _GEN_3 = _T_151 ? _T_159 : _GEN_1; // @[Conditional.scala 39:67] wire _GEN_5 = _T_132 ? _T_150 : _GEN_3; // @[Conditional.scala 39:67] @@ -955,919 +955,919 @@ module el2_ifu_mem_ctl( wire _GEN_11 = _T_102 ? _T_105 : _GEN_9; // @[Conditional.scala 39:67] wire _GEN_13 = _T_31 ? _T_101 : _GEN_11; // @[Conditional.scala 39:67] wire miss_state_en = _T_24 ? _T_30 : _GEN_13; // @[Conditional.scala 40:58] - wire _T_174 = ~flush_final_f; // @[el2_ifu_mem_ctl.scala 254:95] - wire _T_175 = _T_2248 & _T_174; // @[el2_ifu_mem_ctl.scala 254:93] - wire crit_wd_byp_ok_ff = _T_2249 | _T_175; // @[el2_ifu_mem_ctl.scala 254:58] - wire _T_178 = miss_pending & _T_56; // @[el2_ifu_mem_ctl.scala 255:36] - wire _T_180 = _T_2248 & io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 255:106] - wire _T_181 = ~_T_180; // @[el2_ifu_mem_ctl.scala 255:72] - wire _T_182 = _T_178 & _T_181; // @[el2_ifu_mem_ctl.scala 255:70] - wire _T_184 = _T_2248 & crit_byp_hit_f; // @[el2_ifu_mem_ctl.scala 256:57] - wire _T_185 = ~_T_184; // @[el2_ifu_mem_ctl.scala 256:23] - wire _T_186 = _T_182 & _T_185; // @[el2_ifu_mem_ctl.scala 255:128] - wire _T_187 = _T_186 | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 256:77] - wire _T_188 = miss_nxtstate == 3'h4; // @[el2_ifu_mem_ctl.scala 257:36] - wire _T_189 = miss_pending & _T_188; // @[el2_ifu_mem_ctl.scala 257:19] - wire sel_hold_imb = _T_187 | _T_189; // @[el2_ifu_mem_ctl.scala 256:93] - wire _T_191 = _T_19 | ic_miss_under_miss_f; // @[el2_ifu_mem_ctl.scala 259:57] - wire sel_hold_imb_scnd = _T_191 & _T_174; // @[el2_ifu_mem_ctl.scala 259:81] - reg way_status_mb_scnd_ff; // @[el2_ifu_mem_ctl.scala 267:35] - reg [6:0] _T_5166; // @[el2_ifu_mem_ctl.scala 727:14] - wire [5:0] ifu_ic_rw_int_addr_ff = _T_5166[5:0]; // @[el2_ifu_mem_ctl.scala 726:27] - wire [6:0] _GEN_473 = {{1'd0}, ifu_ic_rw_int_addr_ff}; // @[el2_ifu_mem_ctl.scala 723:121] - wire _T_5031 = _GEN_473 == 7'h7f; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_174 = ~flush_final_f; // @[el2_ifu_mem_ctl.scala 255:95] + wire _T_175 = _T_2248 & _T_174; // @[el2_ifu_mem_ctl.scala 255:93] + wire crit_wd_byp_ok_ff = _T_2249 | _T_175; // @[el2_ifu_mem_ctl.scala 255:58] + wire _T_178 = miss_pending & _T_56; // @[el2_ifu_mem_ctl.scala 256:36] + wire _T_180 = _T_2248 & io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 256:106] + wire _T_181 = ~_T_180; // @[el2_ifu_mem_ctl.scala 256:72] + wire _T_182 = _T_178 & _T_181; // @[el2_ifu_mem_ctl.scala 256:70] + wire _T_184 = _T_2248 & crit_byp_hit_f; // @[el2_ifu_mem_ctl.scala 257:57] + wire _T_185 = ~_T_184; // @[el2_ifu_mem_ctl.scala 257:23] + wire _T_186 = _T_182 & _T_185; // @[el2_ifu_mem_ctl.scala 256:128] + wire _T_187 = _T_186 | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 257:77] + wire _T_188 = miss_nxtstate == 3'h4; // @[el2_ifu_mem_ctl.scala 258:36] + wire _T_189 = miss_pending & _T_188; // @[el2_ifu_mem_ctl.scala 258:19] + wire sel_hold_imb = _T_187 | _T_189; // @[el2_ifu_mem_ctl.scala 257:93] + wire _T_191 = _T_19 | ic_miss_under_miss_f; // @[el2_ifu_mem_ctl.scala 260:57] + wire sel_hold_imb_scnd = _T_191 & _T_174; // @[el2_ifu_mem_ctl.scala 260:81] + reg way_status_mb_scnd_ff; // @[el2_ifu_mem_ctl.scala 268:35] + reg [6:0] _T_5166; // @[el2_ifu_mem_ctl.scala 728:14] + wire [5:0] ifu_ic_rw_int_addr_ff = _T_5166[5:0]; // @[el2_ifu_mem_ctl.scala 727:27] + wire [6:0] _GEN_473 = {{1'd0}, ifu_ic_rw_int_addr_ff}; // @[el2_ifu_mem_ctl.scala 724:121] + wire _T_5031 = _GEN_473 == 7'h7f; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_5033 = _T_5031 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4522; // @[Reg.scala 27:20] - wire way_status_out_127 = _T_4522[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_474 = {{5'd0}, way_status_out_127}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_5034 = _T_5033 & _GEN_474; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_5027 = _GEN_473 == 7'h7e; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_127 = _T_4522[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_474 = {{5'd0}, way_status_out_127}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_5034 = _T_5033 & _GEN_474; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_5027 = _GEN_473 == 7'h7e; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_5029 = _T_5027 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4518; // @[Reg.scala 27:20] - wire way_status_out_126 = _T_4518[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_476 = {{5'd0}, way_status_out_126}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_5030 = _T_5029 & _GEN_476; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_5023 = _GEN_473 == 7'h7d; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_126 = _T_4518[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_476 = {{5'd0}, way_status_out_126}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_5030 = _T_5029 & _GEN_476; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_5023 = _GEN_473 == 7'h7d; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_5025 = _T_5023 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4514; // @[Reg.scala 27:20] - wire way_status_out_125 = _T_4514[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_478 = {{5'd0}, way_status_out_125}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_5026 = _T_5025 & _GEN_478; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_5019 = _GEN_473 == 7'h7c; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_125 = _T_4514[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_478 = {{5'd0}, way_status_out_125}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_5026 = _T_5025 & _GEN_478; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_5019 = _GEN_473 == 7'h7c; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_5021 = _T_5019 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4510; // @[Reg.scala 27:20] - wire way_status_out_124 = _T_4510[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_480 = {{5'd0}, way_status_out_124}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_5022 = _T_5021 & _GEN_480; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_5015 = _GEN_473 == 7'h7b; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_124 = _T_4510[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_480 = {{5'd0}, way_status_out_124}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_5022 = _T_5021 & _GEN_480; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_5015 = _GEN_473 == 7'h7b; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_5017 = _T_5015 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4506; // @[Reg.scala 27:20] - wire way_status_out_123 = _T_4506[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_482 = {{5'd0}, way_status_out_123}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_5018 = _T_5017 & _GEN_482; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_5011 = _GEN_473 == 7'h7a; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_123 = _T_4506[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_482 = {{5'd0}, way_status_out_123}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_5018 = _T_5017 & _GEN_482; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_5011 = _GEN_473 == 7'h7a; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_5013 = _T_5011 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4502; // @[Reg.scala 27:20] - wire way_status_out_122 = _T_4502[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_484 = {{5'd0}, way_status_out_122}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_5014 = _T_5013 & _GEN_484; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_5007 = _GEN_473 == 7'h79; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_122 = _T_4502[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_484 = {{5'd0}, way_status_out_122}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_5014 = _T_5013 & _GEN_484; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_5007 = _GEN_473 == 7'h79; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_5009 = _T_5007 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4498; // @[Reg.scala 27:20] - wire way_status_out_121 = _T_4498[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_486 = {{5'd0}, way_status_out_121}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_5010 = _T_5009 & _GEN_486; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_5003 = _GEN_473 == 7'h78; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_121 = _T_4498[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_486 = {{5'd0}, way_status_out_121}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_5010 = _T_5009 & _GEN_486; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_5003 = _GEN_473 == 7'h78; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_5005 = _T_5003 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4494; // @[Reg.scala 27:20] - wire way_status_out_120 = _T_4494[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_488 = {{5'd0}, way_status_out_120}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_5006 = _T_5005 & _GEN_488; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4999 = _GEN_473 == 7'h77; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_120 = _T_4494[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_488 = {{5'd0}, way_status_out_120}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_5006 = _T_5005 & _GEN_488; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4999 = _GEN_473 == 7'h77; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_5001 = _T_4999 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4490; // @[Reg.scala 27:20] - wire way_status_out_119 = _T_4490[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_490 = {{5'd0}, way_status_out_119}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_5002 = _T_5001 & _GEN_490; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4995 = _GEN_473 == 7'h76; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_119 = _T_4490[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_490 = {{5'd0}, way_status_out_119}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_5002 = _T_5001 & _GEN_490; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4995 = _GEN_473 == 7'h76; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4997 = _T_4995 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4486; // @[Reg.scala 27:20] - wire way_status_out_118 = _T_4486[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_492 = {{5'd0}, way_status_out_118}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4998 = _T_4997 & _GEN_492; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_118 = _T_4486[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_492 = {{5'd0}, way_status_out_118}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4998 = _T_4997 & _GEN_492; // @[el2_ifu_mem_ctl.scala 724:130] wire [59:0] _T_5043 = {_T_5034,_T_5030,_T_5026,_T_5022,_T_5018,_T_5014,_T_5010,_T_5006,_T_5002,_T_4998}; // @[Cat.scala 29:58] - wire _T_4991 = _GEN_473 == 7'h75; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4991 = _GEN_473 == 7'h75; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4993 = _T_4991 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4482; // @[Reg.scala 27:20] - wire way_status_out_117 = _T_4482[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_494 = {{5'd0}, way_status_out_117}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4994 = _T_4993 & _GEN_494; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4987 = _GEN_473 == 7'h74; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_117 = _T_4482[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_494 = {{5'd0}, way_status_out_117}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4994 = _T_4993 & _GEN_494; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4987 = _GEN_473 == 7'h74; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4989 = _T_4987 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4478; // @[Reg.scala 27:20] - wire way_status_out_116 = _T_4478[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_496 = {{5'd0}, way_status_out_116}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4990 = _T_4989 & _GEN_496; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4983 = _GEN_473 == 7'h73; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_116 = _T_4478[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_496 = {{5'd0}, way_status_out_116}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4990 = _T_4989 & _GEN_496; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4983 = _GEN_473 == 7'h73; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4985 = _T_4983 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4474; // @[Reg.scala 27:20] - wire way_status_out_115 = _T_4474[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_498 = {{5'd0}, way_status_out_115}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4986 = _T_4985 & _GEN_498; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4979 = _GEN_473 == 7'h72; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_115 = _T_4474[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_498 = {{5'd0}, way_status_out_115}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4986 = _T_4985 & _GEN_498; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4979 = _GEN_473 == 7'h72; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4981 = _T_4979 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4470; // @[Reg.scala 27:20] - wire way_status_out_114 = _T_4470[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_500 = {{5'd0}, way_status_out_114}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4982 = _T_4981 & _GEN_500; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4975 = _GEN_473 == 7'h71; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_114 = _T_4470[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_500 = {{5'd0}, way_status_out_114}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4982 = _T_4981 & _GEN_500; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4975 = _GEN_473 == 7'h71; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4977 = _T_4975 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4466; // @[Reg.scala 27:20] - wire way_status_out_113 = _T_4466[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_502 = {{5'd0}, way_status_out_113}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4978 = _T_4977 & _GEN_502; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4971 = _GEN_473 == 7'h70; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_113 = _T_4466[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_502 = {{5'd0}, way_status_out_113}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4978 = _T_4977 & _GEN_502; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4971 = _GEN_473 == 7'h70; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4973 = _T_4971 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4462; // @[Reg.scala 27:20] - wire way_status_out_112 = _T_4462[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_504 = {{5'd0}, way_status_out_112}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4974 = _T_4973 & _GEN_504; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4967 = _GEN_473 == 7'h6f; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_112 = _T_4462[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_504 = {{5'd0}, way_status_out_112}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4974 = _T_4973 & _GEN_504; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4967 = _GEN_473 == 7'h6f; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4969 = _T_4967 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4458; // @[Reg.scala 27:20] - wire way_status_out_111 = _T_4458[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_506 = {{5'd0}, way_status_out_111}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4970 = _T_4969 & _GEN_506; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4963 = _GEN_473 == 7'h6e; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_111 = _T_4458[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_506 = {{5'd0}, way_status_out_111}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4970 = _T_4969 & _GEN_506; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4963 = _GEN_473 == 7'h6e; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4965 = _T_4963 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4454; // @[Reg.scala 27:20] - wire way_status_out_110 = _T_4454[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_508 = {{5'd0}, way_status_out_110}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4966 = _T_4965 & _GEN_508; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4959 = _GEN_473 == 7'h6d; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_110 = _T_4454[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_508 = {{5'd0}, way_status_out_110}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4966 = _T_4965 & _GEN_508; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4959 = _GEN_473 == 7'h6d; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4961 = _T_4959 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4450; // @[Reg.scala 27:20] - wire way_status_out_109 = _T_4450[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_510 = {{5'd0}, way_status_out_109}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4962 = _T_4961 & _GEN_510; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_109 = _T_4450[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_510 = {{5'd0}, way_status_out_109}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4962 = _T_4961 & _GEN_510; // @[el2_ifu_mem_ctl.scala 724:130] wire [113:0] _T_5052 = {_T_5043,_T_4994,_T_4990,_T_4986,_T_4982,_T_4978,_T_4974,_T_4970,_T_4966,_T_4962}; // @[Cat.scala 29:58] - wire _T_4955 = _GEN_473 == 7'h6c; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4955 = _GEN_473 == 7'h6c; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4957 = _T_4955 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4446; // @[Reg.scala 27:20] - wire way_status_out_108 = _T_4446[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_512 = {{5'd0}, way_status_out_108}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4958 = _T_4957 & _GEN_512; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4951 = _GEN_473 == 7'h6b; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_108 = _T_4446[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_512 = {{5'd0}, way_status_out_108}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4958 = _T_4957 & _GEN_512; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4951 = _GEN_473 == 7'h6b; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4953 = _T_4951 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4442; // @[Reg.scala 27:20] - wire way_status_out_107 = _T_4442[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_514 = {{5'd0}, way_status_out_107}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4954 = _T_4953 & _GEN_514; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4947 = _GEN_473 == 7'h6a; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_107 = _T_4442[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_514 = {{5'd0}, way_status_out_107}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4954 = _T_4953 & _GEN_514; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4947 = _GEN_473 == 7'h6a; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4949 = _T_4947 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4438; // @[Reg.scala 27:20] - wire way_status_out_106 = _T_4438[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_516 = {{5'd0}, way_status_out_106}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4950 = _T_4949 & _GEN_516; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4943 = _GEN_473 == 7'h69; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_106 = _T_4438[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_516 = {{5'd0}, way_status_out_106}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4950 = _T_4949 & _GEN_516; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4943 = _GEN_473 == 7'h69; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4945 = _T_4943 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4434; // @[Reg.scala 27:20] - wire way_status_out_105 = _T_4434[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_518 = {{5'd0}, way_status_out_105}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4946 = _T_4945 & _GEN_518; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4939 = _GEN_473 == 7'h68; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_105 = _T_4434[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_518 = {{5'd0}, way_status_out_105}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4946 = _T_4945 & _GEN_518; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4939 = _GEN_473 == 7'h68; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4941 = _T_4939 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4430; // @[Reg.scala 27:20] - wire way_status_out_104 = _T_4430[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_520 = {{5'd0}, way_status_out_104}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4942 = _T_4941 & _GEN_520; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4935 = _GEN_473 == 7'h67; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_104 = _T_4430[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_520 = {{5'd0}, way_status_out_104}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4942 = _T_4941 & _GEN_520; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4935 = _GEN_473 == 7'h67; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4937 = _T_4935 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4426; // @[Reg.scala 27:20] - wire way_status_out_103 = _T_4426[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_522 = {{5'd0}, way_status_out_103}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4938 = _T_4937 & _GEN_522; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4931 = _GEN_473 == 7'h66; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_103 = _T_4426[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_522 = {{5'd0}, way_status_out_103}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4938 = _T_4937 & _GEN_522; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4931 = _GEN_473 == 7'h66; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4933 = _T_4931 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4422; // @[Reg.scala 27:20] - wire way_status_out_102 = _T_4422[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_524 = {{5'd0}, way_status_out_102}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4934 = _T_4933 & _GEN_524; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4927 = _GEN_473 == 7'h65; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_102 = _T_4422[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_524 = {{5'd0}, way_status_out_102}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4934 = _T_4933 & _GEN_524; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4927 = _GEN_473 == 7'h65; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4929 = _T_4927 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4418; // @[Reg.scala 27:20] - wire way_status_out_101 = _T_4418[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_526 = {{5'd0}, way_status_out_101}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4930 = _T_4929 & _GEN_526; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4923 = _GEN_473 == 7'h64; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_101 = _T_4418[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_526 = {{5'd0}, way_status_out_101}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4930 = _T_4929 & _GEN_526; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4923 = _GEN_473 == 7'h64; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4925 = _T_4923 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4414; // @[Reg.scala 27:20] - wire way_status_out_100 = _T_4414[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_528 = {{5'd0}, way_status_out_100}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4926 = _T_4925 & _GEN_528; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_100 = _T_4414[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_528 = {{5'd0}, way_status_out_100}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4926 = _T_4925 & _GEN_528; // @[el2_ifu_mem_ctl.scala 724:130] wire [167:0] _T_5061 = {_T_5052,_T_4958,_T_4954,_T_4950,_T_4946,_T_4942,_T_4938,_T_4934,_T_4930,_T_4926}; // @[Cat.scala 29:58] - wire _T_4919 = _GEN_473 == 7'h63; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4919 = _GEN_473 == 7'h63; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4921 = _T_4919 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4410; // @[Reg.scala 27:20] - wire way_status_out_99 = _T_4410[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_530 = {{5'd0}, way_status_out_99}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4922 = _T_4921 & _GEN_530; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4915 = _GEN_473 == 7'h62; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_99 = _T_4410[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_530 = {{5'd0}, way_status_out_99}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4922 = _T_4921 & _GEN_530; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4915 = _GEN_473 == 7'h62; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4917 = _T_4915 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4406; // @[Reg.scala 27:20] - wire way_status_out_98 = _T_4406[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_532 = {{5'd0}, way_status_out_98}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4918 = _T_4917 & _GEN_532; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4911 = _GEN_473 == 7'h61; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_98 = _T_4406[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_532 = {{5'd0}, way_status_out_98}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4918 = _T_4917 & _GEN_532; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4911 = _GEN_473 == 7'h61; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4913 = _T_4911 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4402; // @[Reg.scala 27:20] - wire way_status_out_97 = _T_4402[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_534 = {{5'd0}, way_status_out_97}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4914 = _T_4913 & _GEN_534; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4907 = _GEN_473 == 7'h60; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_97 = _T_4402[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_534 = {{5'd0}, way_status_out_97}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4914 = _T_4913 & _GEN_534; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4907 = _GEN_473 == 7'h60; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4909 = _T_4907 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4398; // @[Reg.scala 27:20] - wire way_status_out_96 = _T_4398[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_536 = {{5'd0}, way_status_out_96}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4910 = _T_4909 & _GEN_536; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4903 = _GEN_473 == 7'h5f; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_96 = _T_4398[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_536 = {{5'd0}, way_status_out_96}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4910 = _T_4909 & _GEN_536; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4903 = _GEN_473 == 7'h5f; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4905 = _T_4903 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4394; // @[Reg.scala 27:20] - wire way_status_out_95 = _T_4394[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_538 = {{5'd0}, way_status_out_95}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4906 = _T_4905 & _GEN_538; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4899 = _GEN_473 == 7'h5e; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_95 = _T_4394[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_538 = {{5'd0}, way_status_out_95}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4906 = _T_4905 & _GEN_538; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4899 = _GEN_473 == 7'h5e; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4901 = _T_4899 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4390; // @[Reg.scala 27:20] - wire way_status_out_94 = _T_4390[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_540 = {{5'd0}, way_status_out_94}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4902 = _T_4901 & _GEN_540; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4895 = _GEN_473 == 7'h5d; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_94 = _T_4390[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_540 = {{5'd0}, way_status_out_94}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4902 = _T_4901 & _GEN_540; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4895 = _GEN_473 == 7'h5d; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4897 = _T_4895 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4386; // @[Reg.scala 27:20] - wire way_status_out_93 = _T_4386[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_542 = {{5'd0}, way_status_out_93}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4898 = _T_4897 & _GEN_542; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4891 = _GEN_473 == 7'h5c; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_93 = _T_4386[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_542 = {{5'd0}, way_status_out_93}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4898 = _T_4897 & _GEN_542; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4891 = _GEN_473 == 7'h5c; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4893 = _T_4891 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4382; // @[Reg.scala 27:20] - wire way_status_out_92 = _T_4382[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_544 = {{5'd0}, way_status_out_92}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4894 = _T_4893 & _GEN_544; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4887 = _GEN_473 == 7'h5b; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_92 = _T_4382[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_544 = {{5'd0}, way_status_out_92}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4894 = _T_4893 & _GEN_544; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4887 = _GEN_473 == 7'h5b; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4889 = _T_4887 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4378; // @[Reg.scala 27:20] - wire way_status_out_91 = _T_4378[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_546 = {{5'd0}, way_status_out_91}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4890 = _T_4889 & _GEN_546; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_91 = _T_4378[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_546 = {{5'd0}, way_status_out_91}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4890 = _T_4889 & _GEN_546; // @[el2_ifu_mem_ctl.scala 724:130] wire [221:0] _T_5070 = {_T_5061,_T_4922,_T_4918,_T_4914,_T_4910,_T_4906,_T_4902,_T_4898,_T_4894,_T_4890}; // @[Cat.scala 29:58] - wire _T_4883 = _GEN_473 == 7'h5a; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4883 = _GEN_473 == 7'h5a; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4885 = _T_4883 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4374; // @[Reg.scala 27:20] - wire way_status_out_90 = _T_4374[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_548 = {{5'd0}, way_status_out_90}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4886 = _T_4885 & _GEN_548; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4879 = _GEN_473 == 7'h59; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_90 = _T_4374[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_548 = {{5'd0}, way_status_out_90}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4886 = _T_4885 & _GEN_548; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4879 = _GEN_473 == 7'h59; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4881 = _T_4879 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4370; // @[Reg.scala 27:20] - wire way_status_out_89 = _T_4370[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_550 = {{5'd0}, way_status_out_89}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4882 = _T_4881 & _GEN_550; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4875 = _GEN_473 == 7'h58; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_89 = _T_4370[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_550 = {{5'd0}, way_status_out_89}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4882 = _T_4881 & _GEN_550; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4875 = _GEN_473 == 7'h58; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4877 = _T_4875 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4366; // @[Reg.scala 27:20] - wire way_status_out_88 = _T_4366[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_552 = {{5'd0}, way_status_out_88}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4878 = _T_4877 & _GEN_552; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4871 = _GEN_473 == 7'h57; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_88 = _T_4366[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_552 = {{5'd0}, way_status_out_88}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4878 = _T_4877 & _GEN_552; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4871 = _GEN_473 == 7'h57; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4873 = _T_4871 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4362; // @[Reg.scala 27:20] - wire way_status_out_87 = _T_4362[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_554 = {{5'd0}, way_status_out_87}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4874 = _T_4873 & _GEN_554; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4867 = _GEN_473 == 7'h56; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_87 = _T_4362[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_554 = {{5'd0}, way_status_out_87}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4874 = _T_4873 & _GEN_554; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4867 = _GEN_473 == 7'h56; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4869 = _T_4867 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4358; // @[Reg.scala 27:20] - wire way_status_out_86 = _T_4358[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_556 = {{5'd0}, way_status_out_86}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4870 = _T_4869 & _GEN_556; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4863 = _GEN_473 == 7'h55; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_86 = _T_4358[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_556 = {{5'd0}, way_status_out_86}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4870 = _T_4869 & _GEN_556; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4863 = _GEN_473 == 7'h55; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4865 = _T_4863 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4354; // @[Reg.scala 27:20] - wire way_status_out_85 = _T_4354[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_558 = {{5'd0}, way_status_out_85}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4866 = _T_4865 & _GEN_558; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4859 = _GEN_473 == 7'h54; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_85 = _T_4354[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_558 = {{5'd0}, way_status_out_85}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4866 = _T_4865 & _GEN_558; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4859 = _GEN_473 == 7'h54; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4861 = _T_4859 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4350; // @[Reg.scala 27:20] - wire way_status_out_84 = _T_4350[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_560 = {{5'd0}, way_status_out_84}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4862 = _T_4861 & _GEN_560; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4855 = _GEN_473 == 7'h53; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_84 = _T_4350[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_560 = {{5'd0}, way_status_out_84}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4862 = _T_4861 & _GEN_560; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4855 = _GEN_473 == 7'h53; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4857 = _T_4855 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4346; // @[Reg.scala 27:20] - wire way_status_out_83 = _T_4346[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_562 = {{5'd0}, way_status_out_83}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4858 = _T_4857 & _GEN_562; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4851 = _GEN_473 == 7'h52; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_83 = _T_4346[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_562 = {{5'd0}, way_status_out_83}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4858 = _T_4857 & _GEN_562; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4851 = _GEN_473 == 7'h52; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4853 = _T_4851 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4342; // @[Reg.scala 27:20] - wire way_status_out_82 = _T_4342[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_564 = {{5'd0}, way_status_out_82}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4854 = _T_4853 & _GEN_564; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_82 = _T_4342[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_564 = {{5'd0}, way_status_out_82}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4854 = _T_4853 & _GEN_564; // @[el2_ifu_mem_ctl.scala 724:130] wire [275:0] _T_5079 = {_T_5070,_T_4886,_T_4882,_T_4878,_T_4874,_T_4870,_T_4866,_T_4862,_T_4858,_T_4854}; // @[Cat.scala 29:58] - wire _T_4847 = _GEN_473 == 7'h51; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4847 = _GEN_473 == 7'h51; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4849 = _T_4847 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4338; // @[Reg.scala 27:20] - wire way_status_out_81 = _T_4338[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_566 = {{5'd0}, way_status_out_81}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4850 = _T_4849 & _GEN_566; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4843 = _GEN_473 == 7'h50; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_81 = _T_4338[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_566 = {{5'd0}, way_status_out_81}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4850 = _T_4849 & _GEN_566; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4843 = _GEN_473 == 7'h50; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4845 = _T_4843 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4334; // @[Reg.scala 27:20] - wire way_status_out_80 = _T_4334[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_568 = {{5'd0}, way_status_out_80}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4846 = _T_4845 & _GEN_568; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4839 = _GEN_473 == 7'h4f; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_80 = _T_4334[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_568 = {{5'd0}, way_status_out_80}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4846 = _T_4845 & _GEN_568; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4839 = _GEN_473 == 7'h4f; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4841 = _T_4839 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4330; // @[Reg.scala 27:20] - wire way_status_out_79 = _T_4330[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_570 = {{5'd0}, way_status_out_79}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4842 = _T_4841 & _GEN_570; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4835 = _GEN_473 == 7'h4e; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_79 = _T_4330[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_570 = {{5'd0}, way_status_out_79}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4842 = _T_4841 & _GEN_570; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4835 = _GEN_473 == 7'h4e; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4837 = _T_4835 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4326; // @[Reg.scala 27:20] - wire way_status_out_78 = _T_4326[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_572 = {{5'd0}, way_status_out_78}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4838 = _T_4837 & _GEN_572; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4831 = _GEN_473 == 7'h4d; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_78 = _T_4326[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_572 = {{5'd0}, way_status_out_78}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4838 = _T_4837 & _GEN_572; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4831 = _GEN_473 == 7'h4d; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4833 = _T_4831 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4322; // @[Reg.scala 27:20] - wire way_status_out_77 = _T_4322[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_574 = {{5'd0}, way_status_out_77}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4834 = _T_4833 & _GEN_574; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4827 = _GEN_473 == 7'h4c; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_77 = _T_4322[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_574 = {{5'd0}, way_status_out_77}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4834 = _T_4833 & _GEN_574; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4827 = _GEN_473 == 7'h4c; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4829 = _T_4827 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4318; // @[Reg.scala 27:20] - wire way_status_out_76 = _T_4318[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_576 = {{5'd0}, way_status_out_76}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4830 = _T_4829 & _GEN_576; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4823 = _GEN_473 == 7'h4b; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_76 = _T_4318[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_576 = {{5'd0}, way_status_out_76}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4830 = _T_4829 & _GEN_576; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4823 = _GEN_473 == 7'h4b; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4825 = _T_4823 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4314; // @[Reg.scala 27:20] - wire way_status_out_75 = _T_4314[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_578 = {{5'd0}, way_status_out_75}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4826 = _T_4825 & _GEN_578; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4819 = _GEN_473 == 7'h4a; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_75 = _T_4314[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_578 = {{5'd0}, way_status_out_75}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4826 = _T_4825 & _GEN_578; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4819 = _GEN_473 == 7'h4a; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4821 = _T_4819 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4310; // @[Reg.scala 27:20] - wire way_status_out_74 = _T_4310[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_580 = {{5'd0}, way_status_out_74}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4822 = _T_4821 & _GEN_580; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4815 = _GEN_473 == 7'h49; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_74 = _T_4310[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_580 = {{5'd0}, way_status_out_74}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4822 = _T_4821 & _GEN_580; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4815 = _GEN_473 == 7'h49; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4817 = _T_4815 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4306; // @[Reg.scala 27:20] - wire way_status_out_73 = _T_4306[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_582 = {{5'd0}, way_status_out_73}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4818 = _T_4817 & _GEN_582; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_73 = _T_4306[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_582 = {{5'd0}, way_status_out_73}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4818 = _T_4817 & _GEN_582; // @[el2_ifu_mem_ctl.scala 724:130] wire [329:0] _T_5088 = {_T_5079,_T_4850,_T_4846,_T_4842,_T_4838,_T_4834,_T_4830,_T_4826,_T_4822,_T_4818}; // @[Cat.scala 29:58] - wire _T_4811 = _GEN_473 == 7'h48; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4811 = _GEN_473 == 7'h48; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4813 = _T_4811 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4302; // @[Reg.scala 27:20] - wire way_status_out_72 = _T_4302[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_584 = {{5'd0}, way_status_out_72}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4814 = _T_4813 & _GEN_584; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4807 = _GEN_473 == 7'h47; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_72 = _T_4302[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_584 = {{5'd0}, way_status_out_72}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4814 = _T_4813 & _GEN_584; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4807 = _GEN_473 == 7'h47; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4809 = _T_4807 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4298; // @[Reg.scala 27:20] - wire way_status_out_71 = _T_4298[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_586 = {{5'd0}, way_status_out_71}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4810 = _T_4809 & _GEN_586; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4803 = _GEN_473 == 7'h46; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_71 = _T_4298[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_586 = {{5'd0}, way_status_out_71}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4810 = _T_4809 & _GEN_586; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4803 = _GEN_473 == 7'h46; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4805 = _T_4803 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4294; // @[Reg.scala 27:20] - wire way_status_out_70 = _T_4294[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_588 = {{5'd0}, way_status_out_70}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4806 = _T_4805 & _GEN_588; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4799 = _GEN_473 == 7'h45; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_70 = _T_4294[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_588 = {{5'd0}, way_status_out_70}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4806 = _T_4805 & _GEN_588; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4799 = _GEN_473 == 7'h45; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4801 = _T_4799 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4290; // @[Reg.scala 27:20] - wire way_status_out_69 = _T_4290[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_590 = {{5'd0}, way_status_out_69}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4802 = _T_4801 & _GEN_590; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4795 = _GEN_473 == 7'h44; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_69 = _T_4290[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_590 = {{5'd0}, way_status_out_69}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4802 = _T_4801 & _GEN_590; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4795 = _GEN_473 == 7'h44; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4797 = _T_4795 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4286; // @[Reg.scala 27:20] - wire way_status_out_68 = _T_4286[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_592 = {{5'd0}, way_status_out_68}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4798 = _T_4797 & _GEN_592; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4791 = _GEN_473 == 7'h43; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_68 = _T_4286[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_592 = {{5'd0}, way_status_out_68}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4798 = _T_4797 & _GEN_592; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4791 = _GEN_473 == 7'h43; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4793 = _T_4791 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4282; // @[Reg.scala 27:20] - wire way_status_out_67 = _T_4282[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_594 = {{5'd0}, way_status_out_67}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4794 = _T_4793 & _GEN_594; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4787 = _GEN_473 == 7'h42; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_67 = _T_4282[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_594 = {{5'd0}, way_status_out_67}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4794 = _T_4793 & _GEN_594; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4787 = _GEN_473 == 7'h42; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4789 = _T_4787 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4278; // @[Reg.scala 27:20] - wire way_status_out_66 = _T_4278[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_596 = {{5'd0}, way_status_out_66}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4790 = _T_4789 & _GEN_596; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4783 = _GEN_473 == 7'h41; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_66 = _T_4278[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_596 = {{5'd0}, way_status_out_66}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4790 = _T_4789 & _GEN_596; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4783 = _GEN_473 == 7'h41; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4785 = _T_4783 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4274; // @[Reg.scala 27:20] - wire way_status_out_65 = _T_4274[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_598 = {{5'd0}, way_status_out_65}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4786 = _T_4785 & _GEN_598; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4779 = _GEN_473 == 7'h40; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_65 = _T_4274[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_598 = {{5'd0}, way_status_out_65}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4786 = _T_4785 & _GEN_598; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4779 = _GEN_473 == 7'h40; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4781 = _T_4779 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4270; // @[Reg.scala 27:20] - wire way_status_out_64 = _T_4270[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_600 = {{5'd0}, way_status_out_64}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4782 = _T_4781 & _GEN_600; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_64 = _T_4270[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_600 = {{5'd0}, way_status_out_64}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4782 = _T_4781 & _GEN_600; // @[el2_ifu_mem_ctl.scala 724:130] wire [383:0] _T_5097 = {_T_5088,_T_4814,_T_4810,_T_4806,_T_4802,_T_4798,_T_4794,_T_4790,_T_4786,_T_4782}; // @[Cat.scala 29:58] - wire _T_4775 = ifu_ic_rw_int_addr_ff == 6'h3f; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4775 = ifu_ic_rw_int_addr_ff == 6'h3f; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4777 = _T_4775 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4266; // @[Reg.scala 27:20] - wire way_status_out_63 = _T_4266[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_601 = {{5'd0}, way_status_out_63}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4778 = _T_4777 & _GEN_601; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4771 = ifu_ic_rw_int_addr_ff == 6'h3e; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_63 = _T_4266[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_601 = {{5'd0}, way_status_out_63}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4778 = _T_4777 & _GEN_601; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4771 = ifu_ic_rw_int_addr_ff == 6'h3e; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4773 = _T_4771 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4262; // @[Reg.scala 27:20] - wire way_status_out_62 = _T_4262[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_602 = {{5'd0}, way_status_out_62}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4774 = _T_4773 & _GEN_602; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4767 = ifu_ic_rw_int_addr_ff == 6'h3d; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_62 = _T_4262[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_602 = {{5'd0}, way_status_out_62}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4774 = _T_4773 & _GEN_602; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4767 = ifu_ic_rw_int_addr_ff == 6'h3d; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4769 = _T_4767 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4258; // @[Reg.scala 27:20] - wire way_status_out_61 = _T_4258[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_603 = {{5'd0}, way_status_out_61}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4770 = _T_4769 & _GEN_603; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4763 = ifu_ic_rw_int_addr_ff == 6'h3c; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_61 = _T_4258[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_603 = {{5'd0}, way_status_out_61}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4770 = _T_4769 & _GEN_603; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4763 = ifu_ic_rw_int_addr_ff == 6'h3c; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4765 = _T_4763 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4254; // @[Reg.scala 27:20] - wire way_status_out_60 = _T_4254[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_604 = {{5'd0}, way_status_out_60}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4766 = _T_4765 & _GEN_604; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4759 = ifu_ic_rw_int_addr_ff == 6'h3b; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_60 = _T_4254[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_604 = {{5'd0}, way_status_out_60}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4766 = _T_4765 & _GEN_604; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4759 = ifu_ic_rw_int_addr_ff == 6'h3b; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4761 = _T_4759 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4250; // @[Reg.scala 27:20] - wire way_status_out_59 = _T_4250[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_605 = {{5'd0}, way_status_out_59}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4762 = _T_4761 & _GEN_605; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4755 = ifu_ic_rw_int_addr_ff == 6'h3a; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_59 = _T_4250[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_605 = {{5'd0}, way_status_out_59}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4762 = _T_4761 & _GEN_605; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4755 = ifu_ic_rw_int_addr_ff == 6'h3a; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4757 = _T_4755 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4246; // @[Reg.scala 27:20] - wire way_status_out_58 = _T_4246[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_606 = {{5'd0}, way_status_out_58}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4758 = _T_4757 & _GEN_606; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4751 = ifu_ic_rw_int_addr_ff == 6'h39; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_58 = _T_4246[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_606 = {{5'd0}, way_status_out_58}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4758 = _T_4757 & _GEN_606; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4751 = ifu_ic_rw_int_addr_ff == 6'h39; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4753 = _T_4751 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4242; // @[Reg.scala 27:20] - wire way_status_out_57 = _T_4242[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_607 = {{5'd0}, way_status_out_57}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4754 = _T_4753 & _GEN_607; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4747 = ifu_ic_rw_int_addr_ff == 6'h38; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_57 = _T_4242[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_607 = {{5'd0}, way_status_out_57}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4754 = _T_4753 & _GEN_607; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4747 = ifu_ic_rw_int_addr_ff == 6'h38; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4749 = _T_4747 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4238; // @[Reg.scala 27:20] - wire way_status_out_56 = _T_4238[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_608 = {{5'd0}, way_status_out_56}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4750 = _T_4749 & _GEN_608; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4743 = ifu_ic_rw_int_addr_ff == 6'h37; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_56 = _T_4238[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_608 = {{5'd0}, way_status_out_56}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4750 = _T_4749 & _GEN_608; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4743 = ifu_ic_rw_int_addr_ff == 6'h37; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4745 = _T_4743 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4234; // @[Reg.scala 27:20] - wire way_status_out_55 = _T_4234[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_609 = {{5'd0}, way_status_out_55}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4746 = _T_4745 & _GEN_609; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_55 = _T_4234[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_609 = {{5'd0}, way_status_out_55}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4746 = _T_4745 & _GEN_609; // @[el2_ifu_mem_ctl.scala 724:130] wire [437:0] _T_5106 = {_T_5097,_T_4778,_T_4774,_T_4770,_T_4766,_T_4762,_T_4758,_T_4754,_T_4750,_T_4746}; // @[Cat.scala 29:58] - wire _T_4739 = ifu_ic_rw_int_addr_ff == 6'h36; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4739 = ifu_ic_rw_int_addr_ff == 6'h36; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4741 = _T_4739 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4230; // @[Reg.scala 27:20] - wire way_status_out_54 = _T_4230[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_610 = {{5'd0}, way_status_out_54}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4742 = _T_4741 & _GEN_610; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4735 = ifu_ic_rw_int_addr_ff == 6'h35; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_54 = _T_4230[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_610 = {{5'd0}, way_status_out_54}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4742 = _T_4741 & _GEN_610; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4735 = ifu_ic_rw_int_addr_ff == 6'h35; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4737 = _T_4735 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4226; // @[Reg.scala 27:20] - wire way_status_out_53 = _T_4226[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_611 = {{5'd0}, way_status_out_53}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4738 = _T_4737 & _GEN_611; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4731 = ifu_ic_rw_int_addr_ff == 6'h34; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_53 = _T_4226[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_611 = {{5'd0}, way_status_out_53}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4738 = _T_4737 & _GEN_611; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4731 = ifu_ic_rw_int_addr_ff == 6'h34; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4733 = _T_4731 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4222; // @[Reg.scala 27:20] - wire way_status_out_52 = _T_4222[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_612 = {{5'd0}, way_status_out_52}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4734 = _T_4733 & _GEN_612; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4727 = ifu_ic_rw_int_addr_ff == 6'h33; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_52 = _T_4222[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_612 = {{5'd0}, way_status_out_52}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4734 = _T_4733 & _GEN_612; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4727 = ifu_ic_rw_int_addr_ff == 6'h33; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4729 = _T_4727 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4218; // @[Reg.scala 27:20] - wire way_status_out_51 = _T_4218[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_613 = {{5'd0}, way_status_out_51}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4730 = _T_4729 & _GEN_613; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4723 = ifu_ic_rw_int_addr_ff == 6'h32; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_51 = _T_4218[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_613 = {{5'd0}, way_status_out_51}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4730 = _T_4729 & _GEN_613; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4723 = ifu_ic_rw_int_addr_ff == 6'h32; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4725 = _T_4723 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4214; // @[Reg.scala 27:20] - wire way_status_out_50 = _T_4214[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_614 = {{5'd0}, way_status_out_50}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4726 = _T_4725 & _GEN_614; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4719 = ifu_ic_rw_int_addr_ff == 6'h31; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_50 = _T_4214[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_614 = {{5'd0}, way_status_out_50}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4726 = _T_4725 & _GEN_614; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4719 = ifu_ic_rw_int_addr_ff == 6'h31; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4721 = _T_4719 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4210; // @[Reg.scala 27:20] - wire way_status_out_49 = _T_4210[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_615 = {{5'd0}, way_status_out_49}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4722 = _T_4721 & _GEN_615; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4715 = ifu_ic_rw_int_addr_ff == 6'h30; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_49 = _T_4210[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_615 = {{5'd0}, way_status_out_49}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4722 = _T_4721 & _GEN_615; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4715 = ifu_ic_rw_int_addr_ff == 6'h30; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4717 = _T_4715 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4206; // @[Reg.scala 27:20] - wire way_status_out_48 = _T_4206[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_616 = {{5'd0}, way_status_out_48}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4718 = _T_4717 & _GEN_616; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4711 = ifu_ic_rw_int_addr_ff == 6'h2f; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_48 = _T_4206[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_616 = {{5'd0}, way_status_out_48}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4718 = _T_4717 & _GEN_616; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4711 = ifu_ic_rw_int_addr_ff == 6'h2f; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4713 = _T_4711 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4202; // @[Reg.scala 27:20] - wire way_status_out_47 = _T_4202[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_617 = {{5'd0}, way_status_out_47}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4714 = _T_4713 & _GEN_617; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4707 = ifu_ic_rw_int_addr_ff == 6'h2e; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_47 = _T_4202[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_617 = {{5'd0}, way_status_out_47}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4714 = _T_4713 & _GEN_617; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4707 = ifu_ic_rw_int_addr_ff == 6'h2e; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4709 = _T_4707 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4198; // @[Reg.scala 27:20] - wire way_status_out_46 = _T_4198[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_618 = {{5'd0}, way_status_out_46}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4710 = _T_4709 & _GEN_618; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_46 = _T_4198[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_618 = {{5'd0}, way_status_out_46}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4710 = _T_4709 & _GEN_618; // @[el2_ifu_mem_ctl.scala 724:130] wire [491:0] _T_5115 = {_T_5106,_T_4742,_T_4738,_T_4734,_T_4730,_T_4726,_T_4722,_T_4718,_T_4714,_T_4710}; // @[Cat.scala 29:58] - wire _T_4703 = ifu_ic_rw_int_addr_ff == 6'h2d; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4703 = ifu_ic_rw_int_addr_ff == 6'h2d; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4705 = _T_4703 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4194; // @[Reg.scala 27:20] - wire way_status_out_45 = _T_4194[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_619 = {{5'd0}, way_status_out_45}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4706 = _T_4705 & _GEN_619; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4699 = ifu_ic_rw_int_addr_ff == 6'h2c; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_45 = _T_4194[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_619 = {{5'd0}, way_status_out_45}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4706 = _T_4705 & _GEN_619; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4699 = ifu_ic_rw_int_addr_ff == 6'h2c; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4701 = _T_4699 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4190; // @[Reg.scala 27:20] - wire way_status_out_44 = _T_4190[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_620 = {{5'd0}, way_status_out_44}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4702 = _T_4701 & _GEN_620; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4695 = ifu_ic_rw_int_addr_ff == 6'h2b; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_44 = _T_4190[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_620 = {{5'd0}, way_status_out_44}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4702 = _T_4701 & _GEN_620; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4695 = ifu_ic_rw_int_addr_ff == 6'h2b; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4697 = _T_4695 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4186; // @[Reg.scala 27:20] - wire way_status_out_43 = _T_4186[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_621 = {{5'd0}, way_status_out_43}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4698 = _T_4697 & _GEN_621; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4691 = ifu_ic_rw_int_addr_ff == 6'h2a; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_43 = _T_4186[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_621 = {{5'd0}, way_status_out_43}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4698 = _T_4697 & _GEN_621; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4691 = ifu_ic_rw_int_addr_ff == 6'h2a; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4693 = _T_4691 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4182; // @[Reg.scala 27:20] - wire way_status_out_42 = _T_4182[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_622 = {{5'd0}, way_status_out_42}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4694 = _T_4693 & _GEN_622; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4687 = ifu_ic_rw_int_addr_ff == 6'h29; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_42 = _T_4182[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_622 = {{5'd0}, way_status_out_42}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4694 = _T_4693 & _GEN_622; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4687 = ifu_ic_rw_int_addr_ff == 6'h29; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4689 = _T_4687 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4178; // @[Reg.scala 27:20] - wire way_status_out_41 = _T_4178[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_623 = {{5'd0}, way_status_out_41}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4690 = _T_4689 & _GEN_623; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4683 = ifu_ic_rw_int_addr_ff == 6'h28; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_41 = _T_4178[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_623 = {{5'd0}, way_status_out_41}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4690 = _T_4689 & _GEN_623; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4683 = ifu_ic_rw_int_addr_ff == 6'h28; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4685 = _T_4683 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4174; // @[Reg.scala 27:20] - wire way_status_out_40 = _T_4174[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_624 = {{5'd0}, way_status_out_40}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4686 = _T_4685 & _GEN_624; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4679 = ifu_ic_rw_int_addr_ff == 6'h27; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_40 = _T_4174[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_624 = {{5'd0}, way_status_out_40}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4686 = _T_4685 & _GEN_624; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4679 = ifu_ic_rw_int_addr_ff == 6'h27; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4681 = _T_4679 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4170; // @[Reg.scala 27:20] - wire way_status_out_39 = _T_4170[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_625 = {{5'd0}, way_status_out_39}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4682 = _T_4681 & _GEN_625; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4675 = ifu_ic_rw_int_addr_ff == 6'h26; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_39 = _T_4170[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_625 = {{5'd0}, way_status_out_39}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4682 = _T_4681 & _GEN_625; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4675 = ifu_ic_rw_int_addr_ff == 6'h26; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4677 = _T_4675 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4166; // @[Reg.scala 27:20] - wire way_status_out_38 = _T_4166[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_626 = {{5'd0}, way_status_out_38}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4678 = _T_4677 & _GEN_626; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4671 = ifu_ic_rw_int_addr_ff == 6'h25; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_38 = _T_4166[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_626 = {{5'd0}, way_status_out_38}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4678 = _T_4677 & _GEN_626; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4671 = ifu_ic_rw_int_addr_ff == 6'h25; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4673 = _T_4671 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4162; // @[Reg.scala 27:20] - wire way_status_out_37 = _T_4162[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_627 = {{5'd0}, way_status_out_37}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4674 = _T_4673 & _GEN_627; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_37 = _T_4162[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_627 = {{5'd0}, way_status_out_37}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4674 = _T_4673 & _GEN_627; // @[el2_ifu_mem_ctl.scala 724:130] wire [545:0] _T_5124 = {_T_5115,_T_4706,_T_4702,_T_4698,_T_4694,_T_4690,_T_4686,_T_4682,_T_4678,_T_4674}; // @[Cat.scala 29:58] - wire _T_4667 = ifu_ic_rw_int_addr_ff == 6'h24; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4667 = ifu_ic_rw_int_addr_ff == 6'h24; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4669 = _T_4667 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4158; // @[Reg.scala 27:20] - wire way_status_out_36 = _T_4158[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_628 = {{5'd0}, way_status_out_36}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4670 = _T_4669 & _GEN_628; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4663 = ifu_ic_rw_int_addr_ff == 6'h23; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_36 = _T_4158[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_628 = {{5'd0}, way_status_out_36}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4670 = _T_4669 & _GEN_628; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4663 = ifu_ic_rw_int_addr_ff == 6'h23; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4665 = _T_4663 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4154; // @[Reg.scala 27:20] - wire way_status_out_35 = _T_4154[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_629 = {{5'd0}, way_status_out_35}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4666 = _T_4665 & _GEN_629; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4659 = ifu_ic_rw_int_addr_ff == 6'h22; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_35 = _T_4154[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_629 = {{5'd0}, way_status_out_35}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4666 = _T_4665 & _GEN_629; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4659 = ifu_ic_rw_int_addr_ff == 6'h22; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4661 = _T_4659 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4150; // @[Reg.scala 27:20] - wire way_status_out_34 = _T_4150[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_630 = {{5'd0}, way_status_out_34}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4662 = _T_4661 & _GEN_630; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4655 = ifu_ic_rw_int_addr_ff == 6'h21; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_34 = _T_4150[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_630 = {{5'd0}, way_status_out_34}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4662 = _T_4661 & _GEN_630; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4655 = ifu_ic_rw_int_addr_ff == 6'h21; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4657 = _T_4655 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4146; // @[Reg.scala 27:20] - wire way_status_out_33 = _T_4146[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_631 = {{5'd0}, way_status_out_33}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4658 = _T_4657 & _GEN_631; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4651 = ifu_ic_rw_int_addr_ff == 6'h20; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_33 = _T_4146[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_631 = {{5'd0}, way_status_out_33}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4658 = _T_4657 & _GEN_631; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4651 = ifu_ic_rw_int_addr_ff == 6'h20; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4653 = _T_4651 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4142; // @[Reg.scala 27:20] - wire way_status_out_32 = _T_4142[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_632 = {{5'd0}, way_status_out_32}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4654 = _T_4653 & _GEN_632; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4647 = ifu_ic_rw_int_addr_ff == 6'h1f; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_32 = _T_4142[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_632 = {{5'd0}, way_status_out_32}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4654 = _T_4653 & _GEN_632; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4647 = ifu_ic_rw_int_addr_ff == 6'h1f; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4649 = _T_4647 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4138; // @[Reg.scala 27:20] - wire way_status_out_31 = _T_4138[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_633 = {{5'd0}, way_status_out_31}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4650 = _T_4649 & _GEN_633; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4643 = ifu_ic_rw_int_addr_ff == 6'h1e; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_31 = _T_4138[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_633 = {{5'd0}, way_status_out_31}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4650 = _T_4649 & _GEN_633; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4643 = ifu_ic_rw_int_addr_ff == 6'h1e; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4645 = _T_4643 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4134; // @[Reg.scala 27:20] - wire way_status_out_30 = _T_4134[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_634 = {{5'd0}, way_status_out_30}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4646 = _T_4645 & _GEN_634; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4639 = ifu_ic_rw_int_addr_ff == 6'h1d; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_30 = _T_4134[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_634 = {{5'd0}, way_status_out_30}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4646 = _T_4645 & _GEN_634; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4639 = ifu_ic_rw_int_addr_ff == 6'h1d; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4641 = _T_4639 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4130; // @[Reg.scala 27:20] - wire way_status_out_29 = _T_4130[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_635 = {{5'd0}, way_status_out_29}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4642 = _T_4641 & _GEN_635; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4635 = ifu_ic_rw_int_addr_ff == 6'h1c; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_29 = _T_4130[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_635 = {{5'd0}, way_status_out_29}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4642 = _T_4641 & _GEN_635; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4635 = ifu_ic_rw_int_addr_ff == 6'h1c; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4637 = _T_4635 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4126; // @[Reg.scala 27:20] - wire way_status_out_28 = _T_4126[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_636 = {{5'd0}, way_status_out_28}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4638 = _T_4637 & _GEN_636; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_28 = _T_4126[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_636 = {{5'd0}, way_status_out_28}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4638 = _T_4637 & _GEN_636; // @[el2_ifu_mem_ctl.scala 724:130] wire [599:0] _T_5133 = {_T_5124,_T_4670,_T_4666,_T_4662,_T_4658,_T_4654,_T_4650,_T_4646,_T_4642,_T_4638}; // @[Cat.scala 29:58] - wire _T_4631 = ifu_ic_rw_int_addr_ff == 6'h1b; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4631 = ifu_ic_rw_int_addr_ff == 6'h1b; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4633 = _T_4631 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4122; // @[Reg.scala 27:20] - wire way_status_out_27 = _T_4122[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_637 = {{5'd0}, way_status_out_27}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4634 = _T_4633 & _GEN_637; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4627 = ifu_ic_rw_int_addr_ff == 6'h1a; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_27 = _T_4122[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_637 = {{5'd0}, way_status_out_27}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4634 = _T_4633 & _GEN_637; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4627 = ifu_ic_rw_int_addr_ff == 6'h1a; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4629 = _T_4627 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4118; // @[Reg.scala 27:20] - wire way_status_out_26 = _T_4118[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_638 = {{5'd0}, way_status_out_26}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4630 = _T_4629 & _GEN_638; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4623 = ifu_ic_rw_int_addr_ff == 6'h19; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_26 = _T_4118[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_638 = {{5'd0}, way_status_out_26}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4630 = _T_4629 & _GEN_638; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4623 = ifu_ic_rw_int_addr_ff == 6'h19; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4625 = _T_4623 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4114; // @[Reg.scala 27:20] - wire way_status_out_25 = _T_4114[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_639 = {{5'd0}, way_status_out_25}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4626 = _T_4625 & _GEN_639; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4619 = ifu_ic_rw_int_addr_ff == 6'h18; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_25 = _T_4114[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_639 = {{5'd0}, way_status_out_25}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4626 = _T_4625 & _GEN_639; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4619 = ifu_ic_rw_int_addr_ff == 6'h18; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4621 = _T_4619 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4110; // @[Reg.scala 27:20] - wire way_status_out_24 = _T_4110[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_640 = {{5'd0}, way_status_out_24}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4622 = _T_4621 & _GEN_640; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4615 = ifu_ic_rw_int_addr_ff == 6'h17; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_24 = _T_4110[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_640 = {{5'd0}, way_status_out_24}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4622 = _T_4621 & _GEN_640; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4615 = ifu_ic_rw_int_addr_ff == 6'h17; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4617 = _T_4615 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4106; // @[Reg.scala 27:20] - wire way_status_out_23 = _T_4106[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_641 = {{5'd0}, way_status_out_23}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4618 = _T_4617 & _GEN_641; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4611 = ifu_ic_rw_int_addr_ff == 6'h16; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_23 = _T_4106[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_641 = {{5'd0}, way_status_out_23}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4618 = _T_4617 & _GEN_641; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4611 = ifu_ic_rw_int_addr_ff == 6'h16; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4613 = _T_4611 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4102; // @[Reg.scala 27:20] - wire way_status_out_22 = _T_4102[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_642 = {{5'd0}, way_status_out_22}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4614 = _T_4613 & _GEN_642; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4607 = ifu_ic_rw_int_addr_ff == 6'h15; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_22 = _T_4102[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_642 = {{5'd0}, way_status_out_22}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4614 = _T_4613 & _GEN_642; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4607 = ifu_ic_rw_int_addr_ff == 6'h15; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4609 = _T_4607 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4098; // @[Reg.scala 27:20] - wire way_status_out_21 = _T_4098[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_643 = {{5'd0}, way_status_out_21}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4610 = _T_4609 & _GEN_643; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4603 = ifu_ic_rw_int_addr_ff == 6'h14; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_21 = _T_4098[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_643 = {{5'd0}, way_status_out_21}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4610 = _T_4609 & _GEN_643; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4603 = ifu_ic_rw_int_addr_ff == 6'h14; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4605 = _T_4603 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4094; // @[Reg.scala 27:20] - wire way_status_out_20 = _T_4094[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_644 = {{5'd0}, way_status_out_20}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4606 = _T_4605 & _GEN_644; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4599 = ifu_ic_rw_int_addr_ff == 6'h13; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_20 = _T_4094[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_644 = {{5'd0}, way_status_out_20}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4606 = _T_4605 & _GEN_644; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4599 = ifu_ic_rw_int_addr_ff == 6'h13; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4601 = _T_4599 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4090; // @[Reg.scala 27:20] - wire way_status_out_19 = _T_4090[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_645 = {{5'd0}, way_status_out_19}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4602 = _T_4601 & _GEN_645; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_19 = _T_4090[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_645 = {{5'd0}, way_status_out_19}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4602 = _T_4601 & _GEN_645; // @[el2_ifu_mem_ctl.scala 724:130] wire [653:0] _T_5142 = {_T_5133,_T_4634,_T_4630,_T_4626,_T_4622,_T_4618,_T_4614,_T_4610,_T_4606,_T_4602}; // @[Cat.scala 29:58] - wire _T_4595 = ifu_ic_rw_int_addr_ff == 6'h12; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4595 = ifu_ic_rw_int_addr_ff == 6'h12; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4597 = _T_4595 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4086; // @[Reg.scala 27:20] - wire way_status_out_18 = _T_4086[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_646 = {{5'd0}, way_status_out_18}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4598 = _T_4597 & _GEN_646; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4591 = ifu_ic_rw_int_addr_ff == 6'h11; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_18 = _T_4086[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_646 = {{5'd0}, way_status_out_18}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4598 = _T_4597 & _GEN_646; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4591 = ifu_ic_rw_int_addr_ff == 6'h11; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4593 = _T_4591 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4082; // @[Reg.scala 27:20] - wire way_status_out_17 = _T_4082[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_647 = {{5'd0}, way_status_out_17}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4594 = _T_4593 & _GEN_647; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4587 = ifu_ic_rw_int_addr_ff == 6'h10; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_17 = _T_4082[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_647 = {{5'd0}, way_status_out_17}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4594 = _T_4593 & _GEN_647; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4587 = ifu_ic_rw_int_addr_ff == 6'h10; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4589 = _T_4587 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4078; // @[Reg.scala 27:20] - wire way_status_out_16 = _T_4078[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_648 = {{5'd0}, way_status_out_16}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4590 = _T_4589 & _GEN_648; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4583 = ifu_ic_rw_int_addr_ff == 6'hf; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_16 = _T_4078[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_648 = {{5'd0}, way_status_out_16}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4590 = _T_4589 & _GEN_648; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4583 = ifu_ic_rw_int_addr_ff == 6'hf; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4585 = _T_4583 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4074; // @[Reg.scala 27:20] - wire way_status_out_15 = _T_4074[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_649 = {{5'd0}, way_status_out_15}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4586 = _T_4585 & _GEN_649; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4579 = ifu_ic_rw_int_addr_ff == 6'he; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_15 = _T_4074[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_649 = {{5'd0}, way_status_out_15}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4586 = _T_4585 & _GEN_649; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4579 = ifu_ic_rw_int_addr_ff == 6'he; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4581 = _T_4579 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4070; // @[Reg.scala 27:20] - wire way_status_out_14 = _T_4070[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_650 = {{5'd0}, way_status_out_14}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4582 = _T_4581 & _GEN_650; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4575 = ifu_ic_rw_int_addr_ff == 6'hd; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_14 = _T_4070[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_650 = {{5'd0}, way_status_out_14}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4582 = _T_4581 & _GEN_650; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4575 = ifu_ic_rw_int_addr_ff == 6'hd; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4577 = _T_4575 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4066; // @[Reg.scala 27:20] - wire way_status_out_13 = _T_4066[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_651 = {{5'd0}, way_status_out_13}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4578 = _T_4577 & _GEN_651; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4571 = ifu_ic_rw_int_addr_ff == 6'hc; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_13 = _T_4066[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_651 = {{5'd0}, way_status_out_13}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4578 = _T_4577 & _GEN_651; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4571 = ifu_ic_rw_int_addr_ff == 6'hc; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4573 = _T_4571 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4062; // @[Reg.scala 27:20] - wire way_status_out_12 = _T_4062[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_652 = {{5'd0}, way_status_out_12}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4574 = _T_4573 & _GEN_652; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4567 = ifu_ic_rw_int_addr_ff == 6'hb; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_12 = _T_4062[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_652 = {{5'd0}, way_status_out_12}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4574 = _T_4573 & _GEN_652; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4567 = ifu_ic_rw_int_addr_ff == 6'hb; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4569 = _T_4567 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4058; // @[Reg.scala 27:20] - wire way_status_out_11 = _T_4058[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_653 = {{5'd0}, way_status_out_11}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4570 = _T_4569 & _GEN_653; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4563 = ifu_ic_rw_int_addr_ff == 6'ha; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_11 = _T_4058[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_653 = {{5'd0}, way_status_out_11}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4570 = _T_4569 & _GEN_653; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4563 = ifu_ic_rw_int_addr_ff == 6'ha; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4565 = _T_4563 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4054; // @[Reg.scala 27:20] - wire way_status_out_10 = _T_4054[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_654 = {{5'd0}, way_status_out_10}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4566 = _T_4565 & _GEN_654; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_10 = _T_4054[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_654 = {{5'd0}, way_status_out_10}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4566 = _T_4565 & _GEN_654; // @[el2_ifu_mem_ctl.scala 724:130] wire [707:0] _T_5151 = {_T_5142,_T_4598,_T_4594,_T_4590,_T_4586,_T_4582,_T_4578,_T_4574,_T_4570,_T_4566}; // @[Cat.scala 29:58] - wire _T_4559 = ifu_ic_rw_int_addr_ff == 6'h9; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4559 = ifu_ic_rw_int_addr_ff == 6'h9; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4561 = _T_4559 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4050; // @[Reg.scala 27:20] - wire way_status_out_9 = _T_4050[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_655 = {{5'd0}, way_status_out_9}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4562 = _T_4561 & _GEN_655; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4555 = ifu_ic_rw_int_addr_ff == 6'h8; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_9 = _T_4050[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_655 = {{5'd0}, way_status_out_9}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4562 = _T_4561 & _GEN_655; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4555 = ifu_ic_rw_int_addr_ff == 6'h8; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4557 = _T_4555 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4046; // @[Reg.scala 27:20] - wire way_status_out_8 = _T_4046[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_656 = {{5'd0}, way_status_out_8}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4558 = _T_4557 & _GEN_656; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4551 = ifu_ic_rw_int_addr_ff == 6'h7; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_8 = _T_4046[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_656 = {{5'd0}, way_status_out_8}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4558 = _T_4557 & _GEN_656; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4551 = ifu_ic_rw_int_addr_ff == 6'h7; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4553 = _T_4551 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4042; // @[Reg.scala 27:20] - wire way_status_out_7 = _T_4042[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_657 = {{5'd0}, way_status_out_7}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4554 = _T_4553 & _GEN_657; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4547 = ifu_ic_rw_int_addr_ff == 6'h6; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_7 = _T_4042[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_657 = {{5'd0}, way_status_out_7}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4554 = _T_4553 & _GEN_657; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4547 = ifu_ic_rw_int_addr_ff == 6'h6; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4549 = _T_4547 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4038; // @[Reg.scala 27:20] - wire way_status_out_6 = _T_4038[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_658 = {{5'd0}, way_status_out_6}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4550 = _T_4549 & _GEN_658; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4543 = ifu_ic_rw_int_addr_ff == 6'h5; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_6 = _T_4038[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_658 = {{5'd0}, way_status_out_6}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4550 = _T_4549 & _GEN_658; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4543 = ifu_ic_rw_int_addr_ff == 6'h5; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4545 = _T_4543 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4034; // @[Reg.scala 27:20] - wire way_status_out_5 = _T_4034[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_659 = {{5'd0}, way_status_out_5}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4546 = _T_4545 & _GEN_659; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4539 = ifu_ic_rw_int_addr_ff == 6'h4; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_5 = _T_4034[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_659 = {{5'd0}, way_status_out_5}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4546 = _T_4545 & _GEN_659; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4539 = ifu_ic_rw_int_addr_ff == 6'h4; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4541 = _T_4539 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4030; // @[Reg.scala 27:20] - wire way_status_out_4 = _T_4030[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_660 = {{5'd0}, way_status_out_4}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4542 = _T_4541 & _GEN_660; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4535 = ifu_ic_rw_int_addr_ff == 6'h3; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_4 = _T_4030[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_660 = {{5'd0}, way_status_out_4}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4542 = _T_4541 & _GEN_660; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4535 = ifu_ic_rw_int_addr_ff == 6'h3; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4537 = _T_4535 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4026; // @[Reg.scala 27:20] - wire way_status_out_3 = _T_4026[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_661 = {{5'd0}, way_status_out_3}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4538 = _T_4537 & _GEN_661; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4531 = ifu_ic_rw_int_addr_ff == 6'h2; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_3 = _T_4026[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_661 = {{5'd0}, way_status_out_3}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4538 = _T_4537 & _GEN_661; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4531 = ifu_ic_rw_int_addr_ff == 6'h2; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4533 = _T_4531 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4022; // @[Reg.scala 27:20] - wire way_status_out_2 = _T_4022[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_662 = {{5'd0}, way_status_out_2}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4534 = _T_4533 & _GEN_662; // @[el2_ifu_mem_ctl.scala 723:130] - wire _T_4527 = ifu_ic_rw_int_addr_ff == 6'h1; // @[el2_ifu_mem_ctl.scala 723:121] + wire way_status_out_2 = _T_4022[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_662 = {{5'd0}, way_status_out_2}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4534 = _T_4533 & _GEN_662; // @[el2_ifu_mem_ctl.scala 724:130] + wire _T_4527 = ifu_ic_rw_int_addr_ff == 6'h1; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4529 = _T_4527 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4018; // @[Reg.scala 27:20] - wire way_status_out_1 = _T_4018[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_663 = {{5'd0}, way_status_out_1}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4530 = _T_4529 & _GEN_663; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_1 = _T_4018[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_663 = {{5'd0}, way_status_out_1}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4530 = _T_4529 & _GEN_663; // @[el2_ifu_mem_ctl.scala 724:130] wire [761:0] _T_5160 = {_T_5151,_T_4562,_T_4558,_T_4554,_T_4550,_T_4546,_T_4542,_T_4538,_T_4534,_T_4530}; // @[Cat.scala 29:58] - wire _T_4523 = ifu_ic_rw_int_addr_ff == 6'h0; // @[el2_ifu_mem_ctl.scala 723:121] + wire _T_4523 = ifu_ic_rw_int_addr_ff == 6'h0; // @[el2_ifu_mem_ctl.scala 724:121] wire [5:0] _T_4525 = _T_4523 ? 6'h3f : 6'h0; // @[Bitwise.scala 72:12] reg [2:0] _T_4014; // @[Reg.scala 27:20] - wire way_status_out_0 = _T_4014[0]; // @[el2_ifu_mem_ctl.scala 720:30 el2_ifu_mem_ctl.scala 722:33] - wire [5:0] _GEN_664 = {{5'd0}, way_status_out_0}; // @[el2_ifu_mem_ctl.scala 723:130] - wire [5:0] _T_4526 = _T_4525 & _GEN_664; // @[el2_ifu_mem_ctl.scala 723:130] + wire way_status_out_0 = _T_4014[0]; // @[el2_ifu_mem_ctl.scala 721:30 el2_ifu_mem_ctl.scala 723:33] + wire [5:0] _GEN_664 = {{5'd0}, way_status_out_0}; // @[el2_ifu_mem_ctl.scala 724:130] + wire [5:0] _T_4526 = _T_4525 & _GEN_664; // @[el2_ifu_mem_ctl.scala 724:130] wire [767:0] _T_5161 = {_T_5160,_T_4526}; // @[Cat.scala 29:58] - wire way_status = _T_5161[0]; // @[el2_ifu_mem_ctl.scala 723:16] - wire _T_195 = ~reset_all_tags; // @[el2_ifu_mem_ctl.scala 262:96] - reg uncacheable_miss_scnd_ff; // @[el2_ifu_mem_ctl.scala 264:38] - reg [30:0] imb_scnd_ff; // @[el2_ifu_mem_ctl.scala 266:25] + wire way_status = _T_5161[0]; // @[el2_ifu_mem_ctl.scala 724:16] + wire _T_195 = ~reset_all_tags; // @[el2_ifu_mem_ctl.scala 263:96] + reg uncacheable_miss_scnd_ff; // @[el2_ifu_mem_ctl.scala 265:38] + reg [30:0] imb_scnd_ff; // @[el2_ifu_mem_ctl.scala 267:25] wire [2:0] _T_206 = bus_ifu_wr_en_ff ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] reg [2:0] ifu_bus_rid_ff; // @[Reg.scala 27:20] - wire [2:0] ic_wr_addr_bits_hi_3 = ifu_bus_rid_ff & _T_206; // @[el2_ifu_mem_ctl.scala 271:45] - wire _T_212 = _T_231 | _T_239; // @[el2_ifu_mem_ctl.scala 276:59] - wire _T_214 = _T_212 | _T_2233; // @[el2_ifu_mem_ctl.scala 276:91] - wire ic_iccm_hit_f = fetch_req_iccm_f & _T_214; // @[el2_ifu_mem_ctl.scala 276:41] - wire _T_219 = _T_227 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 282:39] - wire _T_221 = _T_219 & _T_195; // @[el2_ifu_mem_ctl.scala 282:60] - wire _T_225 = _T_221 & _T_212; // @[el2_ifu_mem_ctl.scala 282:78] - wire ic_act_hit_f = _T_225 & _T_247; // @[el2_ifu_mem_ctl.scala 282:126] - wire _T_262 = ic_act_hit_f | ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 289:31] - wire _T_263 = _T_262 | ic_iccm_hit_f; // @[el2_ifu_mem_ctl.scala 289:46] - wire _T_264 = ifc_region_acc_fault_final_f & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 289:94] - wire _T_268 = sel_hold_imb ? uncacheable_miss_ff : io_ifc_fetch_uncacheable_bf; // @[el2_ifu_mem_ctl.scala 290:84] - wire uncacheable_miss_in = scnd_miss_req ? uncacheable_miss_scnd_ff : _T_268; // @[el2_ifu_mem_ctl.scala 290:32] - wire _T_274 = imb_ff[12:6] == imb_scnd_ff[12:6]; // @[el2_ifu_mem_ctl.scala 293:75] - wire _T_275 = _T_274 & scnd_miss_req; // @[el2_ifu_mem_ctl.scala 293:127] + wire [2:0] ic_wr_addr_bits_hi_3 = ifu_bus_rid_ff & _T_206; // @[el2_ifu_mem_ctl.scala 272:45] + wire _T_212 = _T_231 | _T_239; // @[el2_ifu_mem_ctl.scala 277:59] + wire _T_214 = _T_212 | _T_2233; // @[el2_ifu_mem_ctl.scala 277:91] + wire ic_iccm_hit_f = fetch_req_iccm_f & _T_214; // @[el2_ifu_mem_ctl.scala 277:41] + wire _T_219 = _T_227 & fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 283:39] + wire _T_221 = _T_219 & _T_195; // @[el2_ifu_mem_ctl.scala 283:60] + wire _T_225 = _T_221 & _T_212; // @[el2_ifu_mem_ctl.scala 283:78] + wire ic_act_hit_f = _T_225 & _T_247; // @[el2_ifu_mem_ctl.scala 283:126] + wire _T_262 = ic_act_hit_f | ic_byp_hit_f; // @[el2_ifu_mem_ctl.scala 290:31] + wire _T_263 = _T_262 | ic_iccm_hit_f; // @[el2_ifu_mem_ctl.scala 290:46] + wire _T_264 = ifc_region_acc_fault_final_f & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 290:94] + wire _T_268 = sel_hold_imb ? uncacheable_miss_ff : io_ifc_fetch_uncacheable_bf; // @[el2_ifu_mem_ctl.scala 291:84] + wire uncacheable_miss_in = scnd_miss_req ? uncacheable_miss_scnd_ff : _T_268; // @[el2_ifu_mem_ctl.scala 291:32] + wire _T_274 = imb_ff[12:6] == imb_scnd_ff[12:6]; // @[el2_ifu_mem_ctl.scala 294:75] + wire _T_275 = _T_274 & scnd_miss_req; // @[el2_ifu_mem_ctl.scala 294:127] reg [1:0] ifu_bus_rresp_ff; // @[Reg.scala 27:20] - wire _T_2662 = |ifu_bus_rresp_ff; // @[el2_ifu_mem_ctl.scala 621:48] - wire _T_2663 = _T_2662 & ifu_bus_rvalid_ff; // @[el2_ifu_mem_ctl.scala 621:52] - wire bus_ifu_wr_data_error_ff = _T_2663 & miss_pending; // @[el2_ifu_mem_ctl.scala 621:73] - reg ifu_wr_data_comb_err_ff; // @[el2_ifu_mem_ctl.scala 366:61] - wire ifu_wr_cumulative_err_data = bus_ifu_wr_data_error_ff | ifu_wr_data_comb_err_ff; // @[el2_ifu_mem_ctl.scala 365:55] - wire _T_276 = ~ifu_wr_cumulative_err_data; // @[el2_ifu_mem_ctl.scala 293:145] - wire scnd_miss_index_match = _T_275 & _T_276; // @[el2_ifu_mem_ctl.scala 293:143] - wire _T_277 = ~scnd_miss_index_match; // @[el2_ifu_mem_ctl.scala 296:47] - wire _T_278 = scnd_miss_req & _T_277; // @[el2_ifu_mem_ctl.scala 296:45] - wire _T_280 = scnd_miss_req & scnd_miss_index_match; // @[el2_ifu_mem_ctl.scala 297:26] - reg way_status_mb_ff; // @[el2_ifu_mem_ctl.scala 316:30] - wire _T_10111 = ~way_status_mb_ff; // @[el2_ifu_mem_ctl.scala 776:33] - reg [1:0] tagv_mb_ff; // @[el2_ifu_mem_ctl.scala 317:24] - wire _T_10113 = _T_10111 & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 776:51] - wire _T_10115 = _T_10113 & tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 776:67] - wire _T_10117 = ~tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 776:86] - wire replace_way_mb_any_0 = _T_10115 | _T_10117; // @[el2_ifu_mem_ctl.scala 776:84] + wire _T_2662 = |ifu_bus_rresp_ff; // @[el2_ifu_mem_ctl.scala 622:48] + wire _T_2663 = _T_2662 & ifu_bus_rvalid_ff; // @[el2_ifu_mem_ctl.scala 622:52] + wire bus_ifu_wr_data_error_ff = _T_2663 & miss_pending; // @[el2_ifu_mem_ctl.scala 622:73] + reg ifu_wr_data_comb_err_ff; // @[el2_ifu_mem_ctl.scala 367:61] + wire ifu_wr_cumulative_err_data = bus_ifu_wr_data_error_ff | ifu_wr_data_comb_err_ff; // @[el2_ifu_mem_ctl.scala 366:55] + wire _T_276 = ~ifu_wr_cumulative_err_data; // @[el2_ifu_mem_ctl.scala 294:145] + wire scnd_miss_index_match = _T_275 & _T_276; // @[el2_ifu_mem_ctl.scala 294:143] + wire _T_277 = ~scnd_miss_index_match; // @[el2_ifu_mem_ctl.scala 297:47] + wire _T_278 = scnd_miss_req & _T_277; // @[el2_ifu_mem_ctl.scala 297:45] + wire _T_280 = scnd_miss_req & scnd_miss_index_match; // @[el2_ifu_mem_ctl.scala 298:26] + reg way_status_mb_ff; // @[el2_ifu_mem_ctl.scala 317:30] + wire _T_10111 = ~way_status_mb_ff; // @[el2_ifu_mem_ctl.scala 777:33] + reg [1:0] tagv_mb_ff; // @[el2_ifu_mem_ctl.scala 318:24] + wire _T_10113 = _T_10111 & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 777:51] + wire _T_10115 = _T_10113 & tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 777:67] + wire _T_10117 = ~tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 777:86] + wire replace_way_mb_any_0 = _T_10115 | _T_10117; // @[el2_ifu_mem_ctl.scala 777:84] wire [1:0] _T_287 = scnd_miss_index_match ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_10120 = way_status_mb_ff & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 777:50] - wire _T_10122 = _T_10120 & tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 777:66] - wire _T_10124 = ~tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 777:85] - wire _T_10126 = _T_10124 & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 777:100] - wire replace_way_mb_any_1 = _T_10122 | _T_10126; // @[el2_ifu_mem_ctl.scala 777:83] + wire _T_10120 = way_status_mb_ff & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 778:50] + wire _T_10122 = _T_10120 & tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 778:66] + wire _T_10124 = ~tagv_mb_ff[1]; // @[el2_ifu_mem_ctl.scala 778:85] + wire _T_10126 = _T_10124 & tagv_mb_ff[0]; // @[el2_ifu_mem_ctl.scala 778:100] + wire replace_way_mb_any_1 = _T_10122 | _T_10126; // @[el2_ifu_mem_ctl.scala 778:83] wire [1:0] _T_288 = {replace_way_mb_any_1,replace_way_mb_any_0}; // @[Cat.scala 29:58] - wire [1:0] _T_289 = _T_287 & _T_288; // @[el2_ifu_mem_ctl.scala 301:110] - wire _T_297 = ~scnd_miss_req_q; // @[el2_ifu_mem_ctl.scala 305:36] - wire _T_298 = miss_pending & _T_297; // @[el2_ifu_mem_ctl.scala 305:34] - reg reset_ic_ff; // @[el2_ifu_mem_ctl.scala 306:25] - wire _T_299 = reset_all_tags | reset_ic_ff; // @[el2_ifu_mem_ctl.scala 305:72] - wire reset_ic_in = _T_298 & _T_299; // @[el2_ifu_mem_ctl.scala 305:53] - reg fetch_uncacheable_ff; // @[el2_ifu_mem_ctl.scala 307:37] - reg [25:0] miss_addr; // @[el2_ifu_mem_ctl.scala 315:23] - wire _T_313 = _T_2248 & flush_final_f; // @[el2_ifu_mem_ctl.scala 319:87] - wire _T_314 = ~_T_313; // @[el2_ifu_mem_ctl.scala 319:55] - wire _T_315 = io_ifc_fetch_req_bf & _T_314; // @[el2_ifu_mem_ctl.scala 319:53] - wire _T_2240 = ~_T_2235; // @[el2_ifu_mem_ctl.scala 456:46] - wire _T_2241 = _T_2233 & _T_2240; // @[el2_ifu_mem_ctl.scala 456:44] - wire stream_miss_f = _T_2241 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 456:84] - wire _T_316 = ~stream_miss_f; // @[el2_ifu_mem_ctl.scala 319:106] - wire ifc_fetch_req_qual_bf = _T_315 & _T_316; // @[el2_ifu_mem_ctl.scala 319:104] - reg ifc_region_acc_fault_f; // @[el2_ifu_mem_ctl.scala 325:39] + wire [1:0] _T_289 = _T_287 & _T_288; // @[el2_ifu_mem_ctl.scala 302:110] + wire _T_297 = ~scnd_miss_req_q; // @[el2_ifu_mem_ctl.scala 306:36] + wire _T_298 = miss_pending & _T_297; // @[el2_ifu_mem_ctl.scala 306:34] + reg reset_ic_ff; // @[el2_ifu_mem_ctl.scala 307:25] + wire _T_299 = reset_all_tags | reset_ic_ff; // @[el2_ifu_mem_ctl.scala 306:72] + wire reset_ic_in = _T_298 & _T_299; // @[el2_ifu_mem_ctl.scala 306:53] + reg fetch_uncacheable_ff; // @[el2_ifu_mem_ctl.scala 308:37] + reg [25:0] miss_addr; // @[el2_ifu_mem_ctl.scala 316:23] + wire _T_313 = _T_2248 & flush_final_f; // @[el2_ifu_mem_ctl.scala 320:87] + wire _T_314 = ~_T_313; // @[el2_ifu_mem_ctl.scala 320:55] + wire _T_315 = io_ifc_fetch_req_bf & _T_314; // @[el2_ifu_mem_ctl.scala 320:53] + wire _T_2240 = ~_T_2235; // @[el2_ifu_mem_ctl.scala 457:46] + wire _T_2241 = _T_2233 & _T_2240; // @[el2_ifu_mem_ctl.scala 457:44] + wire stream_miss_f = _T_2241 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 457:84] + wire _T_316 = ~stream_miss_f; // @[el2_ifu_mem_ctl.scala 320:106] + wire ifc_fetch_req_qual_bf = _T_315 & _T_316; // @[el2_ifu_mem_ctl.scala 320:104] + reg ifc_region_acc_fault_f; // @[el2_ifu_mem_ctl.scala 326:39] reg [2:0] bus_rd_addr_count; // @[Reg.scala 27:20] wire [28:0] ifu_ic_req_addr_f = {miss_addr,bus_rd_addr_count}; // @[Cat.scala 29:58] - wire _T_323 = _T_239 | _T_2233; // @[el2_ifu_mem_ctl.scala 327:55] - wire _T_326 = _T_323 & _T_56; // @[el2_ifu_mem_ctl.scala 327:82] - wire _T_2254 = ~ifu_bus_rid_ff[0]; // @[el2_ifu_mem_ctl.scala 461:55] + wire _T_323 = _T_239 | _T_2233; // @[el2_ifu_mem_ctl.scala 328:55] + wire _T_326 = _T_323 & _T_56; // @[el2_ifu_mem_ctl.scala 328:82] + wire _T_2254 = ~ifu_bus_rid_ff[0]; // @[el2_ifu_mem_ctl.scala 462:55] wire [2:0] other_tag = {ifu_bus_rid_ff[2:1],_T_2254}; // @[Cat.scala 29:58] - wire _T_2255 = other_tag == 3'h0; // @[el2_ifu_mem_ctl.scala 462:81] + wire _T_2255 = other_tag == 3'h0; // @[el2_ifu_mem_ctl.scala 463:81] wire _T_2279 = _T_2255 & ic_miss_buff_data_valid[0]; // @[Mux.scala 27:72] - wire _T_2258 = other_tag == 3'h1; // @[el2_ifu_mem_ctl.scala 462:81] + wire _T_2258 = other_tag == 3'h1; // @[el2_ifu_mem_ctl.scala 463:81] wire _T_2280 = _T_2258 & ic_miss_buff_data_valid[1]; // @[Mux.scala 27:72] wire _T_2287 = _T_2279 | _T_2280; // @[Mux.scala 27:72] - wire _T_2261 = other_tag == 3'h2; // @[el2_ifu_mem_ctl.scala 462:81] + wire _T_2261 = other_tag == 3'h2; // @[el2_ifu_mem_ctl.scala 463:81] wire _T_2281 = _T_2261 & ic_miss_buff_data_valid[2]; // @[Mux.scala 27:72] wire _T_2288 = _T_2287 | _T_2281; // @[Mux.scala 27:72] - wire _T_2264 = other_tag == 3'h3; // @[el2_ifu_mem_ctl.scala 462:81] + wire _T_2264 = other_tag == 3'h3; // @[el2_ifu_mem_ctl.scala 463:81] wire _T_2282 = _T_2264 & ic_miss_buff_data_valid[3]; // @[Mux.scala 27:72] wire _T_2289 = _T_2288 | _T_2282; // @[Mux.scala 27:72] - wire _T_2267 = other_tag == 3'h4; // @[el2_ifu_mem_ctl.scala 462:81] + wire _T_2267 = other_tag == 3'h4; // @[el2_ifu_mem_ctl.scala 463:81] wire _T_2283 = _T_2267 & ic_miss_buff_data_valid[4]; // @[Mux.scala 27:72] wire _T_2290 = _T_2289 | _T_2283; // @[Mux.scala 27:72] - wire _T_2270 = other_tag == 3'h5; // @[el2_ifu_mem_ctl.scala 462:81] + wire _T_2270 = other_tag == 3'h5; // @[el2_ifu_mem_ctl.scala 463:81] wire _T_2284 = _T_2270 & ic_miss_buff_data_valid[5]; // @[Mux.scala 27:72] wire _T_2291 = _T_2290 | _T_2284; // @[Mux.scala 27:72] - wire _T_2273 = other_tag == 3'h6; // @[el2_ifu_mem_ctl.scala 462:81] + wire _T_2273 = other_tag == 3'h6; // @[el2_ifu_mem_ctl.scala 463:81] wire _T_2285 = _T_2273 & ic_miss_buff_data_valid[6]; // @[Mux.scala 27:72] wire _T_2292 = _T_2291 | _T_2285; // @[Mux.scala 27:72] - wire _T_2276 = other_tag == 3'h7; // @[el2_ifu_mem_ctl.scala 462:81] + wire _T_2276 = other_tag == 3'h7; // @[el2_ifu_mem_ctl.scala 463:81] wire _T_2286 = _T_2276 & ic_miss_buff_data_valid[7]; // @[Mux.scala 27:72] wire second_half_available = _T_2292 | _T_2286; // @[Mux.scala 27:72] - wire write_ic_16_bytes = second_half_available & bus_ifu_wr_en_ff; // @[el2_ifu_mem_ctl.scala 463:46] - wire _T_330 = miss_pending & write_ic_16_bytes; // @[el2_ifu_mem_ctl.scala 331:35] - wire _T_332 = _T_330 & _T_17; // @[el2_ifu_mem_ctl.scala 331:55] - reg ic_act_miss_f_delayed; // @[el2_ifu_mem_ctl.scala 618:61] - wire _T_2656 = ic_act_miss_f_delayed & _T_2249; // @[el2_ifu_mem_ctl.scala 619:53] - wire reset_tag_valid_for_miss = _T_2656 & _T_17; // @[el2_ifu_mem_ctl.scala 619:84] - wire sel_mb_addr = _T_332 | reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 331:79] + wire write_ic_16_bytes = second_half_available & bus_ifu_wr_en_ff; // @[el2_ifu_mem_ctl.scala 464:46] + wire _T_330 = miss_pending & write_ic_16_bytes; // @[el2_ifu_mem_ctl.scala 332:35] + wire _T_332 = _T_330 & _T_17; // @[el2_ifu_mem_ctl.scala 332:55] + reg ic_act_miss_f_delayed; // @[el2_ifu_mem_ctl.scala 619:61] + wire _T_2656 = ic_act_miss_f_delayed & _T_2249; // @[el2_ifu_mem_ctl.scala 620:53] + wire reset_tag_valid_for_miss = _T_2656 & _T_17; // @[el2_ifu_mem_ctl.scala 620:84] + wire sel_mb_addr = _T_332 | reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 332:79] wire [30:0] _T_336 = {imb_ff[30:5],ic_wr_addr_bits_hi_3,imb_ff[1:0]}; // @[Cat.scala 29:58] - wire _T_337 = ~sel_mb_addr; // @[el2_ifu_mem_ctl.scala 333:37] + wire _T_337 = ~sel_mb_addr; // @[el2_ifu_mem_ctl.scala 334:37] wire [30:0] _T_338 = sel_mb_addr ? _T_336 : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_339 = _T_337 ? io_ifc_fetch_addr_bf : 31'h0; // @[Mux.scala 27:72] wire [30:0] ifu_ic_rw_int_addr = _T_338 | _T_339; // @[Mux.scala 27:72] - wire _T_344 = _T_332 & last_beat; // @[el2_ifu_mem_ctl.scala 335:84] - wire _T_2650 = ~_T_2662; // @[el2_ifu_mem_ctl.scala 616:84] - wire _T_2651 = _T_100 & _T_2650; // @[el2_ifu_mem_ctl.scala 616:82] - wire bus_ifu_wr_en_ff_q = _T_2651 & write_ic_16_bytes; // @[el2_ifu_mem_ctl.scala 616:108] - wire sel_mb_status_addr = _T_344 & bus_ifu_wr_en_ff_q; // @[el2_ifu_mem_ctl.scala 335:96] - wire [30:0] ifu_status_wr_addr = sel_mb_status_addr ? _T_336 : ifu_fetch_addr_int_f; // @[el2_ifu_mem_ctl.scala 336:31] + wire _T_344 = _T_332 & last_beat; // @[el2_ifu_mem_ctl.scala 336:84] + wire _T_2650 = ~_T_2662; // @[el2_ifu_mem_ctl.scala 617:84] + wire _T_2651 = _T_100 & _T_2650; // @[el2_ifu_mem_ctl.scala 617:82] + wire bus_ifu_wr_en_ff_q = _T_2651 & write_ic_16_bytes; // @[el2_ifu_mem_ctl.scala 617:108] + wire sel_mb_status_addr = _T_344 & bus_ifu_wr_en_ff_q; // @[el2_ifu_mem_ctl.scala 336:96] + wire [30:0] ifu_status_wr_addr = sel_mb_status_addr ? _T_336 : ifu_fetch_addr_int_f; // @[el2_ifu_mem_ctl.scala 337:31] reg [63:0] ifu_bus_rdata_ff; // @[Reg.scala 27:20] wire [6:0] _T_567 = {ifu_bus_rdata_ff[63],ifu_bus_rdata_ff[62],ifu_bus_rdata_ff[61],ifu_bus_rdata_ff[60],ifu_bus_rdata_ff[59],ifu_bus_rdata_ff[58],ifu_bus_rdata_ff[57]}; // @[el2_lib.scala 384:13] wire _T_568 = ^_T_567; // @[el2_lib.scala 384:20] @@ -1904,115 +1904,115 @@ module el2_ifu_mem_ctl( wire [34:0] _T_765 = {_T_764,_T_747}; // @[el2_lib.scala 384:115] wire _T_766 = ^_T_765; // @[el2_lib.scala 384:122] wire [3:0] _T_2295 = {ifu_bus_rid_ff[2:1],_T_2254,1'h1}; // @[Cat.scala 29:58] - wire _T_2296 = _T_2295 == 4'h0; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2296 = _T_2295 == 4'h0; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_0; // @[Reg.scala 27:20] wire [31:0] _T_2343 = _T_2296 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] - wire _T_2299 = _T_2295 == 4'h1; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2299 = _T_2295 == 4'h1; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_1; // @[Reg.scala 27:20] wire [31:0] _T_2344 = _T_2299 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2359 = _T_2343 | _T_2344; // @[Mux.scala 27:72] - wire _T_2302 = _T_2295 == 4'h2; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2302 = _T_2295 == 4'h2; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_2; // @[Reg.scala 27:20] wire [31:0] _T_2345 = _T_2302 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2360 = _T_2359 | _T_2345; // @[Mux.scala 27:72] - wire _T_2305 = _T_2295 == 4'h3; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2305 = _T_2295 == 4'h3; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_3; // @[Reg.scala 27:20] wire [31:0] _T_2346 = _T_2305 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2361 = _T_2360 | _T_2346; // @[Mux.scala 27:72] - wire _T_2308 = _T_2295 == 4'h4; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2308 = _T_2295 == 4'h4; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_4; // @[Reg.scala 27:20] wire [31:0] _T_2347 = _T_2308 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2362 = _T_2361 | _T_2347; // @[Mux.scala 27:72] - wire _T_2311 = _T_2295 == 4'h5; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2311 = _T_2295 == 4'h5; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_5; // @[Reg.scala 27:20] wire [31:0] _T_2348 = _T_2311 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2363 = _T_2362 | _T_2348; // @[Mux.scala 27:72] - wire _T_2314 = _T_2295 == 4'h6; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2314 = _T_2295 == 4'h6; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_6; // @[Reg.scala 27:20] wire [31:0] _T_2349 = _T_2314 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2364 = _T_2363 | _T_2349; // @[Mux.scala 27:72] - wire _T_2317 = _T_2295 == 4'h7; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2317 = _T_2295 == 4'h7; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_7; // @[Reg.scala 27:20] wire [31:0] _T_2350 = _T_2317 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2365 = _T_2364 | _T_2350; // @[Mux.scala 27:72] - wire _T_2320 = _T_2295 == 4'h8; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2320 = _T_2295 == 4'h8; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_8; // @[Reg.scala 27:20] wire [31:0] _T_2351 = _T_2320 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2366 = _T_2365 | _T_2351; // @[Mux.scala 27:72] - wire _T_2323 = _T_2295 == 4'h9; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2323 = _T_2295 == 4'h9; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_9; // @[Reg.scala 27:20] wire [31:0] _T_2352 = _T_2323 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2367 = _T_2366 | _T_2352; // @[Mux.scala 27:72] - wire _T_2326 = _T_2295 == 4'ha; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2326 = _T_2295 == 4'ha; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_10; // @[Reg.scala 27:20] wire [31:0] _T_2353 = _T_2326 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2368 = _T_2367 | _T_2353; // @[Mux.scala 27:72] - wire _T_2329 = _T_2295 == 4'hb; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2329 = _T_2295 == 4'hb; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_11; // @[Reg.scala 27:20] wire [31:0] _T_2354 = _T_2329 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2369 = _T_2368 | _T_2354; // @[Mux.scala 27:72] - wire _T_2332 = _T_2295 == 4'hc; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2332 = _T_2295 == 4'hc; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_12; // @[Reg.scala 27:20] wire [31:0] _T_2355 = _T_2332 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2370 = _T_2369 | _T_2355; // @[Mux.scala 27:72] - wire _T_2335 = _T_2295 == 4'hd; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2335 = _T_2295 == 4'hd; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_13; // @[Reg.scala 27:20] wire [31:0] _T_2356 = _T_2335 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2371 = _T_2370 | _T_2356; // @[Mux.scala 27:72] - wire _T_2338 = _T_2295 == 4'he; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2338 = _T_2295 == 4'he; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_14; // @[Reg.scala 27:20] wire [31:0] _T_2357 = _T_2338 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2372 = _T_2371 | _T_2357; // @[Mux.scala 27:72] - wire _T_2341 = _T_2295 == 4'hf; // @[el2_ifu_mem_ctl.scala 464:89] + wire _T_2341 = _T_2295 == 4'hf; // @[el2_ifu_mem_ctl.scala 465:89] reg [31:0] ic_miss_buff_data_15; // @[Reg.scala 27:20] wire [31:0] _T_2358 = _T_2341 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2373 = _T_2372 | _T_2358; // @[Mux.scala 27:72] wire [3:0] _T_2375 = {ifu_bus_rid_ff[2:1],_T_2254,1'h0}; // @[Cat.scala 29:58] - wire _T_2376 = _T_2375 == 4'h0; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2376 = _T_2375 == 4'h0; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2423 = _T_2376 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] - wire _T_2379 = _T_2375 == 4'h1; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2379 = _T_2375 == 4'h1; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2424 = _T_2379 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2439 = _T_2423 | _T_2424; // @[Mux.scala 27:72] - wire _T_2382 = _T_2375 == 4'h2; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2382 = _T_2375 == 4'h2; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2425 = _T_2382 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2440 = _T_2439 | _T_2425; // @[Mux.scala 27:72] - wire _T_2385 = _T_2375 == 4'h3; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2385 = _T_2375 == 4'h3; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2426 = _T_2385 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2441 = _T_2440 | _T_2426; // @[Mux.scala 27:72] - wire _T_2388 = _T_2375 == 4'h4; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2388 = _T_2375 == 4'h4; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2427 = _T_2388 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2442 = _T_2441 | _T_2427; // @[Mux.scala 27:72] - wire _T_2391 = _T_2375 == 4'h5; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2391 = _T_2375 == 4'h5; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2428 = _T_2391 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2443 = _T_2442 | _T_2428; // @[Mux.scala 27:72] - wire _T_2394 = _T_2375 == 4'h6; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2394 = _T_2375 == 4'h6; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2429 = _T_2394 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2444 = _T_2443 | _T_2429; // @[Mux.scala 27:72] - wire _T_2397 = _T_2375 == 4'h7; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2397 = _T_2375 == 4'h7; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2430 = _T_2397 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2445 = _T_2444 | _T_2430; // @[Mux.scala 27:72] - wire _T_2400 = _T_2375 == 4'h8; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2400 = _T_2375 == 4'h8; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2431 = _T_2400 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2446 = _T_2445 | _T_2431; // @[Mux.scala 27:72] - wire _T_2403 = _T_2375 == 4'h9; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2403 = _T_2375 == 4'h9; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2432 = _T_2403 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2447 = _T_2446 | _T_2432; // @[Mux.scala 27:72] - wire _T_2406 = _T_2375 == 4'ha; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2406 = _T_2375 == 4'ha; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2433 = _T_2406 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2448 = _T_2447 | _T_2433; // @[Mux.scala 27:72] - wire _T_2409 = _T_2375 == 4'hb; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2409 = _T_2375 == 4'hb; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2434 = _T_2409 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2449 = _T_2448 | _T_2434; // @[Mux.scala 27:72] - wire _T_2412 = _T_2375 == 4'hc; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2412 = _T_2375 == 4'hc; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2435 = _T_2412 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2450 = _T_2449 | _T_2435; // @[Mux.scala 27:72] - wire _T_2415 = _T_2375 == 4'hd; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2415 = _T_2375 == 4'hd; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2436 = _T_2415 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2451 = _T_2450 | _T_2436; // @[Mux.scala 27:72] - wire _T_2418 = _T_2375 == 4'he; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2418 = _T_2375 == 4'he; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2437 = _T_2418 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2452 = _T_2451 | _T_2437; // @[Mux.scala 27:72] - wire _T_2421 = _T_2375 == 4'hf; // @[el2_ifu_mem_ctl.scala 465:66] + wire _T_2421 = _T_2375 == 4'hf; // @[el2_ifu_mem_ctl.scala 466:66] wire [31:0] _T_2438 = _T_2421 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2453 = _T_2452 | _T_2438; // @[Mux.scala 27:72] wire [63:0] ic_miss_buff_half = {_T_2373,_T_2453}; // @[Cat.scala 29:58] @@ -2054,130 +2054,130 @@ module el2_ifu_mem_ctl( wire [70:0] _T_1232 = {_T_990,_T_1021,_T_1052,_T_1083,_T_1118,_T_1153,_T_1188,_T_2373,_T_2453}; // @[Cat.scala 29:58] wire [141:0] _T_1234 = {_T_568,_T_599,_T_630,_T_661,_T_696,_T_731,_T_766,ifu_bus_rdata_ff,_T_1232}; // @[Cat.scala 29:58] wire [141:0] _T_1237 = {_T_990,_T_1021,_T_1052,_T_1083,_T_1118,_T_1153,_T_1188,_T_2373,_T_2453,_T_1233}; // @[Cat.scala 29:58] - wire [141:0] ic_wr_16bytes_data = ifu_bus_rid_ff[0] ? _T_1234 : _T_1237; // @[el2_ifu_mem_ctl.scala 357:28] - wire _T_1196 = |io_ic_eccerr; // @[el2_ifu_mem_ctl.scala 347:56] - wire _T_1197 = _T_1196 & ic_act_hit_f; // @[el2_ifu_mem_ctl.scala 347:83] - wire [4:0] bypass_index = imb_ff[4:0]; // @[el2_ifu_mem_ctl.scala 411:28] - wire _T_1413 = bypass_index[4:2] == 3'h0; // @[el2_ifu_mem_ctl.scala 413:114] - wire bus_ifu_wr_en = _T_13 & miss_pending; // @[el2_ifu_mem_ctl.scala 614:35] - wire _T_1282 = io_ifu_axi_rid == 3'h0; // @[el2_ifu_mem_ctl.scala 396:91] - wire write_fill_data_0 = bus_ifu_wr_en & _T_1282; // @[el2_ifu_mem_ctl.scala 396:73] - wire _T_1339 = ~ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 402:118] - wire _T_1340 = ic_miss_buff_data_valid[0] & _T_1339; // @[el2_ifu_mem_ctl.scala 402:116] - wire ic_miss_buff_data_valid_in_0 = write_fill_data_0 | _T_1340; // @[el2_ifu_mem_ctl.scala 402:88] + wire [141:0] ic_wr_16bytes_data = ifu_bus_rid_ff[0] ? _T_1234 : _T_1237; // @[el2_ifu_mem_ctl.scala 358:28] + wire _T_1196 = |io_ic_eccerr; // @[el2_ifu_mem_ctl.scala 348:56] + wire _T_1197 = _T_1196 & ic_act_hit_f; // @[el2_ifu_mem_ctl.scala 348:83] + wire [4:0] bypass_index = imb_ff[4:0]; // @[el2_ifu_mem_ctl.scala 412:28] + wire _T_1413 = bypass_index[4:2] == 3'h0; // @[el2_ifu_mem_ctl.scala 414:114] + wire bus_ifu_wr_en = _T_13 & miss_pending; // @[el2_ifu_mem_ctl.scala 615:35] + wire _T_1282 = io_ifu_axi_rid == 3'h0; // @[el2_ifu_mem_ctl.scala 397:91] + wire write_fill_data_0 = bus_ifu_wr_en & _T_1282; // @[el2_ifu_mem_ctl.scala 397:73] + wire _T_1339 = ~ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 403:118] + wire _T_1340 = ic_miss_buff_data_valid[0] & _T_1339; // @[el2_ifu_mem_ctl.scala 403:116] + wire ic_miss_buff_data_valid_in_0 = write_fill_data_0 | _T_1340; // @[el2_ifu_mem_ctl.scala 403:88] wire _T_1436 = _T_1413 & ic_miss_buff_data_valid_in_0; // @[Mux.scala 27:72] - wire _T_1416 = bypass_index[4:2] == 3'h1; // @[el2_ifu_mem_ctl.scala 413:114] - wire _T_1283 = io_ifu_axi_rid == 3'h1; // @[el2_ifu_mem_ctl.scala 396:91] - wire write_fill_data_1 = bus_ifu_wr_en & _T_1283; // @[el2_ifu_mem_ctl.scala 396:73] - wire _T_1343 = ic_miss_buff_data_valid[1] & _T_1339; // @[el2_ifu_mem_ctl.scala 402:116] - wire ic_miss_buff_data_valid_in_1 = write_fill_data_1 | _T_1343; // @[el2_ifu_mem_ctl.scala 402:88] + wire _T_1416 = bypass_index[4:2] == 3'h1; // @[el2_ifu_mem_ctl.scala 414:114] + wire _T_1283 = io_ifu_axi_rid == 3'h1; // @[el2_ifu_mem_ctl.scala 397:91] + wire write_fill_data_1 = bus_ifu_wr_en & _T_1283; // @[el2_ifu_mem_ctl.scala 397:73] + wire _T_1343 = ic_miss_buff_data_valid[1] & _T_1339; // @[el2_ifu_mem_ctl.scala 403:116] + wire ic_miss_buff_data_valid_in_1 = write_fill_data_1 | _T_1343; // @[el2_ifu_mem_ctl.scala 403:88] wire _T_1437 = _T_1416 & ic_miss_buff_data_valid_in_1; // @[Mux.scala 27:72] wire _T_1444 = _T_1436 | _T_1437; // @[Mux.scala 27:72] - wire _T_1419 = bypass_index[4:2] == 3'h2; // @[el2_ifu_mem_ctl.scala 413:114] - wire _T_1284 = io_ifu_axi_rid == 3'h2; // @[el2_ifu_mem_ctl.scala 396:91] - wire write_fill_data_2 = bus_ifu_wr_en & _T_1284; // @[el2_ifu_mem_ctl.scala 396:73] - wire _T_1346 = ic_miss_buff_data_valid[2] & _T_1339; // @[el2_ifu_mem_ctl.scala 402:116] - wire ic_miss_buff_data_valid_in_2 = write_fill_data_2 | _T_1346; // @[el2_ifu_mem_ctl.scala 402:88] + wire _T_1419 = bypass_index[4:2] == 3'h2; // @[el2_ifu_mem_ctl.scala 414:114] + wire _T_1284 = io_ifu_axi_rid == 3'h2; // @[el2_ifu_mem_ctl.scala 397:91] + wire write_fill_data_2 = bus_ifu_wr_en & _T_1284; // @[el2_ifu_mem_ctl.scala 397:73] + wire _T_1346 = ic_miss_buff_data_valid[2] & _T_1339; // @[el2_ifu_mem_ctl.scala 403:116] + wire ic_miss_buff_data_valid_in_2 = write_fill_data_2 | _T_1346; // @[el2_ifu_mem_ctl.scala 403:88] wire _T_1438 = _T_1419 & ic_miss_buff_data_valid_in_2; // @[Mux.scala 27:72] wire _T_1445 = _T_1444 | _T_1438; // @[Mux.scala 27:72] - wire _T_1422 = bypass_index[4:2] == 3'h3; // @[el2_ifu_mem_ctl.scala 413:114] - wire _T_1285 = io_ifu_axi_rid == 3'h3; // @[el2_ifu_mem_ctl.scala 396:91] - wire write_fill_data_3 = bus_ifu_wr_en & _T_1285; // @[el2_ifu_mem_ctl.scala 396:73] - wire _T_1349 = ic_miss_buff_data_valid[3] & _T_1339; // @[el2_ifu_mem_ctl.scala 402:116] - wire ic_miss_buff_data_valid_in_3 = write_fill_data_3 | _T_1349; // @[el2_ifu_mem_ctl.scala 402:88] + wire _T_1422 = bypass_index[4:2] == 3'h3; // @[el2_ifu_mem_ctl.scala 414:114] + wire _T_1285 = io_ifu_axi_rid == 3'h3; // @[el2_ifu_mem_ctl.scala 397:91] + wire write_fill_data_3 = bus_ifu_wr_en & _T_1285; // @[el2_ifu_mem_ctl.scala 397:73] + wire _T_1349 = ic_miss_buff_data_valid[3] & _T_1339; // @[el2_ifu_mem_ctl.scala 403:116] + wire ic_miss_buff_data_valid_in_3 = write_fill_data_3 | _T_1349; // @[el2_ifu_mem_ctl.scala 403:88] wire _T_1439 = _T_1422 & ic_miss_buff_data_valid_in_3; // @[Mux.scala 27:72] wire _T_1446 = _T_1445 | _T_1439; // @[Mux.scala 27:72] - wire _T_1425 = bypass_index[4:2] == 3'h4; // @[el2_ifu_mem_ctl.scala 413:114] - wire _T_1286 = io_ifu_axi_rid == 3'h4; // @[el2_ifu_mem_ctl.scala 396:91] - wire write_fill_data_4 = bus_ifu_wr_en & _T_1286; // @[el2_ifu_mem_ctl.scala 396:73] - wire _T_1352 = ic_miss_buff_data_valid[4] & _T_1339; // @[el2_ifu_mem_ctl.scala 402:116] - wire ic_miss_buff_data_valid_in_4 = write_fill_data_4 | _T_1352; // @[el2_ifu_mem_ctl.scala 402:88] + wire _T_1425 = bypass_index[4:2] == 3'h4; // @[el2_ifu_mem_ctl.scala 414:114] + wire _T_1286 = io_ifu_axi_rid == 3'h4; // @[el2_ifu_mem_ctl.scala 397:91] + wire write_fill_data_4 = bus_ifu_wr_en & _T_1286; // @[el2_ifu_mem_ctl.scala 397:73] + wire _T_1352 = ic_miss_buff_data_valid[4] & _T_1339; // @[el2_ifu_mem_ctl.scala 403:116] + wire ic_miss_buff_data_valid_in_4 = write_fill_data_4 | _T_1352; // @[el2_ifu_mem_ctl.scala 403:88] wire _T_1440 = _T_1425 & ic_miss_buff_data_valid_in_4; // @[Mux.scala 27:72] wire _T_1447 = _T_1446 | _T_1440; // @[Mux.scala 27:72] - wire _T_1428 = bypass_index[4:2] == 3'h5; // @[el2_ifu_mem_ctl.scala 413:114] - wire _T_1287 = io_ifu_axi_rid == 3'h5; // @[el2_ifu_mem_ctl.scala 396:91] - wire write_fill_data_5 = bus_ifu_wr_en & _T_1287; // @[el2_ifu_mem_ctl.scala 396:73] - wire _T_1355 = ic_miss_buff_data_valid[5] & _T_1339; // @[el2_ifu_mem_ctl.scala 402:116] - wire ic_miss_buff_data_valid_in_5 = write_fill_data_5 | _T_1355; // @[el2_ifu_mem_ctl.scala 402:88] + wire _T_1428 = bypass_index[4:2] == 3'h5; // @[el2_ifu_mem_ctl.scala 414:114] + wire _T_1287 = io_ifu_axi_rid == 3'h5; // @[el2_ifu_mem_ctl.scala 397:91] + wire write_fill_data_5 = bus_ifu_wr_en & _T_1287; // @[el2_ifu_mem_ctl.scala 397:73] + wire _T_1355 = ic_miss_buff_data_valid[5] & _T_1339; // @[el2_ifu_mem_ctl.scala 403:116] + wire ic_miss_buff_data_valid_in_5 = write_fill_data_5 | _T_1355; // @[el2_ifu_mem_ctl.scala 403:88] wire _T_1441 = _T_1428 & ic_miss_buff_data_valid_in_5; // @[Mux.scala 27:72] wire _T_1448 = _T_1447 | _T_1441; // @[Mux.scala 27:72] - wire _T_1431 = bypass_index[4:2] == 3'h6; // @[el2_ifu_mem_ctl.scala 413:114] - wire _T_1288 = io_ifu_axi_rid == 3'h6; // @[el2_ifu_mem_ctl.scala 396:91] - wire write_fill_data_6 = bus_ifu_wr_en & _T_1288; // @[el2_ifu_mem_ctl.scala 396:73] - wire _T_1358 = ic_miss_buff_data_valid[6] & _T_1339; // @[el2_ifu_mem_ctl.scala 402:116] - wire ic_miss_buff_data_valid_in_6 = write_fill_data_6 | _T_1358; // @[el2_ifu_mem_ctl.scala 402:88] + wire _T_1431 = bypass_index[4:2] == 3'h6; // @[el2_ifu_mem_ctl.scala 414:114] + wire _T_1288 = io_ifu_axi_rid == 3'h6; // @[el2_ifu_mem_ctl.scala 397:91] + wire write_fill_data_6 = bus_ifu_wr_en & _T_1288; // @[el2_ifu_mem_ctl.scala 397:73] + wire _T_1358 = ic_miss_buff_data_valid[6] & _T_1339; // @[el2_ifu_mem_ctl.scala 403:116] + wire ic_miss_buff_data_valid_in_6 = write_fill_data_6 | _T_1358; // @[el2_ifu_mem_ctl.scala 403:88] wire _T_1442 = _T_1431 & ic_miss_buff_data_valid_in_6; // @[Mux.scala 27:72] wire _T_1449 = _T_1448 | _T_1442; // @[Mux.scala 27:72] - wire _T_1434 = bypass_index[4:2] == 3'h7; // @[el2_ifu_mem_ctl.scala 413:114] - wire _T_1289 = io_ifu_axi_rid == 3'h7; // @[el2_ifu_mem_ctl.scala 396:91] - wire write_fill_data_7 = bus_ifu_wr_en & _T_1289; // @[el2_ifu_mem_ctl.scala 396:73] - wire _T_1361 = ic_miss_buff_data_valid[7] & _T_1339; // @[el2_ifu_mem_ctl.scala 402:116] - wire ic_miss_buff_data_valid_in_7 = write_fill_data_7 | _T_1361; // @[el2_ifu_mem_ctl.scala 402:88] + wire _T_1434 = bypass_index[4:2] == 3'h7; // @[el2_ifu_mem_ctl.scala 414:114] + wire _T_1289 = io_ifu_axi_rid == 3'h7; // @[el2_ifu_mem_ctl.scala 397:91] + wire write_fill_data_7 = bus_ifu_wr_en & _T_1289; // @[el2_ifu_mem_ctl.scala 397:73] + wire _T_1361 = ic_miss_buff_data_valid[7] & _T_1339; // @[el2_ifu_mem_ctl.scala 403:116] + wire ic_miss_buff_data_valid_in_7 = write_fill_data_7 | _T_1361; // @[el2_ifu_mem_ctl.scala 403:88] wire _T_1443 = _T_1434 & ic_miss_buff_data_valid_in_7; // @[Mux.scala 27:72] wire bypass_valid_value_check = _T_1449 | _T_1443; // @[Mux.scala 27:72] - wire _T_1452 = ~bypass_index[1]; // @[el2_ifu_mem_ctl.scala 414:58] - wire _T_1453 = bypass_valid_value_check & _T_1452; // @[el2_ifu_mem_ctl.scala 414:56] - wire _T_1455 = ~bypass_index[0]; // @[el2_ifu_mem_ctl.scala 414:77] - wire _T_1456 = _T_1453 & _T_1455; // @[el2_ifu_mem_ctl.scala 414:75] - wire _T_1461 = _T_1453 & bypass_index[0]; // @[el2_ifu_mem_ctl.scala 415:75] - wire _T_1462 = _T_1456 | _T_1461; // @[el2_ifu_mem_ctl.scala 414:95] - wire _T_1464 = bypass_valid_value_check & bypass_index[1]; // @[el2_ifu_mem_ctl.scala 416:56] - wire _T_1467 = _T_1464 & _T_1455; // @[el2_ifu_mem_ctl.scala 416:74] - wire _T_1468 = _T_1462 | _T_1467; // @[el2_ifu_mem_ctl.scala 415:94] - wire _T_1472 = _T_1464 & bypass_index[0]; // @[el2_ifu_mem_ctl.scala 417:51] - wire [2:0] bypass_index_5_3_inc = bypass_index[4:2] + 3'h1; // @[el2_ifu_mem_ctl.scala 412:70] - wire _T_1473 = bypass_index_5_3_inc == 3'h0; // @[el2_ifu_mem_ctl.scala 417:132] + wire _T_1452 = ~bypass_index[1]; // @[el2_ifu_mem_ctl.scala 415:58] + wire _T_1453 = bypass_valid_value_check & _T_1452; // @[el2_ifu_mem_ctl.scala 415:56] + wire _T_1455 = ~bypass_index[0]; // @[el2_ifu_mem_ctl.scala 415:77] + wire _T_1456 = _T_1453 & _T_1455; // @[el2_ifu_mem_ctl.scala 415:75] + wire _T_1461 = _T_1453 & bypass_index[0]; // @[el2_ifu_mem_ctl.scala 416:75] + wire _T_1462 = _T_1456 | _T_1461; // @[el2_ifu_mem_ctl.scala 415:95] + wire _T_1464 = bypass_valid_value_check & bypass_index[1]; // @[el2_ifu_mem_ctl.scala 417:56] + wire _T_1467 = _T_1464 & _T_1455; // @[el2_ifu_mem_ctl.scala 417:74] + wire _T_1468 = _T_1462 | _T_1467; // @[el2_ifu_mem_ctl.scala 416:94] + wire _T_1472 = _T_1464 & bypass_index[0]; // @[el2_ifu_mem_ctl.scala 418:51] + wire [2:0] bypass_index_5_3_inc = bypass_index[4:2] + 3'h1; // @[el2_ifu_mem_ctl.scala 413:70] + wire _T_1473 = bypass_index_5_3_inc == 3'h0; // @[el2_ifu_mem_ctl.scala 418:132] wire _T_1489 = _T_1473 & ic_miss_buff_data_valid_in_0; // @[Mux.scala 27:72] - wire _T_1475 = bypass_index_5_3_inc == 3'h1; // @[el2_ifu_mem_ctl.scala 417:132] + wire _T_1475 = bypass_index_5_3_inc == 3'h1; // @[el2_ifu_mem_ctl.scala 418:132] wire _T_1490 = _T_1475 & ic_miss_buff_data_valid_in_1; // @[Mux.scala 27:72] wire _T_1497 = _T_1489 | _T_1490; // @[Mux.scala 27:72] - wire _T_1477 = bypass_index_5_3_inc == 3'h2; // @[el2_ifu_mem_ctl.scala 417:132] + wire _T_1477 = bypass_index_5_3_inc == 3'h2; // @[el2_ifu_mem_ctl.scala 418:132] wire _T_1491 = _T_1477 & ic_miss_buff_data_valid_in_2; // @[Mux.scala 27:72] wire _T_1498 = _T_1497 | _T_1491; // @[Mux.scala 27:72] - wire _T_1479 = bypass_index_5_3_inc == 3'h3; // @[el2_ifu_mem_ctl.scala 417:132] + wire _T_1479 = bypass_index_5_3_inc == 3'h3; // @[el2_ifu_mem_ctl.scala 418:132] wire _T_1492 = _T_1479 & ic_miss_buff_data_valid_in_3; // @[Mux.scala 27:72] wire _T_1499 = _T_1498 | _T_1492; // @[Mux.scala 27:72] - wire _T_1481 = bypass_index_5_3_inc == 3'h4; // @[el2_ifu_mem_ctl.scala 417:132] + wire _T_1481 = bypass_index_5_3_inc == 3'h4; // @[el2_ifu_mem_ctl.scala 418:132] wire _T_1493 = _T_1481 & ic_miss_buff_data_valid_in_4; // @[Mux.scala 27:72] wire _T_1500 = _T_1499 | _T_1493; // @[Mux.scala 27:72] - wire _T_1483 = bypass_index_5_3_inc == 3'h5; // @[el2_ifu_mem_ctl.scala 417:132] + wire _T_1483 = bypass_index_5_3_inc == 3'h5; // @[el2_ifu_mem_ctl.scala 418:132] wire _T_1494 = _T_1483 & ic_miss_buff_data_valid_in_5; // @[Mux.scala 27:72] wire _T_1501 = _T_1500 | _T_1494; // @[Mux.scala 27:72] - wire _T_1485 = bypass_index_5_3_inc == 3'h6; // @[el2_ifu_mem_ctl.scala 417:132] + wire _T_1485 = bypass_index_5_3_inc == 3'h6; // @[el2_ifu_mem_ctl.scala 418:132] wire _T_1495 = _T_1485 & ic_miss_buff_data_valid_in_6; // @[Mux.scala 27:72] wire _T_1502 = _T_1501 | _T_1495; // @[Mux.scala 27:72] - wire _T_1487 = bypass_index_5_3_inc == 3'h7; // @[el2_ifu_mem_ctl.scala 417:132] + wire _T_1487 = bypass_index_5_3_inc == 3'h7; // @[el2_ifu_mem_ctl.scala 418:132] wire _T_1496 = _T_1487 & ic_miss_buff_data_valid_in_7; // @[Mux.scala 27:72] wire _T_1503 = _T_1502 | _T_1496; // @[Mux.scala 27:72] - wire _T_1505 = _T_1472 & _T_1503; // @[el2_ifu_mem_ctl.scala 417:69] - wire _T_1506 = _T_1468 | _T_1505; // @[el2_ifu_mem_ctl.scala 416:94] - wire [4:0] _GEN_665 = {{2'd0}, bypass_index[4:2]}; // @[el2_ifu_mem_ctl.scala 418:95] - wire _T_1509 = _GEN_665 == 5'h1f; // @[el2_ifu_mem_ctl.scala 418:95] - wire _T_1510 = bypass_valid_value_check & _T_1509; // @[el2_ifu_mem_ctl.scala 418:56] - wire bypass_data_ready_in = _T_1506 | _T_1510; // @[el2_ifu_mem_ctl.scala 417:181] - wire _T_1511 = bypass_data_ready_in & crit_wd_byp_ok_ff; // @[el2_ifu_mem_ctl.scala 422:53] - wire _T_1512 = _T_1511 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 422:73] - wire _T_1514 = _T_1512 & _T_317; // @[el2_ifu_mem_ctl.scala 422:96] - wire _T_1516 = _T_1514 & _T_58; // @[el2_ifu_mem_ctl.scala 422:118] - wire _T_1518 = crit_wd_byp_ok_ff & _T_17; // @[el2_ifu_mem_ctl.scala 423:73] - wire _T_1520 = _T_1518 & _T_317; // @[el2_ifu_mem_ctl.scala 423:96] - wire _T_1522 = _T_1520 & _T_58; // @[el2_ifu_mem_ctl.scala 423:118] - wire _T_1523 = _T_1516 | _T_1522; // @[el2_ifu_mem_ctl.scala 422:143] - reg ic_crit_wd_rdy_new_ff; // @[el2_ifu_mem_ctl.scala 425:58] - wire _T_1524 = ic_crit_wd_rdy_new_ff & crit_wd_byp_ok_ff; // @[el2_ifu_mem_ctl.scala 424:54] - wire _T_1525 = ~fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 424:76] - wire _T_1526 = _T_1524 & _T_1525; // @[el2_ifu_mem_ctl.scala 424:74] - wire _T_1528 = _T_1526 & _T_317; // @[el2_ifu_mem_ctl.scala 424:96] - wire ic_crit_wd_rdy_new_in = _T_1523 | _T_1528; // @[el2_ifu_mem_ctl.scala 423:143] - wire ic_crit_wd_rdy = ic_crit_wd_rdy_new_in | ic_crit_wd_rdy_new_ff; // @[el2_ifu_mem_ctl.scala 624:43] - wire _T_1249 = ic_crit_wd_rdy | _T_2233; // @[el2_ifu_mem_ctl.scala 370:38] - wire _T_1251 = _T_1249 | _T_2249; // @[el2_ifu_mem_ctl.scala 370:64] - wire _T_1252 = ~_T_1251; // @[el2_ifu_mem_ctl.scala 370:21] - wire _T_1253 = ~fetch_req_iccm_f; // @[el2_ifu_mem_ctl.scala 370:98] - wire sel_ic_data = _T_1252 & _T_1253; // @[el2_ifu_mem_ctl.scala 370:96] - wire _T_2456 = io_ic_tag_perr & sel_ic_data; // @[el2_ifu_mem_ctl.scala 469:44] - wire _T_1622 = ifu_fetch_addr_int_f[1] & ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 436:31] - reg [7:0] ic_miss_buff_data_error; // @[el2_ifu_mem_ctl.scala 408:60] + wire _T_1505 = _T_1472 & _T_1503; // @[el2_ifu_mem_ctl.scala 418:69] + wire _T_1506 = _T_1468 | _T_1505; // @[el2_ifu_mem_ctl.scala 417:94] + wire [4:0] _GEN_665 = {{2'd0}, bypass_index[4:2]}; // @[el2_ifu_mem_ctl.scala 419:95] + wire _T_1509 = _GEN_665 == 5'h1f; // @[el2_ifu_mem_ctl.scala 419:95] + wire _T_1510 = bypass_valid_value_check & _T_1509; // @[el2_ifu_mem_ctl.scala 419:56] + wire bypass_data_ready_in = _T_1506 | _T_1510; // @[el2_ifu_mem_ctl.scala 418:181] + wire _T_1511 = bypass_data_ready_in & crit_wd_byp_ok_ff; // @[el2_ifu_mem_ctl.scala 423:53] + wire _T_1512 = _T_1511 & uncacheable_miss_ff; // @[el2_ifu_mem_ctl.scala 423:73] + wire _T_1514 = _T_1512 & _T_317; // @[el2_ifu_mem_ctl.scala 423:96] + wire _T_1516 = _T_1514 & _T_58; // @[el2_ifu_mem_ctl.scala 423:118] + wire _T_1518 = crit_wd_byp_ok_ff & _T_17; // @[el2_ifu_mem_ctl.scala 424:73] + wire _T_1520 = _T_1518 & _T_317; // @[el2_ifu_mem_ctl.scala 424:96] + wire _T_1522 = _T_1520 & _T_58; // @[el2_ifu_mem_ctl.scala 424:118] + wire _T_1523 = _T_1516 | _T_1522; // @[el2_ifu_mem_ctl.scala 423:143] + reg ic_crit_wd_rdy_new_ff; // @[el2_ifu_mem_ctl.scala 426:58] + wire _T_1524 = ic_crit_wd_rdy_new_ff & crit_wd_byp_ok_ff; // @[el2_ifu_mem_ctl.scala 425:54] + wire _T_1525 = ~fetch_req_icache_f; // @[el2_ifu_mem_ctl.scala 425:76] + wire _T_1526 = _T_1524 & _T_1525; // @[el2_ifu_mem_ctl.scala 425:74] + wire _T_1528 = _T_1526 & _T_317; // @[el2_ifu_mem_ctl.scala 425:96] + wire ic_crit_wd_rdy_new_in = _T_1523 | _T_1528; // @[el2_ifu_mem_ctl.scala 424:143] + wire ic_crit_wd_rdy = ic_crit_wd_rdy_new_in | ic_crit_wd_rdy_new_ff; // @[el2_ifu_mem_ctl.scala 625:43] + wire _T_1249 = ic_crit_wd_rdy | _T_2233; // @[el2_ifu_mem_ctl.scala 371:38] + wire _T_1251 = _T_1249 | _T_2249; // @[el2_ifu_mem_ctl.scala 371:64] + wire _T_1252 = ~_T_1251; // @[el2_ifu_mem_ctl.scala 371:21] + wire _T_1253 = ~fetch_req_iccm_f; // @[el2_ifu_mem_ctl.scala 371:98] + wire sel_ic_data = _T_1252 & _T_1253; // @[el2_ifu_mem_ctl.scala 371:96] + wire _T_2456 = io_ic_tag_perr & sel_ic_data; // @[el2_ifu_mem_ctl.scala 470:44] + wire _T_1622 = ifu_fetch_addr_int_f[1] & ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 437:31] + reg [7:0] ic_miss_buff_data_error; // @[el2_ifu_mem_ctl.scala 409:60] wire _T_1566 = _T_1413 & ic_miss_buff_data_error[0]; // @[Mux.scala 27:72] wire _T_1567 = _T_1416 & ic_miss_buff_data_error[1]; // @[Mux.scala 27:72] wire _T_1574 = _T_1566 | _T_1567; // @[Mux.scala 27:72] @@ -2208,987 +2208,987 @@ module el2_ifu_mem_ctl( wire _T_1618 = _T_1617 | _T_1611; // @[Mux.scala 27:72] wire _T_1612 = _T_2187 & ic_miss_buff_data_error[7]; // @[Mux.scala 27:72] wire ic_miss_buff_data_error_bypass_inc = _T_1618 | _T_1612; // @[Mux.scala 27:72] - wire _T_1623 = ic_miss_buff_data_error_bypass | ic_miss_buff_data_error_bypass_inc; // @[el2_ifu_mem_ctl.scala 438:70] - wire ifu_byp_data_err_new = _T_1622 ? ic_miss_buff_data_error_bypass : _T_1623; // @[el2_ifu_mem_ctl.scala 436:56] - wire ifc_bus_acc_fault_f = ic_byp_hit_f & ifu_byp_data_err_new; // @[el2_ifu_mem_ctl.scala 381:42] - wire _T_2457 = ifc_region_acc_fault_final_f | ifc_bus_acc_fault_f; // @[el2_ifu_mem_ctl.scala 469:91] - wire _T_2458 = ~_T_2457; // @[el2_ifu_mem_ctl.scala 469:60] - wire ic_rd_parity_final_err = _T_2456 & _T_2458; // @[el2_ifu_mem_ctl.scala 469:58] + wire _T_1623 = ic_miss_buff_data_error_bypass | ic_miss_buff_data_error_bypass_inc; // @[el2_ifu_mem_ctl.scala 439:70] + wire ifu_byp_data_err_new = _T_1622 ? ic_miss_buff_data_error_bypass : _T_1623; // @[el2_ifu_mem_ctl.scala 437:56] + wire ifc_bus_acc_fault_f = ic_byp_hit_f & ifu_byp_data_err_new; // @[el2_ifu_mem_ctl.scala 382:42] + wire _T_2457 = ifc_region_acc_fault_final_f | ifc_bus_acc_fault_f; // @[el2_ifu_mem_ctl.scala 470:91] + wire _T_2458 = ~_T_2457; // @[el2_ifu_mem_ctl.scala 470:60] + wire ic_rd_parity_final_err = _T_2456 & _T_2458; // @[el2_ifu_mem_ctl.scala 470:58] reg ic_debug_ict_array_sel_ff; // @[Reg.scala 27:20] reg ic_tag_valid_out_1_0; // @[Reg.scala 27:20] - wire _T_9729 = _T_4523 & ic_tag_valid_out_1_0; // @[el2_ifu_mem_ctl.scala 751:10] + wire _T_9729 = _T_4523 & ic_tag_valid_out_1_0; // @[el2_ifu_mem_ctl.scala 752:10] reg ic_tag_valid_out_1_1; // @[Reg.scala 27:20] - wire _T_9731 = _T_4527 & ic_tag_valid_out_1_1; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9984 = _T_9729 | _T_9731; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9731 = _T_4527 & ic_tag_valid_out_1_1; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9984 = _T_9729 | _T_9731; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_2; // @[Reg.scala 27:20] - wire _T_9733 = _T_4531 & ic_tag_valid_out_1_2; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9985 = _T_9984 | _T_9733; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9733 = _T_4531 & ic_tag_valid_out_1_2; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9985 = _T_9984 | _T_9733; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_3; // @[Reg.scala 27:20] - wire _T_9735 = _T_4535 & ic_tag_valid_out_1_3; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9986 = _T_9985 | _T_9735; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9735 = _T_4535 & ic_tag_valid_out_1_3; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9986 = _T_9985 | _T_9735; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_4; // @[Reg.scala 27:20] - wire _T_9737 = _T_4539 & ic_tag_valid_out_1_4; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9987 = _T_9986 | _T_9737; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9737 = _T_4539 & ic_tag_valid_out_1_4; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9987 = _T_9986 | _T_9737; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_5; // @[Reg.scala 27:20] - wire _T_9739 = _T_4543 & ic_tag_valid_out_1_5; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9988 = _T_9987 | _T_9739; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9739 = _T_4543 & ic_tag_valid_out_1_5; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9988 = _T_9987 | _T_9739; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_6; // @[Reg.scala 27:20] - wire _T_9741 = _T_4547 & ic_tag_valid_out_1_6; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9989 = _T_9988 | _T_9741; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9741 = _T_4547 & ic_tag_valid_out_1_6; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9989 = _T_9988 | _T_9741; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_7; // @[Reg.scala 27:20] - wire _T_9743 = _T_4551 & ic_tag_valid_out_1_7; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9990 = _T_9989 | _T_9743; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9743 = _T_4551 & ic_tag_valid_out_1_7; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9990 = _T_9989 | _T_9743; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_8; // @[Reg.scala 27:20] - wire _T_9745 = _T_4555 & ic_tag_valid_out_1_8; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9991 = _T_9990 | _T_9745; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9745 = _T_4555 & ic_tag_valid_out_1_8; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9991 = _T_9990 | _T_9745; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_9; // @[Reg.scala 27:20] - wire _T_9747 = _T_4559 & ic_tag_valid_out_1_9; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9992 = _T_9991 | _T_9747; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9747 = _T_4559 & ic_tag_valid_out_1_9; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9992 = _T_9991 | _T_9747; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_10; // @[Reg.scala 27:20] - wire _T_9749 = _T_4563 & ic_tag_valid_out_1_10; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9993 = _T_9992 | _T_9749; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9749 = _T_4563 & ic_tag_valid_out_1_10; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9993 = _T_9992 | _T_9749; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_11; // @[Reg.scala 27:20] - wire _T_9751 = _T_4567 & ic_tag_valid_out_1_11; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9994 = _T_9993 | _T_9751; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9751 = _T_4567 & ic_tag_valid_out_1_11; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9994 = _T_9993 | _T_9751; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_12; // @[Reg.scala 27:20] - wire _T_9753 = _T_4571 & ic_tag_valid_out_1_12; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9995 = _T_9994 | _T_9753; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9753 = _T_4571 & ic_tag_valid_out_1_12; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9995 = _T_9994 | _T_9753; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_13; // @[Reg.scala 27:20] - wire _T_9755 = _T_4575 & ic_tag_valid_out_1_13; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9996 = _T_9995 | _T_9755; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9755 = _T_4575 & ic_tag_valid_out_1_13; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9996 = _T_9995 | _T_9755; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_14; // @[Reg.scala 27:20] - wire _T_9757 = _T_4579 & ic_tag_valid_out_1_14; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9997 = _T_9996 | _T_9757; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9757 = _T_4579 & ic_tag_valid_out_1_14; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9997 = _T_9996 | _T_9757; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_15; // @[Reg.scala 27:20] - wire _T_9759 = _T_4583 & ic_tag_valid_out_1_15; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9998 = _T_9997 | _T_9759; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9759 = _T_4583 & ic_tag_valid_out_1_15; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9998 = _T_9997 | _T_9759; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_16; // @[Reg.scala 27:20] - wire _T_9761 = _T_4587 & ic_tag_valid_out_1_16; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9999 = _T_9998 | _T_9761; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9761 = _T_4587 & ic_tag_valid_out_1_16; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9999 = _T_9998 | _T_9761; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_17; // @[Reg.scala 27:20] - wire _T_9763 = _T_4591 & ic_tag_valid_out_1_17; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10000 = _T_9999 | _T_9763; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9763 = _T_4591 & ic_tag_valid_out_1_17; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10000 = _T_9999 | _T_9763; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_18; // @[Reg.scala 27:20] - wire _T_9765 = _T_4595 & ic_tag_valid_out_1_18; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10001 = _T_10000 | _T_9765; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9765 = _T_4595 & ic_tag_valid_out_1_18; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10001 = _T_10000 | _T_9765; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_19; // @[Reg.scala 27:20] - wire _T_9767 = _T_4599 & ic_tag_valid_out_1_19; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10002 = _T_10001 | _T_9767; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9767 = _T_4599 & ic_tag_valid_out_1_19; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10002 = _T_10001 | _T_9767; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_20; // @[Reg.scala 27:20] - wire _T_9769 = _T_4603 & ic_tag_valid_out_1_20; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10003 = _T_10002 | _T_9769; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9769 = _T_4603 & ic_tag_valid_out_1_20; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10003 = _T_10002 | _T_9769; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_21; // @[Reg.scala 27:20] - wire _T_9771 = _T_4607 & ic_tag_valid_out_1_21; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10004 = _T_10003 | _T_9771; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9771 = _T_4607 & ic_tag_valid_out_1_21; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10004 = _T_10003 | _T_9771; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_22; // @[Reg.scala 27:20] - wire _T_9773 = _T_4611 & ic_tag_valid_out_1_22; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10005 = _T_10004 | _T_9773; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9773 = _T_4611 & ic_tag_valid_out_1_22; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10005 = _T_10004 | _T_9773; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_23; // @[Reg.scala 27:20] - wire _T_9775 = _T_4615 & ic_tag_valid_out_1_23; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10006 = _T_10005 | _T_9775; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9775 = _T_4615 & ic_tag_valid_out_1_23; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10006 = _T_10005 | _T_9775; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_24; // @[Reg.scala 27:20] - wire _T_9777 = _T_4619 & ic_tag_valid_out_1_24; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10007 = _T_10006 | _T_9777; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9777 = _T_4619 & ic_tag_valid_out_1_24; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10007 = _T_10006 | _T_9777; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_25; // @[Reg.scala 27:20] - wire _T_9779 = _T_4623 & ic_tag_valid_out_1_25; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10008 = _T_10007 | _T_9779; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9779 = _T_4623 & ic_tag_valid_out_1_25; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10008 = _T_10007 | _T_9779; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_26; // @[Reg.scala 27:20] - wire _T_9781 = _T_4627 & ic_tag_valid_out_1_26; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10009 = _T_10008 | _T_9781; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9781 = _T_4627 & ic_tag_valid_out_1_26; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10009 = _T_10008 | _T_9781; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_27; // @[Reg.scala 27:20] - wire _T_9783 = _T_4631 & ic_tag_valid_out_1_27; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10010 = _T_10009 | _T_9783; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9783 = _T_4631 & ic_tag_valid_out_1_27; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10010 = _T_10009 | _T_9783; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_28; // @[Reg.scala 27:20] - wire _T_9785 = _T_4635 & ic_tag_valid_out_1_28; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10011 = _T_10010 | _T_9785; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9785 = _T_4635 & ic_tag_valid_out_1_28; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10011 = _T_10010 | _T_9785; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_29; // @[Reg.scala 27:20] - wire _T_9787 = _T_4639 & ic_tag_valid_out_1_29; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10012 = _T_10011 | _T_9787; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9787 = _T_4639 & ic_tag_valid_out_1_29; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10012 = _T_10011 | _T_9787; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_30; // @[Reg.scala 27:20] - wire _T_9789 = _T_4643 & ic_tag_valid_out_1_30; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10013 = _T_10012 | _T_9789; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9789 = _T_4643 & ic_tag_valid_out_1_30; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10013 = _T_10012 | _T_9789; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_31; // @[Reg.scala 27:20] - wire _T_9791 = _T_4647 & ic_tag_valid_out_1_31; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10014 = _T_10013 | _T_9791; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9791 = _T_4647 & ic_tag_valid_out_1_31; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10014 = _T_10013 | _T_9791; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_32; // @[Reg.scala 27:20] - wire _T_9793 = _T_4651 & ic_tag_valid_out_1_32; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10015 = _T_10014 | _T_9793; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9793 = _T_4651 & ic_tag_valid_out_1_32; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10015 = _T_10014 | _T_9793; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_33; // @[Reg.scala 27:20] - wire _T_9795 = _T_4655 & ic_tag_valid_out_1_33; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10016 = _T_10015 | _T_9795; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9795 = _T_4655 & ic_tag_valid_out_1_33; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10016 = _T_10015 | _T_9795; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_34; // @[Reg.scala 27:20] - wire _T_9797 = _T_4659 & ic_tag_valid_out_1_34; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10017 = _T_10016 | _T_9797; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9797 = _T_4659 & ic_tag_valid_out_1_34; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10017 = _T_10016 | _T_9797; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_35; // @[Reg.scala 27:20] - wire _T_9799 = _T_4663 & ic_tag_valid_out_1_35; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10018 = _T_10017 | _T_9799; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9799 = _T_4663 & ic_tag_valid_out_1_35; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10018 = _T_10017 | _T_9799; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_36; // @[Reg.scala 27:20] - wire _T_9801 = _T_4667 & ic_tag_valid_out_1_36; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10019 = _T_10018 | _T_9801; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9801 = _T_4667 & ic_tag_valid_out_1_36; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10019 = _T_10018 | _T_9801; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_37; // @[Reg.scala 27:20] - wire _T_9803 = _T_4671 & ic_tag_valid_out_1_37; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10020 = _T_10019 | _T_9803; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9803 = _T_4671 & ic_tag_valid_out_1_37; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10020 = _T_10019 | _T_9803; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_38; // @[Reg.scala 27:20] - wire _T_9805 = _T_4675 & ic_tag_valid_out_1_38; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10021 = _T_10020 | _T_9805; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9805 = _T_4675 & ic_tag_valid_out_1_38; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10021 = _T_10020 | _T_9805; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_39; // @[Reg.scala 27:20] - wire _T_9807 = _T_4679 & ic_tag_valid_out_1_39; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10022 = _T_10021 | _T_9807; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9807 = _T_4679 & ic_tag_valid_out_1_39; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10022 = _T_10021 | _T_9807; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_40; // @[Reg.scala 27:20] - wire _T_9809 = _T_4683 & ic_tag_valid_out_1_40; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10023 = _T_10022 | _T_9809; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9809 = _T_4683 & ic_tag_valid_out_1_40; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10023 = _T_10022 | _T_9809; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_41; // @[Reg.scala 27:20] - wire _T_9811 = _T_4687 & ic_tag_valid_out_1_41; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10024 = _T_10023 | _T_9811; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9811 = _T_4687 & ic_tag_valid_out_1_41; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10024 = _T_10023 | _T_9811; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_42; // @[Reg.scala 27:20] - wire _T_9813 = _T_4691 & ic_tag_valid_out_1_42; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10025 = _T_10024 | _T_9813; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9813 = _T_4691 & ic_tag_valid_out_1_42; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10025 = _T_10024 | _T_9813; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_43; // @[Reg.scala 27:20] - wire _T_9815 = _T_4695 & ic_tag_valid_out_1_43; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10026 = _T_10025 | _T_9815; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9815 = _T_4695 & ic_tag_valid_out_1_43; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10026 = _T_10025 | _T_9815; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_44; // @[Reg.scala 27:20] - wire _T_9817 = _T_4699 & ic_tag_valid_out_1_44; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10027 = _T_10026 | _T_9817; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9817 = _T_4699 & ic_tag_valid_out_1_44; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10027 = _T_10026 | _T_9817; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_45; // @[Reg.scala 27:20] - wire _T_9819 = _T_4703 & ic_tag_valid_out_1_45; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10028 = _T_10027 | _T_9819; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9819 = _T_4703 & ic_tag_valid_out_1_45; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10028 = _T_10027 | _T_9819; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_46; // @[Reg.scala 27:20] - wire _T_9821 = _T_4707 & ic_tag_valid_out_1_46; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10029 = _T_10028 | _T_9821; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9821 = _T_4707 & ic_tag_valid_out_1_46; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10029 = _T_10028 | _T_9821; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_47; // @[Reg.scala 27:20] - wire _T_9823 = _T_4711 & ic_tag_valid_out_1_47; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10030 = _T_10029 | _T_9823; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9823 = _T_4711 & ic_tag_valid_out_1_47; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10030 = _T_10029 | _T_9823; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_48; // @[Reg.scala 27:20] - wire _T_9825 = _T_4715 & ic_tag_valid_out_1_48; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10031 = _T_10030 | _T_9825; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9825 = _T_4715 & ic_tag_valid_out_1_48; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10031 = _T_10030 | _T_9825; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_49; // @[Reg.scala 27:20] - wire _T_9827 = _T_4719 & ic_tag_valid_out_1_49; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10032 = _T_10031 | _T_9827; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9827 = _T_4719 & ic_tag_valid_out_1_49; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10032 = _T_10031 | _T_9827; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_50; // @[Reg.scala 27:20] - wire _T_9829 = _T_4723 & ic_tag_valid_out_1_50; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10033 = _T_10032 | _T_9829; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9829 = _T_4723 & ic_tag_valid_out_1_50; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10033 = _T_10032 | _T_9829; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_51; // @[Reg.scala 27:20] - wire _T_9831 = _T_4727 & ic_tag_valid_out_1_51; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10034 = _T_10033 | _T_9831; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9831 = _T_4727 & ic_tag_valid_out_1_51; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10034 = _T_10033 | _T_9831; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_52; // @[Reg.scala 27:20] - wire _T_9833 = _T_4731 & ic_tag_valid_out_1_52; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10035 = _T_10034 | _T_9833; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9833 = _T_4731 & ic_tag_valid_out_1_52; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10035 = _T_10034 | _T_9833; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_53; // @[Reg.scala 27:20] - wire _T_9835 = _T_4735 & ic_tag_valid_out_1_53; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10036 = _T_10035 | _T_9835; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9835 = _T_4735 & ic_tag_valid_out_1_53; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10036 = _T_10035 | _T_9835; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_54; // @[Reg.scala 27:20] - wire _T_9837 = _T_4739 & ic_tag_valid_out_1_54; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10037 = _T_10036 | _T_9837; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9837 = _T_4739 & ic_tag_valid_out_1_54; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10037 = _T_10036 | _T_9837; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_55; // @[Reg.scala 27:20] - wire _T_9839 = _T_4743 & ic_tag_valid_out_1_55; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10038 = _T_10037 | _T_9839; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9839 = _T_4743 & ic_tag_valid_out_1_55; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10038 = _T_10037 | _T_9839; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_56; // @[Reg.scala 27:20] - wire _T_9841 = _T_4747 & ic_tag_valid_out_1_56; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10039 = _T_10038 | _T_9841; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9841 = _T_4747 & ic_tag_valid_out_1_56; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10039 = _T_10038 | _T_9841; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_57; // @[Reg.scala 27:20] - wire _T_9843 = _T_4751 & ic_tag_valid_out_1_57; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10040 = _T_10039 | _T_9843; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9843 = _T_4751 & ic_tag_valid_out_1_57; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10040 = _T_10039 | _T_9843; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_58; // @[Reg.scala 27:20] - wire _T_9845 = _T_4755 & ic_tag_valid_out_1_58; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10041 = _T_10040 | _T_9845; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9845 = _T_4755 & ic_tag_valid_out_1_58; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10041 = _T_10040 | _T_9845; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_59; // @[Reg.scala 27:20] - wire _T_9847 = _T_4759 & ic_tag_valid_out_1_59; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10042 = _T_10041 | _T_9847; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9847 = _T_4759 & ic_tag_valid_out_1_59; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10042 = _T_10041 | _T_9847; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_60; // @[Reg.scala 27:20] - wire _T_9849 = _T_4763 & ic_tag_valid_out_1_60; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10043 = _T_10042 | _T_9849; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9849 = _T_4763 & ic_tag_valid_out_1_60; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10043 = _T_10042 | _T_9849; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_61; // @[Reg.scala 27:20] - wire _T_9851 = _T_4767 & ic_tag_valid_out_1_61; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10044 = _T_10043 | _T_9851; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9851 = _T_4767 & ic_tag_valid_out_1_61; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10044 = _T_10043 | _T_9851; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_62; // @[Reg.scala 27:20] - wire _T_9853 = _T_4771 & ic_tag_valid_out_1_62; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10045 = _T_10044 | _T_9853; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9853 = _T_4771 & ic_tag_valid_out_1_62; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10045 = _T_10044 | _T_9853; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_63; // @[Reg.scala 27:20] - wire _T_9855 = _T_4775 & ic_tag_valid_out_1_63; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10046 = _T_10045 | _T_9855; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9855 = _T_4775 & ic_tag_valid_out_1_63; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10046 = _T_10045 | _T_9855; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_64; // @[Reg.scala 27:20] - wire _T_9857 = _T_4779 & ic_tag_valid_out_1_64; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10047 = _T_10046 | _T_9857; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9857 = _T_4779 & ic_tag_valid_out_1_64; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10047 = _T_10046 | _T_9857; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_65; // @[Reg.scala 27:20] - wire _T_9859 = _T_4783 & ic_tag_valid_out_1_65; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10048 = _T_10047 | _T_9859; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9859 = _T_4783 & ic_tag_valid_out_1_65; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10048 = _T_10047 | _T_9859; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_66; // @[Reg.scala 27:20] - wire _T_9861 = _T_4787 & ic_tag_valid_out_1_66; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10049 = _T_10048 | _T_9861; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9861 = _T_4787 & ic_tag_valid_out_1_66; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10049 = _T_10048 | _T_9861; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_67; // @[Reg.scala 27:20] - wire _T_9863 = _T_4791 & ic_tag_valid_out_1_67; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10050 = _T_10049 | _T_9863; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9863 = _T_4791 & ic_tag_valid_out_1_67; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10050 = _T_10049 | _T_9863; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_68; // @[Reg.scala 27:20] - wire _T_9865 = _T_4795 & ic_tag_valid_out_1_68; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10051 = _T_10050 | _T_9865; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9865 = _T_4795 & ic_tag_valid_out_1_68; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10051 = _T_10050 | _T_9865; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_69; // @[Reg.scala 27:20] - wire _T_9867 = _T_4799 & ic_tag_valid_out_1_69; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10052 = _T_10051 | _T_9867; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9867 = _T_4799 & ic_tag_valid_out_1_69; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10052 = _T_10051 | _T_9867; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_70; // @[Reg.scala 27:20] - wire _T_9869 = _T_4803 & ic_tag_valid_out_1_70; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10053 = _T_10052 | _T_9869; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9869 = _T_4803 & ic_tag_valid_out_1_70; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10053 = _T_10052 | _T_9869; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_71; // @[Reg.scala 27:20] - wire _T_9871 = _T_4807 & ic_tag_valid_out_1_71; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10054 = _T_10053 | _T_9871; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9871 = _T_4807 & ic_tag_valid_out_1_71; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10054 = _T_10053 | _T_9871; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_72; // @[Reg.scala 27:20] - wire _T_9873 = _T_4811 & ic_tag_valid_out_1_72; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10055 = _T_10054 | _T_9873; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9873 = _T_4811 & ic_tag_valid_out_1_72; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10055 = _T_10054 | _T_9873; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_73; // @[Reg.scala 27:20] - wire _T_9875 = _T_4815 & ic_tag_valid_out_1_73; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10056 = _T_10055 | _T_9875; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9875 = _T_4815 & ic_tag_valid_out_1_73; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10056 = _T_10055 | _T_9875; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_74; // @[Reg.scala 27:20] - wire _T_9877 = _T_4819 & ic_tag_valid_out_1_74; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10057 = _T_10056 | _T_9877; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9877 = _T_4819 & ic_tag_valid_out_1_74; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10057 = _T_10056 | _T_9877; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_75; // @[Reg.scala 27:20] - wire _T_9879 = _T_4823 & ic_tag_valid_out_1_75; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10058 = _T_10057 | _T_9879; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9879 = _T_4823 & ic_tag_valid_out_1_75; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10058 = _T_10057 | _T_9879; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_76; // @[Reg.scala 27:20] - wire _T_9881 = _T_4827 & ic_tag_valid_out_1_76; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10059 = _T_10058 | _T_9881; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9881 = _T_4827 & ic_tag_valid_out_1_76; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10059 = _T_10058 | _T_9881; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_77; // @[Reg.scala 27:20] - wire _T_9883 = _T_4831 & ic_tag_valid_out_1_77; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10060 = _T_10059 | _T_9883; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9883 = _T_4831 & ic_tag_valid_out_1_77; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10060 = _T_10059 | _T_9883; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_78; // @[Reg.scala 27:20] - wire _T_9885 = _T_4835 & ic_tag_valid_out_1_78; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10061 = _T_10060 | _T_9885; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9885 = _T_4835 & ic_tag_valid_out_1_78; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10061 = _T_10060 | _T_9885; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_79; // @[Reg.scala 27:20] - wire _T_9887 = _T_4839 & ic_tag_valid_out_1_79; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10062 = _T_10061 | _T_9887; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9887 = _T_4839 & ic_tag_valid_out_1_79; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10062 = _T_10061 | _T_9887; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_80; // @[Reg.scala 27:20] - wire _T_9889 = _T_4843 & ic_tag_valid_out_1_80; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10063 = _T_10062 | _T_9889; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9889 = _T_4843 & ic_tag_valid_out_1_80; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10063 = _T_10062 | _T_9889; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_81; // @[Reg.scala 27:20] - wire _T_9891 = _T_4847 & ic_tag_valid_out_1_81; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10064 = _T_10063 | _T_9891; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9891 = _T_4847 & ic_tag_valid_out_1_81; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10064 = _T_10063 | _T_9891; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_82; // @[Reg.scala 27:20] - wire _T_9893 = _T_4851 & ic_tag_valid_out_1_82; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10065 = _T_10064 | _T_9893; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9893 = _T_4851 & ic_tag_valid_out_1_82; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10065 = _T_10064 | _T_9893; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_83; // @[Reg.scala 27:20] - wire _T_9895 = _T_4855 & ic_tag_valid_out_1_83; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10066 = _T_10065 | _T_9895; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9895 = _T_4855 & ic_tag_valid_out_1_83; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10066 = _T_10065 | _T_9895; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_84; // @[Reg.scala 27:20] - wire _T_9897 = _T_4859 & ic_tag_valid_out_1_84; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10067 = _T_10066 | _T_9897; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9897 = _T_4859 & ic_tag_valid_out_1_84; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10067 = _T_10066 | _T_9897; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_85; // @[Reg.scala 27:20] - wire _T_9899 = _T_4863 & ic_tag_valid_out_1_85; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10068 = _T_10067 | _T_9899; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9899 = _T_4863 & ic_tag_valid_out_1_85; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10068 = _T_10067 | _T_9899; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_86; // @[Reg.scala 27:20] - wire _T_9901 = _T_4867 & ic_tag_valid_out_1_86; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10069 = _T_10068 | _T_9901; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9901 = _T_4867 & ic_tag_valid_out_1_86; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10069 = _T_10068 | _T_9901; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_87; // @[Reg.scala 27:20] - wire _T_9903 = _T_4871 & ic_tag_valid_out_1_87; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10070 = _T_10069 | _T_9903; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9903 = _T_4871 & ic_tag_valid_out_1_87; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10070 = _T_10069 | _T_9903; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_88; // @[Reg.scala 27:20] - wire _T_9905 = _T_4875 & ic_tag_valid_out_1_88; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10071 = _T_10070 | _T_9905; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9905 = _T_4875 & ic_tag_valid_out_1_88; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10071 = _T_10070 | _T_9905; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_89; // @[Reg.scala 27:20] - wire _T_9907 = _T_4879 & ic_tag_valid_out_1_89; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10072 = _T_10071 | _T_9907; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9907 = _T_4879 & ic_tag_valid_out_1_89; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10072 = _T_10071 | _T_9907; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_90; // @[Reg.scala 27:20] - wire _T_9909 = _T_4883 & ic_tag_valid_out_1_90; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10073 = _T_10072 | _T_9909; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9909 = _T_4883 & ic_tag_valid_out_1_90; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10073 = _T_10072 | _T_9909; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_91; // @[Reg.scala 27:20] - wire _T_9911 = _T_4887 & ic_tag_valid_out_1_91; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10074 = _T_10073 | _T_9911; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9911 = _T_4887 & ic_tag_valid_out_1_91; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10074 = _T_10073 | _T_9911; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_92; // @[Reg.scala 27:20] - wire _T_9913 = _T_4891 & ic_tag_valid_out_1_92; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10075 = _T_10074 | _T_9913; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9913 = _T_4891 & ic_tag_valid_out_1_92; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10075 = _T_10074 | _T_9913; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_93; // @[Reg.scala 27:20] - wire _T_9915 = _T_4895 & ic_tag_valid_out_1_93; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10076 = _T_10075 | _T_9915; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9915 = _T_4895 & ic_tag_valid_out_1_93; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10076 = _T_10075 | _T_9915; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_94; // @[Reg.scala 27:20] - wire _T_9917 = _T_4899 & ic_tag_valid_out_1_94; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10077 = _T_10076 | _T_9917; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9917 = _T_4899 & ic_tag_valid_out_1_94; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10077 = _T_10076 | _T_9917; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_95; // @[Reg.scala 27:20] - wire _T_9919 = _T_4903 & ic_tag_valid_out_1_95; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10078 = _T_10077 | _T_9919; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9919 = _T_4903 & ic_tag_valid_out_1_95; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10078 = _T_10077 | _T_9919; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_96; // @[Reg.scala 27:20] - wire _T_9921 = _T_4907 & ic_tag_valid_out_1_96; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10079 = _T_10078 | _T_9921; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9921 = _T_4907 & ic_tag_valid_out_1_96; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10079 = _T_10078 | _T_9921; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_97; // @[Reg.scala 27:20] - wire _T_9923 = _T_4911 & ic_tag_valid_out_1_97; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10080 = _T_10079 | _T_9923; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9923 = _T_4911 & ic_tag_valid_out_1_97; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10080 = _T_10079 | _T_9923; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_98; // @[Reg.scala 27:20] - wire _T_9925 = _T_4915 & ic_tag_valid_out_1_98; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10081 = _T_10080 | _T_9925; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9925 = _T_4915 & ic_tag_valid_out_1_98; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10081 = _T_10080 | _T_9925; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_99; // @[Reg.scala 27:20] - wire _T_9927 = _T_4919 & ic_tag_valid_out_1_99; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10082 = _T_10081 | _T_9927; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9927 = _T_4919 & ic_tag_valid_out_1_99; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10082 = _T_10081 | _T_9927; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_100; // @[Reg.scala 27:20] - wire _T_9929 = _T_4923 & ic_tag_valid_out_1_100; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10083 = _T_10082 | _T_9929; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9929 = _T_4923 & ic_tag_valid_out_1_100; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10083 = _T_10082 | _T_9929; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_101; // @[Reg.scala 27:20] - wire _T_9931 = _T_4927 & ic_tag_valid_out_1_101; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10084 = _T_10083 | _T_9931; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9931 = _T_4927 & ic_tag_valid_out_1_101; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10084 = _T_10083 | _T_9931; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_102; // @[Reg.scala 27:20] - wire _T_9933 = _T_4931 & ic_tag_valid_out_1_102; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10085 = _T_10084 | _T_9933; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9933 = _T_4931 & ic_tag_valid_out_1_102; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10085 = _T_10084 | _T_9933; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_103; // @[Reg.scala 27:20] - wire _T_9935 = _T_4935 & ic_tag_valid_out_1_103; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10086 = _T_10085 | _T_9935; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9935 = _T_4935 & ic_tag_valid_out_1_103; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10086 = _T_10085 | _T_9935; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_104; // @[Reg.scala 27:20] - wire _T_9937 = _T_4939 & ic_tag_valid_out_1_104; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10087 = _T_10086 | _T_9937; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9937 = _T_4939 & ic_tag_valid_out_1_104; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10087 = _T_10086 | _T_9937; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_105; // @[Reg.scala 27:20] - wire _T_9939 = _T_4943 & ic_tag_valid_out_1_105; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10088 = _T_10087 | _T_9939; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9939 = _T_4943 & ic_tag_valid_out_1_105; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10088 = _T_10087 | _T_9939; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_106; // @[Reg.scala 27:20] - wire _T_9941 = _T_4947 & ic_tag_valid_out_1_106; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10089 = _T_10088 | _T_9941; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9941 = _T_4947 & ic_tag_valid_out_1_106; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10089 = _T_10088 | _T_9941; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_107; // @[Reg.scala 27:20] - wire _T_9943 = _T_4951 & ic_tag_valid_out_1_107; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10090 = _T_10089 | _T_9943; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9943 = _T_4951 & ic_tag_valid_out_1_107; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10090 = _T_10089 | _T_9943; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_108; // @[Reg.scala 27:20] - wire _T_9945 = _T_4955 & ic_tag_valid_out_1_108; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10091 = _T_10090 | _T_9945; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9945 = _T_4955 & ic_tag_valid_out_1_108; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10091 = _T_10090 | _T_9945; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_109; // @[Reg.scala 27:20] - wire _T_9947 = _T_4959 & ic_tag_valid_out_1_109; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10092 = _T_10091 | _T_9947; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9947 = _T_4959 & ic_tag_valid_out_1_109; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10092 = _T_10091 | _T_9947; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_110; // @[Reg.scala 27:20] - wire _T_9949 = _T_4963 & ic_tag_valid_out_1_110; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10093 = _T_10092 | _T_9949; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9949 = _T_4963 & ic_tag_valid_out_1_110; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10093 = _T_10092 | _T_9949; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_111; // @[Reg.scala 27:20] - wire _T_9951 = _T_4967 & ic_tag_valid_out_1_111; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10094 = _T_10093 | _T_9951; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9951 = _T_4967 & ic_tag_valid_out_1_111; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10094 = _T_10093 | _T_9951; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_112; // @[Reg.scala 27:20] - wire _T_9953 = _T_4971 & ic_tag_valid_out_1_112; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10095 = _T_10094 | _T_9953; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9953 = _T_4971 & ic_tag_valid_out_1_112; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10095 = _T_10094 | _T_9953; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_113; // @[Reg.scala 27:20] - wire _T_9955 = _T_4975 & ic_tag_valid_out_1_113; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10096 = _T_10095 | _T_9955; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9955 = _T_4975 & ic_tag_valid_out_1_113; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10096 = _T_10095 | _T_9955; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_114; // @[Reg.scala 27:20] - wire _T_9957 = _T_4979 & ic_tag_valid_out_1_114; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10097 = _T_10096 | _T_9957; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9957 = _T_4979 & ic_tag_valid_out_1_114; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10097 = _T_10096 | _T_9957; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_115; // @[Reg.scala 27:20] - wire _T_9959 = _T_4983 & ic_tag_valid_out_1_115; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10098 = _T_10097 | _T_9959; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9959 = _T_4983 & ic_tag_valid_out_1_115; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10098 = _T_10097 | _T_9959; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_116; // @[Reg.scala 27:20] - wire _T_9961 = _T_4987 & ic_tag_valid_out_1_116; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10099 = _T_10098 | _T_9961; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9961 = _T_4987 & ic_tag_valid_out_1_116; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10099 = _T_10098 | _T_9961; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_117; // @[Reg.scala 27:20] - wire _T_9963 = _T_4991 & ic_tag_valid_out_1_117; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10100 = _T_10099 | _T_9963; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9963 = _T_4991 & ic_tag_valid_out_1_117; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10100 = _T_10099 | _T_9963; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_118; // @[Reg.scala 27:20] - wire _T_9965 = _T_4995 & ic_tag_valid_out_1_118; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10101 = _T_10100 | _T_9965; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9965 = _T_4995 & ic_tag_valid_out_1_118; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10101 = _T_10100 | _T_9965; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_119; // @[Reg.scala 27:20] - wire _T_9967 = _T_4999 & ic_tag_valid_out_1_119; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10102 = _T_10101 | _T_9967; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9967 = _T_4999 & ic_tag_valid_out_1_119; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10102 = _T_10101 | _T_9967; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_120; // @[Reg.scala 27:20] - wire _T_9969 = _T_5003 & ic_tag_valid_out_1_120; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10103 = _T_10102 | _T_9969; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9969 = _T_5003 & ic_tag_valid_out_1_120; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10103 = _T_10102 | _T_9969; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_121; // @[Reg.scala 27:20] - wire _T_9971 = _T_5007 & ic_tag_valid_out_1_121; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10104 = _T_10103 | _T_9971; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9971 = _T_5007 & ic_tag_valid_out_1_121; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10104 = _T_10103 | _T_9971; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_122; // @[Reg.scala 27:20] - wire _T_9973 = _T_5011 & ic_tag_valid_out_1_122; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10105 = _T_10104 | _T_9973; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9973 = _T_5011 & ic_tag_valid_out_1_122; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10105 = _T_10104 | _T_9973; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_123; // @[Reg.scala 27:20] - wire _T_9975 = _T_5015 & ic_tag_valid_out_1_123; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10106 = _T_10105 | _T_9975; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9975 = _T_5015 & ic_tag_valid_out_1_123; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10106 = _T_10105 | _T_9975; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_124; // @[Reg.scala 27:20] - wire _T_9977 = _T_5019 & ic_tag_valid_out_1_124; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10107 = _T_10106 | _T_9977; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9977 = _T_5019 & ic_tag_valid_out_1_124; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10107 = _T_10106 | _T_9977; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_125; // @[Reg.scala 27:20] - wire _T_9979 = _T_5023 & ic_tag_valid_out_1_125; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10108 = _T_10107 | _T_9979; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9979 = _T_5023 & ic_tag_valid_out_1_125; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10108 = _T_10107 | _T_9979; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_126; // @[Reg.scala 27:20] - wire _T_9981 = _T_5027 & ic_tag_valid_out_1_126; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10109 = _T_10108 | _T_9981; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9981 = _T_5027 & ic_tag_valid_out_1_126; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10109 = _T_10108 | _T_9981; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_1_127; // @[Reg.scala 27:20] - wire _T_9983 = _T_5031 & ic_tag_valid_out_1_127; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_10110 = _T_10109 | _T_9983; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9983 = _T_5031 & ic_tag_valid_out_1_127; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_10110 = _T_10109 | _T_9983; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_0; // @[Reg.scala 27:20] - wire _T_9346 = _T_4523 & ic_tag_valid_out_0_0; // @[el2_ifu_mem_ctl.scala 751:10] + wire _T_9346 = _T_4523 & ic_tag_valid_out_0_0; // @[el2_ifu_mem_ctl.scala 752:10] reg ic_tag_valid_out_0_1; // @[Reg.scala 27:20] - wire _T_9348 = _T_4527 & ic_tag_valid_out_0_1; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9601 = _T_9346 | _T_9348; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9348 = _T_4527 & ic_tag_valid_out_0_1; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9601 = _T_9346 | _T_9348; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_2; // @[Reg.scala 27:20] - wire _T_9350 = _T_4531 & ic_tag_valid_out_0_2; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9602 = _T_9601 | _T_9350; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9350 = _T_4531 & ic_tag_valid_out_0_2; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9602 = _T_9601 | _T_9350; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_3; // @[Reg.scala 27:20] - wire _T_9352 = _T_4535 & ic_tag_valid_out_0_3; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9603 = _T_9602 | _T_9352; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9352 = _T_4535 & ic_tag_valid_out_0_3; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9603 = _T_9602 | _T_9352; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_4; // @[Reg.scala 27:20] - wire _T_9354 = _T_4539 & ic_tag_valid_out_0_4; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9604 = _T_9603 | _T_9354; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9354 = _T_4539 & ic_tag_valid_out_0_4; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9604 = _T_9603 | _T_9354; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_5; // @[Reg.scala 27:20] - wire _T_9356 = _T_4543 & ic_tag_valid_out_0_5; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9605 = _T_9604 | _T_9356; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9356 = _T_4543 & ic_tag_valid_out_0_5; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9605 = _T_9604 | _T_9356; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_6; // @[Reg.scala 27:20] - wire _T_9358 = _T_4547 & ic_tag_valid_out_0_6; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9606 = _T_9605 | _T_9358; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9358 = _T_4547 & ic_tag_valid_out_0_6; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9606 = _T_9605 | _T_9358; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_7; // @[Reg.scala 27:20] - wire _T_9360 = _T_4551 & ic_tag_valid_out_0_7; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9607 = _T_9606 | _T_9360; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9360 = _T_4551 & ic_tag_valid_out_0_7; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9607 = _T_9606 | _T_9360; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_8; // @[Reg.scala 27:20] - wire _T_9362 = _T_4555 & ic_tag_valid_out_0_8; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9608 = _T_9607 | _T_9362; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9362 = _T_4555 & ic_tag_valid_out_0_8; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9608 = _T_9607 | _T_9362; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_9; // @[Reg.scala 27:20] - wire _T_9364 = _T_4559 & ic_tag_valid_out_0_9; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9609 = _T_9608 | _T_9364; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9364 = _T_4559 & ic_tag_valid_out_0_9; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9609 = _T_9608 | _T_9364; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_10; // @[Reg.scala 27:20] - wire _T_9366 = _T_4563 & ic_tag_valid_out_0_10; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9610 = _T_9609 | _T_9366; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9366 = _T_4563 & ic_tag_valid_out_0_10; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9610 = _T_9609 | _T_9366; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_11; // @[Reg.scala 27:20] - wire _T_9368 = _T_4567 & ic_tag_valid_out_0_11; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9611 = _T_9610 | _T_9368; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9368 = _T_4567 & ic_tag_valid_out_0_11; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9611 = _T_9610 | _T_9368; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_12; // @[Reg.scala 27:20] - wire _T_9370 = _T_4571 & ic_tag_valid_out_0_12; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9612 = _T_9611 | _T_9370; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9370 = _T_4571 & ic_tag_valid_out_0_12; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9612 = _T_9611 | _T_9370; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_13; // @[Reg.scala 27:20] - wire _T_9372 = _T_4575 & ic_tag_valid_out_0_13; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9613 = _T_9612 | _T_9372; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9372 = _T_4575 & ic_tag_valid_out_0_13; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9613 = _T_9612 | _T_9372; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_14; // @[Reg.scala 27:20] - wire _T_9374 = _T_4579 & ic_tag_valid_out_0_14; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9614 = _T_9613 | _T_9374; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9374 = _T_4579 & ic_tag_valid_out_0_14; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9614 = _T_9613 | _T_9374; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_15; // @[Reg.scala 27:20] - wire _T_9376 = _T_4583 & ic_tag_valid_out_0_15; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9615 = _T_9614 | _T_9376; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9376 = _T_4583 & ic_tag_valid_out_0_15; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9615 = _T_9614 | _T_9376; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_16; // @[Reg.scala 27:20] - wire _T_9378 = _T_4587 & ic_tag_valid_out_0_16; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9616 = _T_9615 | _T_9378; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9378 = _T_4587 & ic_tag_valid_out_0_16; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9616 = _T_9615 | _T_9378; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_17; // @[Reg.scala 27:20] - wire _T_9380 = _T_4591 & ic_tag_valid_out_0_17; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9617 = _T_9616 | _T_9380; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9380 = _T_4591 & ic_tag_valid_out_0_17; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9617 = _T_9616 | _T_9380; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_18; // @[Reg.scala 27:20] - wire _T_9382 = _T_4595 & ic_tag_valid_out_0_18; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9618 = _T_9617 | _T_9382; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9382 = _T_4595 & ic_tag_valid_out_0_18; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9618 = _T_9617 | _T_9382; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_19; // @[Reg.scala 27:20] - wire _T_9384 = _T_4599 & ic_tag_valid_out_0_19; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9619 = _T_9618 | _T_9384; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9384 = _T_4599 & ic_tag_valid_out_0_19; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9619 = _T_9618 | _T_9384; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_20; // @[Reg.scala 27:20] - wire _T_9386 = _T_4603 & ic_tag_valid_out_0_20; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9620 = _T_9619 | _T_9386; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9386 = _T_4603 & ic_tag_valid_out_0_20; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9620 = _T_9619 | _T_9386; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_21; // @[Reg.scala 27:20] - wire _T_9388 = _T_4607 & ic_tag_valid_out_0_21; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9621 = _T_9620 | _T_9388; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9388 = _T_4607 & ic_tag_valid_out_0_21; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9621 = _T_9620 | _T_9388; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_22; // @[Reg.scala 27:20] - wire _T_9390 = _T_4611 & ic_tag_valid_out_0_22; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9622 = _T_9621 | _T_9390; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9390 = _T_4611 & ic_tag_valid_out_0_22; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9622 = _T_9621 | _T_9390; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_23; // @[Reg.scala 27:20] - wire _T_9392 = _T_4615 & ic_tag_valid_out_0_23; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9623 = _T_9622 | _T_9392; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9392 = _T_4615 & ic_tag_valid_out_0_23; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9623 = _T_9622 | _T_9392; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_24; // @[Reg.scala 27:20] - wire _T_9394 = _T_4619 & ic_tag_valid_out_0_24; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9624 = _T_9623 | _T_9394; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9394 = _T_4619 & ic_tag_valid_out_0_24; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9624 = _T_9623 | _T_9394; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_25; // @[Reg.scala 27:20] - wire _T_9396 = _T_4623 & ic_tag_valid_out_0_25; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9625 = _T_9624 | _T_9396; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9396 = _T_4623 & ic_tag_valid_out_0_25; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9625 = _T_9624 | _T_9396; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_26; // @[Reg.scala 27:20] - wire _T_9398 = _T_4627 & ic_tag_valid_out_0_26; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9626 = _T_9625 | _T_9398; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9398 = _T_4627 & ic_tag_valid_out_0_26; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9626 = _T_9625 | _T_9398; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_27; // @[Reg.scala 27:20] - wire _T_9400 = _T_4631 & ic_tag_valid_out_0_27; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9627 = _T_9626 | _T_9400; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9400 = _T_4631 & ic_tag_valid_out_0_27; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9627 = _T_9626 | _T_9400; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_28; // @[Reg.scala 27:20] - wire _T_9402 = _T_4635 & ic_tag_valid_out_0_28; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9628 = _T_9627 | _T_9402; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9402 = _T_4635 & ic_tag_valid_out_0_28; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9628 = _T_9627 | _T_9402; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_29; // @[Reg.scala 27:20] - wire _T_9404 = _T_4639 & ic_tag_valid_out_0_29; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9629 = _T_9628 | _T_9404; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9404 = _T_4639 & ic_tag_valid_out_0_29; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9629 = _T_9628 | _T_9404; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_30; // @[Reg.scala 27:20] - wire _T_9406 = _T_4643 & ic_tag_valid_out_0_30; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9630 = _T_9629 | _T_9406; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9406 = _T_4643 & ic_tag_valid_out_0_30; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9630 = _T_9629 | _T_9406; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_31; // @[Reg.scala 27:20] - wire _T_9408 = _T_4647 & ic_tag_valid_out_0_31; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9631 = _T_9630 | _T_9408; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9408 = _T_4647 & ic_tag_valid_out_0_31; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9631 = _T_9630 | _T_9408; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_32; // @[Reg.scala 27:20] - wire _T_9410 = _T_4651 & ic_tag_valid_out_0_32; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9632 = _T_9631 | _T_9410; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9410 = _T_4651 & ic_tag_valid_out_0_32; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9632 = _T_9631 | _T_9410; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_33; // @[Reg.scala 27:20] - wire _T_9412 = _T_4655 & ic_tag_valid_out_0_33; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9633 = _T_9632 | _T_9412; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9412 = _T_4655 & ic_tag_valid_out_0_33; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9633 = _T_9632 | _T_9412; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_34; // @[Reg.scala 27:20] - wire _T_9414 = _T_4659 & ic_tag_valid_out_0_34; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9634 = _T_9633 | _T_9414; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9414 = _T_4659 & ic_tag_valid_out_0_34; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9634 = _T_9633 | _T_9414; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_35; // @[Reg.scala 27:20] - wire _T_9416 = _T_4663 & ic_tag_valid_out_0_35; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9635 = _T_9634 | _T_9416; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9416 = _T_4663 & ic_tag_valid_out_0_35; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9635 = _T_9634 | _T_9416; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_36; // @[Reg.scala 27:20] - wire _T_9418 = _T_4667 & ic_tag_valid_out_0_36; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9636 = _T_9635 | _T_9418; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9418 = _T_4667 & ic_tag_valid_out_0_36; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9636 = _T_9635 | _T_9418; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_37; // @[Reg.scala 27:20] - wire _T_9420 = _T_4671 & ic_tag_valid_out_0_37; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9637 = _T_9636 | _T_9420; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9420 = _T_4671 & ic_tag_valid_out_0_37; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9637 = _T_9636 | _T_9420; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_38; // @[Reg.scala 27:20] - wire _T_9422 = _T_4675 & ic_tag_valid_out_0_38; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9638 = _T_9637 | _T_9422; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9422 = _T_4675 & ic_tag_valid_out_0_38; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9638 = _T_9637 | _T_9422; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_39; // @[Reg.scala 27:20] - wire _T_9424 = _T_4679 & ic_tag_valid_out_0_39; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9639 = _T_9638 | _T_9424; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9424 = _T_4679 & ic_tag_valid_out_0_39; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9639 = _T_9638 | _T_9424; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_40; // @[Reg.scala 27:20] - wire _T_9426 = _T_4683 & ic_tag_valid_out_0_40; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9640 = _T_9639 | _T_9426; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9426 = _T_4683 & ic_tag_valid_out_0_40; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9640 = _T_9639 | _T_9426; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_41; // @[Reg.scala 27:20] - wire _T_9428 = _T_4687 & ic_tag_valid_out_0_41; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9641 = _T_9640 | _T_9428; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9428 = _T_4687 & ic_tag_valid_out_0_41; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9641 = _T_9640 | _T_9428; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_42; // @[Reg.scala 27:20] - wire _T_9430 = _T_4691 & ic_tag_valid_out_0_42; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9642 = _T_9641 | _T_9430; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9430 = _T_4691 & ic_tag_valid_out_0_42; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9642 = _T_9641 | _T_9430; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_43; // @[Reg.scala 27:20] - wire _T_9432 = _T_4695 & ic_tag_valid_out_0_43; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9643 = _T_9642 | _T_9432; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9432 = _T_4695 & ic_tag_valid_out_0_43; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9643 = _T_9642 | _T_9432; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_44; // @[Reg.scala 27:20] - wire _T_9434 = _T_4699 & ic_tag_valid_out_0_44; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9644 = _T_9643 | _T_9434; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9434 = _T_4699 & ic_tag_valid_out_0_44; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9644 = _T_9643 | _T_9434; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_45; // @[Reg.scala 27:20] - wire _T_9436 = _T_4703 & ic_tag_valid_out_0_45; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9645 = _T_9644 | _T_9436; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9436 = _T_4703 & ic_tag_valid_out_0_45; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9645 = _T_9644 | _T_9436; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_46; // @[Reg.scala 27:20] - wire _T_9438 = _T_4707 & ic_tag_valid_out_0_46; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9646 = _T_9645 | _T_9438; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9438 = _T_4707 & ic_tag_valid_out_0_46; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9646 = _T_9645 | _T_9438; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_47; // @[Reg.scala 27:20] - wire _T_9440 = _T_4711 & ic_tag_valid_out_0_47; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9647 = _T_9646 | _T_9440; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9440 = _T_4711 & ic_tag_valid_out_0_47; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9647 = _T_9646 | _T_9440; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_48; // @[Reg.scala 27:20] - wire _T_9442 = _T_4715 & ic_tag_valid_out_0_48; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9648 = _T_9647 | _T_9442; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9442 = _T_4715 & ic_tag_valid_out_0_48; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9648 = _T_9647 | _T_9442; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_49; // @[Reg.scala 27:20] - wire _T_9444 = _T_4719 & ic_tag_valid_out_0_49; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9649 = _T_9648 | _T_9444; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9444 = _T_4719 & ic_tag_valid_out_0_49; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9649 = _T_9648 | _T_9444; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_50; // @[Reg.scala 27:20] - wire _T_9446 = _T_4723 & ic_tag_valid_out_0_50; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9650 = _T_9649 | _T_9446; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9446 = _T_4723 & ic_tag_valid_out_0_50; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9650 = _T_9649 | _T_9446; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_51; // @[Reg.scala 27:20] - wire _T_9448 = _T_4727 & ic_tag_valid_out_0_51; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9651 = _T_9650 | _T_9448; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9448 = _T_4727 & ic_tag_valid_out_0_51; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9651 = _T_9650 | _T_9448; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_52; // @[Reg.scala 27:20] - wire _T_9450 = _T_4731 & ic_tag_valid_out_0_52; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9652 = _T_9651 | _T_9450; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9450 = _T_4731 & ic_tag_valid_out_0_52; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9652 = _T_9651 | _T_9450; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_53; // @[Reg.scala 27:20] - wire _T_9452 = _T_4735 & ic_tag_valid_out_0_53; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9653 = _T_9652 | _T_9452; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9452 = _T_4735 & ic_tag_valid_out_0_53; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9653 = _T_9652 | _T_9452; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_54; // @[Reg.scala 27:20] - wire _T_9454 = _T_4739 & ic_tag_valid_out_0_54; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9654 = _T_9653 | _T_9454; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9454 = _T_4739 & ic_tag_valid_out_0_54; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9654 = _T_9653 | _T_9454; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_55; // @[Reg.scala 27:20] - wire _T_9456 = _T_4743 & ic_tag_valid_out_0_55; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9655 = _T_9654 | _T_9456; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9456 = _T_4743 & ic_tag_valid_out_0_55; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9655 = _T_9654 | _T_9456; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_56; // @[Reg.scala 27:20] - wire _T_9458 = _T_4747 & ic_tag_valid_out_0_56; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9656 = _T_9655 | _T_9458; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9458 = _T_4747 & ic_tag_valid_out_0_56; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9656 = _T_9655 | _T_9458; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_57; // @[Reg.scala 27:20] - wire _T_9460 = _T_4751 & ic_tag_valid_out_0_57; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9657 = _T_9656 | _T_9460; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9460 = _T_4751 & ic_tag_valid_out_0_57; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9657 = _T_9656 | _T_9460; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_58; // @[Reg.scala 27:20] - wire _T_9462 = _T_4755 & ic_tag_valid_out_0_58; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9658 = _T_9657 | _T_9462; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9462 = _T_4755 & ic_tag_valid_out_0_58; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9658 = _T_9657 | _T_9462; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_59; // @[Reg.scala 27:20] - wire _T_9464 = _T_4759 & ic_tag_valid_out_0_59; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9659 = _T_9658 | _T_9464; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9464 = _T_4759 & ic_tag_valid_out_0_59; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9659 = _T_9658 | _T_9464; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_60; // @[Reg.scala 27:20] - wire _T_9466 = _T_4763 & ic_tag_valid_out_0_60; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9660 = _T_9659 | _T_9466; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9466 = _T_4763 & ic_tag_valid_out_0_60; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9660 = _T_9659 | _T_9466; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_61; // @[Reg.scala 27:20] - wire _T_9468 = _T_4767 & ic_tag_valid_out_0_61; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9661 = _T_9660 | _T_9468; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9468 = _T_4767 & ic_tag_valid_out_0_61; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9661 = _T_9660 | _T_9468; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_62; // @[Reg.scala 27:20] - wire _T_9470 = _T_4771 & ic_tag_valid_out_0_62; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9662 = _T_9661 | _T_9470; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9470 = _T_4771 & ic_tag_valid_out_0_62; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9662 = _T_9661 | _T_9470; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_63; // @[Reg.scala 27:20] - wire _T_9472 = _T_4775 & ic_tag_valid_out_0_63; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9663 = _T_9662 | _T_9472; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9472 = _T_4775 & ic_tag_valid_out_0_63; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9663 = _T_9662 | _T_9472; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_64; // @[Reg.scala 27:20] - wire _T_9474 = _T_4779 & ic_tag_valid_out_0_64; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9664 = _T_9663 | _T_9474; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9474 = _T_4779 & ic_tag_valid_out_0_64; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9664 = _T_9663 | _T_9474; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_65; // @[Reg.scala 27:20] - wire _T_9476 = _T_4783 & ic_tag_valid_out_0_65; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9665 = _T_9664 | _T_9476; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9476 = _T_4783 & ic_tag_valid_out_0_65; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9665 = _T_9664 | _T_9476; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_66; // @[Reg.scala 27:20] - wire _T_9478 = _T_4787 & ic_tag_valid_out_0_66; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9666 = _T_9665 | _T_9478; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9478 = _T_4787 & ic_tag_valid_out_0_66; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9666 = _T_9665 | _T_9478; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_67; // @[Reg.scala 27:20] - wire _T_9480 = _T_4791 & ic_tag_valid_out_0_67; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9667 = _T_9666 | _T_9480; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9480 = _T_4791 & ic_tag_valid_out_0_67; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9667 = _T_9666 | _T_9480; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_68; // @[Reg.scala 27:20] - wire _T_9482 = _T_4795 & ic_tag_valid_out_0_68; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9668 = _T_9667 | _T_9482; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9482 = _T_4795 & ic_tag_valid_out_0_68; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9668 = _T_9667 | _T_9482; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_69; // @[Reg.scala 27:20] - wire _T_9484 = _T_4799 & ic_tag_valid_out_0_69; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9669 = _T_9668 | _T_9484; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9484 = _T_4799 & ic_tag_valid_out_0_69; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9669 = _T_9668 | _T_9484; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_70; // @[Reg.scala 27:20] - wire _T_9486 = _T_4803 & ic_tag_valid_out_0_70; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9670 = _T_9669 | _T_9486; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9486 = _T_4803 & ic_tag_valid_out_0_70; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9670 = _T_9669 | _T_9486; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_71; // @[Reg.scala 27:20] - wire _T_9488 = _T_4807 & ic_tag_valid_out_0_71; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9671 = _T_9670 | _T_9488; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9488 = _T_4807 & ic_tag_valid_out_0_71; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9671 = _T_9670 | _T_9488; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_72; // @[Reg.scala 27:20] - wire _T_9490 = _T_4811 & ic_tag_valid_out_0_72; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9672 = _T_9671 | _T_9490; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9490 = _T_4811 & ic_tag_valid_out_0_72; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9672 = _T_9671 | _T_9490; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_73; // @[Reg.scala 27:20] - wire _T_9492 = _T_4815 & ic_tag_valid_out_0_73; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9673 = _T_9672 | _T_9492; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9492 = _T_4815 & ic_tag_valid_out_0_73; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9673 = _T_9672 | _T_9492; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_74; // @[Reg.scala 27:20] - wire _T_9494 = _T_4819 & ic_tag_valid_out_0_74; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9674 = _T_9673 | _T_9494; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9494 = _T_4819 & ic_tag_valid_out_0_74; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9674 = _T_9673 | _T_9494; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_75; // @[Reg.scala 27:20] - wire _T_9496 = _T_4823 & ic_tag_valid_out_0_75; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9675 = _T_9674 | _T_9496; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9496 = _T_4823 & ic_tag_valid_out_0_75; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9675 = _T_9674 | _T_9496; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_76; // @[Reg.scala 27:20] - wire _T_9498 = _T_4827 & ic_tag_valid_out_0_76; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9676 = _T_9675 | _T_9498; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9498 = _T_4827 & ic_tag_valid_out_0_76; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9676 = _T_9675 | _T_9498; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_77; // @[Reg.scala 27:20] - wire _T_9500 = _T_4831 & ic_tag_valid_out_0_77; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9677 = _T_9676 | _T_9500; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9500 = _T_4831 & ic_tag_valid_out_0_77; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9677 = _T_9676 | _T_9500; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_78; // @[Reg.scala 27:20] - wire _T_9502 = _T_4835 & ic_tag_valid_out_0_78; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9678 = _T_9677 | _T_9502; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9502 = _T_4835 & ic_tag_valid_out_0_78; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9678 = _T_9677 | _T_9502; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_79; // @[Reg.scala 27:20] - wire _T_9504 = _T_4839 & ic_tag_valid_out_0_79; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9679 = _T_9678 | _T_9504; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9504 = _T_4839 & ic_tag_valid_out_0_79; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9679 = _T_9678 | _T_9504; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_80; // @[Reg.scala 27:20] - wire _T_9506 = _T_4843 & ic_tag_valid_out_0_80; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9680 = _T_9679 | _T_9506; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9506 = _T_4843 & ic_tag_valid_out_0_80; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9680 = _T_9679 | _T_9506; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_81; // @[Reg.scala 27:20] - wire _T_9508 = _T_4847 & ic_tag_valid_out_0_81; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9681 = _T_9680 | _T_9508; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9508 = _T_4847 & ic_tag_valid_out_0_81; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9681 = _T_9680 | _T_9508; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_82; // @[Reg.scala 27:20] - wire _T_9510 = _T_4851 & ic_tag_valid_out_0_82; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9682 = _T_9681 | _T_9510; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9510 = _T_4851 & ic_tag_valid_out_0_82; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9682 = _T_9681 | _T_9510; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_83; // @[Reg.scala 27:20] - wire _T_9512 = _T_4855 & ic_tag_valid_out_0_83; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9683 = _T_9682 | _T_9512; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9512 = _T_4855 & ic_tag_valid_out_0_83; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9683 = _T_9682 | _T_9512; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_84; // @[Reg.scala 27:20] - wire _T_9514 = _T_4859 & ic_tag_valid_out_0_84; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9684 = _T_9683 | _T_9514; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9514 = _T_4859 & ic_tag_valid_out_0_84; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9684 = _T_9683 | _T_9514; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_85; // @[Reg.scala 27:20] - wire _T_9516 = _T_4863 & ic_tag_valid_out_0_85; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9685 = _T_9684 | _T_9516; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9516 = _T_4863 & ic_tag_valid_out_0_85; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9685 = _T_9684 | _T_9516; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_86; // @[Reg.scala 27:20] - wire _T_9518 = _T_4867 & ic_tag_valid_out_0_86; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9686 = _T_9685 | _T_9518; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9518 = _T_4867 & ic_tag_valid_out_0_86; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9686 = _T_9685 | _T_9518; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_87; // @[Reg.scala 27:20] - wire _T_9520 = _T_4871 & ic_tag_valid_out_0_87; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9687 = _T_9686 | _T_9520; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9520 = _T_4871 & ic_tag_valid_out_0_87; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9687 = _T_9686 | _T_9520; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_88; // @[Reg.scala 27:20] - wire _T_9522 = _T_4875 & ic_tag_valid_out_0_88; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9688 = _T_9687 | _T_9522; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9522 = _T_4875 & ic_tag_valid_out_0_88; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9688 = _T_9687 | _T_9522; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_89; // @[Reg.scala 27:20] - wire _T_9524 = _T_4879 & ic_tag_valid_out_0_89; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9689 = _T_9688 | _T_9524; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9524 = _T_4879 & ic_tag_valid_out_0_89; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9689 = _T_9688 | _T_9524; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_90; // @[Reg.scala 27:20] - wire _T_9526 = _T_4883 & ic_tag_valid_out_0_90; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9690 = _T_9689 | _T_9526; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9526 = _T_4883 & ic_tag_valid_out_0_90; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9690 = _T_9689 | _T_9526; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_91; // @[Reg.scala 27:20] - wire _T_9528 = _T_4887 & ic_tag_valid_out_0_91; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9691 = _T_9690 | _T_9528; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9528 = _T_4887 & ic_tag_valid_out_0_91; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9691 = _T_9690 | _T_9528; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_92; // @[Reg.scala 27:20] - wire _T_9530 = _T_4891 & ic_tag_valid_out_0_92; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9692 = _T_9691 | _T_9530; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9530 = _T_4891 & ic_tag_valid_out_0_92; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9692 = _T_9691 | _T_9530; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_93; // @[Reg.scala 27:20] - wire _T_9532 = _T_4895 & ic_tag_valid_out_0_93; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9693 = _T_9692 | _T_9532; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9532 = _T_4895 & ic_tag_valid_out_0_93; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9693 = _T_9692 | _T_9532; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_94; // @[Reg.scala 27:20] - wire _T_9534 = _T_4899 & ic_tag_valid_out_0_94; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9694 = _T_9693 | _T_9534; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9534 = _T_4899 & ic_tag_valid_out_0_94; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9694 = _T_9693 | _T_9534; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_95; // @[Reg.scala 27:20] - wire _T_9536 = _T_4903 & ic_tag_valid_out_0_95; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9695 = _T_9694 | _T_9536; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9536 = _T_4903 & ic_tag_valid_out_0_95; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9695 = _T_9694 | _T_9536; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_96; // @[Reg.scala 27:20] - wire _T_9538 = _T_4907 & ic_tag_valid_out_0_96; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9696 = _T_9695 | _T_9538; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9538 = _T_4907 & ic_tag_valid_out_0_96; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9696 = _T_9695 | _T_9538; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_97; // @[Reg.scala 27:20] - wire _T_9540 = _T_4911 & ic_tag_valid_out_0_97; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9697 = _T_9696 | _T_9540; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9540 = _T_4911 & ic_tag_valid_out_0_97; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9697 = _T_9696 | _T_9540; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_98; // @[Reg.scala 27:20] - wire _T_9542 = _T_4915 & ic_tag_valid_out_0_98; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9698 = _T_9697 | _T_9542; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9542 = _T_4915 & ic_tag_valid_out_0_98; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9698 = _T_9697 | _T_9542; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_99; // @[Reg.scala 27:20] - wire _T_9544 = _T_4919 & ic_tag_valid_out_0_99; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9699 = _T_9698 | _T_9544; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9544 = _T_4919 & ic_tag_valid_out_0_99; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9699 = _T_9698 | _T_9544; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_100; // @[Reg.scala 27:20] - wire _T_9546 = _T_4923 & ic_tag_valid_out_0_100; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9700 = _T_9699 | _T_9546; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9546 = _T_4923 & ic_tag_valid_out_0_100; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9700 = _T_9699 | _T_9546; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_101; // @[Reg.scala 27:20] - wire _T_9548 = _T_4927 & ic_tag_valid_out_0_101; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9701 = _T_9700 | _T_9548; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9548 = _T_4927 & ic_tag_valid_out_0_101; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9701 = _T_9700 | _T_9548; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_102; // @[Reg.scala 27:20] - wire _T_9550 = _T_4931 & ic_tag_valid_out_0_102; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9702 = _T_9701 | _T_9550; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9550 = _T_4931 & ic_tag_valid_out_0_102; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9702 = _T_9701 | _T_9550; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_103; // @[Reg.scala 27:20] - wire _T_9552 = _T_4935 & ic_tag_valid_out_0_103; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9703 = _T_9702 | _T_9552; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9552 = _T_4935 & ic_tag_valid_out_0_103; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9703 = _T_9702 | _T_9552; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_104; // @[Reg.scala 27:20] - wire _T_9554 = _T_4939 & ic_tag_valid_out_0_104; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9704 = _T_9703 | _T_9554; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9554 = _T_4939 & ic_tag_valid_out_0_104; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9704 = _T_9703 | _T_9554; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_105; // @[Reg.scala 27:20] - wire _T_9556 = _T_4943 & ic_tag_valid_out_0_105; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9705 = _T_9704 | _T_9556; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9556 = _T_4943 & ic_tag_valid_out_0_105; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9705 = _T_9704 | _T_9556; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_106; // @[Reg.scala 27:20] - wire _T_9558 = _T_4947 & ic_tag_valid_out_0_106; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9706 = _T_9705 | _T_9558; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9558 = _T_4947 & ic_tag_valid_out_0_106; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9706 = _T_9705 | _T_9558; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_107; // @[Reg.scala 27:20] - wire _T_9560 = _T_4951 & ic_tag_valid_out_0_107; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9707 = _T_9706 | _T_9560; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9560 = _T_4951 & ic_tag_valid_out_0_107; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9707 = _T_9706 | _T_9560; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_108; // @[Reg.scala 27:20] - wire _T_9562 = _T_4955 & ic_tag_valid_out_0_108; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9708 = _T_9707 | _T_9562; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9562 = _T_4955 & ic_tag_valid_out_0_108; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9708 = _T_9707 | _T_9562; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_109; // @[Reg.scala 27:20] - wire _T_9564 = _T_4959 & ic_tag_valid_out_0_109; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9709 = _T_9708 | _T_9564; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9564 = _T_4959 & ic_tag_valid_out_0_109; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9709 = _T_9708 | _T_9564; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_110; // @[Reg.scala 27:20] - wire _T_9566 = _T_4963 & ic_tag_valid_out_0_110; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9710 = _T_9709 | _T_9566; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9566 = _T_4963 & ic_tag_valid_out_0_110; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9710 = _T_9709 | _T_9566; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_111; // @[Reg.scala 27:20] - wire _T_9568 = _T_4967 & ic_tag_valid_out_0_111; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9711 = _T_9710 | _T_9568; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9568 = _T_4967 & ic_tag_valid_out_0_111; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9711 = _T_9710 | _T_9568; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_112; // @[Reg.scala 27:20] - wire _T_9570 = _T_4971 & ic_tag_valid_out_0_112; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9712 = _T_9711 | _T_9570; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9570 = _T_4971 & ic_tag_valid_out_0_112; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9712 = _T_9711 | _T_9570; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_113; // @[Reg.scala 27:20] - wire _T_9572 = _T_4975 & ic_tag_valid_out_0_113; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9713 = _T_9712 | _T_9572; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9572 = _T_4975 & ic_tag_valid_out_0_113; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9713 = _T_9712 | _T_9572; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_114; // @[Reg.scala 27:20] - wire _T_9574 = _T_4979 & ic_tag_valid_out_0_114; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9714 = _T_9713 | _T_9574; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9574 = _T_4979 & ic_tag_valid_out_0_114; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9714 = _T_9713 | _T_9574; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_115; // @[Reg.scala 27:20] - wire _T_9576 = _T_4983 & ic_tag_valid_out_0_115; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9715 = _T_9714 | _T_9576; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9576 = _T_4983 & ic_tag_valid_out_0_115; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9715 = _T_9714 | _T_9576; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_116; // @[Reg.scala 27:20] - wire _T_9578 = _T_4987 & ic_tag_valid_out_0_116; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9716 = _T_9715 | _T_9578; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9578 = _T_4987 & ic_tag_valid_out_0_116; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9716 = _T_9715 | _T_9578; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_117; // @[Reg.scala 27:20] - wire _T_9580 = _T_4991 & ic_tag_valid_out_0_117; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9717 = _T_9716 | _T_9580; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9580 = _T_4991 & ic_tag_valid_out_0_117; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9717 = _T_9716 | _T_9580; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_118; // @[Reg.scala 27:20] - wire _T_9582 = _T_4995 & ic_tag_valid_out_0_118; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9718 = _T_9717 | _T_9582; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9582 = _T_4995 & ic_tag_valid_out_0_118; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9718 = _T_9717 | _T_9582; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_119; // @[Reg.scala 27:20] - wire _T_9584 = _T_4999 & ic_tag_valid_out_0_119; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9719 = _T_9718 | _T_9584; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9584 = _T_4999 & ic_tag_valid_out_0_119; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9719 = _T_9718 | _T_9584; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_120; // @[Reg.scala 27:20] - wire _T_9586 = _T_5003 & ic_tag_valid_out_0_120; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9720 = _T_9719 | _T_9586; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9586 = _T_5003 & ic_tag_valid_out_0_120; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9720 = _T_9719 | _T_9586; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_121; // @[Reg.scala 27:20] - wire _T_9588 = _T_5007 & ic_tag_valid_out_0_121; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9721 = _T_9720 | _T_9588; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9588 = _T_5007 & ic_tag_valid_out_0_121; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9721 = _T_9720 | _T_9588; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_122; // @[Reg.scala 27:20] - wire _T_9590 = _T_5011 & ic_tag_valid_out_0_122; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9722 = _T_9721 | _T_9590; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9590 = _T_5011 & ic_tag_valid_out_0_122; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9722 = _T_9721 | _T_9590; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_123; // @[Reg.scala 27:20] - wire _T_9592 = _T_5015 & ic_tag_valid_out_0_123; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9723 = _T_9722 | _T_9592; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9592 = _T_5015 & ic_tag_valid_out_0_123; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9723 = _T_9722 | _T_9592; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_124; // @[Reg.scala 27:20] - wire _T_9594 = _T_5019 & ic_tag_valid_out_0_124; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9724 = _T_9723 | _T_9594; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9594 = _T_5019 & ic_tag_valid_out_0_124; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9724 = _T_9723 | _T_9594; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_125; // @[Reg.scala 27:20] - wire _T_9596 = _T_5023 & ic_tag_valid_out_0_125; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9725 = _T_9724 | _T_9596; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9596 = _T_5023 & ic_tag_valid_out_0_125; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9725 = _T_9724 | _T_9596; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_126; // @[Reg.scala 27:20] - wire _T_9598 = _T_5027 & ic_tag_valid_out_0_126; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9726 = _T_9725 | _T_9598; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9598 = _T_5027 & ic_tag_valid_out_0_126; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9726 = _T_9725 | _T_9598; // @[el2_ifu_mem_ctl.scala 752:91] reg ic_tag_valid_out_0_127; // @[Reg.scala 27:20] - wire _T_9600 = _T_5031 & ic_tag_valid_out_0_127; // @[el2_ifu_mem_ctl.scala 751:10] - wire _T_9727 = _T_9726 | _T_9600; // @[el2_ifu_mem_ctl.scala 751:91] + wire _T_9600 = _T_5031 & ic_tag_valid_out_0_127; // @[el2_ifu_mem_ctl.scala 752:10] + wire _T_9727 = _T_9726 | _T_9600; // @[el2_ifu_mem_ctl.scala 752:91] wire [1:0] ic_tag_valid_unq = {_T_10110,_T_9727}; // @[Cat.scala 29:58] reg [1:0] ic_debug_way_ff; // @[Reg.scala 27:20] - reg ic_debug_rd_en_ff; // @[el2_ifu_mem_ctl.scala 824:54] - wire [1:0] _T_10149 = ic_debug_rd_en_ff ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_10150 = ic_debug_way_ff & _T_10149; // @[el2_ifu_mem_ctl.scala 805:67] - wire [1:0] _T_10151 = ic_tag_valid_unq & _T_10150; // @[el2_ifu_mem_ctl.scala 805:48] - wire ic_debug_tag_val_rd_out = |_T_10151; // @[el2_ifu_mem_ctl.scala 805:115] + reg ic_debug_rd_en_ff; // @[el2_ifu_mem_ctl.scala 826:54] + wire [1:0] _T_10150 = ic_debug_rd_en_ff ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_10151 = ic_debug_way_ff & _T_10150; // @[el2_ifu_mem_ctl.scala 807:67] + wire [1:0] _T_10152 = ic_tag_valid_unq & _T_10151; // @[el2_ifu_mem_ctl.scala 807:48] + wire ic_debug_tag_val_rd_out = |_T_10152; // @[el2_ifu_mem_ctl.scala 807:115] wire [65:0] _T_1208 = {2'h0,io_ictag_debug_rd_data[25:21],32'h0,io_ictag_debug_rd_data[20:0],1'h0,way_status,3'h0,ic_debug_tag_val_rd_out}; // @[Cat.scala 29:58] reg [70:0] _T_1209; // @[Reg.scala 27:20] - wire ifu_wr_cumulative_err = ifu_wr_cumulative_err_data & _T_2591; // @[el2_ifu_mem_ctl.scala 364:80] - wire _T_1247 = ~ifu_byp_data_err_new; // @[el2_ifu_mem_ctl.scala 369:98] - wire sel_byp_data = _T_1251 & _T_1247; // @[el2_ifu_mem_ctl.scala 369:96] + wire ifu_wr_cumulative_err = ifu_wr_cumulative_err_data & _T_2591; // @[el2_ifu_mem_ctl.scala 365:80] + wire _T_1247 = ~ifu_byp_data_err_new; // @[el2_ifu_mem_ctl.scala 370:98] + wire sel_byp_data = _T_1251 & _T_1247; // @[el2_ifu_mem_ctl.scala 370:96] wire [63:0] _T_1258 = fetch_req_iccm_f ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_1259 = _T_1258 & io_iccm_rd_data; // @[el2_ifu_mem_ctl.scala 376:64] + wire [63:0] _T_1259 = _T_1258 & io_iccm_rd_data; // @[el2_ifu_mem_ctl.scala 377:64] wire [63:0] _T_1261 = sel_byp_data ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire _T_2113 = ~ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 444:31] - wire _T_1626 = ~ifu_fetch_addr_int_f[1]; // @[el2_ifu_mem_ctl.scala 440:38] + wire _T_2113 = ~ifu_fetch_addr_int_f[0]; // @[el2_ifu_mem_ctl.scala 445:31] + wire _T_1626 = ~ifu_fetch_addr_int_f[1]; // @[el2_ifu_mem_ctl.scala 441:38] wire [3:0] byp_fetch_index_inc_0 = {byp_fetch_index_inc,1'h0}; // @[Cat.scala 29:58] - wire _T_1627 = byp_fetch_index_inc_0 == 4'h0; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1627 = byp_fetch_index_inc_0 == 4'h0; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1675 = _T_1627 ? ic_miss_buff_data_0[15:0] : 16'h0; // @[Mux.scala 27:72] - wire _T_1630 = byp_fetch_index_inc_0 == 4'h1; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1630 = byp_fetch_index_inc_0 == 4'h1; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1676 = _T_1630 ? ic_miss_buff_data_1[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1691 = _T_1675 | _T_1676; // @[Mux.scala 27:72] - wire _T_1633 = byp_fetch_index_inc_0 == 4'h2; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1633 = byp_fetch_index_inc_0 == 4'h2; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1677 = _T_1633 ? ic_miss_buff_data_2[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1692 = _T_1691 | _T_1677; // @[Mux.scala 27:72] - wire _T_1636 = byp_fetch_index_inc_0 == 4'h3; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1636 = byp_fetch_index_inc_0 == 4'h3; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1678 = _T_1636 ? ic_miss_buff_data_3[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1693 = _T_1692 | _T_1678; // @[Mux.scala 27:72] - wire _T_1639 = byp_fetch_index_inc_0 == 4'h4; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1639 = byp_fetch_index_inc_0 == 4'h4; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1679 = _T_1639 ? ic_miss_buff_data_4[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1694 = _T_1693 | _T_1679; // @[Mux.scala 27:72] - wire _T_1642 = byp_fetch_index_inc_0 == 4'h5; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1642 = byp_fetch_index_inc_0 == 4'h5; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1680 = _T_1642 ? ic_miss_buff_data_5[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1695 = _T_1694 | _T_1680; // @[Mux.scala 27:72] - wire _T_1645 = byp_fetch_index_inc_0 == 4'h6; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1645 = byp_fetch_index_inc_0 == 4'h6; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1681 = _T_1645 ? ic_miss_buff_data_6[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1696 = _T_1695 | _T_1681; // @[Mux.scala 27:72] - wire _T_1648 = byp_fetch_index_inc_0 == 4'h7; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1648 = byp_fetch_index_inc_0 == 4'h7; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1682 = _T_1648 ? ic_miss_buff_data_7[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1697 = _T_1696 | _T_1682; // @[Mux.scala 27:72] - wire _T_1651 = byp_fetch_index_inc_0 == 4'h8; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1651 = byp_fetch_index_inc_0 == 4'h8; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1683 = _T_1651 ? ic_miss_buff_data_8[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1698 = _T_1697 | _T_1683; // @[Mux.scala 27:72] - wire _T_1654 = byp_fetch_index_inc_0 == 4'h9; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1654 = byp_fetch_index_inc_0 == 4'h9; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1684 = _T_1654 ? ic_miss_buff_data_9[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1699 = _T_1698 | _T_1684; // @[Mux.scala 27:72] - wire _T_1657 = byp_fetch_index_inc_0 == 4'ha; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1657 = byp_fetch_index_inc_0 == 4'ha; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1685 = _T_1657 ? ic_miss_buff_data_10[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1700 = _T_1699 | _T_1685; // @[Mux.scala 27:72] - wire _T_1660 = byp_fetch_index_inc_0 == 4'hb; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1660 = byp_fetch_index_inc_0 == 4'hb; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1686 = _T_1660 ? ic_miss_buff_data_11[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1701 = _T_1700 | _T_1686; // @[Mux.scala 27:72] - wire _T_1663 = byp_fetch_index_inc_0 == 4'hc; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1663 = byp_fetch_index_inc_0 == 4'hc; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1687 = _T_1663 ? ic_miss_buff_data_12[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1702 = _T_1701 | _T_1687; // @[Mux.scala 27:72] - wire _T_1666 = byp_fetch_index_inc_0 == 4'hd; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1666 = byp_fetch_index_inc_0 == 4'hd; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1688 = _T_1666 ? ic_miss_buff_data_13[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1703 = _T_1702 | _T_1688; // @[Mux.scala 27:72] - wire _T_1669 = byp_fetch_index_inc_0 == 4'he; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1669 = byp_fetch_index_inc_0 == 4'he; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1689 = _T_1669 ? ic_miss_buff_data_14[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1704 = _T_1703 | _T_1689; // @[Mux.scala 27:72] - wire _T_1672 = byp_fetch_index_inc_0 == 4'hf; // @[el2_ifu_mem_ctl.scala 441:73] + wire _T_1672 = byp_fetch_index_inc_0 == 4'hf; // @[el2_ifu_mem_ctl.scala 442:73] wire [15:0] _T_1690 = _T_1672 ? ic_miss_buff_data_15[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1705 = _T_1704 | _T_1690; // @[Mux.scala 27:72] wire [3:0] byp_fetch_index_1 = {ifu_fetch_addr_int_f[4:2],1'h1}; // @[Cat.scala 29:58] - wire _T_1707 = byp_fetch_index_1 == 4'h0; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1707 = byp_fetch_index_1 == 4'h0; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1755 = _T_1707 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] - wire _T_1710 = byp_fetch_index_1 == 4'h1; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1710 = byp_fetch_index_1 == 4'h1; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1756 = _T_1710 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1771 = _T_1755 | _T_1756; // @[Mux.scala 27:72] - wire _T_1713 = byp_fetch_index_1 == 4'h2; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1713 = byp_fetch_index_1 == 4'h2; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1757 = _T_1713 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1772 = _T_1771 | _T_1757; // @[Mux.scala 27:72] - wire _T_1716 = byp_fetch_index_1 == 4'h3; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1716 = byp_fetch_index_1 == 4'h3; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1758 = _T_1716 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1773 = _T_1772 | _T_1758; // @[Mux.scala 27:72] - wire _T_1719 = byp_fetch_index_1 == 4'h4; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1719 = byp_fetch_index_1 == 4'h4; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1759 = _T_1719 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1774 = _T_1773 | _T_1759; // @[Mux.scala 27:72] - wire _T_1722 = byp_fetch_index_1 == 4'h5; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1722 = byp_fetch_index_1 == 4'h5; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1760 = _T_1722 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1775 = _T_1774 | _T_1760; // @[Mux.scala 27:72] - wire _T_1725 = byp_fetch_index_1 == 4'h6; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1725 = byp_fetch_index_1 == 4'h6; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1761 = _T_1725 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1776 = _T_1775 | _T_1761; // @[Mux.scala 27:72] - wire _T_1728 = byp_fetch_index_1 == 4'h7; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1728 = byp_fetch_index_1 == 4'h7; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1762 = _T_1728 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1777 = _T_1776 | _T_1762; // @[Mux.scala 27:72] - wire _T_1731 = byp_fetch_index_1 == 4'h8; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1731 = byp_fetch_index_1 == 4'h8; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1763 = _T_1731 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1778 = _T_1777 | _T_1763; // @[Mux.scala 27:72] - wire _T_1734 = byp_fetch_index_1 == 4'h9; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1734 = byp_fetch_index_1 == 4'h9; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1764 = _T_1734 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1779 = _T_1778 | _T_1764; // @[Mux.scala 27:72] - wire _T_1737 = byp_fetch_index_1 == 4'ha; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1737 = byp_fetch_index_1 == 4'ha; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1765 = _T_1737 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1780 = _T_1779 | _T_1765; // @[Mux.scala 27:72] - wire _T_1740 = byp_fetch_index_1 == 4'hb; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1740 = byp_fetch_index_1 == 4'hb; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1766 = _T_1740 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1781 = _T_1780 | _T_1766; // @[Mux.scala 27:72] - wire _T_1743 = byp_fetch_index_1 == 4'hc; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1743 = byp_fetch_index_1 == 4'hc; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1767 = _T_1743 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1782 = _T_1781 | _T_1767; // @[Mux.scala 27:72] - wire _T_1746 = byp_fetch_index_1 == 4'hd; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1746 = byp_fetch_index_1 == 4'hd; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1768 = _T_1746 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1783 = _T_1782 | _T_1768; // @[Mux.scala 27:72] - wire _T_1749 = byp_fetch_index_1 == 4'he; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1749 = byp_fetch_index_1 == 4'he; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1769 = _T_1749 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1784 = _T_1783 | _T_1769; // @[Mux.scala 27:72] - wire _T_1752 = byp_fetch_index_1 == 4'hf; // @[el2_ifu_mem_ctl.scala 441:179] + wire _T_1752 = byp_fetch_index_1 == 4'hf; // @[el2_ifu_mem_ctl.scala 442:179] wire [31:0] _T_1770 = _T_1752 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1785 = _T_1784 | _T_1770; // @[Mux.scala 27:72] wire [3:0] byp_fetch_index_0 = {ifu_fetch_addr_int_f[4:2],1'h0}; // @[Cat.scala 29:58] - wire _T_1787 = byp_fetch_index_0 == 4'h0; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1787 = byp_fetch_index_0 == 4'h0; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1835 = _T_1787 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] - wire _T_1790 = byp_fetch_index_0 == 4'h1; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1790 = byp_fetch_index_0 == 4'h1; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1836 = _T_1790 ? ic_miss_buff_data_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1851 = _T_1835 | _T_1836; // @[Mux.scala 27:72] - wire _T_1793 = byp_fetch_index_0 == 4'h2; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1793 = byp_fetch_index_0 == 4'h2; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1837 = _T_1793 ? ic_miss_buff_data_2 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1852 = _T_1851 | _T_1837; // @[Mux.scala 27:72] - wire _T_1796 = byp_fetch_index_0 == 4'h3; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1796 = byp_fetch_index_0 == 4'h3; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1838 = _T_1796 ? ic_miss_buff_data_3 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1853 = _T_1852 | _T_1838; // @[Mux.scala 27:72] - wire _T_1799 = byp_fetch_index_0 == 4'h4; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1799 = byp_fetch_index_0 == 4'h4; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1839 = _T_1799 ? ic_miss_buff_data_4 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1854 = _T_1853 | _T_1839; // @[Mux.scala 27:72] - wire _T_1802 = byp_fetch_index_0 == 4'h5; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1802 = byp_fetch_index_0 == 4'h5; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1840 = _T_1802 ? ic_miss_buff_data_5 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1855 = _T_1854 | _T_1840; // @[Mux.scala 27:72] - wire _T_1805 = byp_fetch_index_0 == 4'h6; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1805 = byp_fetch_index_0 == 4'h6; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1841 = _T_1805 ? ic_miss_buff_data_6 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1856 = _T_1855 | _T_1841; // @[Mux.scala 27:72] - wire _T_1808 = byp_fetch_index_0 == 4'h7; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1808 = byp_fetch_index_0 == 4'h7; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1842 = _T_1808 ? ic_miss_buff_data_7 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1857 = _T_1856 | _T_1842; // @[Mux.scala 27:72] - wire _T_1811 = byp_fetch_index_0 == 4'h8; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1811 = byp_fetch_index_0 == 4'h8; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1843 = _T_1811 ? ic_miss_buff_data_8 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1858 = _T_1857 | _T_1843; // @[Mux.scala 27:72] - wire _T_1814 = byp_fetch_index_0 == 4'h9; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1814 = byp_fetch_index_0 == 4'h9; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1844 = _T_1814 ? ic_miss_buff_data_9 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1859 = _T_1858 | _T_1844; // @[Mux.scala 27:72] - wire _T_1817 = byp_fetch_index_0 == 4'ha; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1817 = byp_fetch_index_0 == 4'ha; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1845 = _T_1817 ? ic_miss_buff_data_10 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1860 = _T_1859 | _T_1845; // @[Mux.scala 27:72] - wire _T_1820 = byp_fetch_index_0 == 4'hb; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1820 = byp_fetch_index_0 == 4'hb; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1846 = _T_1820 ? ic_miss_buff_data_11 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1861 = _T_1860 | _T_1846; // @[Mux.scala 27:72] - wire _T_1823 = byp_fetch_index_0 == 4'hc; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1823 = byp_fetch_index_0 == 4'hc; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1847 = _T_1823 ? ic_miss_buff_data_12 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1862 = _T_1861 | _T_1847; // @[Mux.scala 27:72] - wire _T_1826 = byp_fetch_index_0 == 4'hd; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1826 = byp_fetch_index_0 == 4'hd; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1848 = _T_1826 ? ic_miss_buff_data_13 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1863 = _T_1862 | _T_1848; // @[Mux.scala 27:72] - wire _T_1829 = byp_fetch_index_0 == 4'he; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1829 = byp_fetch_index_0 == 4'he; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1849 = _T_1829 ? ic_miss_buff_data_14 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1864 = _T_1863 | _T_1849; // @[Mux.scala 27:72] - wire _T_1832 = byp_fetch_index_0 == 4'hf; // @[el2_ifu_mem_ctl.scala 441:285] + wire _T_1832 = byp_fetch_index_0 == 4'hf; // @[el2_ifu_mem_ctl.scala 442:285] wire [31:0] _T_1850 = _T_1832 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1865 = _T_1864 | _T_1850; // @[Mux.scala 27:72] wire [79:0] _T_1868 = {_T_1705,_T_1785,_T_1865}; // @[Cat.scala 29:58] wire [3:0] byp_fetch_index_inc_1 = {byp_fetch_index_inc,1'h1}; // @[Cat.scala 29:58] - wire _T_1869 = byp_fetch_index_inc_1 == 4'h0; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1869 = byp_fetch_index_inc_1 == 4'h0; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1917 = _T_1869 ? ic_miss_buff_data_0[15:0] : 16'h0; // @[Mux.scala 27:72] - wire _T_1872 = byp_fetch_index_inc_1 == 4'h1; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1872 = byp_fetch_index_inc_1 == 4'h1; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1918 = _T_1872 ? ic_miss_buff_data_1[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1933 = _T_1917 | _T_1918; // @[Mux.scala 27:72] - wire _T_1875 = byp_fetch_index_inc_1 == 4'h2; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1875 = byp_fetch_index_inc_1 == 4'h2; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1919 = _T_1875 ? ic_miss_buff_data_2[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1934 = _T_1933 | _T_1919; // @[Mux.scala 27:72] - wire _T_1878 = byp_fetch_index_inc_1 == 4'h3; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1878 = byp_fetch_index_inc_1 == 4'h3; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1920 = _T_1878 ? ic_miss_buff_data_3[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1935 = _T_1934 | _T_1920; // @[Mux.scala 27:72] - wire _T_1881 = byp_fetch_index_inc_1 == 4'h4; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1881 = byp_fetch_index_inc_1 == 4'h4; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1921 = _T_1881 ? ic_miss_buff_data_4[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1936 = _T_1935 | _T_1921; // @[Mux.scala 27:72] - wire _T_1884 = byp_fetch_index_inc_1 == 4'h5; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1884 = byp_fetch_index_inc_1 == 4'h5; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1922 = _T_1884 ? ic_miss_buff_data_5[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1937 = _T_1936 | _T_1922; // @[Mux.scala 27:72] - wire _T_1887 = byp_fetch_index_inc_1 == 4'h6; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1887 = byp_fetch_index_inc_1 == 4'h6; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1923 = _T_1887 ? ic_miss_buff_data_6[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1938 = _T_1937 | _T_1923; // @[Mux.scala 27:72] - wire _T_1890 = byp_fetch_index_inc_1 == 4'h7; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1890 = byp_fetch_index_inc_1 == 4'h7; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1924 = _T_1890 ? ic_miss_buff_data_7[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1939 = _T_1938 | _T_1924; // @[Mux.scala 27:72] - wire _T_1893 = byp_fetch_index_inc_1 == 4'h8; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1893 = byp_fetch_index_inc_1 == 4'h8; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1925 = _T_1893 ? ic_miss_buff_data_8[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1940 = _T_1939 | _T_1925; // @[Mux.scala 27:72] - wire _T_1896 = byp_fetch_index_inc_1 == 4'h9; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1896 = byp_fetch_index_inc_1 == 4'h9; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1926 = _T_1896 ? ic_miss_buff_data_9[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1941 = _T_1940 | _T_1926; // @[Mux.scala 27:72] - wire _T_1899 = byp_fetch_index_inc_1 == 4'ha; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1899 = byp_fetch_index_inc_1 == 4'ha; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1927 = _T_1899 ? ic_miss_buff_data_10[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1942 = _T_1941 | _T_1927; // @[Mux.scala 27:72] - wire _T_1902 = byp_fetch_index_inc_1 == 4'hb; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1902 = byp_fetch_index_inc_1 == 4'hb; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1928 = _T_1902 ? ic_miss_buff_data_11[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1943 = _T_1942 | _T_1928; // @[Mux.scala 27:72] - wire _T_1905 = byp_fetch_index_inc_1 == 4'hc; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1905 = byp_fetch_index_inc_1 == 4'hc; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1929 = _T_1905 ? ic_miss_buff_data_12[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1944 = _T_1943 | _T_1929; // @[Mux.scala 27:72] - wire _T_1908 = byp_fetch_index_inc_1 == 4'hd; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1908 = byp_fetch_index_inc_1 == 4'hd; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1930 = _T_1908 ? ic_miss_buff_data_13[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1945 = _T_1944 | _T_1930; // @[Mux.scala 27:72] - wire _T_1911 = byp_fetch_index_inc_1 == 4'he; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1911 = byp_fetch_index_inc_1 == 4'he; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1931 = _T_1911 ? ic_miss_buff_data_14[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1946 = _T_1945 | _T_1931; // @[Mux.scala 27:72] - wire _T_1914 = byp_fetch_index_inc_1 == 4'hf; // @[el2_ifu_mem_ctl.scala 442:73] + wire _T_1914 = byp_fetch_index_inc_1 == 4'hf; // @[el2_ifu_mem_ctl.scala 443:73] wire [15:0] _T_1932 = _T_1914 ? ic_miss_buff_data_15[15:0] : 16'h0; // @[Mux.scala 27:72] wire [15:0] _T_1947 = _T_1946 | _T_1932; // @[Mux.scala 27:72] wire [31:0] _T_1997 = _T_1627 ? ic_miss_buff_data_0 : 32'h0; // @[Mux.scala 27:72] @@ -3223,49 +3223,49 @@ module el2_ifu_mem_ctl( wire [31:0] _T_2012 = _T_1672 ? ic_miss_buff_data_15 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_2027 = _T_2026 | _T_2012; // @[Mux.scala 27:72] wire [79:0] _T_2110 = {_T_1947,_T_2027,_T_1785}; // @[Cat.scala 29:58] - wire [79:0] ic_byp_data_only_pre_new = _T_1626 ? _T_1868 : _T_2110; // @[el2_ifu_mem_ctl.scala 440:37] + wire [79:0] ic_byp_data_only_pre_new = _T_1626 ? _T_1868 : _T_2110; // @[el2_ifu_mem_ctl.scala 441:37] wire [79:0] _T_2115 = {16'h0,ic_byp_data_only_pre_new[79:16]}; // @[Cat.scala 29:58] - wire [79:0] ic_byp_data_only_new = _T_2113 ? ic_byp_data_only_pre_new : _T_2115; // @[el2_ifu_mem_ctl.scala 444:30] - wire [79:0] _GEN_794 = {{16'd0}, _T_1261}; // @[el2_ifu_mem_ctl.scala 376:109] - wire [79:0] _T_1262 = _GEN_794 & ic_byp_data_only_new; // @[el2_ifu_mem_ctl.scala 376:109] - wire [79:0] _GEN_795 = {{16'd0}, _T_1259}; // @[el2_ifu_mem_ctl.scala 376:83] - wire [79:0] ic_premux_data = _GEN_795 | _T_1262; // @[el2_ifu_mem_ctl.scala 376:83] - wire fetch_req_f_qual = io_ic_hit_f & _T_317; // @[el2_ifu_mem_ctl.scala 383:38] - wire [1:0] _T_1271 = ifc_region_acc_fault_f ? 2'h2 : 2'h0; // @[el2_ifu_mem_ctl.scala 387:8] - wire _T_1273 = fetch_req_f_qual & io_ifu_bp_inst_mask_f; // @[el2_ifu_mem_ctl.scala 389:45] - wire _T_1275 = byp_fetch_index == 5'h1f; // @[el2_ifu_mem_ctl.scala 389:80] - wire _T_1276 = ~_T_1275; // @[el2_ifu_mem_ctl.scala 389:71] - wire _T_1277 = _T_1273 & _T_1276; // @[el2_ifu_mem_ctl.scala 389:69] - wire _T_1278 = err_stop_state != 2'h2; // @[el2_ifu_mem_ctl.scala 389:131] - wire _T_1279 = _T_1277 & _T_1278; // @[el2_ifu_mem_ctl.scala 389:114] + wire [79:0] ic_byp_data_only_new = _T_2113 ? ic_byp_data_only_pre_new : _T_2115; // @[el2_ifu_mem_ctl.scala 445:30] + wire [79:0] _GEN_794 = {{16'd0}, _T_1261}; // @[el2_ifu_mem_ctl.scala 377:109] + wire [79:0] _T_1262 = _GEN_794 & ic_byp_data_only_new; // @[el2_ifu_mem_ctl.scala 377:109] + wire [79:0] _GEN_795 = {{16'd0}, _T_1259}; // @[el2_ifu_mem_ctl.scala 377:83] + wire [79:0] ic_premux_data = _GEN_795 | _T_1262; // @[el2_ifu_mem_ctl.scala 377:83] + wire fetch_req_f_qual = io_ic_hit_f & _T_317; // @[el2_ifu_mem_ctl.scala 384:38] + wire [1:0] _T_1271 = ifc_region_acc_fault_f ? 2'h2 : 2'h0; // @[el2_ifu_mem_ctl.scala 388:8] + wire _T_1273 = fetch_req_f_qual & io_ifu_bp_inst_mask_f; // @[el2_ifu_mem_ctl.scala 390:45] + wire _T_1275 = byp_fetch_index == 5'h1f; // @[el2_ifu_mem_ctl.scala 390:80] + wire _T_1276 = ~_T_1275; // @[el2_ifu_mem_ctl.scala 390:71] + wire _T_1277 = _T_1273 & _T_1276; // @[el2_ifu_mem_ctl.scala 390:69] + wire _T_1278 = err_stop_state != 2'h2; // @[el2_ifu_mem_ctl.scala 390:131] + wire _T_1279 = _T_1277 & _T_1278; // @[el2_ifu_mem_ctl.scala 390:114] wire [7:0] _T_1368 = {ic_miss_buff_data_valid_in_7,ic_miss_buff_data_valid_in_6,ic_miss_buff_data_valid_in_5,ic_miss_buff_data_valid_in_4,ic_miss_buff_data_valid_in_3,ic_miss_buff_data_valid_in_2,ic_miss_buff_data_valid_in_1,ic_miss_buff_data_valid_in_0}; // @[Cat.scala 29:58] - wire _T_1373 = ic_miss_buff_data_error[0] & _T_1339; // @[el2_ifu_mem_ctl.scala 407:32] - wire _T_2659 = |io_ifu_axi_rresp; // @[el2_ifu_mem_ctl.scala 620:47] - wire _T_2660 = _T_2659 & _T_13; // @[el2_ifu_mem_ctl.scala 620:50] - wire bus_ifu_wr_data_error = _T_2660 & miss_pending; // @[el2_ifu_mem_ctl.scala 620:68] - wire ic_miss_buff_data_error_in_0 = write_fill_data_0 ? bus_ifu_wr_data_error : _T_1373; // @[el2_ifu_mem_ctl.scala 406:72] - wire _T_1377 = ic_miss_buff_data_error[1] & _T_1339; // @[el2_ifu_mem_ctl.scala 407:32] - wire ic_miss_buff_data_error_in_1 = write_fill_data_1 ? bus_ifu_wr_data_error : _T_1377; // @[el2_ifu_mem_ctl.scala 406:72] - wire _T_1381 = ic_miss_buff_data_error[2] & _T_1339; // @[el2_ifu_mem_ctl.scala 407:32] - wire ic_miss_buff_data_error_in_2 = write_fill_data_2 ? bus_ifu_wr_data_error : _T_1381; // @[el2_ifu_mem_ctl.scala 406:72] - wire _T_1385 = ic_miss_buff_data_error[3] & _T_1339; // @[el2_ifu_mem_ctl.scala 407:32] - wire ic_miss_buff_data_error_in_3 = write_fill_data_3 ? bus_ifu_wr_data_error : _T_1385; // @[el2_ifu_mem_ctl.scala 406:72] - wire _T_1389 = ic_miss_buff_data_error[4] & _T_1339; // @[el2_ifu_mem_ctl.scala 407:32] - wire ic_miss_buff_data_error_in_4 = write_fill_data_4 ? bus_ifu_wr_data_error : _T_1389; // @[el2_ifu_mem_ctl.scala 406:72] - wire _T_1393 = ic_miss_buff_data_error[5] & _T_1339; // @[el2_ifu_mem_ctl.scala 407:32] - wire ic_miss_buff_data_error_in_5 = write_fill_data_5 ? bus_ifu_wr_data_error : _T_1393; // @[el2_ifu_mem_ctl.scala 406:72] - wire _T_1397 = ic_miss_buff_data_error[6] & _T_1339; // @[el2_ifu_mem_ctl.scala 407:32] - wire ic_miss_buff_data_error_in_6 = write_fill_data_6 ? bus_ifu_wr_data_error : _T_1397; // @[el2_ifu_mem_ctl.scala 406:72] - wire _T_1401 = ic_miss_buff_data_error[7] & _T_1339; // @[el2_ifu_mem_ctl.scala 407:32] - wire ic_miss_buff_data_error_in_7 = write_fill_data_7 ? bus_ifu_wr_data_error : _T_1401; // @[el2_ifu_mem_ctl.scala 406:72] + wire _T_1373 = ic_miss_buff_data_error[0] & _T_1339; // @[el2_ifu_mem_ctl.scala 408:32] + wire _T_2659 = |io_ifu_axi_rresp; // @[el2_ifu_mem_ctl.scala 621:47] + wire _T_2660 = _T_2659 & _T_13; // @[el2_ifu_mem_ctl.scala 621:50] + wire bus_ifu_wr_data_error = _T_2660 & miss_pending; // @[el2_ifu_mem_ctl.scala 621:68] + wire ic_miss_buff_data_error_in_0 = write_fill_data_0 ? bus_ifu_wr_data_error : _T_1373; // @[el2_ifu_mem_ctl.scala 407:72] + wire _T_1377 = ic_miss_buff_data_error[1] & _T_1339; // @[el2_ifu_mem_ctl.scala 408:32] + wire ic_miss_buff_data_error_in_1 = write_fill_data_1 ? bus_ifu_wr_data_error : _T_1377; // @[el2_ifu_mem_ctl.scala 407:72] + wire _T_1381 = ic_miss_buff_data_error[2] & _T_1339; // @[el2_ifu_mem_ctl.scala 408:32] + wire ic_miss_buff_data_error_in_2 = write_fill_data_2 ? bus_ifu_wr_data_error : _T_1381; // @[el2_ifu_mem_ctl.scala 407:72] + wire _T_1385 = ic_miss_buff_data_error[3] & _T_1339; // @[el2_ifu_mem_ctl.scala 408:32] + wire ic_miss_buff_data_error_in_3 = write_fill_data_3 ? bus_ifu_wr_data_error : _T_1385; // @[el2_ifu_mem_ctl.scala 407:72] + wire _T_1389 = ic_miss_buff_data_error[4] & _T_1339; // @[el2_ifu_mem_ctl.scala 408:32] + wire ic_miss_buff_data_error_in_4 = write_fill_data_4 ? bus_ifu_wr_data_error : _T_1389; // @[el2_ifu_mem_ctl.scala 407:72] + wire _T_1393 = ic_miss_buff_data_error[5] & _T_1339; // @[el2_ifu_mem_ctl.scala 408:32] + wire ic_miss_buff_data_error_in_5 = write_fill_data_5 ? bus_ifu_wr_data_error : _T_1393; // @[el2_ifu_mem_ctl.scala 407:72] + wire _T_1397 = ic_miss_buff_data_error[6] & _T_1339; // @[el2_ifu_mem_ctl.scala 408:32] + wire ic_miss_buff_data_error_in_6 = write_fill_data_6 ? bus_ifu_wr_data_error : _T_1397; // @[el2_ifu_mem_ctl.scala 407:72] + wire _T_1401 = ic_miss_buff_data_error[7] & _T_1339; // @[el2_ifu_mem_ctl.scala 408:32] + wire ic_miss_buff_data_error_in_7 = write_fill_data_7 ? bus_ifu_wr_data_error : _T_1401; // @[el2_ifu_mem_ctl.scala 407:72] wire [7:0] _T_1408 = {ic_miss_buff_data_error_in_7,ic_miss_buff_data_error_in_6,ic_miss_buff_data_error_in_5,ic_miss_buff_data_error_in_4,ic_miss_buff_data_error_in_3,ic_miss_buff_data_error_in_2,ic_miss_buff_data_error_in_1,ic_miss_buff_data_error_in_0}; // @[Cat.scala 29:58] reg [5:0] perr_ic_index_ff; // @[Reg.scala 27:20] wire _T_2465 = 3'h0 == perr_state; // @[Conditional.scala 37:30] - wire _T_2473 = _T_6 & _T_317; // @[el2_ifu_mem_ctl.scala 489:65] - wire _T_2474 = _T_2473 | io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 489:88] - wire _T_2476 = _T_2474 & _T_2587; // @[el2_ifu_mem_ctl.scala 489:112] + wire _T_2473 = _T_6 & _T_317; // @[el2_ifu_mem_ctl.scala 490:65] + wire _T_2474 = _T_2473 | io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 490:88] + wire _T_2476 = _T_2474 & _T_2587; // @[el2_ifu_mem_ctl.scala 490:112] wire _T_2477 = 3'h1 == perr_state; // @[Conditional.scala 37:30] - wire _T_2478 = io_dec_tlu_flush_lower_wb | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 494:50] + wire _T_2478 = io_dec_tlu_flush_lower_wb | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 495:50] wire _T_2480 = 3'h2 == perr_state; // @[Conditional.scala 37:30] wire _T_2486 = 3'h4 == perr_state; // @[Conditional.scala 37:30] wire _T_2488 = 3'h3 == perr_state; // @[Conditional.scala 37:30] @@ -3274,28 +3274,28 @@ module el2_ifu_mem_ctl( wire _GEN_43 = _T_2477 ? _T_2478 : _GEN_41; // @[Conditional.scala 39:67] wire perr_state_en = _T_2465 ? _T_2476 : _GEN_43; // @[Conditional.scala 40:58] wire perr_sb_write_status = _T_2465 & perr_state_en; // @[Conditional.scala 40:58] - wire _T_2479 = io_dec_tlu_flush_lower_wb & io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 495:56] + wire _T_2479 = io_dec_tlu_flush_lower_wb & io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 496:56] wire _GEN_44 = _T_2477 & _T_2479; // @[Conditional.scala 39:67] wire perr_sel_invalidate = _T_2465 ? 1'h0 : _GEN_44; // @[Conditional.scala 40:58] wire [1:0] perr_err_inv_way = perr_sel_invalidate ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - reg dma_sb_err_state_ff; // @[el2_ifu_mem_ctl.scala 480:58] - wire _T_2462 = ~dma_sb_err_state_ff; // @[el2_ifu_mem_ctl.scala 479:49] - wire _T_2467 = io_ic_error_start & _T_317; // @[el2_ifu_mem_ctl.scala 488:87] - wire _T_2481 = io_dec_tlu_flush_err_wb & io_dec_tlu_flush_lower_wb; // @[el2_ifu_mem_ctl.scala 498:54] - wire _T_2482 = _T_2481 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 498:84] - wire _T_2491 = perr_state == 3'h2; // @[el2_ifu_mem_ctl.scala 519:66] - wire _T_2492 = io_dec_tlu_flush_err_wb & _T_2491; // @[el2_ifu_mem_ctl.scala 519:52] - wire _T_2494 = _T_2492 & _T_2587; // @[el2_ifu_mem_ctl.scala 519:81] - wire _T_2496 = io_dec_tlu_flush_lower_wb | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 522:59] - wire _T_2497 = _T_2496 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 522:86] - wire _T_2511 = _T_2496 | io_ifu_fetch_val[0]; // @[el2_ifu_mem_ctl.scala 525:81] - wire _T_2512 = _T_2511 | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 525:103] - wire _T_2513 = _T_2512 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 525:126] - wire _T_2533 = _T_2511 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 532:103] - wire _T_2540 = ~io_dec_tlu_flush_err_wb; // @[el2_ifu_mem_ctl.scala 537:62] - wire _T_2541 = io_dec_tlu_flush_lower_wb & _T_2540; // @[el2_ifu_mem_ctl.scala 537:60] - wire _T_2542 = _T_2541 | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 537:88] - wire _T_2543 = _T_2542 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 537:115] + reg dma_sb_err_state_ff; // @[el2_ifu_mem_ctl.scala 481:58] + wire _T_2462 = ~dma_sb_err_state_ff; // @[el2_ifu_mem_ctl.scala 480:49] + wire _T_2467 = io_ic_error_start & _T_317; // @[el2_ifu_mem_ctl.scala 489:87] + wire _T_2481 = io_dec_tlu_flush_err_wb & io_dec_tlu_flush_lower_wb; // @[el2_ifu_mem_ctl.scala 499:54] + wire _T_2482 = _T_2481 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 499:84] + wire _T_2491 = perr_state == 3'h2; // @[el2_ifu_mem_ctl.scala 520:66] + wire _T_2492 = io_dec_tlu_flush_err_wb & _T_2491; // @[el2_ifu_mem_ctl.scala 520:52] + wire _T_2494 = _T_2492 & _T_2587; // @[el2_ifu_mem_ctl.scala 520:81] + wire _T_2496 = io_dec_tlu_flush_lower_wb | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 523:59] + wire _T_2497 = _T_2496 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 523:86] + wire _T_2511 = _T_2496 | io_ifu_fetch_val[0]; // @[el2_ifu_mem_ctl.scala 526:81] + wire _T_2512 = _T_2511 | ifu_bp_hit_taken_q_f; // @[el2_ifu_mem_ctl.scala 526:103] + wire _T_2513 = _T_2512 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 526:126] + wire _T_2533 = _T_2511 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 533:103] + wire _T_2540 = ~io_dec_tlu_flush_err_wb; // @[el2_ifu_mem_ctl.scala 538:62] + wire _T_2541 = io_dec_tlu_flush_lower_wb & _T_2540; // @[el2_ifu_mem_ctl.scala 538:60] + wire _T_2542 = _T_2541 | io_dec_tlu_i0_commit_cmt; // @[el2_ifu_mem_ctl.scala 538:88] + wire _T_2543 = _T_2542 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 538:115] wire _GEN_51 = _T_2539 & _T_2497; // @[Conditional.scala 39:67] wire _GEN_54 = _T_2522 ? _T_2533 : _GEN_51; // @[Conditional.scala 39:67] wire _GEN_56 = _T_2522 | _T_2539; // @[Conditional.scala 39:67] @@ -3303,65 +3303,65 @@ module el2_ifu_mem_ctl( wire _GEN_60 = _T_2495 | _GEN_56; // @[Conditional.scala 39:67] wire err_stop_state_en = _T_2490 ? _T_2494 : _GEN_58; // @[Conditional.scala 40:58] reg ifu_bus_cmd_valid; // @[Reg.scala 27:20] - wire _T_2555 = ic_act_miss_f | ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 554:64] - wire _T_2557 = _T_2555 & _T_2587; // @[el2_ifu_mem_ctl.scala 554:85] + wire _T_2555 = ic_act_miss_f | ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 555:64] + wire _T_2557 = _T_2555 & _T_2587; // @[el2_ifu_mem_ctl.scala 555:85] reg [2:0] bus_cmd_beat_count; // @[Reg.scala 27:20] - wire _T_2559 = bus_cmd_beat_count == 3'h7; // @[el2_ifu_mem_ctl.scala 554:133] - wire _T_2560 = _T_2559 & ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 554:164] - wire _T_2561 = _T_2560 & io_ifu_axi_arready; // @[el2_ifu_mem_ctl.scala 554:184] - wire _T_2562 = _T_2561 & miss_pending; // @[el2_ifu_mem_ctl.scala 554:204] - wire _T_2563 = ~_T_2562; // @[el2_ifu_mem_ctl.scala 554:112] - wire ifc_bus_ic_req_ff_in = _T_2557 & _T_2563; // @[el2_ifu_mem_ctl.scala 554:110] - wire _T_2564 = io_ifu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 555:80] - wire ifu_bus_arready = io_ifu_axi_arready & io_ifu_bus_clk_en; // @[el2_ifu_mem_ctl.scala 586:45] - wire _T_2581 = io_ifu_axi_arvalid & ifu_bus_arready; // @[el2_ifu_mem_ctl.scala 589:35] - wire _T_2582 = _T_2581 & miss_pending; // @[el2_ifu_mem_ctl.scala 589:53] - wire bus_cmd_sent = _T_2582 & _T_2587; // @[el2_ifu_mem_ctl.scala 589:68] + wire _T_2559 = bus_cmd_beat_count == 3'h7; // @[el2_ifu_mem_ctl.scala 555:133] + wire _T_2560 = _T_2559 & ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 555:164] + wire _T_2561 = _T_2560 & io_ifu_axi_arready; // @[el2_ifu_mem_ctl.scala 555:184] + wire _T_2562 = _T_2561 & miss_pending; // @[el2_ifu_mem_ctl.scala 555:204] + wire _T_2563 = ~_T_2562; // @[el2_ifu_mem_ctl.scala 555:112] + wire ifc_bus_ic_req_ff_in = _T_2557 & _T_2563; // @[el2_ifu_mem_ctl.scala 555:110] + wire _T_2564 = io_ifu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 556:80] + wire ifu_bus_arready = io_ifu_axi_arready & io_ifu_bus_clk_en; // @[el2_ifu_mem_ctl.scala 587:45] + wire _T_2581 = io_ifu_axi_arvalid & ifu_bus_arready; // @[el2_ifu_mem_ctl.scala 590:35] + wire _T_2582 = _T_2581 & miss_pending; // @[el2_ifu_mem_ctl.scala 590:53] + wire bus_cmd_sent = _T_2582 & _T_2587; // @[el2_ifu_mem_ctl.scala 590:68] wire [2:0] _T_2572 = ifu_bus_cmd_valid ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] wire [31:0] _T_2574 = {miss_addr,bus_rd_addr_count,3'h0}; // @[Cat.scala 29:58] wire [31:0] _T_2576 = ifu_bus_cmd_valid ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] reg ifu_bus_arready_unq_ff; // @[Reg.scala 27:20] reg ifu_bus_arvalid_ff; // @[Reg.scala 27:20] - wire ifu_bus_arready_ff = ifu_bus_arready_unq_ff & bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 587:51] - wire _T_2602 = ~scnd_miss_req; // @[el2_ifu_mem_ctl.scala 597:73] - wire _T_2603 = _T_2588 & _T_2602; // @[el2_ifu_mem_ctl.scala 597:71] - wire _T_2605 = last_data_recieved_ff & _T_1339; // @[el2_ifu_mem_ctl.scala 597:114] - wire last_data_recieved_in = _T_2603 | _T_2605; // @[el2_ifu_mem_ctl.scala 597:89] - wire [2:0] _T_2611 = bus_rd_addr_count + 3'h1; // @[el2_ifu_mem_ctl.scala 602:45] - wire _T_2614 = io_ifu_bus_clk_en | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 603:81] - wire _T_2615 = _T_2614 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 603:97] - wire _T_2617 = ifu_bus_cmd_valid & io_ifu_axi_arready; // @[el2_ifu_mem_ctl.scala 605:48] - wire _T_2618 = _T_2617 & miss_pending; // @[el2_ifu_mem_ctl.scala 605:68] - wire bus_inc_cmd_beat_cnt = _T_2618 & _T_2587; // @[el2_ifu_mem_ctl.scala 605:83] - wire bus_reset_cmd_beat_cnt_secondlast = ic_act_miss_f & uncacheable_miss_in; // @[el2_ifu_mem_ctl.scala 607:57] - wire _T_2622 = ~bus_inc_cmd_beat_cnt; // @[el2_ifu_mem_ctl.scala 608:31] - wire _T_2623 = ic_act_miss_f | scnd_miss_req; // @[el2_ifu_mem_ctl.scala 608:71] - wire _T_2624 = _T_2623 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 608:87] - wire _T_2625 = ~_T_2624; // @[el2_ifu_mem_ctl.scala 608:55] - wire bus_hold_cmd_beat_cnt = _T_2622 & _T_2625; // @[el2_ifu_mem_ctl.scala 608:53] - wire _T_2626 = bus_inc_cmd_beat_cnt | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 609:46] - wire bus_cmd_beat_en = _T_2626 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 609:62] - wire [2:0] _T_2629 = bus_cmd_beat_count + 3'h1; // @[el2_ifu_mem_ctl.scala 611:46] + wire ifu_bus_arready_ff = ifu_bus_arready_unq_ff & bus_ifu_bus_clk_en_ff; // @[el2_ifu_mem_ctl.scala 588:51] + wire _T_2602 = ~scnd_miss_req; // @[el2_ifu_mem_ctl.scala 598:73] + wire _T_2603 = _T_2588 & _T_2602; // @[el2_ifu_mem_ctl.scala 598:71] + wire _T_2605 = last_data_recieved_ff & _T_1339; // @[el2_ifu_mem_ctl.scala 598:114] + wire last_data_recieved_in = _T_2603 | _T_2605; // @[el2_ifu_mem_ctl.scala 598:89] + wire [2:0] _T_2611 = bus_rd_addr_count + 3'h1; // @[el2_ifu_mem_ctl.scala 603:45] + wire _T_2614 = io_ifu_bus_clk_en | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 604:81] + wire _T_2615 = _T_2614 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 604:97] + wire _T_2617 = ifu_bus_cmd_valid & io_ifu_axi_arready; // @[el2_ifu_mem_ctl.scala 606:48] + wire _T_2618 = _T_2617 & miss_pending; // @[el2_ifu_mem_ctl.scala 606:68] + wire bus_inc_cmd_beat_cnt = _T_2618 & _T_2587; // @[el2_ifu_mem_ctl.scala 606:83] + wire bus_reset_cmd_beat_cnt_secondlast = ic_act_miss_f & uncacheable_miss_in; // @[el2_ifu_mem_ctl.scala 608:57] + wire _T_2622 = ~bus_inc_cmd_beat_cnt; // @[el2_ifu_mem_ctl.scala 609:31] + wire _T_2623 = ic_act_miss_f | scnd_miss_req; // @[el2_ifu_mem_ctl.scala 609:71] + wire _T_2624 = _T_2623 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 609:87] + wire _T_2625 = ~_T_2624; // @[el2_ifu_mem_ctl.scala 609:55] + wire bus_hold_cmd_beat_cnt = _T_2622 & _T_2625; // @[el2_ifu_mem_ctl.scala 609:53] + wire _T_2626 = bus_inc_cmd_beat_cnt | ic_act_miss_f; // @[el2_ifu_mem_ctl.scala 610:46] + wire bus_cmd_beat_en = _T_2626 | io_dec_tlu_force_halt; // @[el2_ifu_mem_ctl.scala 610:62] + wire [2:0] _T_2629 = bus_cmd_beat_count + 3'h1; // @[el2_ifu_mem_ctl.scala 612:46] wire [2:0] _T_2631 = bus_reset_cmd_beat_cnt_secondlast ? 3'h6 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_2632 = bus_inc_cmd_beat_cnt ? _T_2629 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_2633 = bus_hold_cmd_beat_cnt ? bus_cmd_beat_count : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_2635 = _T_2631 | _T_2632; // @[Mux.scala 27:72] wire [2:0] bus_new_cmd_beat_count = _T_2635 | _T_2633; // @[Mux.scala 27:72] - wire _T_2639 = _T_2615 & bus_cmd_beat_en; // @[el2_ifu_mem_ctl.scala 612:125] - reg ifc_dma_access_ok_prev; // @[el2_ifu_mem_ctl.scala 623:62] - wire _T_2667 = ~iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 628:50] - wire _T_2668 = io_ifc_dma_access_ok & _T_2667; // @[el2_ifu_mem_ctl.scala 628:47] - wire _T_2669 = ~io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 628:70] - wire ifc_dma_access_ok_d = _T_2668 & _T_2669; // @[el2_ifu_mem_ctl.scala 628:68] - wire _T_2673 = _T_2668 & ifc_dma_access_ok_prev; // @[el2_ifu_mem_ctl.scala 629:72] - wire _T_2674 = perr_state == 3'h0; // @[el2_ifu_mem_ctl.scala 629:111] - wire _T_2675 = _T_2673 & _T_2674; // @[el2_ifu_mem_ctl.scala 629:97] - wire ifc_dma_access_q_ok = _T_2675 & _T_2669; // @[el2_ifu_mem_ctl.scala 629:127] - wire _T_2678 = ifc_dma_access_q_ok & io_dma_iccm_req; // @[el2_ifu_mem_ctl.scala 632:40] - wire _T_2679 = _T_2678 & io_dma_mem_write; // @[el2_ifu_mem_ctl.scala 632:58] - wire _T_2682 = ~io_dma_mem_write; // @[el2_ifu_mem_ctl.scala 633:60] - wire _T_2683 = _T_2678 & _T_2682; // @[el2_ifu_mem_ctl.scala 633:58] - wire _T_2684 = io_ifc_iccm_access_bf & io_ifc_fetch_req_bf; // @[el2_ifu_mem_ctl.scala 633:104] + wire _T_2639 = _T_2615 & bus_cmd_beat_en; // @[el2_ifu_mem_ctl.scala 613:125] + reg ifc_dma_access_ok_prev; // @[el2_ifu_mem_ctl.scala 624:62] + wire _T_2667 = ~iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 629:50] + wire _T_2668 = io_ifc_dma_access_ok & _T_2667; // @[el2_ifu_mem_ctl.scala 629:47] + wire _T_2669 = ~io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 629:70] + wire ifc_dma_access_ok_d = _T_2668 & _T_2669; // @[el2_ifu_mem_ctl.scala 629:68] + wire _T_2673 = _T_2668 & ifc_dma_access_ok_prev; // @[el2_ifu_mem_ctl.scala 630:72] + wire _T_2674 = perr_state == 3'h0; // @[el2_ifu_mem_ctl.scala 630:111] + wire _T_2675 = _T_2673 & _T_2674; // @[el2_ifu_mem_ctl.scala 630:97] + wire ifc_dma_access_q_ok = _T_2675 & _T_2669; // @[el2_ifu_mem_ctl.scala 630:127] + wire _T_2678 = ifc_dma_access_q_ok & io_dma_iccm_req; // @[el2_ifu_mem_ctl.scala 633:40] + wire _T_2679 = _T_2678 & io_dma_mem_write; // @[el2_ifu_mem_ctl.scala 633:58] + wire _T_2682 = ~io_dma_mem_write; // @[el2_ifu_mem_ctl.scala 634:60] + wire _T_2683 = _T_2678 & _T_2682; // @[el2_ifu_mem_ctl.scala 634:58] + wire _T_2684 = io_ifc_iccm_access_bf & io_ifc_fetch_req_bf; // @[el2_ifu_mem_ctl.scala 634:104] wire [2:0] _T_2689 = io_dma_iccm_req ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] wire [8:0] _T_2795 = {io_dma_mem_wdata[48],io_dma_mem_wdata[46],io_dma_mem_wdata[44],io_dma_mem_wdata[42],io_dma_mem_wdata[40],io_dma_mem_wdata[38],io_dma_mem_wdata[37],io_dma_mem_wdata[35],io_dma_mem_wdata[33]}; // @[el2_lib.scala 268:22] wire [17:0] _T_2804 = {io_dma_mem_wdata[63],io_dma_mem_wdata[62],io_dma_mem_wdata[60],io_dma_mem_wdata[59],io_dma_mem_wdata[57],io_dma_mem_wdata[55],io_dma_mem_wdata[53],io_dma_mem_wdata[52],io_dma_mem_wdata[50],_T_2795}; // @[el2_lib.scala 268:22] @@ -3405,12 +3405,12 @@ module el2_ifu_mem_ctl( wire _T_3081 = _T_3079 ^ _T_3080; // @[el2_lib.scala 269:18] wire [6:0] _T_3082 = {_T_3081,_T_3001,_T_3019,_T_3037,_T_3052,_T_3067,_T_3073}; // @[Cat.scala 29:58] wire [13:0] dma_mem_ecc = {_T_2885,_T_2805,_T_2823,_T_2841,_T_2856,_T_2871,_T_2877,_T_3082}; // @[Cat.scala 29:58] - wire _T_3084 = ~_T_2678; // @[el2_ifu_mem_ctl.scala 638:45] - wire _T_3085 = iccm_correct_ecc & _T_3084; // @[el2_ifu_mem_ctl.scala 638:43] + wire _T_3084 = ~_T_2678; // @[el2_ifu_mem_ctl.scala 639:45] + wire _T_3085 = iccm_correct_ecc & _T_3084; // @[el2_ifu_mem_ctl.scala 639:43] reg [38:0] iccm_ecc_corr_data_ff; // @[Reg.scala 27:20] wire [77:0] _T_3086 = {iccm_ecc_corr_data_ff,iccm_ecc_corr_data_ff}; // @[Cat.scala 29:58] wire [77:0] _T_3093 = {dma_mem_ecc[13:7],io_dma_mem_wdata[63:32],dma_mem_ecc[6:0],io_dma_mem_wdata[31:0]}; // @[Cat.scala 29:58] - reg [1:0] dma_mem_addr_ff; // @[el2_ifu_mem_ctl.scala 652:53] + reg [1:0] dma_mem_addr_ff; // @[el2_ifu_mem_ctl.scala 653:53] wire _T_3425 = _T_3337[5:0] == 6'h27; // @[el2_lib.scala 307:41] wire _T_3423 = _T_3337[5:0] == 6'h26; // @[el2_lib.scala 307:41] wire _T_3421 = _T_3337[5:0] == 6'h25; // @[el2_lib.scala 307:41] @@ -3509,1560 +3509,1566 @@ module el2_ifu_mem_ctl( wire [38:0] _T_3871 = _T_3870 ^ _T_3831; // @[el2_lib.scala 310:76] wire [38:0] _T_3872 = _T_3726 ? _T_3871 : _T_3831; // @[el2_lib.scala 310:31] wire [31:0] iccm_corrected_data_1 = {_T_3872[37:32],_T_3872[30:16],_T_3872[14:8],_T_3872[6:4],_T_3872[2]}; // @[Cat.scala 29:58] - wire [31:0] iccm_dma_rdata_1_muxed = dma_mem_addr_ff[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[el2_ifu_mem_ctl.scala 644:35] + wire [31:0] iccm_dma_rdata_1_muxed = dma_mem_addr_ff[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[el2_ifu_mem_ctl.scala 645:35] wire _T_3345 = ~_T_3337[6]; // @[el2_lib.scala 303:55] wire _T_3346 = _T_3339 & _T_3345; // @[el2_lib.scala 303:53] wire _T_3730 = ~_T_3722[6]; // @[el2_lib.scala 303:55] wire _T_3731 = _T_3724 & _T_3730; // @[el2_lib.scala 303:53] wire [1:0] iccm_double_ecc_error = {_T_3346,_T_3731}; // @[Cat.scala 29:58] - wire iccm_dma_ecc_error_in = |iccm_double_ecc_error; // @[el2_ifu_mem_ctl.scala 646:53] + wire iccm_dma_ecc_error_in = |iccm_double_ecc_error; // @[el2_ifu_mem_ctl.scala 647:53] wire [63:0] _T_3097 = {io_dma_mem_addr,io_dma_mem_addr}; // @[Cat.scala 29:58] wire [63:0] _T_3098 = {iccm_dma_rdata_1_muxed,_T_3487[37:32],_T_3487[30:16],_T_3487[14:8],_T_3487[6:4],_T_3487[2]}; // @[Cat.scala 29:58] - reg [2:0] dma_mem_tag_ff; // @[el2_ifu_mem_ctl.scala 648:54] - reg [2:0] iccm_dma_rtag; // @[el2_ifu_mem_ctl.scala 649:69] - reg iccm_dma_rvalid; // @[el2_ifu_mem_ctl.scala 654:71] - reg [63:0] iccm_dma_rdata; // @[el2_ifu_mem_ctl.scala 658:70] - wire _T_3103 = _T_2678 & _T_2667; // @[el2_ifu_mem_ctl.scala 661:65] - wire _T_3106 = _T_3084 & iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 662:50] + reg [2:0] dma_mem_tag_ff; // @[el2_ifu_mem_ctl.scala 649:54] + reg [2:0] iccm_dma_rtag; // @[el2_ifu_mem_ctl.scala 650:69] + reg iccm_dma_rvalid; // @[el2_ifu_mem_ctl.scala 655:71] + reg [63:0] iccm_dma_rdata; // @[el2_ifu_mem_ctl.scala 659:70] + wire _T_3103 = _T_2678 & _T_2667; // @[el2_ifu_mem_ctl.scala 662:65] + wire _T_3106 = _T_3084 & iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 663:50] reg [13:0] iccm_ecc_corr_index_ff; // @[Reg.scala 27:20] wire [14:0] _T_3107 = {iccm_ecc_corr_index_ff,1'h0}; // @[Cat.scala 29:58] - wire [15:0] _T_3109 = _T_3106 ? {{1'd0}, _T_3107} : io_ifc_fetch_addr_bf[15:0]; // @[el2_ifu_mem_ctl.scala 662:8] - wire [31:0] _T_3110 = _T_3103 ? io_dma_mem_addr : {{16'd0}, _T_3109}; // @[el2_ifu_mem_ctl.scala 661:25] + wire [15:0] _T_3109 = _T_3106 ? {{1'd0}, _T_3107} : io_ifc_fetch_addr_bf[15:0]; // @[el2_ifu_mem_ctl.scala 663:8] + wire [31:0] _T_3110 = _T_3103 ? io_dma_mem_addr : {{16'd0}, _T_3109}; // @[el2_ifu_mem_ctl.scala 662:25] wire _T_3499 = _T_3337 == 7'h40; // @[el2_lib.scala 313:62] wire _T_3500 = _T_3487[38] ^ _T_3499; // @[el2_lib.scala 313:44] wire [6:0] iccm_corrected_ecc_0 = {_T_3500,_T_3487[31],_T_3487[15],_T_3487[7],_T_3487[3],_T_3487[1:0]}; // @[Cat.scala 29:58] wire _T_3884 = _T_3722 == 7'h40; // @[el2_lib.scala 313:62] wire _T_3885 = _T_3872[38] ^ _T_3884; // @[el2_lib.scala 313:44] wire [6:0] iccm_corrected_ecc_1 = {_T_3885,_T_3872[31],_T_3872[15],_T_3872[7],_T_3872[3],_T_3872[1:0]}; // @[Cat.scala 29:58] - wire _T_3901 = _T_3 & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 674:58] - wire [31:0] iccm_corrected_data_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[el2_ifu_mem_ctl.scala 676:38] - wire [6:0] iccm_corrected_ecc_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_ecc_0 : iccm_corrected_ecc_1; // @[el2_ifu_mem_ctl.scala 677:37] - reg iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 685:62] - wire _T_3909 = ~iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 679:76] - wire _T_3910 = io_iccm_rd_ecc_single_err & _T_3909; // @[el2_ifu_mem_ctl.scala 679:74] - wire _T_3912 = _T_3910 & _T_317; // @[el2_ifu_mem_ctl.scala 679:104] - wire iccm_ecc_write_status = _T_3912 | io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 679:127] - wire _T_3913 = io_iccm_rd_ecc_single_err | iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 680:67] - wire iccm_rd_ecc_single_err_hold_in = _T_3913 & _T_317; // @[el2_ifu_mem_ctl.scala 680:96] - reg [13:0] iccm_rw_addr_f; // @[el2_ifu_mem_ctl.scala 684:51] - wire [13:0] _T_3918 = iccm_rw_addr_f + 14'h1; // @[el2_ifu_mem_ctl.scala 683:102] + wire _T_3901 = _T_3 & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 675:58] + wire [31:0] iccm_corrected_data_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_data_0 : iccm_corrected_data_1; // @[el2_ifu_mem_ctl.scala 677:38] + wire [6:0] iccm_corrected_ecc_f_mux = iccm_single_ecc_error[0] ? iccm_corrected_ecc_0 : iccm_corrected_ecc_1; // @[el2_ifu_mem_ctl.scala 678:37] + reg iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 686:62] + wire _T_3909 = ~iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 680:76] + wire _T_3910 = io_iccm_rd_ecc_single_err & _T_3909; // @[el2_ifu_mem_ctl.scala 680:74] + wire _T_3912 = _T_3910 & _T_317; // @[el2_ifu_mem_ctl.scala 680:104] + wire iccm_ecc_write_status = _T_3912 | io_iccm_dma_sb_error; // @[el2_ifu_mem_ctl.scala 680:127] + wire _T_3913 = io_iccm_rd_ecc_single_err | iccm_rd_ecc_single_err_ff; // @[el2_ifu_mem_ctl.scala 681:67] + wire iccm_rd_ecc_single_err_hold_in = _T_3913 & _T_317; // @[el2_ifu_mem_ctl.scala 681:96] + reg [13:0] iccm_rw_addr_f; // @[el2_ifu_mem_ctl.scala 685:51] + wire [13:0] _T_3918 = iccm_rw_addr_f + 14'h1; // @[el2_ifu_mem_ctl.scala 684:102] wire [38:0] _T_3922 = {iccm_corrected_ecc_f_mux,iccm_corrected_data_f_mux}; // @[Cat.scala 29:58] - wire _T_3927 = ~io_ifc_fetch_uncacheable_bf; // @[el2_ifu_mem_ctl.scala 688:41] - wire _T_3928 = io_ifc_fetch_req_bf & _T_3927; // @[el2_ifu_mem_ctl.scala 688:39] - wire _T_3929 = ~io_ifc_iccm_access_bf; // @[el2_ifu_mem_ctl.scala 688:72] - wire _T_3930 = _T_3928 & _T_3929; // @[el2_ifu_mem_ctl.scala 688:70] - wire _T_3932 = ~miss_state_en; // @[el2_ifu_mem_ctl.scala 689:34] - wire _T_3933 = _T_2233 & _T_3932; // @[el2_ifu_mem_ctl.scala 689:32] - wire _T_3936 = _T_2249 & _T_3932; // @[el2_ifu_mem_ctl.scala 690:37] - wire _T_3937 = _T_3933 | _T_3936; // @[el2_ifu_mem_ctl.scala 689:88] - wire _T_3938 = miss_state == 3'h7; // @[el2_ifu_mem_ctl.scala 691:19] - wire _T_3940 = _T_3938 & _T_3932; // @[el2_ifu_mem_ctl.scala 691:41] - wire _T_3941 = _T_3937 | _T_3940; // @[el2_ifu_mem_ctl.scala 690:88] - wire _T_3942 = miss_state == 3'h3; // @[el2_ifu_mem_ctl.scala 692:19] - wire _T_3944 = _T_3942 & _T_3932; // @[el2_ifu_mem_ctl.scala 692:35] - wire _T_3945 = _T_3941 | _T_3944; // @[el2_ifu_mem_ctl.scala 691:88] - wire _T_3948 = _T_2248 & _T_3932; // @[el2_ifu_mem_ctl.scala 693:38] - wire _T_3949 = _T_3945 | _T_3948; // @[el2_ifu_mem_ctl.scala 692:88] - wire _T_3951 = _T_2249 & miss_state_en; // @[el2_ifu_mem_ctl.scala 694:37] - wire _T_3952 = miss_nxtstate == 3'h3; // @[el2_ifu_mem_ctl.scala 694:71] - wire _T_3953 = _T_3951 & _T_3952; // @[el2_ifu_mem_ctl.scala 694:54] - wire _T_3954 = _T_3949 | _T_3953; // @[el2_ifu_mem_ctl.scala 693:57] - wire _T_3955 = ~_T_3954; // @[el2_ifu_mem_ctl.scala 689:5] - wire _T_3956 = _T_3930 & _T_3955; // @[el2_ifu_mem_ctl.scala 688:96] - wire _T_3957 = io_ifc_fetch_req_bf & io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 695:28] - wire _T_3959 = _T_3957 & _T_3927; // @[el2_ifu_mem_ctl.scala 695:50] - wire _T_3961 = _T_3959 & _T_3929; // @[el2_ifu_mem_ctl.scala 695:81] - wire _T_3970 = ~_T_108; // @[el2_ifu_mem_ctl.scala 698:106] - wire _T_3971 = _T_2233 & _T_3970; // @[el2_ifu_mem_ctl.scala 698:104] - wire _T_3972 = _T_2249 | _T_3971; // @[el2_ifu_mem_ctl.scala 698:77] - wire _T_3976 = ~_T_51; // @[el2_ifu_mem_ctl.scala 698:172] - wire _T_3977 = _T_3972 & _T_3976; // @[el2_ifu_mem_ctl.scala 698:170] - wire _T_3978 = ~_T_3977; // @[el2_ifu_mem_ctl.scala 698:44] - wire _T_3982 = reset_ic_in | reset_ic_ff; // @[el2_ifu_mem_ctl.scala 701:64] - wire _T_3983 = ~_T_3982; // @[el2_ifu_mem_ctl.scala 701:50] - wire _T_3984 = _T_276 & _T_3983; // @[el2_ifu_mem_ctl.scala 701:48] - wire _T_3985 = ~reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 701:81] - wire ic_valid = _T_3984 & _T_3985; // @[el2_ifu_mem_ctl.scala 701:79] - wire _T_3987 = debug_c1_clken & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 702:82] - reg [6:0] ifu_status_wr_addr_ff; // @[el2_ifu_mem_ctl.scala 705:14] - wire _T_3990 = io_ic_debug_wr_en & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 708:74] - wire _T_10132 = bus_ifu_wr_en_ff_q & last_beat; // @[el2_ifu_mem_ctl.scala 782:45] - wire way_status_wr_en = _T_10132 | ic_act_hit_f; // @[el2_ifu_mem_ctl.scala 782:58] - wire way_status_wr_en_w_debug = way_status_wr_en | _T_3990; // @[el2_ifu_mem_ctl.scala 708:53] - reg way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 710:14] - wire [2:0] _T_3994 = {{2'd0}, io_ic_debug_wr_data[4]}; // @[el2_ifu_mem_ctl.scala 714:10] - wire way_status_hit_new = io_ic_rd_hit[0]; // @[el2_ifu_mem_ctl.scala 778:41] - wire way_status_new = _T_10132 ? replace_way_mb_any_0 : way_status_hit_new; // @[el2_ifu_mem_ctl.scala 781:26] - reg [2:0] way_status_new_ff; // @[el2_ifu_mem_ctl.scala 716:14] - wire way_status_clken_0 = ifu_status_wr_addr_ff[6:3] == 4'h0; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_1 = ifu_status_wr_addr_ff[6:3] == 4'h1; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_2 = ifu_status_wr_addr_ff[6:3] == 4'h2; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_3 = ifu_status_wr_addr_ff[6:3] == 4'h3; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_4 = ifu_status_wr_addr_ff[6:3] == 4'h4; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_5 = ifu_status_wr_addr_ff[6:3] == 4'h5; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_6 = ifu_status_wr_addr_ff[6:3] == 4'h6; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_7 = ifu_status_wr_addr_ff[6:3] == 4'h7; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_8 = ifu_status_wr_addr_ff[6:3] == 4'h8; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_9 = ifu_status_wr_addr_ff[6:3] == 4'h9; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_10 = ifu_status_wr_addr_ff[6:3] == 4'ha; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_11 = ifu_status_wr_addr_ff[6:3] == 4'hb; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_12 = ifu_status_wr_addr_ff[6:3] == 4'hc; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_13 = ifu_status_wr_addr_ff[6:3] == 4'hd; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_14 = ifu_status_wr_addr_ff[6:3] == 4'he; // @[el2_ifu_mem_ctl.scala 718:132] - wire way_status_clken_15 = ifu_status_wr_addr_ff[6:3] == 4'hf; // @[el2_ifu_mem_ctl.scala 718:132] - wire _T_4011 = ifu_status_wr_addr_ff == 7'h0; // @[el2_ifu_mem_ctl.scala 722:93] - wire _T_4012 = _T_4011 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 722:102] - wire _T_4013 = _T_4012 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4015 = ifu_status_wr_addr_ff == 7'h1; // @[el2_ifu_mem_ctl.scala 722:93] - wire _T_4016 = _T_4015 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 722:102] - wire _T_4017 = _T_4016 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4019 = ifu_status_wr_addr_ff == 7'h2; // @[el2_ifu_mem_ctl.scala 722:93] - wire _T_4020 = _T_4019 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 722:102] - wire _T_4021 = _T_4020 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4023 = ifu_status_wr_addr_ff == 7'h3; // @[el2_ifu_mem_ctl.scala 722:93] - wire _T_4024 = _T_4023 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 722:102] - wire _T_4025 = _T_4024 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4027 = ifu_status_wr_addr_ff == 7'h4; // @[el2_ifu_mem_ctl.scala 722:93] - wire _T_4028 = _T_4027 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 722:102] - wire _T_4029 = _T_4028 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4031 = ifu_status_wr_addr_ff == 7'h5; // @[el2_ifu_mem_ctl.scala 722:93] - wire _T_4032 = _T_4031 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 722:102] - wire _T_4033 = _T_4032 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4035 = ifu_status_wr_addr_ff == 7'h6; // @[el2_ifu_mem_ctl.scala 722:93] - wire _T_4036 = _T_4035 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 722:102] - wire _T_4037 = _T_4036 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4039 = ifu_status_wr_addr_ff == 7'h7; // @[el2_ifu_mem_ctl.scala 722:93] - wire _T_4040 = _T_4039 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 722:102] - wire _T_4041 = _T_4040 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4045 = _T_4012 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4049 = _T_4016 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4053 = _T_4020 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4057 = _T_4024 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4061 = _T_4028 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4065 = _T_4032 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4069 = _T_4036 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4073 = _T_4040 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4077 = _T_4012 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4081 = _T_4016 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4085 = _T_4020 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4089 = _T_4024 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4093 = _T_4028 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4097 = _T_4032 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4101 = _T_4036 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4105 = _T_4040 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4109 = _T_4012 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4113 = _T_4016 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4117 = _T_4020 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4121 = _T_4024 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4125 = _T_4028 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4129 = _T_4032 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4133 = _T_4036 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4137 = _T_4040 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4141 = _T_4012 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4145 = _T_4016 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4149 = _T_4020 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4153 = _T_4024 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4157 = _T_4028 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4161 = _T_4032 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4165 = _T_4036 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4169 = _T_4040 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4173 = _T_4012 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4177 = _T_4016 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4181 = _T_4020 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4185 = _T_4024 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4189 = _T_4028 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4193 = _T_4032 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4197 = _T_4036 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4201 = _T_4040 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4205 = _T_4012 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4209 = _T_4016 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4213 = _T_4020 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4217 = _T_4024 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4221 = _T_4028 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4225 = _T_4032 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4229 = _T_4036 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4233 = _T_4040 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4237 = _T_4012 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4241 = _T_4016 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4245 = _T_4020 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4249 = _T_4024 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4253 = _T_4028 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4257 = _T_4032 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4261 = _T_4036 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4265 = _T_4040 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4269 = _T_4012 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4273 = _T_4016 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4277 = _T_4020 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4281 = _T_4024 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4285 = _T_4028 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4289 = _T_4032 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4293 = _T_4036 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4297 = _T_4040 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4301 = _T_4012 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4305 = _T_4016 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4309 = _T_4020 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4313 = _T_4024 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4317 = _T_4028 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4321 = _T_4032 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4325 = _T_4036 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4329 = _T_4040 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4333 = _T_4012 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4337 = _T_4016 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4341 = _T_4020 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4345 = _T_4024 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4349 = _T_4028 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4353 = _T_4032 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4357 = _T_4036 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4361 = _T_4040 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4365 = _T_4012 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4369 = _T_4016 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4373 = _T_4020 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4377 = _T_4024 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4381 = _T_4028 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4385 = _T_4032 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4389 = _T_4036 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4393 = _T_4040 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4397 = _T_4012 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4401 = _T_4016 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4405 = _T_4020 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4409 = _T_4024 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4413 = _T_4028 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4417 = _T_4032 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4421 = _T_4036 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4425 = _T_4040 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4429 = _T_4012 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4433 = _T_4016 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4437 = _T_4020 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4441 = _T_4024 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4445 = _T_4028 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4449 = _T_4032 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4453 = _T_4036 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4457 = _T_4040 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4461 = _T_4012 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4465 = _T_4016 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4469 = _T_4020 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4473 = _T_4024 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4477 = _T_4028 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4481 = _T_4032 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4485 = _T_4036 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4489 = _T_4040 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4493 = _T_4012 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4497 = _T_4016 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4501 = _T_4020 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4505 = _T_4024 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4509 = _T_4028 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4513 = _T_4032 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4517 = _T_4036 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_4521 = _T_4040 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 722:124] - wire _T_10138 = _T_100 & replace_way_mb_any_1; // @[el2_ifu_mem_ctl.scala 785:84] - wire _T_10139 = _T_10138 & miss_pending; // @[el2_ifu_mem_ctl.scala 785:108] - wire bus_wren_last_1 = _T_10139 & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 785:123] - wire wren_reset_miss_1 = replace_way_mb_any_1 & reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 786:84] - wire _T_10141 = bus_wren_last_1 | wren_reset_miss_1; // @[el2_ifu_mem_ctl.scala 787:73] - wire _T_10136 = _T_100 & replace_way_mb_any_0; // @[el2_ifu_mem_ctl.scala 785:84] - wire _T_10137 = _T_10136 & miss_pending; // @[el2_ifu_mem_ctl.scala 785:108] - wire bus_wren_last_0 = _T_10137 & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 785:123] - wire wren_reset_miss_0 = replace_way_mb_any_0 & reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 786:84] - wire _T_10140 = bus_wren_last_0 | wren_reset_miss_0; // @[el2_ifu_mem_ctl.scala 787:73] + wire _T_3927 = ~io_ifc_fetch_uncacheable_bf; // @[el2_ifu_mem_ctl.scala 689:41] + wire _T_3928 = io_ifc_fetch_req_bf & _T_3927; // @[el2_ifu_mem_ctl.scala 689:39] + wire _T_3929 = ~io_ifc_iccm_access_bf; // @[el2_ifu_mem_ctl.scala 689:72] + wire _T_3930 = _T_3928 & _T_3929; // @[el2_ifu_mem_ctl.scala 689:70] + wire _T_3932 = ~miss_state_en; // @[el2_ifu_mem_ctl.scala 690:34] + wire _T_3933 = _T_2233 & _T_3932; // @[el2_ifu_mem_ctl.scala 690:32] + wire _T_3936 = _T_2249 & _T_3932; // @[el2_ifu_mem_ctl.scala 691:37] + wire _T_3937 = _T_3933 | _T_3936; // @[el2_ifu_mem_ctl.scala 690:88] + wire _T_3938 = miss_state == 3'h7; // @[el2_ifu_mem_ctl.scala 692:19] + wire _T_3940 = _T_3938 & _T_3932; // @[el2_ifu_mem_ctl.scala 692:41] + wire _T_3941 = _T_3937 | _T_3940; // @[el2_ifu_mem_ctl.scala 691:88] + wire _T_3942 = miss_state == 3'h3; // @[el2_ifu_mem_ctl.scala 693:19] + wire _T_3944 = _T_3942 & _T_3932; // @[el2_ifu_mem_ctl.scala 693:35] + wire _T_3945 = _T_3941 | _T_3944; // @[el2_ifu_mem_ctl.scala 692:88] + wire _T_3948 = _T_2248 & _T_3932; // @[el2_ifu_mem_ctl.scala 694:38] + wire _T_3949 = _T_3945 | _T_3948; // @[el2_ifu_mem_ctl.scala 693:88] + wire _T_3951 = _T_2249 & miss_state_en; // @[el2_ifu_mem_ctl.scala 695:37] + wire _T_3952 = miss_nxtstate == 3'h3; // @[el2_ifu_mem_ctl.scala 695:71] + wire _T_3953 = _T_3951 & _T_3952; // @[el2_ifu_mem_ctl.scala 695:54] + wire _T_3954 = _T_3949 | _T_3953; // @[el2_ifu_mem_ctl.scala 694:57] + wire _T_3955 = ~_T_3954; // @[el2_ifu_mem_ctl.scala 690:5] + wire _T_3956 = _T_3930 & _T_3955; // @[el2_ifu_mem_ctl.scala 689:96] + wire _T_3957 = io_ifc_fetch_req_bf & io_exu_flush_final; // @[el2_ifu_mem_ctl.scala 696:28] + wire _T_3959 = _T_3957 & _T_3927; // @[el2_ifu_mem_ctl.scala 696:50] + wire _T_3961 = _T_3959 & _T_3929; // @[el2_ifu_mem_ctl.scala 696:81] + wire [1:0] _T_3964 = write_ic_16_bytes ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire _T_10135 = bus_ifu_wr_en_ff_q & replace_way_mb_any_1; // @[el2_ifu_mem_ctl.scala 784:74] + wire bus_wren_1 = _T_10135 & miss_pending; // @[el2_ifu_mem_ctl.scala 784:98] + wire _T_10134 = bus_ifu_wr_en_ff_q & replace_way_mb_any_0; // @[el2_ifu_mem_ctl.scala 784:74] + wire bus_wren_0 = _T_10134 & miss_pending; // @[el2_ifu_mem_ctl.scala 784:98] + wire [1:0] bus_ic_wr_en = {bus_wren_1,bus_wren_0}; // @[Cat.scala 29:58] + wire _T_3970 = ~_T_108; // @[el2_ifu_mem_ctl.scala 699:106] + wire _T_3971 = _T_2233 & _T_3970; // @[el2_ifu_mem_ctl.scala 699:104] + wire _T_3972 = _T_2249 | _T_3971; // @[el2_ifu_mem_ctl.scala 699:77] + wire _T_3976 = ~_T_51; // @[el2_ifu_mem_ctl.scala 699:172] + wire _T_3977 = _T_3972 & _T_3976; // @[el2_ifu_mem_ctl.scala 699:170] + wire _T_3978 = ~_T_3977; // @[el2_ifu_mem_ctl.scala 699:44] + wire _T_3982 = reset_ic_in | reset_ic_ff; // @[el2_ifu_mem_ctl.scala 702:64] + wire _T_3983 = ~_T_3982; // @[el2_ifu_mem_ctl.scala 702:50] + wire _T_3984 = _T_276 & _T_3983; // @[el2_ifu_mem_ctl.scala 702:48] + wire _T_3985 = ~reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 702:81] + wire ic_valid = _T_3984 & _T_3985; // @[el2_ifu_mem_ctl.scala 702:79] + wire _T_3987 = debug_c1_clken & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 703:82] + reg [6:0] ifu_status_wr_addr_ff; // @[el2_ifu_mem_ctl.scala 706:14] + wire _T_3990 = io_ic_debug_wr_en & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 709:74] + wire _T_10132 = bus_ifu_wr_en_ff_q & last_beat; // @[el2_ifu_mem_ctl.scala 783:45] + wire way_status_wr_en = _T_10132 | ic_act_hit_f; // @[el2_ifu_mem_ctl.scala 783:58] + wire way_status_wr_en_w_debug = way_status_wr_en | _T_3990; // @[el2_ifu_mem_ctl.scala 709:53] + reg way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 711:14] + wire [2:0] _T_3994 = {{2'd0}, io_ic_debug_wr_data[4]}; // @[el2_ifu_mem_ctl.scala 715:10] + wire way_status_hit_new = io_ic_rd_hit[0]; // @[el2_ifu_mem_ctl.scala 779:41] + wire way_status_new = _T_10132 ? replace_way_mb_any_0 : way_status_hit_new; // @[el2_ifu_mem_ctl.scala 782:26] + reg [2:0] way_status_new_ff; // @[el2_ifu_mem_ctl.scala 717:14] + wire way_status_clken_0 = ifu_status_wr_addr_ff[6:3] == 4'h0; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_1 = ifu_status_wr_addr_ff[6:3] == 4'h1; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_2 = ifu_status_wr_addr_ff[6:3] == 4'h2; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_3 = ifu_status_wr_addr_ff[6:3] == 4'h3; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_4 = ifu_status_wr_addr_ff[6:3] == 4'h4; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_5 = ifu_status_wr_addr_ff[6:3] == 4'h5; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_6 = ifu_status_wr_addr_ff[6:3] == 4'h6; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_7 = ifu_status_wr_addr_ff[6:3] == 4'h7; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_8 = ifu_status_wr_addr_ff[6:3] == 4'h8; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_9 = ifu_status_wr_addr_ff[6:3] == 4'h9; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_10 = ifu_status_wr_addr_ff[6:3] == 4'ha; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_11 = ifu_status_wr_addr_ff[6:3] == 4'hb; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_12 = ifu_status_wr_addr_ff[6:3] == 4'hc; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_13 = ifu_status_wr_addr_ff[6:3] == 4'hd; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_14 = ifu_status_wr_addr_ff[6:3] == 4'he; // @[el2_ifu_mem_ctl.scala 719:132] + wire way_status_clken_15 = ifu_status_wr_addr_ff[6:3] == 4'hf; // @[el2_ifu_mem_ctl.scala 719:132] + wire _T_4011 = ifu_status_wr_addr_ff == 7'h0; // @[el2_ifu_mem_ctl.scala 723:93] + wire _T_4012 = _T_4011 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:102] + wire _T_4013 = _T_4012 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4015 = ifu_status_wr_addr_ff == 7'h1; // @[el2_ifu_mem_ctl.scala 723:93] + wire _T_4016 = _T_4015 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:102] + wire _T_4017 = _T_4016 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4019 = ifu_status_wr_addr_ff == 7'h2; // @[el2_ifu_mem_ctl.scala 723:93] + wire _T_4020 = _T_4019 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:102] + wire _T_4021 = _T_4020 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4023 = ifu_status_wr_addr_ff == 7'h3; // @[el2_ifu_mem_ctl.scala 723:93] + wire _T_4024 = _T_4023 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:102] + wire _T_4025 = _T_4024 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4027 = ifu_status_wr_addr_ff == 7'h4; // @[el2_ifu_mem_ctl.scala 723:93] + wire _T_4028 = _T_4027 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:102] + wire _T_4029 = _T_4028 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4031 = ifu_status_wr_addr_ff == 7'h5; // @[el2_ifu_mem_ctl.scala 723:93] + wire _T_4032 = _T_4031 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:102] + wire _T_4033 = _T_4032 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4035 = ifu_status_wr_addr_ff == 7'h6; // @[el2_ifu_mem_ctl.scala 723:93] + wire _T_4036 = _T_4035 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:102] + wire _T_4037 = _T_4036 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4039 = ifu_status_wr_addr_ff == 7'h7; // @[el2_ifu_mem_ctl.scala 723:93] + wire _T_4040 = _T_4039 & way_status_wr_en_ff; // @[el2_ifu_mem_ctl.scala 723:102] + wire _T_4041 = _T_4040 & way_status_clken_0; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4045 = _T_4012 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4049 = _T_4016 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4053 = _T_4020 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4057 = _T_4024 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4061 = _T_4028 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4065 = _T_4032 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4069 = _T_4036 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4073 = _T_4040 & way_status_clken_1; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4077 = _T_4012 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4081 = _T_4016 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4085 = _T_4020 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4089 = _T_4024 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4093 = _T_4028 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4097 = _T_4032 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4101 = _T_4036 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4105 = _T_4040 & way_status_clken_2; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4109 = _T_4012 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4113 = _T_4016 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4117 = _T_4020 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4121 = _T_4024 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4125 = _T_4028 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4129 = _T_4032 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4133 = _T_4036 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4137 = _T_4040 & way_status_clken_3; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4141 = _T_4012 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4145 = _T_4016 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4149 = _T_4020 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4153 = _T_4024 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4157 = _T_4028 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4161 = _T_4032 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4165 = _T_4036 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4169 = _T_4040 & way_status_clken_4; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4173 = _T_4012 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4177 = _T_4016 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4181 = _T_4020 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4185 = _T_4024 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4189 = _T_4028 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4193 = _T_4032 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4197 = _T_4036 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4201 = _T_4040 & way_status_clken_5; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4205 = _T_4012 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4209 = _T_4016 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4213 = _T_4020 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4217 = _T_4024 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4221 = _T_4028 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4225 = _T_4032 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4229 = _T_4036 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4233 = _T_4040 & way_status_clken_6; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4237 = _T_4012 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4241 = _T_4016 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4245 = _T_4020 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4249 = _T_4024 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4253 = _T_4028 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4257 = _T_4032 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4261 = _T_4036 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4265 = _T_4040 & way_status_clken_7; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4269 = _T_4012 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4273 = _T_4016 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4277 = _T_4020 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4281 = _T_4024 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4285 = _T_4028 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4289 = _T_4032 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4293 = _T_4036 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4297 = _T_4040 & way_status_clken_8; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4301 = _T_4012 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4305 = _T_4016 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4309 = _T_4020 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4313 = _T_4024 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4317 = _T_4028 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4321 = _T_4032 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4325 = _T_4036 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4329 = _T_4040 & way_status_clken_9; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4333 = _T_4012 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4337 = _T_4016 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4341 = _T_4020 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4345 = _T_4024 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4349 = _T_4028 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4353 = _T_4032 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4357 = _T_4036 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4361 = _T_4040 & way_status_clken_10; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4365 = _T_4012 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4369 = _T_4016 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4373 = _T_4020 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4377 = _T_4024 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4381 = _T_4028 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4385 = _T_4032 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4389 = _T_4036 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4393 = _T_4040 & way_status_clken_11; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4397 = _T_4012 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4401 = _T_4016 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4405 = _T_4020 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4409 = _T_4024 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4413 = _T_4028 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4417 = _T_4032 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4421 = _T_4036 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4425 = _T_4040 & way_status_clken_12; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4429 = _T_4012 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4433 = _T_4016 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4437 = _T_4020 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4441 = _T_4024 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4445 = _T_4028 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4449 = _T_4032 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4453 = _T_4036 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4457 = _T_4040 & way_status_clken_13; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4461 = _T_4012 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4465 = _T_4016 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4469 = _T_4020 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4473 = _T_4024 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4477 = _T_4028 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4481 = _T_4032 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4485 = _T_4036 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4489 = _T_4040 & way_status_clken_14; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4493 = _T_4012 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4497 = _T_4016 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4501 = _T_4020 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4505 = _T_4024 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4509 = _T_4028 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4513 = _T_4032 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4517 = _T_4036 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_4521 = _T_4040 & way_status_clken_15; // @[el2_ifu_mem_ctl.scala 723:124] + wire _T_10138 = _T_100 & replace_way_mb_any_1; // @[el2_ifu_mem_ctl.scala 786:84] + wire _T_10139 = _T_10138 & miss_pending; // @[el2_ifu_mem_ctl.scala 786:108] + wire bus_wren_last_1 = _T_10139 & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 786:123] + wire wren_reset_miss_1 = replace_way_mb_any_1 & reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 787:84] + wire _T_10141 = bus_wren_last_1 | wren_reset_miss_1; // @[el2_ifu_mem_ctl.scala 788:73] + wire _T_10136 = _T_100 & replace_way_mb_any_0; // @[el2_ifu_mem_ctl.scala 786:84] + wire _T_10137 = _T_10136 & miss_pending; // @[el2_ifu_mem_ctl.scala 786:108] + wire bus_wren_last_0 = _T_10137 & bus_last_data_beat; // @[el2_ifu_mem_ctl.scala 786:123] + wire wren_reset_miss_0 = replace_way_mb_any_0 & reset_tag_valid_for_miss; // @[el2_ifu_mem_ctl.scala 787:84] + wire _T_10140 = bus_wren_last_0 | wren_reset_miss_0; // @[el2_ifu_mem_ctl.scala 788:73] wire [1:0] ifu_tag_wren = {_T_10141,_T_10140}; // @[Cat.scala 29:58] - wire [1:0] _T_10175 = _T_3990 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] ic_debug_tag_wr_en = _T_10175 & io_ic_debug_way; // @[el2_ifu_mem_ctl.scala 820:90] - wire [1:0] ifu_tag_wren_w_debug = ifu_tag_wren | ic_debug_tag_wr_en; // @[el2_ifu_mem_ctl.scala 731:45] - reg [1:0] ifu_tag_wren_ff; // @[el2_ifu_mem_ctl.scala 733:14] - reg ic_valid_ff; // @[el2_ifu_mem_ctl.scala 737:14] - wire _T_5170 = ifu_ic_rw_int_addr_ff[5:4] == 2'h0; // @[el2_ifu_mem_ctl.scala 741:82] - wire _T_5172 = _T_5170 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 741:91] - wire _T_5174 = perr_ic_index_ff[5:4] == 2'h0; // @[el2_ifu_mem_ctl.scala 742:74] - wire _T_5176 = _T_5174 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 742:83] - wire _T_5177 = _T_5172 | _T_5176; // @[el2_ifu_mem_ctl.scala 741:113] - wire _T_5178 = _T_5177 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 742:106] - wire _T_5182 = _T_5170 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 741:91] - wire _T_5186 = _T_5174 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 742:83] - wire _T_5187 = _T_5182 | _T_5186; // @[el2_ifu_mem_ctl.scala 741:113] - wire _T_5188 = _T_5187 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 742:106] + wire [1:0] _T_10176 = _T_3990 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] ic_debug_tag_wr_en = _T_10176 & io_ic_debug_way; // @[el2_ifu_mem_ctl.scala 822:90] + wire [1:0] ifu_tag_wren_w_debug = ifu_tag_wren | ic_debug_tag_wr_en; // @[el2_ifu_mem_ctl.scala 732:45] + reg [1:0] ifu_tag_wren_ff; // @[el2_ifu_mem_ctl.scala 734:14] + reg ic_valid_ff; // @[el2_ifu_mem_ctl.scala 738:14] + wire _T_5170 = ifu_ic_rw_int_addr_ff[5:4] == 2'h0; // @[el2_ifu_mem_ctl.scala 742:82] + wire _T_5172 = _T_5170 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 742:91] + wire _T_5174 = perr_ic_index_ff[5:4] == 2'h0; // @[el2_ifu_mem_ctl.scala 743:74] + wire _T_5176 = _T_5174 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 743:83] + wire _T_5177 = _T_5172 | _T_5176; // @[el2_ifu_mem_ctl.scala 742:113] + wire _T_5178 = _T_5177 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 743:106] + wire _T_5182 = _T_5170 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 742:91] + wire _T_5186 = _T_5174 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 743:83] + wire _T_5187 = _T_5182 | _T_5186; // @[el2_ifu_mem_ctl.scala 742:113] + wire _T_5188 = _T_5187 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 743:106] wire [1:0] tag_valid_clken_0 = {_T_5178,_T_5188}; // @[Cat.scala 29:58] - wire _T_5190 = ifu_ic_rw_int_addr_ff[5:4] == 2'h1; // @[el2_ifu_mem_ctl.scala 741:82] - wire _T_5192 = _T_5190 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 741:91] - wire _T_5194 = perr_ic_index_ff[5:4] == 2'h1; // @[el2_ifu_mem_ctl.scala 742:74] - wire _T_5196 = _T_5194 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 742:83] - wire _T_5197 = _T_5192 | _T_5196; // @[el2_ifu_mem_ctl.scala 741:113] - wire _T_5198 = _T_5197 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 742:106] - wire _T_5202 = _T_5190 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 741:91] - wire _T_5206 = _T_5194 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 742:83] - wire _T_5207 = _T_5202 | _T_5206; // @[el2_ifu_mem_ctl.scala 741:113] - wire _T_5208 = _T_5207 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 742:106] + wire _T_5190 = ifu_ic_rw_int_addr_ff[5:4] == 2'h1; // @[el2_ifu_mem_ctl.scala 742:82] + wire _T_5192 = _T_5190 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 742:91] + wire _T_5194 = perr_ic_index_ff[5:4] == 2'h1; // @[el2_ifu_mem_ctl.scala 743:74] + wire _T_5196 = _T_5194 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 743:83] + wire _T_5197 = _T_5192 | _T_5196; // @[el2_ifu_mem_ctl.scala 742:113] + wire _T_5198 = _T_5197 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 743:106] + wire _T_5202 = _T_5190 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 742:91] + wire _T_5206 = _T_5194 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 743:83] + wire _T_5207 = _T_5202 | _T_5206; // @[el2_ifu_mem_ctl.scala 742:113] + wire _T_5208 = _T_5207 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 743:106] wire [1:0] tag_valid_clken_1 = {_T_5198,_T_5208}; // @[Cat.scala 29:58] - wire _T_5210 = ifu_ic_rw_int_addr_ff[5:4] == 2'h2; // @[el2_ifu_mem_ctl.scala 741:82] - wire _T_5212 = _T_5210 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 741:91] - wire _T_5214 = perr_ic_index_ff[5:4] == 2'h2; // @[el2_ifu_mem_ctl.scala 742:74] - wire _T_5216 = _T_5214 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 742:83] - wire _T_5217 = _T_5212 | _T_5216; // @[el2_ifu_mem_ctl.scala 741:113] - wire _T_5218 = _T_5217 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 742:106] - wire _T_5222 = _T_5210 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 741:91] - wire _T_5226 = _T_5214 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 742:83] - wire _T_5227 = _T_5222 | _T_5226; // @[el2_ifu_mem_ctl.scala 741:113] - wire _T_5228 = _T_5227 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 742:106] + wire _T_5210 = ifu_ic_rw_int_addr_ff[5:4] == 2'h2; // @[el2_ifu_mem_ctl.scala 742:82] + wire _T_5212 = _T_5210 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 742:91] + wire _T_5214 = perr_ic_index_ff[5:4] == 2'h2; // @[el2_ifu_mem_ctl.scala 743:74] + wire _T_5216 = _T_5214 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 743:83] + wire _T_5217 = _T_5212 | _T_5216; // @[el2_ifu_mem_ctl.scala 742:113] + wire _T_5218 = _T_5217 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 743:106] + wire _T_5222 = _T_5210 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 742:91] + wire _T_5226 = _T_5214 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 743:83] + wire _T_5227 = _T_5222 | _T_5226; // @[el2_ifu_mem_ctl.scala 742:113] + wire _T_5228 = _T_5227 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 743:106] wire [1:0] tag_valid_clken_2 = {_T_5218,_T_5228}; // @[Cat.scala 29:58] - wire _T_5230 = ifu_ic_rw_int_addr_ff[5:4] == 2'h3; // @[el2_ifu_mem_ctl.scala 741:82] - wire _T_5232 = _T_5230 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 741:91] - wire _T_5234 = perr_ic_index_ff[5:4] == 2'h3; // @[el2_ifu_mem_ctl.scala 742:74] - wire _T_5236 = _T_5234 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 742:83] - wire _T_5237 = _T_5232 | _T_5236; // @[el2_ifu_mem_ctl.scala 741:113] - wire _T_5238 = _T_5237 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 742:106] - wire _T_5242 = _T_5230 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 741:91] - wire _T_5246 = _T_5234 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 742:83] - wire _T_5247 = _T_5242 | _T_5246; // @[el2_ifu_mem_ctl.scala 741:113] - wire _T_5248 = _T_5247 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 742:106] + wire _T_5230 = ifu_ic_rw_int_addr_ff[5:4] == 2'h3; // @[el2_ifu_mem_ctl.scala 742:82] + wire _T_5232 = _T_5230 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 742:91] + wire _T_5234 = perr_ic_index_ff[5:4] == 2'h3; // @[el2_ifu_mem_ctl.scala 743:74] + wire _T_5236 = _T_5234 & perr_err_inv_way[0]; // @[el2_ifu_mem_ctl.scala 743:83] + wire _T_5237 = _T_5232 | _T_5236; // @[el2_ifu_mem_ctl.scala 742:113] + wire _T_5238 = _T_5237 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 743:106] + wire _T_5242 = _T_5230 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 742:91] + wire _T_5246 = _T_5234 & perr_err_inv_way[1]; // @[el2_ifu_mem_ctl.scala 743:83] + wire _T_5247 = _T_5242 | _T_5246; // @[el2_ifu_mem_ctl.scala 742:113] + wire _T_5248 = _T_5247 | reset_all_tags; // @[el2_ifu_mem_ctl.scala 743:106] wire [1:0] tag_valid_clken_3 = {_T_5238,_T_5248}; // @[Cat.scala 29:58] - wire _T_5251 = ic_valid_ff & _T_195; // @[el2_ifu_mem_ctl.scala 747:64] - wire _T_5252 = ~perr_sel_invalidate; // @[el2_ifu_mem_ctl.scala 747:91] - wire _T_5253 = _T_5251 & _T_5252; // @[el2_ifu_mem_ctl.scala 747:89] - wire _T_5256 = _T_4523 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5257 = perr_ic_index_ff == 6'h0; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5259 = _T_5257 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5261 = _T_5259 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5262 = _T_5256 | _T_5261; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5272 = _T_4527 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5273 = perr_ic_index_ff == 6'h1; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5275 = _T_5273 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5277 = _T_5275 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5278 = _T_5272 | _T_5277; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5288 = _T_4531 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5289 = perr_ic_index_ff == 6'h2; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5291 = _T_5289 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5293 = _T_5291 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5294 = _T_5288 | _T_5293; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5304 = _T_4535 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5305 = perr_ic_index_ff == 6'h3; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5307 = _T_5305 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5309 = _T_5307 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5310 = _T_5304 | _T_5309; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5320 = _T_4539 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5321 = perr_ic_index_ff == 6'h4; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5323 = _T_5321 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5325 = _T_5323 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5326 = _T_5320 | _T_5325; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5336 = _T_4543 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5337 = perr_ic_index_ff == 6'h5; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5339 = _T_5337 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5341 = _T_5339 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5342 = _T_5336 | _T_5341; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5352 = _T_4547 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5353 = perr_ic_index_ff == 6'h6; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5355 = _T_5353 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5357 = _T_5355 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5358 = _T_5352 | _T_5357; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5368 = _T_4551 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5369 = perr_ic_index_ff == 6'h7; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5371 = _T_5369 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5373 = _T_5371 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5374 = _T_5368 | _T_5373; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5384 = _T_4555 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5385 = perr_ic_index_ff == 6'h8; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5387 = _T_5385 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5389 = _T_5387 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5390 = _T_5384 | _T_5389; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5400 = _T_4559 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5401 = perr_ic_index_ff == 6'h9; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5403 = _T_5401 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5405 = _T_5403 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5406 = _T_5400 | _T_5405; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5416 = _T_4563 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5417 = perr_ic_index_ff == 6'ha; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5419 = _T_5417 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5421 = _T_5419 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5422 = _T_5416 | _T_5421; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5432 = _T_4567 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5433 = perr_ic_index_ff == 6'hb; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5435 = _T_5433 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5437 = _T_5435 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5438 = _T_5432 | _T_5437; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5448 = _T_4571 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5449 = perr_ic_index_ff == 6'hc; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5451 = _T_5449 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5453 = _T_5451 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5454 = _T_5448 | _T_5453; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5464 = _T_4575 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5465 = perr_ic_index_ff == 6'hd; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5467 = _T_5465 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5469 = _T_5467 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5470 = _T_5464 | _T_5469; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5480 = _T_4579 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5481 = perr_ic_index_ff == 6'he; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5483 = _T_5481 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5485 = _T_5483 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5486 = _T_5480 | _T_5485; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5496 = _T_4583 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5497 = perr_ic_index_ff == 6'hf; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5499 = _T_5497 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5501 = _T_5499 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5502 = _T_5496 | _T_5501; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5512 = _T_4587 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5513 = perr_ic_index_ff == 6'h10; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5515 = _T_5513 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5517 = _T_5515 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5518 = _T_5512 | _T_5517; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5528 = _T_4591 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5529 = perr_ic_index_ff == 6'h11; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5531 = _T_5529 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5533 = _T_5531 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5534 = _T_5528 | _T_5533; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5544 = _T_4595 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5545 = perr_ic_index_ff == 6'h12; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5547 = _T_5545 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5549 = _T_5547 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5550 = _T_5544 | _T_5549; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5560 = _T_4599 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5561 = perr_ic_index_ff == 6'h13; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5563 = _T_5561 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5565 = _T_5563 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5566 = _T_5560 | _T_5565; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5576 = _T_4603 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5577 = perr_ic_index_ff == 6'h14; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5579 = _T_5577 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5581 = _T_5579 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5582 = _T_5576 | _T_5581; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5592 = _T_4607 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5593 = perr_ic_index_ff == 6'h15; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5595 = _T_5593 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5597 = _T_5595 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5598 = _T_5592 | _T_5597; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5608 = _T_4611 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5609 = perr_ic_index_ff == 6'h16; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5611 = _T_5609 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5613 = _T_5611 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5614 = _T_5608 | _T_5613; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5624 = _T_4615 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5625 = perr_ic_index_ff == 6'h17; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5627 = _T_5625 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5629 = _T_5627 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5630 = _T_5624 | _T_5629; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5640 = _T_4619 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5641 = perr_ic_index_ff == 6'h18; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5643 = _T_5641 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5645 = _T_5643 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5646 = _T_5640 | _T_5645; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5656 = _T_4623 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5657 = perr_ic_index_ff == 6'h19; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5659 = _T_5657 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5661 = _T_5659 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5662 = _T_5656 | _T_5661; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5672 = _T_4627 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5673 = perr_ic_index_ff == 6'h1a; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5675 = _T_5673 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5677 = _T_5675 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5678 = _T_5672 | _T_5677; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5688 = _T_4631 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5689 = perr_ic_index_ff == 6'h1b; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5691 = _T_5689 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5693 = _T_5691 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5694 = _T_5688 | _T_5693; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5704 = _T_4635 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5705 = perr_ic_index_ff == 6'h1c; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5707 = _T_5705 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5709 = _T_5707 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5710 = _T_5704 | _T_5709; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5720 = _T_4639 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5721 = perr_ic_index_ff == 6'h1d; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5723 = _T_5721 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5725 = _T_5723 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5726 = _T_5720 | _T_5725; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5736 = _T_4643 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5737 = perr_ic_index_ff == 6'h1e; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5739 = _T_5737 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5741 = _T_5739 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5742 = _T_5736 | _T_5741; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5752 = _T_4647 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5753 = perr_ic_index_ff == 6'h1f; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_5755 = _T_5753 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5757 = _T_5755 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5758 = _T_5752 | _T_5757; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5768 = _T_4523 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5771 = _T_5257 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5773 = _T_5771 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5774 = _T_5768 | _T_5773; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5784 = _T_4527 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5787 = _T_5273 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5789 = _T_5787 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5790 = _T_5784 | _T_5789; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5800 = _T_4531 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5803 = _T_5289 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5805 = _T_5803 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5806 = _T_5800 | _T_5805; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5816 = _T_4535 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5819 = _T_5305 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5821 = _T_5819 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5822 = _T_5816 | _T_5821; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5832 = _T_4539 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5835 = _T_5321 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5837 = _T_5835 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5838 = _T_5832 | _T_5837; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5848 = _T_4543 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5851 = _T_5337 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5853 = _T_5851 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5854 = _T_5848 | _T_5853; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5864 = _T_4547 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5867 = _T_5353 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5869 = _T_5867 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5870 = _T_5864 | _T_5869; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5880 = _T_4551 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5883 = _T_5369 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5885 = _T_5883 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5886 = _T_5880 | _T_5885; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5896 = _T_4555 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5899 = _T_5385 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5901 = _T_5899 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5902 = _T_5896 | _T_5901; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5912 = _T_4559 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5915 = _T_5401 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5917 = _T_5915 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5918 = _T_5912 | _T_5917; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5928 = _T_4563 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5931 = _T_5417 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5933 = _T_5931 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5934 = _T_5928 | _T_5933; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5944 = _T_4567 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5947 = _T_5433 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5949 = _T_5947 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5950 = _T_5944 | _T_5949; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5960 = _T_4571 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5963 = _T_5449 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5965 = _T_5963 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5966 = _T_5960 | _T_5965; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5976 = _T_4575 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5979 = _T_5465 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5981 = _T_5979 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5982 = _T_5976 | _T_5981; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_5992 = _T_4579 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_5995 = _T_5481 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_5997 = _T_5995 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_5998 = _T_5992 | _T_5997; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6008 = _T_4583 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6011 = _T_5497 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6013 = _T_6011 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6014 = _T_6008 | _T_6013; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6024 = _T_4587 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6027 = _T_5513 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6029 = _T_6027 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6030 = _T_6024 | _T_6029; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6040 = _T_4591 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6043 = _T_5529 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6045 = _T_6043 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6046 = _T_6040 | _T_6045; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6056 = _T_4595 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6059 = _T_5545 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6061 = _T_6059 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6062 = _T_6056 | _T_6061; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6072 = _T_4599 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6075 = _T_5561 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6077 = _T_6075 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6078 = _T_6072 | _T_6077; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6088 = _T_4603 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6091 = _T_5577 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6093 = _T_6091 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6094 = _T_6088 | _T_6093; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6104 = _T_4607 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6107 = _T_5593 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6109 = _T_6107 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6110 = _T_6104 | _T_6109; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6120 = _T_4611 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6123 = _T_5609 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6125 = _T_6123 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6126 = _T_6120 | _T_6125; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6136 = _T_4615 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6139 = _T_5625 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6141 = _T_6139 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6142 = _T_6136 | _T_6141; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6152 = _T_4619 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6155 = _T_5641 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6157 = _T_6155 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6158 = _T_6152 | _T_6157; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6168 = _T_4623 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6171 = _T_5657 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6173 = _T_6171 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6174 = _T_6168 | _T_6173; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6184 = _T_4627 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6187 = _T_5673 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6189 = _T_6187 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6190 = _T_6184 | _T_6189; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6200 = _T_4631 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6203 = _T_5689 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6205 = _T_6203 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6206 = _T_6200 | _T_6205; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6216 = _T_4635 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6219 = _T_5705 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6221 = _T_6219 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6222 = _T_6216 | _T_6221; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6232 = _T_4639 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6235 = _T_5721 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6237 = _T_6235 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6238 = _T_6232 | _T_6237; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6248 = _T_4643 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6251 = _T_5737 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6253 = _T_6251 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6254 = _T_6248 | _T_6253; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6264 = _T_4647 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6267 = _T_5753 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6269 = _T_6267 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6270 = _T_6264 | _T_6269; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6280 = _T_4651 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6281 = perr_ic_index_ff == 6'h20; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6283 = _T_6281 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6285 = _T_6283 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6286 = _T_6280 | _T_6285; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6296 = _T_4655 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6297 = perr_ic_index_ff == 6'h21; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6299 = _T_6297 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6301 = _T_6299 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6302 = _T_6296 | _T_6301; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6312 = _T_4659 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6313 = perr_ic_index_ff == 6'h22; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6315 = _T_6313 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6317 = _T_6315 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6318 = _T_6312 | _T_6317; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6328 = _T_4663 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6329 = perr_ic_index_ff == 6'h23; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6331 = _T_6329 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6333 = _T_6331 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6334 = _T_6328 | _T_6333; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6344 = _T_4667 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6345 = perr_ic_index_ff == 6'h24; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6347 = _T_6345 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6349 = _T_6347 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6350 = _T_6344 | _T_6349; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6360 = _T_4671 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6361 = perr_ic_index_ff == 6'h25; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6363 = _T_6361 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6365 = _T_6363 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6366 = _T_6360 | _T_6365; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6376 = _T_4675 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6377 = perr_ic_index_ff == 6'h26; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6379 = _T_6377 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6381 = _T_6379 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6382 = _T_6376 | _T_6381; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6392 = _T_4679 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6393 = perr_ic_index_ff == 6'h27; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6395 = _T_6393 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6397 = _T_6395 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6398 = _T_6392 | _T_6397; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6408 = _T_4683 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6409 = perr_ic_index_ff == 6'h28; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6411 = _T_6409 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6413 = _T_6411 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6414 = _T_6408 | _T_6413; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6424 = _T_4687 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6425 = perr_ic_index_ff == 6'h29; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6427 = _T_6425 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6429 = _T_6427 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6430 = _T_6424 | _T_6429; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6440 = _T_4691 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6441 = perr_ic_index_ff == 6'h2a; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6443 = _T_6441 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6445 = _T_6443 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6446 = _T_6440 | _T_6445; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6456 = _T_4695 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6457 = perr_ic_index_ff == 6'h2b; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6459 = _T_6457 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6461 = _T_6459 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6462 = _T_6456 | _T_6461; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6472 = _T_4699 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6473 = perr_ic_index_ff == 6'h2c; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6475 = _T_6473 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6477 = _T_6475 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6478 = _T_6472 | _T_6477; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6488 = _T_4703 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6489 = perr_ic_index_ff == 6'h2d; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6491 = _T_6489 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6493 = _T_6491 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6494 = _T_6488 | _T_6493; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6504 = _T_4707 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6505 = perr_ic_index_ff == 6'h2e; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6507 = _T_6505 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6509 = _T_6507 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6510 = _T_6504 | _T_6509; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6520 = _T_4711 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6521 = perr_ic_index_ff == 6'h2f; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6523 = _T_6521 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6525 = _T_6523 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6526 = _T_6520 | _T_6525; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6536 = _T_4715 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6537 = perr_ic_index_ff == 6'h30; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6539 = _T_6537 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6541 = _T_6539 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6542 = _T_6536 | _T_6541; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6552 = _T_4719 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6553 = perr_ic_index_ff == 6'h31; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6555 = _T_6553 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6557 = _T_6555 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6558 = _T_6552 | _T_6557; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6568 = _T_4723 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6569 = perr_ic_index_ff == 6'h32; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6571 = _T_6569 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6573 = _T_6571 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6574 = _T_6568 | _T_6573; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6584 = _T_4727 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6585 = perr_ic_index_ff == 6'h33; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6587 = _T_6585 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6589 = _T_6587 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6590 = _T_6584 | _T_6589; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6600 = _T_4731 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6601 = perr_ic_index_ff == 6'h34; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6603 = _T_6601 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6605 = _T_6603 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6606 = _T_6600 | _T_6605; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6616 = _T_4735 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6617 = perr_ic_index_ff == 6'h35; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6619 = _T_6617 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6621 = _T_6619 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6622 = _T_6616 | _T_6621; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6632 = _T_4739 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6633 = perr_ic_index_ff == 6'h36; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6635 = _T_6633 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6637 = _T_6635 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6638 = _T_6632 | _T_6637; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6648 = _T_4743 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6649 = perr_ic_index_ff == 6'h37; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6651 = _T_6649 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6653 = _T_6651 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6654 = _T_6648 | _T_6653; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6664 = _T_4747 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6665 = perr_ic_index_ff == 6'h38; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6667 = _T_6665 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6669 = _T_6667 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6670 = _T_6664 | _T_6669; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6680 = _T_4751 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6681 = perr_ic_index_ff == 6'h39; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6683 = _T_6681 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6685 = _T_6683 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6686 = _T_6680 | _T_6685; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6696 = _T_4755 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6697 = perr_ic_index_ff == 6'h3a; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6699 = _T_6697 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6701 = _T_6699 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6702 = _T_6696 | _T_6701; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6712 = _T_4759 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6713 = perr_ic_index_ff == 6'h3b; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6715 = _T_6713 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6717 = _T_6715 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6718 = _T_6712 | _T_6717; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6728 = _T_4763 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6729 = perr_ic_index_ff == 6'h3c; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6731 = _T_6729 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6733 = _T_6731 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6734 = _T_6728 | _T_6733; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6744 = _T_4767 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6745 = perr_ic_index_ff == 6'h3d; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6747 = _T_6745 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6749 = _T_6747 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6750 = _T_6744 | _T_6749; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6760 = _T_4771 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6761 = perr_ic_index_ff == 6'h3e; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6763 = _T_6761 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6765 = _T_6763 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6766 = _T_6760 | _T_6765; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6776 = _T_4775 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6777 = perr_ic_index_ff == 6'h3f; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_6779 = _T_6777 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6781 = _T_6779 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6782 = _T_6776 | _T_6781; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6792 = _T_4651 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6795 = _T_6281 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6797 = _T_6795 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6798 = _T_6792 | _T_6797; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6808 = _T_4655 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6811 = _T_6297 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6813 = _T_6811 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6814 = _T_6808 | _T_6813; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6824 = _T_4659 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6827 = _T_6313 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6829 = _T_6827 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6830 = _T_6824 | _T_6829; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6840 = _T_4663 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6843 = _T_6329 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6845 = _T_6843 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6846 = _T_6840 | _T_6845; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6856 = _T_4667 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6859 = _T_6345 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6861 = _T_6859 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6862 = _T_6856 | _T_6861; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6872 = _T_4671 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6875 = _T_6361 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6877 = _T_6875 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6878 = _T_6872 | _T_6877; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6888 = _T_4675 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6891 = _T_6377 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6893 = _T_6891 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6894 = _T_6888 | _T_6893; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6904 = _T_4679 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6907 = _T_6393 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6909 = _T_6907 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6910 = _T_6904 | _T_6909; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6920 = _T_4683 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6923 = _T_6409 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6925 = _T_6923 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6926 = _T_6920 | _T_6925; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6936 = _T_4687 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6939 = _T_6425 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6941 = _T_6939 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6942 = _T_6936 | _T_6941; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6952 = _T_4691 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6955 = _T_6441 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6957 = _T_6955 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6958 = _T_6952 | _T_6957; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6968 = _T_4695 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6971 = _T_6457 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6973 = _T_6971 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6974 = _T_6968 | _T_6973; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_6984 = _T_4699 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_6987 = _T_6473 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_6989 = _T_6987 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_6990 = _T_6984 | _T_6989; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7000 = _T_4703 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7003 = _T_6489 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7005 = _T_7003 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7006 = _T_7000 | _T_7005; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7016 = _T_4707 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7019 = _T_6505 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7021 = _T_7019 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7022 = _T_7016 | _T_7021; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7032 = _T_4711 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7035 = _T_6521 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7037 = _T_7035 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7038 = _T_7032 | _T_7037; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7048 = _T_4715 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7051 = _T_6537 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7053 = _T_7051 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7054 = _T_7048 | _T_7053; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7064 = _T_4719 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7067 = _T_6553 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7069 = _T_7067 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7070 = _T_7064 | _T_7069; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7080 = _T_4723 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7083 = _T_6569 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7085 = _T_7083 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7086 = _T_7080 | _T_7085; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7096 = _T_4727 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7099 = _T_6585 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7101 = _T_7099 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7102 = _T_7096 | _T_7101; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7112 = _T_4731 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7115 = _T_6601 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7117 = _T_7115 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7118 = _T_7112 | _T_7117; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7128 = _T_4735 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7131 = _T_6617 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7133 = _T_7131 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7134 = _T_7128 | _T_7133; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7144 = _T_4739 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7147 = _T_6633 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7149 = _T_7147 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7150 = _T_7144 | _T_7149; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7160 = _T_4743 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7163 = _T_6649 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7165 = _T_7163 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7166 = _T_7160 | _T_7165; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7176 = _T_4747 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7179 = _T_6665 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7181 = _T_7179 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7182 = _T_7176 | _T_7181; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7192 = _T_4751 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7195 = _T_6681 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7197 = _T_7195 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7198 = _T_7192 | _T_7197; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7208 = _T_4755 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7211 = _T_6697 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7213 = _T_7211 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7214 = _T_7208 | _T_7213; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7224 = _T_4759 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7227 = _T_6713 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7229 = _T_7227 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7230 = _T_7224 | _T_7229; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7240 = _T_4763 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7243 = _T_6729 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7245 = _T_7243 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7246 = _T_7240 | _T_7245; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7256 = _T_4767 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7259 = _T_6745 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7261 = _T_7259 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7262 = _T_7256 | _T_7261; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7272 = _T_4771 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7275 = _T_6761 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7277 = _T_7275 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7278 = _T_7272 | _T_7277; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7288 = _T_4775 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7291 = _T_6777 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7293 = _T_7291 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7294 = _T_7288 | _T_7293; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7304 = _T_4779 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire [6:0] _GEN_797 = {{1'd0}, perr_ic_index_ff}; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7305 = _GEN_797 == 7'h40; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7307 = _T_7305 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7309 = _T_7307 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7310 = _T_7304 | _T_7309; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7320 = _T_4783 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7321 = _GEN_797 == 7'h41; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7323 = _T_7321 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7325 = _T_7323 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7326 = _T_7320 | _T_7325; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7336 = _T_4787 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7337 = _GEN_797 == 7'h42; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7339 = _T_7337 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7341 = _T_7339 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7342 = _T_7336 | _T_7341; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7352 = _T_4791 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7353 = _GEN_797 == 7'h43; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7355 = _T_7353 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7357 = _T_7355 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7358 = _T_7352 | _T_7357; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7368 = _T_4795 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7369 = _GEN_797 == 7'h44; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7371 = _T_7369 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7373 = _T_7371 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7374 = _T_7368 | _T_7373; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7384 = _T_4799 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7385 = _GEN_797 == 7'h45; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7387 = _T_7385 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7389 = _T_7387 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7390 = _T_7384 | _T_7389; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7400 = _T_4803 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7401 = _GEN_797 == 7'h46; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7403 = _T_7401 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7405 = _T_7403 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7406 = _T_7400 | _T_7405; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7416 = _T_4807 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7417 = _GEN_797 == 7'h47; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7419 = _T_7417 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7421 = _T_7419 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7422 = _T_7416 | _T_7421; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7432 = _T_4811 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7433 = _GEN_797 == 7'h48; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7435 = _T_7433 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7437 = _T_7435 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7438 = _T_7432 | _T_7437; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7448 = _T_4815 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7449 = _GEN_797 == 7'h49; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7451 = _T_7449 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7453 = _T_7451 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7454 = _T_7448 | _T_7453; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7464 = _T_4819 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7465 = _GEN_797 == 7'h4a; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7467 = _T_7465 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7469 = _T_7467 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7470 = _T_7464 | _T_7469; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7480 = _T_4823 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7481 = _GEN_797 == 7'h4b; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7483 = _T_7481 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7485 = _T_7483 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7486 = _T_7480 | _T_7485; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7496 = _T_4827 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7497 = _GEN_797 == 7'h4c; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7499 = _T_7497 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7501 = _T_7499 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7502 = _T_7496 | _T_7501; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7512 = _T_4831 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7513 = _GEN_797 == 7'h4d; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7515 = _T_7513 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7517 = _T_7515 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7518 = _T_7512 | _T_7517; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7528 = _T_4835 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7529 = _GEN_797 == 7'h4e; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7531 = _T_7529 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7533 = _T_7531 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7534 = _T_7528 | _T_7533; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7544 = _T_4839 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7545 = _GEN_797 == 7'h4f; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7547 = _T_7545 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7549 = _T_7547 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7550 = _T_7544 | _T_7549; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7560 = _T_4843 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7561 = _GEN_797 == 7'h50; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7563 = _T_7561 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7565 = _T_7563 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7566 = _T_7560 | _T_7565; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7576 = _T_4847 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7577 = _GEN_797 == 7'h51; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7579 = _T_7577 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7581 = _T_7579 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7582 = _T_7576 | _T_7581; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7592 = _T_4851 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7593 = _GEN_797 == 7'h52; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7595 = _T_7593 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7597 = _T_7595 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7598 = _T_7592 | _T_7597; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7608 = _T_4855 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7609 = _GEN_797 == 7'h53; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7611 = _T_7609 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7613 = _T_7611 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7614 = _T_7608 | _T_7613; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7624 = _T_4859 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7625 = _GEN_797 == 7'h54; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7627 = _T_7625 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7629 = _T_7627 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7630 = _T_7624 | _T_7629; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7640 = _T_4863 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7641 = _GEN_797 == 7'h55; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7643 = _T_7641 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7645 = _T_7643 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7646 = _T_7640 | _T_7645; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7656 = _T_4867 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7657 = _GEN_797 == 7'h56; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7659 = _T_7657 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7661 = _T_7659 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7662 = _T_7656 | _T_7661; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7672 = _T_4871 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7673 = _GEN_797 == 7'h57; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7675 = _T_7673 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7677 = _T_7675 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7678 = _T_7672 | _T_7677; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7688 = _T_4875 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7689 = _GEN_797 == 7'h58; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7691 = _T_7689 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7693 = _T_7691 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7694 = _T_7688 | _T_7693; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7704 = _T_4879 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7705 = _GEN_797 == 7'h59; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7707 = _T_7705 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7709 = _T_7707 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7710 = _T_7704 | _T_7709; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7720 = _T_4883 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7721 = _GEN_797 == 7'h5a; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7723 = _T_7721 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7725 = _T_7723 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7726 = _T_7720 | _T_7725; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7736 = _T_4887 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7737 = _GEN_797 == 7'h5b; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7739 = _T_7737 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7741 = _T_7739 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7742 = _T_7736 | _T_7741; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7752 = _T_4891 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7753 = _GEN_797 == 7'h5c; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7755 = _T_7753 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7757 = _T_7755 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7758 = _T_7752 | _T_7757; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7768 = _T_4895 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7769 = _GEN_797 == 7'h5d; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7771 = _T_7769 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7773 = _T_7771 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7774 = _T_7768 | _T_7773; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7784 = _T_4899 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7785 = _GEN_797 == 7'h5e; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7787 = _T_7785 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7789 = _T_7787 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7790 = _T_7784 | _T_7789; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7800 = _T_4903 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7801 = _GEN_797 == 7'h5f; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_7803 = _T_7801 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7805 = _T_7803 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7806 = _T_7800 | _T_7805; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7816 = _T_4779 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7819 = _T_7305 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7821 = _T_7819 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7822 = _T_7816 | _T_7821; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7832 = _T_4783 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7835 = _T_7321 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7837 = _T_7835 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7838 = _T_7832 | _T_7837; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7848 = _T_4787 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7851 = _T_7337 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7853 = _T_7851 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7854 = _T_7848 | _T_7853; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7864 = _T_4791 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7867 = _T_7353 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7869 = _T_7867 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7870 = _T_7864 | _T_7869; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7880 = _T_4795 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7883 = _T_7369 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7885 = _T_7883 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7886 = _T_7880 | _T_7885; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7896 = _T_4799 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7899 = _T_7385 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7901 = _T_7899 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7902 = _T_7896 | _T_7901; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7912 = _T_4803 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7915 = _T_7401 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7917 = _T_7915 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7918 = _T_7912 | _T_7917; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7928 = _T_4807 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7931 = _T_7417 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7933 = _T_7931 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7934 = _T_7928 | _T_7933; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7944 = _T_4811 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7947 = _T_7433 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7949 = _T_7947 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7950 = _T_7944 | _T_7949; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7960 = _T_4815 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7963 = _T_7449 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7965 = _T_7963 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7966 = _T_7960 | _T_7965; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7976 = _T_4819 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7979 = _T_7465 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7981 = _T_7979 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7982 = _T_7976 | _T_7981; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_7992 = _T_4823 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_7995 = _T_7481 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_7997 = _T_7995 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_7998 = _T_7992 | _T_7997; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8008 = _T_4827 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8011 = _T_7497 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8013 = _T_8011 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8014 = _T_8008 | _T_8013; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8024 = _T_4831 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8027 = _T_7513 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8029 = _T_8027 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8030 = _T_8024 | _T_8029; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8040 = _T_4835 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8043 = _T_7529 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8045 = _T_8043 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8046 = _T_8040 | _T_8045; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8056 = _T_4839 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8059 = _T_7545 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8061 = _T_8059 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8062 = _T_8056 | _T_8061; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8072 = _T_4843 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8075 = _T_7561 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8077 = _T_8075 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8078 = _T_8072 | _T_8077; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8088 = _T_4847 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8091 = _T_7577 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8093 = _T_8091 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8094 = _T_8088 | _T_8093; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8104 = _T_4851 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8107 = _T_7593 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8109 = _T_8107 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8110 = _T_8104 | _T_8109; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8120 = _T_4855 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8123 = _T_7609 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8125 = _T_8123 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8126 = _T_8120 | _T_8125; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8136 = _T_4859 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8139 = _T_7625 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8141 = _T_8139 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8142 = _T_8136 | _T_8141; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8152 = _T_4863 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8155 = _T_7641 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8157 = _T_8155 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8158 = _T_8152 | _T_8157; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8168 = _T_4867 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8171 = _T_7657 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8173 = _T_8171 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8174 = _T_8168 | _T_8173; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8184 = _T_4871 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8187 = _T_7673 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8189 = _T_8187 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8190 = _T_8184 | _T_8189; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8200 = _T_4875 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8203 = _T_7689 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8205 = _T_8203 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8206 = _T_8200 | _T_8205; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8216 = _T_4879 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8219 = _T_7705 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8221 = _T_8219 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8222 = _T_8216 | _T_8221; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8232 = _T_4883 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8235 = _T_7721 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8237 = _T_8235 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8238 = _T_8232 | _T_8237; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8248 = _T_4887 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8251 = _T_7737 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8253 = _T_8251 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8254 = _T_8248 | _T_8253; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8264 = _T_4891 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8267 = _T_7753 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8269 = _T_8267 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8270 = _T_8264 | _T_8269; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8280 = _T_4895 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8283 = _T_7769 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8285 = _T_8283 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8286 = _T_8280 | _T_8285; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8296 = _T_4899 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8299 = _T_7785 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8301 = _T_8299 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8302 = _T_8296 | _T_8301; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8312 = _T_4903 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8315 = _T_7801 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8317 = _T_8315 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8318 = _T_8312 | _T_8317; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8328 = _T_4907 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8329 = _GEN_797 == 7'h60; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8331 = _T_8329 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8333 = _T_8331 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8334 = _T_8328 | _T_8333; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8344 = _T_4911 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8345 = _GEN_797 == 7'h61; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8347 = _T_8345 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8349 = _T_8347 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8350 = _T_8344 | _T_8349; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8360 = _T_4915 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8361 = _GEN_797 == 7'h62; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8363 = _T_8361 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8365 = _T_8363 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8366 = _T_8360 | _T_8365; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8376 = _T_4919 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8377 = _GEN_797 == 7'h63; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8379 = _T_8377 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8381 = _T_8379 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8382 = _T_8376 | _T_8381; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8392 = _T_4923 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8393 = _GEN_797 == 7'h64; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8395 = _T_8393 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8397 = _T_8395 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8398 = _T_8392 | _T_8397; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8408 = _T_4927 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8409 = _GEN_797 == 7'h65; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8411 = _T_8409 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8413 = _T_8411 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8414 = _T_8408 | _T_8413; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8424 = _T_4931 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8425 = _GEN_797 == 7'h66; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8427 = _T_8425 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8429 = _T_8427 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8430 = _T_8424 | _T_8429; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8440 = _T_4935 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8441 = _GEN_797 == 7'h67; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8443 = _T_8441 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8445 = _T_8443 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8446 = _T_8440 | _T_8445; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8456 = _T_4939 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8457 = _GEN_797 == 7'h68; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8459 = _T_8457 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8461 = _T_8459 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8462 = _T_8456 | _T_8461; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8472 = _T_4943 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8473 = _GEN_797 == 7'h69; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8475 = _T_8473 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8477 = _T_8475 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8478 = _T_8472 | _T_8477; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8488 = _T_4947 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8489 = _GEN_797 == 7'h6a; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8491 = _T_8489 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8493 = _T_8491 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8494 = _T_8488 | _T_8493; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8504 = _T_4951 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8505 = _GEN_797 == 7'h6b; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8507 = _T_8505 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8509 = _T_8507 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8510 = _T_8504 | _T_8509; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8520 = _T_4955 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8521 = _GEN_797 == 7'h6c; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8523 = _T_8521 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8525 = _T_8523 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8526 = _T_8520 | _T_8525; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8536 = _T_4959 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8537 = _GEN_797 == 7'h6d; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8539 = _T_8537 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8541 = _T_8539 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8542 = _T_8536 | _T_8541; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8552 = _T_4963 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8553 = _GEN_797 == 7'h6e; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8555 = _T_8553 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8557 = _T_8555 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8558 = _T_8552 | _T_8557; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8568 = _T_4967 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8569 = _GEN_797 == 7'h6f; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8571 = _T_8569 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8573 = _T_8571 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8574 = _T_8568 | _T_8573; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8584 = _T_4971 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8585 = _GEN_797 == 7'h70; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8587 = _T_8585 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8589 = _T_8587 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8590 = _T_8584 | _T_8589; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8600 = _T_4975 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8601 = _GEN_797 == 7'h71; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8603 = _T_8601 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8605 = _T_8603 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8606 = _T_8600 | _T_8605; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8616 = _T_4979 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8617 = _GEN_797 == 7'h72; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8619 = _T_8617 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8621 = _T_8619 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8622 = _T_8616 | _T_8621; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8632 = _T_4983 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8633 = _GEN_797 == 7'h73; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8635 = _T_8633 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8637 = _T_8635 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8638 = _T_8632 | _T_8637; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8648 = _T_4987 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8649 = _GEN_797 == 7'h74; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8651 = _T_8649 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8653 = _T_8651 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8654 = _T_8648 | _T_8653; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8664 = _T_4991 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8665 = _GEN_797 == 7'h75; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8667 = _T_8665 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8669 = _T_8667 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8670 = _T_8664 | _T_8669; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8680 = _T_4995 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8681 = _GEN_797 == 7'h76; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8683 = _T_8681 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8685 = _T_8683 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8686 = _T_8680 | _T_8685; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8696 = _T_4999 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8697 = _GEN_797 == 7'h77; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8699 = _T_8697 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8701 = _T_8699 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8702 = _T_8696 | _T_8701; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8712 = _T_5003 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8713 = _GEN_797 == 7'h78; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8715 = _T_8713 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8717 = _T_8715 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8718 = _T_8712 | _T_8717; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8728 = _T_5007 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8729 = _GEN_797 == 7'h79; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8731 = _T_8729 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8733 = _T_8731 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8734 = _T_8728 | _T_8733; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8744 = _T_5011 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8745 = _GEN_797 == 7'h7a; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8747 = _T_8745 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8749 = _T_8747 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8750 = _T_8744 | _T_8749; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8760 = _T_5015 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8761 = _GEN_797 == 7'h7b; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8763 = _T_8761 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8765 = _T_8763 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8766 = _T_8760 | _T_8765; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8776 = _T_5019 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8777 = _GEN_797 == 7'h7c; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8779 = _T_8777 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8781 = _T_8779 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8782 = _T_8776 | _T_8781; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8792 = _T_5023 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8793 = _GEN_797 == 7'h7d; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8795 = _T_8793 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8797 = _T_8795 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8798 = _T_8792 | _T_8797; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8808 = _T_5027 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8809 = _GEN_797 == 7'h7e; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8811 = _T_8809 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8813 = _T_8811 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8814 = _T_8808 | _T_8813; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8824 = _T_5031 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8825 = _GEN_797 == 7'h7f; // @[el2_ifu_mem_ctl.scala 748:101] - wire _T_8827 = _T_8825 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8829 = _T_8827 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8830 = _T_8824 | _T_8829; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8840 = _T_4907 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8843 = _T_8329 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8845 = _T_8843 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8846 = _T_8840 | _T_8845; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8856 = _T_4911 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8859 = _T_8345 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8861 = _T_8859 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8862 = _T_8856 | _T_8861; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8872 = _T_4915 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8875 = _T_8361 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8877 = _T_8875 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8878 = _T_8872 | _T_8877; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8888 = _T_4919 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8891 = _T_8377 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8893 = _T_8891 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8894 = _T_8888 | _T_8893; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8904 = _T_4923 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8907 = _T_8393 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8909 = _T_8907 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8910 = _T_8904 | _T_8909; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8920 = _T_4927 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8923 = _T_8409 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8925 = _T_8923 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8926 = _T_8920 | _T_8925; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8936 = _T_4931 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8939 = _T_8425 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8941 = _T_8939 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8942 = _T_8936 | _T_8941; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8952 = _T_4935 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8955 = _T_8441 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8957 = _T_8955 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8958 = _T_8952 | _T_8957; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8968 = _T_4939 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8971 = _T_8457 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8973 = _T_8971 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8974 = _T_8968 | _T_8973; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_8984 = _T_4943 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_8987 = _T_8473 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_8989 = _T_8987 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_8990 = _T_8984 | _T_8989; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9000 = _T_4947 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9003 = _T_8489 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9005 = _T_9003 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9006 = _T_9000 | _T_9005; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9016 = _T_4951 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9019 = _T_8505 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9021 = _T_9019 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9022 = _T_9016 | _T_9021; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9032 = _T_4955 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9035 = _T_8521 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9037 = _T_9035 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9038 = _T_9032 | _T_9037; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9048 = _T_4959 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9051 = _T_8537 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9053 = _T_9051 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9054 = _T_9048 | _T_9053; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9064 = _T_4963 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9067 = _T_8553 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9069 = _T_9067 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9070 = _T_9064 | _T_9069; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9080 = _T_4967 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9083 = _T_8569 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9085 = _T_9083 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9086 = _T_9080 | _T_9085; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9096 = _T_4971 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9099 = _T_8585 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9101 = _T_9099 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9102 = _T_9096 | _T_9101; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9112 = _T_4975 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9115 = _T_8601 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9117 = _T_9115 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9118 = _T_9112 | _T_9117; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9128 = _T_4979 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9131 = _T_8617 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9133 = _T_9131 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9134 = _T_9128 | _T_9133; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9144 = _T_4983 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9147 = _T_8633 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9149 = _T_9147 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9150 = _T_9144 | _T_9149; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9160 = _T_4987 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9163 = _T_8649 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9165 = _T_9163 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9166 = _T_9160 | _T_9165; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9176 = _T_4991 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9179 = _T_8665 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9181 = _T_9179 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9182 = _T_9176 | _T_9181; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9192 = _T_4995 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9195 = _T_8681 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9197 = _T_9195 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9198 = _T_9192 | _T_9197; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9208 = _T_4999 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9211 = _T_8697 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9213 = _T_9211 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9214 = _T_9208 | _T_9213; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9224 = _T_5003 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9227 = _T_8713 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9229 = _T_9227 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9230 = _T_9224 | _T_9229; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9240 = _T_5007 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9243 = _T_8729 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9245 = _T_9243 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9246 = _T_9240 | _T_9245; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9256 = _T_5011 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9259 = _T_8745 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9261 = _T_9259 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9262 = _T_9256 | _T_9261; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9272 = _T_5015 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9275 = _T_8761 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9277 = _T_9275 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9278 = _T_9272 | _T_9277; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9288 = _T_5019 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9291 = _T_8777 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9293 = _T_9291 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9294 = _T_9288 | _T_9293; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9304 = _T_5023 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9307 = _T_8793 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9309 = _T_9307 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9310 = _T_9304 | _T_9309; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9320 = _T_5027 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9323 = _T_8809 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9325 = _T_9323 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9326 = _T_9320 | _T_9325; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_9336 = _T_5031 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:58] - wire _T_9339 = _T_8825 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 748:123] - wire _T_9341 = _T_9339 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 748:144] - wire _T_9342 = _T_9336 | _T_9341; // @[el2_ifu_mem_ctl.scala 748:80] - wire _T_10143 = ~fetch_uncacheable_ff; // @[el2_ifu_mem_ctl.scala 802:63] - wire _T_10144 = _T_10143 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 802:85] - wire [1:0] _T_10146 = _T_10144 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - reg _T_10153; // @[el2_ifu_mem_ctl.scala 807:57] - reg _T_10154; // @[el2_ifu_mem_ctl.scala 808:56] - reg _T_10155; // @[el2_ifu_mem_ctl.scala 809:59] - wire _T_10156 = ~ifu_bus_arready_ff; // @[el2_ifu_mem_ctl.scala 810:80] - wire _T_10157 = ifu_bus_arvalid_ff & _T_10156; // @[el2_ifu_mem_ctl.scala 810:78] - wire _T_10158 = _T_10157 & miss_pending; // @[el2_ifu_mem_ctl.scala 810:100] - reg _T_10159; // @[el2_ifu_mem_ctl.scala 810:58] - reg _T_10160; // @[el2_ifu_mem_ctl.scala 811:58] - wire _T_10163 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h3; // @[el2_ifu_mem_ctl.scala 818:71] - wire _T_10165 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h2; // @[el2_ifu_mem_ctl.scala 818:124] - wire _T_10167 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h1; // @[el2_ifu_mem_ctl.scala 819:50] - wire _T_10169 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h0; // @[el2_ifu_mem_ctl.scala 819:103] - wire [3:0] _T_10172 = {_T_10163,_T_10165,_T_10167,_T_10169}; // @[Cat.scala 29:58] - wire ic_debug_ict_array_sel_in = io_ic_debug_rd_en & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 821:53] - reg _T_10183; // @[Reg.scala 27:20] - assign io_ifu_miss_state_idle = miss_state == 3'h0; // @[el2_ifu_mem_ctl.scala 328:26] - assign io_ifu_ic_mb_empty = _T_326 | _T_231; // @[el2_ifu_mem_ctl.scala 327:22] - assign io_ic_dma_active = _T_11 | io_dec_tlu_flush_err_wb; // @[el2_ifu_mem_ctl.scala 191:20] - assign io_ic_write_stall = write_ic_16_bytes & _T_3978; // @[el2_ifu_mem_ctl.scala 698:21] - assign io_ifu_pmu_ic_miss = _T_10153; // @[el2_ifu_mem_ctl.scala 807:22] - assign io_ifu_pmu_ic_hit = _T_10154; // @[el2_ifu_mem_ctl.scala 808:21] - assign io_ifu_pmu_bus_error = _T_10155; // @[el2_ifu_mem_ctl.scala 809:24] - assign io_ifu_pmu_bus_busy = _T_10159; // @[el2_ifu_mem_ctl.scala 810:23] - assign io_ifu_pmu_bus_trxn = _T_10160; // @[el2_ifu_mem_ctl.scala 811:23] - assign io_ifu_axi_awvalid = 1'h0; // @[el2_ifu_mem_ctl.scala 141:22] - assign io_ifu_axi_awid = 3'h0; // @[el2_ifu_mem_ctl.scala 140:19] - assign io_ifu_axi_awaddr = 32'h0; // @[el2_ifu_mem_ctl.scala 135:21] - assign io_ifu_axi_awregion = 4'h0; // @[el2_ifu_mem_ctl.scala 139:23] - assign io_ifu_axi_awlen = 8'h0; // @[el2_ifu_mem_ctl.scala 137:20] - assign io_ifu_axi_awsize = 3'h0; // @[el2_ifu_mem_ctl.scala 148:21] - assign io_ifu_axi_awburst = 2'h0; // @[el2_ifu_mem_ctl.scala 150:22] - assign io_ifu_axi_awlock = 1'h0; // @[el2_ifu_mem_ctl.scala 145:21] - assign io_ifu_axi_awcache = 4'h0; // @[el2_ifu_mem_ctl.scala 143:22] - assign io_ifu_axi_awprot = 3'h0; // @[el2_ifu_mem_ctl.scala 136:21] - assign io_ifu_axi_awqos = 4'h0; // @[el2_ifu_mem_ctl.scala 134:20] - assign io_ifu_axi_wvalid = 1'h0; // @[el2_ifu_mem_ctl.scala 132:21] - assign io_ifu_axi_wdata = 64'h0; // @[el2_ifu_mem_ctl.scala 133:20] - assign io_ifu_axi_wstrb = 8'h0; // @[el2_ifu_mem_ctl.scala 142:20] - assign io_ifu_axi_wlast = 1'h0; // @[el2_ifu_mem_ctl.scala 151:20] - assign io_ifu_axi_bready = 1'h0; // @[el2_ifu_mem_ctl.scala 146:21] - assign io_ifu_axi_arvalid = ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 560:22] - assign io_ifu_axi_arid = bus_rd_addr_count & _T_2572; // @[el2_ifu_mem_ctl.scala 561:19] - assign io_ifu_axi_araddr = _T_2574 & _T_2576; // @[el2_ifu_mem_ctl.scala 562:21] - assign io_ifu_axi_arregion = ifu_ic_req_addr_f[28:25]; // @[el2_ifu_mem_ctl.scala 565:23] - assign io_ifu_axi_arlen = 8'h0; // @[el2_ifu_mem_ctl.scala 147:20] - assign io_ifu_axi_arsize = 3'h3; // @[el2_ifu_mem_ctl.scala 563:21] - assign io_ifu_axi_arburst = 2'h1; // @[el2_ifu_mem_ctl.scala 566:22] - assign io_ifu_axi_arlock = 1'h0; // @[el2_ifu_mem_ctl.scala 138:21] - assign io_ifu_axi_arcache = 4'hf; // @[el2_ifu_mem_ctl.scala 564:22] - assign io_ifu_axi_arprot = 3'h0; // @[el2_ifu_mem_ctl.scala 149:21] - assign io_ifu_axi_arqos = 4'h0; // @[el2_ifu_mem_ctl.scala 144:20] - assign io_ifu_axi_rready = 1'h1; // @[el2_ifu_mem_ctl.scala 567:21] - assign io_iccm_dma_ecc_error = |iccm_double_ecc_error; // @[el2_ifu_mem_ctl.scala 657:25] - assign io_iccm_dma_rvalid = iccm_dma_rvalid; // @[el2_ifu_mem_ctl.scala 655:22] - assign io_iccm_dma_rdata = iccm_dma_rdata; // @[el2_ifu_mem_ctl.scala 659:21] - assign io_iccm_dma_rtag = iccm_dma_rtag; // @[el2_ifu_mem_ctl.scala 650:20] - assign io_iccm_ready = _T_2675 & _T_2669; // @[el2_ifu_mem_ctl.scala 630:17] - assign io_ic_rw_addr = _T_338 | _T_339; // @[el2_ifu_mem_ctl.scala 337:17] - assign io_ic_wr_en = 2'h0; // @[el2_ifu_mem_ctl.scala 697:15] - assign io_ic_rd_en = _T_3956 | _T_3961; // @[el2_ifu_mem_ctl.scala 688:15] - assign io_ic_wr_data_0 = ic_wr_16bytes_data[70:0]; // @[el2_ifu_mem_ctl.scala 344:17] - assign io_ic_wr_data_1 = ic_wr_16bytes_data[141:71]; // @[el2_ifu_mem_ctl.scala 344:17] - assign io_ic_debug_wr_data = io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[el2_ifu_mem_ctl.scala 345:23] - assign io_ifu_ic_debug_rd_data = _T_1209; // @[el2_ifu_mem_ctl.scala 353:27] - assign io_ic_debug_addr = io_dec_tlu_ic_diag_pkt_icache_dicawics[9:0]; // @[el2_ifu_mem_ctl.scala 814:20] - assign io_ic_debug_rd_en = io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[el2_ifu_mem_ctl.scala 816:21] - assign io_ic_debug_wr_en = io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[el2_ifu_mem_ctl.scala 817:21] - assign io_ic_debug_tag_array = io_dec_tlu_ic_diag_pkt_icache_dicawics[16]; // @[el2_ifu_mem_ctl.scala 815:25] - assign io_ic_debug_way = _T_10172[1:0]; // @[el2_ifu_mem_ctl.scala 818:19] - assign io_ic_tag_valid = ic_tag_valid_unq & _T_10146; // @[el2_ifu_mem_ctl.scala 802:19] - assign io_iccm_rw_addr = _T_3110[14:0]; // @[el2_ifu_mem_ctl.scala 661:19] - assign io_iccm_wren = _T_2679 | iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 632:16] - assign io_iccm_rden = _T_2683 | _T_2684; // @[el2_ifu_mem_ctl.scala 633:16] - assign io_iccm_wr_data = _T_3085 ? _T_3086 : _T_3093; // @[el2_ifu_mem_ctl.scala 638:19] - assign io_iccm_wr_size = _T_2689 & io_dma_mem_sz; // @[el2_ifu_mem_ctl.scala 635:19] - assign io_ic_hit_f = _T_263 | _T_264; // @[el2_ifu_mem_ctl.scala 289:15] - assign io_ic_access_fault_f = _T_2457 & _T_317; // @[el2_ifu_mem_ctl.scala 385:24] - assign io_ic_access_fault_type_f = io_iccm_rd_ecc_double_err ? 2'h1 : _T_1271; // @[el2_ifu_mem_ctl.scala 386:29] - assign io_iccm_rd_ecc_single_err = _T_3901 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 674:29] - assign io_iccm_rd_ecc_double_err = iccm_dma_ecc_error_in & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 675:29] - assign io_ic_error_start = _T_1197 | ic_rd_parity_final_err; // @[el2_ifu_mem_ctl.scala 347:21] - assign io_ifu_async_error_start = io_iccm_rd_ecc_single_err | io_ic_error_start; // @[el2_ifu_mem_ctl.scala 190:28] - assign io_iccm_dma_sb_error = _T_3 & dma_iccm_req_f; // @[el2_ifu_mem_ctl.scala 189:24] - assign io_ic_fetch_val_f = {_T_1279,fetch_req_f_qual}; // @[el2_ifu_mem_ctl.scala 389:21] - assign io_ic_data_f = io_ic_rd_data[31:0]; // @[el2_ifu_mem_ctl.scala 382:16] - assign io_ic_premux_data = ic_premux_data[63:0]; // @[el2_ifu_mem_ctl.scala 379:21] - assign io_ic_sel_premux_data = fetch_req_iccm_f | sel_byp_data; // @[el2_ifu_mem_ctl.scala 380:25] - assign io_ifu_ic_debug_rd_data_valid = _T_10183; // @[el2_ifu_mem_ctl.scala 825:33] - assign io_iccm_buf_correct_ecc = iccm_correct_ecc & _T_2462; // @[el2_ifu_mem_ctl.scala 479:27] - assign io_iccm_correction_state = _T_2490 ? 1'h0 : _GEN_60; // @[el2_ifu_mem_ctl.scala 514:28 el2_ifu_mem_ctl.scala 527:32 el2_ifu_mem_ctl.scala 534:32 el2_ifu_mem_ctl.scala 541:32] + wire _T_5251 = ic_valid_ff & _T_195; // @[el2_ifu_mem_ctl.scala 748:64] + wire _T_5252 = ~perr_sel_invalidate; // @[el2_ifu_mem_ctl.scala 748:91] + wire _T_5253 = _T_5251 & _T_5252; // @[el2_ifu_mem_ctl.scala 748:89] + wire _T_5256 = _T_4523 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5257 = perr_ic_index_ff == 6'h0; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5259 = _T_5257 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5261 = _T_5259 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5262 = _T_5256 | _T_5261; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5272 = _T_4527 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5273 = perr_ic_index_ff == 6'h1; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5275 = _T_5273 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5277 = _T_5275 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5278 = _T_5272 | _T_5277; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5288 = _T_4531 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5289 = perr_ic_index_ff == 6'h2; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5291 = _T_5289 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5293 = _T_5291 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5294 = _T_5288 | _T_5293; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5304 = _T_4535 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5305 = perr_ic_index_ff == 6'h3; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5307 = _T_5305 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5309 = _T_5307 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5310 = _T_5304 | _T_5309; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5320 = _T_4539 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5321 = perr_ic_index_ff == 6'h4; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5323 = _T_5321 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5325 = _T_5323 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5326 = _T_5320 | _T_5325; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5336 = _T_4543 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5337 = perr_ic_index_ff == 6'h5; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5339 = _T_5337 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5341 = _T_5339 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5342 = _T_5336 | _T_5341; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5352 = _T_4547 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5353 = perr_ic_index_ff == 6'h6; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5355 = _T_5353 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5357 = _T_5355 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5358 = _T_5352 | _T_5357; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5368 = _T_4551 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5369 = perr_ic_index_ff == 6'h7; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5371 = _T_5369 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5373 = _T_5371 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5374 = _T_5368 | _T_5373; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5384 = _T_4555 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5385 = perr_ic_index_ff == 6'h8; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5387 = _T_5385 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5389 = _T_5387 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5390 = _T_5384 | _T_5389; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5400 = _T_4559 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5401 = perr_ic_index_ff == 6'h9; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5403 = _T_5401 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5405 = _T_5403 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5406 = _T_5400 | _T_5405; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5416 = _T_4563 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5417 = perr_ic_index_ff == 6'ha; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5419 = _T_5417 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5421 = _T_5419 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5422 = _T_5416 | _T_5421; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5432 = _T_4567 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5433 = perr_ic_index_ff == 6'hb; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5435 = _T_5433 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5437 = _T_5435 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5438 = _T_5432 | _T_5437; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5448 = _T_4571 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5449 = perr_ic_index_ff == 6'hc; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5451 = _T_5449 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5453 = _T_5451 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5454 = _T_5448 | _T_5453; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5464 = _T_4575 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5465 = perr_ic_index_ff == 6'hd; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5467 = _T_5465 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5469 = _T_5467 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5470 = _T_5464 | _T_5469; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5480 = _T_4579 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5481 = perr_ic_index_ff == 6'he; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5483 = _T_5481 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5485 = _T_5483 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5486 = _T_5480 | _T_5485; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5496 = _T_4583 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5497 = perr_ic_index_ff == 6'hf; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5499 = _T_5497 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5501 = _T_5499 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5502 = _T_5496 | _T_5501; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5512 = _T_4587 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5513 = perr_ic_index_ff == 6'h10; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5515 = _T_5513 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5517 = _T_5515 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5518 = _T_5512 | _T_5517; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5528 = _T_4591 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5529 = perr_ic_index_ff == 6'h11; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5531 = _T_5529 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5533 = _T_5531 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5534 = _T_5528 | _T_5533; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5544 = _T_4595 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5545 = perr_ic_index_ff == 6'h12; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5547 = _T_5545 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5549 = _T_5547 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5550 = _T_5544 | _T_5549; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5560 = _T_4599 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5561 = perr_ic_index_ff == 6'h13; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5563 = _T_5561 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5565 = _T_5563 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5566 = _T_5560 | _T_5565; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5576 = _T_4603 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5577 = perr_ic_index_ff == 6'h14; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5579 = _T_5577 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5581 = _T_5579 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5582 = _T_5576 | _T_5581; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5592 = _T_4607 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5593 = perr_ic_index_ff == 6'h15; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5595 = _T_5593 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5597 = _T_5595 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5598 = _T_5592 | _T_5597; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5608 = _T_4611 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5609 = perr_ic_index_ff == 6'h16; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5611 = _T_5609 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5613 = _T_5611 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5614 = _T_5608 | _T_5613; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5624 = _T_4615 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5625 = perr_ic_index_ff == 6'h17; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5627 = _T_5625 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5629 = _T_5627 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5630 = _T_5624 | _T_5629; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5640 = _T_4619 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5641 = perr_ic_index_ff == 6'h18; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5643 = _T_5641 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5645 = _T_5643 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5646 = _T_5640 | _T_5645; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5656 = _T_4623 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5657 = perr_ic_index_ff == 6'h19; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5659 = _T_5657 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5661 = _T_5659 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5662 = _T_5656 | _T_5661; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5672 = _T_4627 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5673 = perr_ic_index_ff == 6'h1a; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5675 = _T_5673 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5677 = _T_5675 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5678 = _T_5672 | _T_5677; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5688 = _T_4631 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5689 = perr_ic_index_ff == 6'h1b; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5691 = _T_5689 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5693 = _T_5691 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5694 = _T_5688 | _T_5693; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5704 = _T_4635 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5705 = perr_ic_index_ff == 6'h1c; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5707 = _T_5705 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5709 = _T_5707 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5710 = _T_5704 | _T_5709; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5720 = _T_4639 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5721 = perr_ic_index_ff == 6'h1d; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5723 = _T_5721 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5725 = _T_5723 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5726 = _T_5720 | _T_5725; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5736 = _T_4643 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5737 = perr_ic_index_ff == 6'h1e; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5739 = _T_5737 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5741 = _T_5739 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5742 = _T_5736 | _T_5741; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5752 = _T_4647 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5753 = perr_ic_index_ff == 6'h1f; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_5755 = _T_5753 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5757 = _T_5755 & tag_valid_clken_0[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5758 = _T_5752 | _T_5757; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5768 = _T_4523 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5771 = _T_5257 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5773 = _T_5771 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5774 = _T_5768 | _T_5773; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5784 = _T_4527 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5787 = _T_5273 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5789 = _T_5787 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5790 = _T_5784 | _T_5789; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5800 = _T_4531 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5803 = _T_5289 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5805 = _T_5803 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5806 = _T_5800 | _T_5805; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5816 = _T_4535 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5819 = _T_5305 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5821 = _T_5819 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5822 = _T_5816 | _T_5821; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5832 = _T_4539 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5835 = _T_5321 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5837 = _T_5835 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5838 = _T_5832 | _T_5837; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5848 = _T_4543 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5851 = _T_5337 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5853 = _T_5851 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5854 = _T_5848 | _T_5853; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5864 = _T_4547 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5867 = _T_5353 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5869 = _T_5867 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5870 = _T_5864 | _T_5869; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5880 = _T_4551 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5883 = _T_5369 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5885 = _T_5883 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5886 = _T_5880 | _T_5885; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5896 = _T_4555 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5899 = _T_5385 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5901 = _T_5899 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5902 = _T_5896 | _T_5901; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5912 = _T_4559 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5915 = _T_5401 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5917 = _T_5915 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5918 = _T_5912 | _T_5917; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5928 = _T_4563 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5931 = _T_5417 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5933 = _T_5931 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5934 = _T_5928 | _T_5933; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5944 = _T_4567 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5947 = _T_5433 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5949 = _T_5947 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5950 = _T_5944 | _T_5949; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5960 = _T_4571 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5963 = _T_5449 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5965 = _T_5963 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5966 = _T_5960 | _T_5965; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5976 = _T_4575 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5979 = _T_5465 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5981 = _T_5979 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5982 = _T_5976 | _T_5981; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_5992 = _T_4579 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_5995 = _T_5481 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_5997 = _T_5995 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_5998 = _T_5992 | _T_5997; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6008 = _T_4583 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6011 = _T_5497 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6013 = _T_6011 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6014 = _T_6008 | _T_6013; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6024 = _T_4587 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6027 = _T_5513 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6029 = _T_6027 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6030 = _T_6024 | _T_6029; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6040 = _T_4591 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6043 = _T_5529 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6045 = _T_6043 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6046 = _T_6040 | _T_6045; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6056 = _T_4595 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6059 = _T_5545 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6061 = _T_6059 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6062 = _T_6056 | _T_6061; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6072 = _T_4599 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6075 = _T_5561 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6077 = _T_6075 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6078 = _T_6072 | _T_6077; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6088 = _T_4603 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6091 = _T_5577 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6093 = _T_6091 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6094 = _T_6088 | _T_6093; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6104 = _T_4607 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6107 = _T_5593 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6109 = _T_6107 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6110 = _T_6104 | _T_6109; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6120 = _T_4611 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6123 = _T_5609 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6125 = _T_6123 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6126 = _T_6120 | _T_6125; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6136 = _T_4615 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6139 = _T_5625 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6141 = _T_6139 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6142 = _T_6136 | _T_6141; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6152 = _T_4619 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6155 = _T_5641 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6157 = _T_6155 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6158 = _T_6152 | _T_6157; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6168 = _T_4623 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6171 = _T_5657 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6173 = _T_6171 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6174 = _T_6168 | _T_6173; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6184 = _T_4627 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6187 = _T_5673 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6189 = _T_6187 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6190 = _T_6184 | _T_6189; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6200 = _T_4631 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6203 = _T_5689 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6205 = _T_6203 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6206 = _T_6200 | _T_6205; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6216 = _T_4635 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6219 = _T_5705 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6221 = _T_6219 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6222 = _T_6216 | _T_6221; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6232 = _T_4639 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6235 = _T_5721 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6237 = _T_6235 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6238 = _T_6232 | _T_6237; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6248 = _T_4643 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6251 = _T_5737 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6253 = _T_6251 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6254 = _T_6248 | _T_6253; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6264 = _T_4647 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6267 = _T_5753 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6269 = _T_6267 & tag_valid_clken_0[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6270 = _T_6264 | _T_6269; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6280 = _T_4651 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6281 = perr_ic_index_ff == 6'h20; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6283 = _T_6281 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6285 = _T_6283 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6286 = _T_6280 | _T_6285; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6296 = _T_4655 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6297 = perr_ic_index_ff == 6'h21; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6299 = _T_6297 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6301 = _T_6299 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6302 = _T_6296 | _T_6301; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6312 = _T_4659 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6313 = perr_ic_index_ff == 6'h22; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6315 = _T_6313 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6317 = _T_6315 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6318 = _T_6312 | _T_6317; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6328 = _T_4663 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6329 = perr_ic_index_ff == 6'h23; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6331 = _T_6329 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6333 = _T_6331 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6334 = _T_6328 | _T_6333; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6344 = _T_4667 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6345 = perr_ic_index_ff == 6'h24; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6347 = _T_6345 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6349 = _T_6347 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6350 = _T_6344 | _T_6349; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6360 = _T_4671 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6361 = perr_ic_index_ff == 6'h25; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6363 = _T_6361 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6365 = _T_6363 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6366 = _T_6360 | _T_6365; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6376 = _T_4675 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6377 = perr_ic_index_ff == 6'h26; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6379 = _T_6377 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6381 = _T_6379 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6382 = _T_6376 | _T_6381; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6392 = _T_4679 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6393 = perr_ic_index_ff == 6'h27; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6395 = _T_6393 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6397 = _T_6395 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6398 = _T_6392 | _T_6397; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6408 = _T_4683 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6409 = perr_ic_index_ff == 6'h28; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6411 = _T_6409 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6413 = _T_6411 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6414 = _T_6408 | _T_6413; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6424 = _T_4687 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6425 = perr_ic_index_ff == 6'h29; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6427 = _T_6425 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6429 = _T_6427 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6430 = _T_6424 | _T_6429; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6440 = _T_4691 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6441 = perr_ic_index_ff == 6'h2a; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6443 = _T_6441 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6445 = _T_6443 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6446 = _T_6440 | _T_6445; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6456 = _T_4695 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6457 = perr_ic_index_ff == 6'h2b; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6459 = _T_6457 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6461 = _T_6459 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6462 = _T_6456 | _T_6461; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6472 = _T_4699 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6473 = perr_ic_index_ff == 6'h2c; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6475 = _T_6473 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6477 = _T_6475 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6478 = _T_6472 | _T_6477; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6488 = _T_4703 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6489 = perr_ic_index_ff == 6'h2d; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6491 = _T_6489 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6493 = _T_6491 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6494 = _T_6488 | _T_6493; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6504 = _T_4707 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6505 = perr_ic_index_ff == 6'h2e; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6507 = _T_6505 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6509 = _T_6507 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6510 = _T_6504 | _T_6509; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6520 = _T_4711 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6521 = perr_ic_index_ff == 6'h2f; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6523 = _T_6521 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6525 = _T_6523 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6526 = _T_6520 | _T_6525; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6536 = _T_4715 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6537 = perr_ic_index_ff == 6'h30; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6539 = _T_6537 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6541 = _T_6539 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6542 = _T_6536 | _T_6541; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6552 = _T_4719 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6553 = perr_ic_index_ff == 6'h31; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6555 = _T_6553 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6557 = _T_6555 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6558 = _T_6552 | _T_6557; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6568 = _T_4723 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6569 = perr_ic_index_ff == 6'h32; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6571 = _T_6569 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6573 = _T_6571 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6574 = _T_6568 | _T_6573; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6584 = _T_4727 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6585 = perr_ic_index_ff == 6'h33; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6587 = _T_6585 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6589 = _T_6587 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6590 = _T_6584 | _T_6589; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6600 = _T_4731 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6601 = perr_ic_index_ff == 6'h34; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6603 = _T_6601 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6605 = _T_6603 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6606 = _T_6600 | _T_6605; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6616 = _T_4735 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6617 = perr_ic_index_ff == 6'h35; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6619 = _T_6617 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6621 = _T_6619 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6622 = _T_6616 | _T_6621; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6632 = _T_4739 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6633 = perr_ic_index_ff == 6'h36; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6635 = _T_6633 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6637 = _T_6635 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6638 = _T_6632 | _T_6637; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6648 = _T_4743 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6649 = perr_ic_index_ff == 6'h37; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6651 = _T_6649 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6653 = _T_6651 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6654 = _T_6648 | _T_6653; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6664 = _T_4747 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6665 = perr_ic_index_ff == 6'h38; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6667 = _T_6665 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6669 = _T_6667 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6670 = _T_6664 | _T_6669; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6680 = _T_4751 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6681 = perr_ic_index_ff == 6'h39; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6683 = _T_6681 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6685 = _T_6683 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6686 = _T_6680 | _T_6685; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6696 = _T_4755 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6697 = perr_ic_index_ff == 6'h3a; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6699 = _T_6697 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6701 = _T_6699 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6702 = _T_6696 | _T_6701; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6712 = _T_4759 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6713 = perr_ic_index_ff == 6'h3b; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6715 = _T_6713 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6717 = _T_6715 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6718 = _T_6712 | _T_6717; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6728 = _T_4763 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6729 = perr_ic_index_ff == 6'h3c; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6731 = _T_6729 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6733 = _T_6731 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6734 = _T_6728 | _T_6733; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6744 = _T_4767 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6745 = perr_ic_index_ff == 6'h3d; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6747 = _T_6745 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6749 = _T_6747 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6750 = _T_6744 | _T_6749; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6760 = _T_4771 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6761 = perr_ic_index_ff == 6'h3e; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6763 = _T_6761 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6765 = _T_6763 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6766 = _T_6760 | _T_6765; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6776 = _T_4775 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6777 = perr_ic_index_ff == 6'h3f; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_6779 = _T_6777 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6781 = _T_6779 & tag_valid_clken_1[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6782 = _T_6776 | _T_6781; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6792 = _T_4651 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6795 = _T_6281 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6797 = _T_6795 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6798 = _T_6792 | _T_6797; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6808 = _T_4655 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6811 = _T_6297 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6813 = _T_6811 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6814 = _T_6808 | _T_6813; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6824 = _T_4659 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6827 = _T_6313 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6829 = _T_6827 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6830 = _T_6824 | _T_6829; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6840 = _T_4663 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6843 = _T_6329 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6845 = _T_6843 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6846 = _T_6840 | _T_6845; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6856 = _T_4667 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6859 = _T_6345 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6861 = _T_6859 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6862 = _T_6856 | _T_6861; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6872 = _T_4671 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6875 = _T_6361 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6877 = _T_6875 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6878 = _T_6872 | _T_6877; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6888 = _T_4675 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6891 = _T_6377 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6893 = _T_6891 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6894 = _T_6888 | _T_6893; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6904 = _T_4679 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6907 = _T_6393 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6909 = _T_6907 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6910 = _T_6904 | _T_6909; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6920 = _T_4683 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6923 = _T_6409 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6925 = _T_6923 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6926 = _T_6920 | _T_6925; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6936 = _T_4687 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6939 = _T_6425 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6941 = _T_6939 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6942 = _T_6936 | _T_6941; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6952 = _T_4691 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6955 = _T_6441 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6957 = _T_6955 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6958 = _T_6952 | _T_6957; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6968 = _T_4695 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6971 = _T_6457 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6973 = _T_6971 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6974 = _T_6968 | _T_6973; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_6984 = _T_4699 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_6987 = _T_6473 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_6989 = _T_6987 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_6990 = _T_6984 | _T_6989; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7000 = _T_4703 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7003 = _T_6489 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7005 = _T_7003 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7006 = _T_7000 | _T_7005; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7016 = _T_4707 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7019 = _T_6505 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7021 = _T_7019 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7022 = _T_7016 | _T_7021; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7032 = _T_4711 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7035 = _T_6521 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7037 = _T_7035 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7038 = _T_7032 | _T_7037; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7048 = _T_4715 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7051 = _T_6537 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7053 = _T_7051 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7054 = _T_7048 | _T_7053; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7064 = _T_4719 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7067 = _T_6553 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7069 = _T_7067 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7070 = _T_7064 | _T_7069; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7080 = _T_4723 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7083 = _T_6569 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7085 = _T_7083 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7086 = _T_7080 | _T_7085; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7096 = _T_4727 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7099 = _T_6585 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7101 = _T_7099 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7102 = _T_7096 | _T_7101; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7112 = _T_4731 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7115 = _T_6601 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7117 = _T_7115 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7118 = _T_7112 | _T_7117; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7128 = _T_4735 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7131 = _T_6617 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7133 = _T_7131 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7134 = _T_7128 | _T_7133; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7144 = _T_4739 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7147 = _T_6633 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7149 = _T_7147 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7150 = _T_7144 | _T_7149; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7160 = _T_4743 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7163 = _T_6649 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7165 = _T_7163 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7166 = _T_7160 | _T_7165; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7176 = _T_4747 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7179 = _T_6665 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7181 = _T_7179 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7182 = _T_7176 | _T_7181; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7192 = _T_4751 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7195 = _T_6681 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7197 = _T_7195 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7198 = _T_7192 | _T_7197; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7208 = _T_4755 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7211 = _T_6697 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7213 = _T_7211 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7214 = _T_7208 | _T_7213; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7224 = _T_4759 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7227 = _T_6713 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7229 = _T_7227 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7230 = _T_7224 | _T_7229; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7240 = _T_4763 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7243 = _T_6729 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7245 = _T_7243 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7246 = _T_7240 | _T_7245; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7256 = _T_4767 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7259 = _T_6745 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7261 = _T_7259 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7262 = _T_7256 | _T_7261; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7272 = _T_4771 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7275 = _T_6761 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7277 = _T_7275 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7278 = _T_7272 | _T_7277; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7288 = _T_4775 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7291 = _T_6777 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7293 = _T_7291 & tag_valid_clken_1[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7294 = _T_7288 | _T_7293; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7304 = _T_4779 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire [6:0] _GEN_797 = {{1'd0}, perr_ic_index_ff}; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7305 = _GEN_797 == 7'h40; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7307 = _T_7305 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7309 = _T_7307 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7310 = _T_7304 | _T_7309; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7320 = _T_4783 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7321 = _GEN_797 == 7'h41; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7323 = _T_7321 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7325 = _T_7323 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7326 = _T_7320 | _T_7325; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7336 = _T_4787 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7337 = _GEN_797 == 7'h42; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7339 = _T_7337 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7341 = _T_7339 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7342 = _T_7336 | _T_7341; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7352 = _T_4791 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7353 = _GEN_797 == 7'h43; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7355 = _T_7353 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7357 = _T_7355 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7358 = _T_7352 | _T_7357; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7368 = _T_4795 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7369 = _GEN_797 == 7'h44; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7371 = _T_7369 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7373 = _T_7371 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7374 = _T_7368 | _T_7373; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7384 = _T_4799 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7385 = _GEN_797 == 7'h45; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7387 = _T_7385 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7389 = _T_7387 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7390 = _T_7384 | _T_7389; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7400 = _T_4803 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7401 = _GEN_797 == 7'h46; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7403 = _T_7401 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7405 = _T_7403 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7406 = _T_7400 | _T_7405; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7416 = _T_4807 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7417 = _GEN_797 == 7'h47; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7419 = _T_7417 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7421 = _T_7419 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7422 = _T_7416 | _T_7421; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7432 = _T_4811 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7433 = _GEN_797 == 7'h48; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7435 = _T_7433 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7437 = _T_7435 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7438 = _T_7432 | _T_7437; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7448 = _T_4815 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7449 = _GEN_797 == 7'h49; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7451 = _T_7449 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7453 = _T_7451 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7454 = _T_7448 | _T_7453; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7464 = _T_4819 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7465 = _GEN_797 == 7'h4a; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7467 = _T_7465 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7469 = _T_7467 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7470 = _T_7464 | _T_7469; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7480 = _T_4823 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7481 = _GEN_797 == 7'h4b; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7483 = _T_7481 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7485 = _T_7483 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7486 = _T_7480 | _T_7485; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7496 = _T_4827 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7497 = _GEN_797 == 7'h4c; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7499 = _T_7497 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7501 = _T_7499 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7502 = _T_7496 | _T_7501; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7512 = _T_4831 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7513 = _GEN_797 == 7'h4d; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7515 = _T_7513 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7517 = _T_7515 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7518 = _T_7512 | _T_7517; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7528 = _T_4835 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7529 = _GEN_797 == 7'h4e; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7531 = _T_7529 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7533 = _T_7531 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7534 = _T_7528 | _T_7533; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7544 = _T_4839 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7545 = _GEN_797 == 7'h4f; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7547 = _T_7545 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7549 = _T_7547 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7550 = _T_7544 | _T_7549; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7560 = _T_4843 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7561 = _GEN_797 == 7'h50; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7563 = _T_7561 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7565 = _T_7563 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7566 = _T_7560 | _T_7565; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7576 = _T_4847 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7577 = _GEN_797 == 7'h51; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7579 = _T_7577 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7581 = _T_7579 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7582 = _T_7576 | _T_7581; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7592 = _T_4851 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7593 = _GEN_797 == 7'h52; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7595 = _T_7593 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7597 = _T_7595 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7598 = _T_7592 | _T_7597; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7608 = _T_4855 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7609 = _GEN_797 == 7'h53; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7611 = _T_7609 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7613 = _T_7611 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7614 = _T_7608 | _T_7613; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7624 = _T_4859 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7625 = _GEN_797 == 7'h54; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7627 = _T_7625 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7629 = _T_7627 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7630 = _T_7624 | _T_7629; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7640 = _T_4863 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7641 = _GEN_797 == 7'h55; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7643 = _T_7641 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7645 = _T_7643 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7646 = _T_7640 | _T_7645; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7656 = _T_4867 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7657 = _GEN_797 == 7'h56; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7659 = _T_7657 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7661 = _T_7659 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7662 = _T_7656 | _T_7661; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7672 = _T_4871 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7673 = _GEN_797 == 7'h57; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7675 = _T_7673 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7677 = _T_7675 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7678 = _T_7672 | _T_7677; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7688 = _T_4875 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7689 = _GEN_797 == 7'h58; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7691 = _T_7689 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7693 = _T_7691 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7694 = _T_7688 | _T_7693; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7704 = _T_4879 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7705 = _GEN_797 == 7'h59; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7707 = _T_7705 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7709 = _T_7707 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7710 = _T_7704 | _T_7709; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7720 = _T_4883 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7721 = _GEN_797 == 7'h5a; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7723 = _T_7721 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7725 = _T_7723 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7726 = _T_7720 | _T_7725; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7736 = _T_4887 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7737 = _GEN_797 == 7'h5b; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7739 = _T_7737 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7741 = _T_7739 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7742 = _T_7736 | _T_7741; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7752 = _T_4891 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7753 = _GEN_797 == 7'h5c; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7755 = _T_7753 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7757 = _T_7755 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7758 = _T_7752 | _T_7757; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7768 = _T_4895 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7769 = _GEN_797 == 7'h5d; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7771 = _T_7769 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7773 = _T_7771 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7774 = _T_7768 | _T_7773; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7784 = _T_4899 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7785 = _GEN_797 == 7'h5e; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7787 = _T_7785 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7789 = _T_7787 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7790 = _T_7784 | _T_7789; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7800 = _T_4903 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7801 = _GEN_797 == 7'h5f; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_7803 = _T_7801 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7805 = _T_7803 & tag_valid_clken_2[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7806 = _T_7800 | _T_7805; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7816 = _T_4779 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7819 = _T_7305 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7821 = _T_7819 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7822 = _T_7816 | _T_7821; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7832 = _T_4783 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7835 = _T_7321 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7837 = _T_7835 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7838 = _T_7832 | _T_7837; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7848 = _T_4787 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7851 = _T_7337 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7853 = _T_7851 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7854 = _T_7848 | _T_7853; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7864 = _T_4791 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7867 = _T_7353 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7869 = _T_7867 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7870 = _T_7864 | _T_7869; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7880 = _T_4795 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7883 = _T_7369 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7885 = _T_7883 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7886 = _T_7880 | _T_7885; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7896 = _T_4799 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7899 = _T_7385 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7901 = _T_7899 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7902 = _T_7896 | _T_7901; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7912 = _T_4803 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7915 = _T_7401 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7917 = _T_7915 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7918 = _T_7912 | _T_7917; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7928 = _T_4807 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7931 = _T_7417 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7933 = _T_7931 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7934 = _T_7928 | _T_7933; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7944 = _T_4811 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7947 = _T_7433 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7949 = _T_7947 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7950 = _T_7944 | _T_7949; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7960 = _T_4815 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7963 = _T_7449 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7965 = _T_7963 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7966 = _T_7960 | _T_7965; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7976 = _T_4819 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7979 = _T_7465 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7981 = _T_7979 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7982 = _T_7976 | _T_7981; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_7992 = _T_4823 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_7995 = _T_7481 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_7997 = _T_7995 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_7998 = _T_7992 | _T_7997; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8008 = _T_4827 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8011 = _T_7497 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8013 = _T_8011 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8014 = _T_8008 | _T_8013; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8024 = _T_4831 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8027 = _T_7513 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8029 = _T_8027 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8030 = _T_8024 | _T_8029; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8040 = _T_4835 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8043 = _T_7529 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8045 = _T_8043 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8046 = _T_8040 | _T_8045; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8056 = _T_4839 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8059 = _T_7545 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8061 = _T_8059 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8062 = _T_8056 | _T_8061; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8072 = _T_4843 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8075 = _T_7561 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8077 = _T_8075 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8078 = _T_8072 | _T_8077; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8088 = _T_4847 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8091 = _T_7577 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8093 = _T_8091 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8094 = _T_8088 | _T_8093; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8104 = _T_4851 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8107 = _T_7593 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8109 = _T_8107 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8110 = _T_8104 | _T_8109; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8120 = _T_4855 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8123 = _T_7609 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8125 = _T_8123 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8126 = _T_8120 | _T_8125; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8136 = _T_4859 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8139 = _T_7625 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8141 = _T_8139 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8142 = _T_8136 | _T_8141; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8152 = _T_4863 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8155 = _T_7641 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8157 = _T_8155 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8158 = _T_8152 | _T_8157; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8168 = _T_4867 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8171 = _T_7657 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8173 = _T_8171 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8174 = _T_8168 | _T_8173; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8184 = _T_4871 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8187 = _T_7673 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8189 = _T_8187 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8190 = _T_8184 | _T_8189; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8200 = _T_4875 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8203 = _T_7689 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8205 = _T_8203 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8206 = _T_8200 | _T_8205; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8216 = _T_4879 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8219 = _T_7705 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8221 = _T_8219 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8222 = _T_8216 | _T_8221; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8232 = _T_4883 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8235 = _T_7721 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8237 = _T_8235 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8238 = _T_8232 | _T_8237; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8248 = _T_4887 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8251 = _T_7737 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8253 = _T_8251 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8254 = _T_8248 | _T_8253; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8264 = _T_4891 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8267 = _T_7753 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8269 = _T_8267 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8270 = _T_8264 | _T_8269; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8280 = _T_4895 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8283 = _T_7769 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8285 = _T_8283 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8286 = _T_8280 | _T_8285; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8296 = _T_4899 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8299 = _T_7785 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8301 = _T_8299 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8302 = _T_8296 | _T_8301; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8312 = _T_4903 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8315 = _T_7801 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8317 = _T_8315 & tag_valid_clken_2[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8318 = _T_8312 | _T_8317; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8328 = _T_4907 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8329 = _GEN_797 == 7'h60; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8331 = _T_8329 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8333 = _T_8331 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8334 = _T_8328 | _T_8333; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8344 = _T_4911 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8345 = _GEN_797 == 7'h61; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8347 = _T_8345 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8349 = _T_8347 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8350 = _T_8344 | _T_8349; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8360 = _T_4915 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8361 = _GEN_797 == 7'h62; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8363 = _T_8361 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8365 = _T_8363 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8366 = _T_8360 | _T_8365; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8376 = _T_4919 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8377 = _GEN_797 == 7'h63; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8379 = _T_8377 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8381 = _T_8379 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8382 = _T_8376 | _T_8381; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8392 = _T_4923 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8393 = _GEN_797 == 7'h64; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8395 = _T_8393 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8397 = _T_8395 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8398 = _T_8392 | _T_8397; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8408 = _T_4927 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8409 = _GEN_797 == 7'h65; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8411 = _T_8409 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8413 = _T_8411 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8414 = _T_8408 | _T_8413; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8424 = _T_4931 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8425 = _GEN_797 == 7'h66; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8427 = _T_8425 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8429 = _T_8427 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8430 = _T_8424 | _T_8429; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8440 = _T_4935 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8441 = _GEN_797 == 7'h67; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8443 = _T_8441 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8445 = _T_8443 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8446 = _T_8440 | _T_8445; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8456 = _T_4939 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8457 = _GEN_797 == 7'h68; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8459 = _T_8457 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8461 = _T_8459 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8462 = _T_8456 | _T_8461; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8472 = _T_4943 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8473 = _GEN_797 == 7'h69; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8475 = _T_8473 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8477 = _T_8475 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8478 = _T_8472 | _T_8477; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8488 = _T_4947 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8489 = _GEN_797 == 7'h6a; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8491 = _T_8489 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8493 = _T_8491 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8494 = _T_8488 | _T_8493; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8504 = _T_4951 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8505 = _GEN_797 == 7'h6b; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8507 = _T_8505 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8509 = _T_8507 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8510 = _T_8504 | _T_8509; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8520 = _T_4955 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8521 = _GEN_797 == 7'h6c; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8523 = _T_8521 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8525 = _T_8523 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8526 = _T_8520 | _T_8525; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8536 = _T_4959 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8537 = _GEN_797 == 7'h6d; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8539 = _T_8537 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8541 = _T_8539 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8542 = _T_8536 | _T_8541; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8552 = _T_4963 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8553 = _GEN_797 == 7'h6e; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8555 = _T_8553 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8557 = _T_8555 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8558 = _T_8552 | _T_8557; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8568 = _T_4967 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8569 = _GEN_797 == 7'h6f; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8571 = _T_8569 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8573 = _T_8571 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8574 = _T_8568 | _T_8573; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8584 = _T_4971 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8585 = _GEN_797 == 7'h70; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8587 = _T_8585 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8589 = _T_8587 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8590 = _T_8584 | _T_8589; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8600 = _T_4975 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8601 = _GEN_797 == 7'h71; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8603 = _T_8601 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8605 = _T_8603 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8606 = _T_8600 | _T_8605; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8616 = _T_4979 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8617 = _GEN_797 == 7'h72; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8619 = _T_8617 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8621 = _T_8619 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8622 = _T_8616 | _T_8621; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8632 = _T_4983 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8633 = _GEN_797 == 7'h73; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8635 = _T_8633 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8637 = _T_8635 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8638 = _T_8632 | _T_8637; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8648 = _T_4987 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8649 = _GEN_797 == 7'h74; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8651 = _T_8649 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8653 = _T_8651 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8654 = _T_8648 | _T_8653; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8664 = _T_4991 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8665 = _GEN_797 == 7'h75; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8667 = _T_8665 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8669 = _T_8667 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8670 = _T_8664 | _T_8669; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8680 = _T_4995 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8681 = _GEN_797 == 7'h76; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8683 = _T_8681 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8685 = _T_8683 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8686 = _T_8680 | _T_8685; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8696 = _T_4999 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8697 = _GEN_797 == 7'h77; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8699 = _T_8697 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8701 = _T_8699 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8702 = _T_8696 | _T_8701; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8712 = _T_5003 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8713 = _GEN_797 == 7'h78; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8715 = _T_8713 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8717 = _T_8715 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8718 = _T_8712 | _T_8717; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8728 = _T_5007 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8729 = _GEN_797 == 7'h79; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8731 = _T_8729 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8733 = _T_8731 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8734 = _T_8728 | _T_8733; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8744 = _T_5011 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8745 = _GEN_797 == 7'h7a; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8747 = _T_8745 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8749 = _T_8747 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8750 = _T_8744 | _T_8749; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8760 = _T_5015 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8761 = _GEN_797 == 7'h7b; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8763 = _T_8761 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8765 = _T_8763 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8766 = _T_8760 | _T_8765; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8776 = _T_5019 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8777 = _GEN_797 == 7'h7c; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8779 = _T_8777 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8781 = _T_8779 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8782 = _T_8776 | _T_8781; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8792 = _T_5023 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8793 = _GEN_797 == 7'h7d; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8795 = _T_8793 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8797 = _T_8795 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8798 = _T_8792 | _T_8797; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8808 = _T_5027 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8809 = _GEN_797 == 7'h7e; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8811 = _T_8809 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8813 = _T_8811 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8814 = _T_8808 | _T_8813; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8824 = _T_5031 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8825 = _GEN_797 == 7'h7f; // @[el2_ifu_mem_ctl.scala 749:101] + wire _T_8827 = _T_8825 & ifu_tag_wren_ff[0]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8829 = _T_8827 & tag_valid_clken_3[0]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8830 = _T_8824 | _T_8829; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8840 = _T_4907 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8843 = _T_8329 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8845 = _T_8843 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8846 = _T_8840 | _T_8845; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8856 = _T_4911 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8859 = _T_8345 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8861 = _T_8859 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8862 = _T_8856 | _T_8861; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8872 = _T_4915 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8875 = _T_8361 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8877 = _T_8875 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8878 = _T_8872 | _T_8877; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8888 = _T_4919 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8891 = _T_8377 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8893 = _T_8891 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8894 = _T_8888 | _T_8893; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8904 = _T_4923 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8907 = _T_8393 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8909 = _T_8907 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8910 = _T_8904 | _T_8909; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8920 = _T_4927 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8923 = _T_8409 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8925 = _T_8923 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8926 = _T_8920 | _T_8925; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8936 = _T_4931 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8939 = _T_8425 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8941 = _T_8939 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8942 = _T_8936 | _T_8941; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8952 = _T_4935 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8955 = _T_8441 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8957 = _T_8955 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8958 = _T_8952 | _T_8957; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8968 = _T_4939 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8971 = _T_8457 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8973 = _T_8971 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8974 = _T_8968 | _T_8973; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_8984 = _T_4943 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_8987 = _T_8473 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_8989 = _T_8987 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_8990 = _T_8984 | _T_8989; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9000 = _T_4947 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9003 = _T_8489 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9005 = _T_9003 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9006 = _T_9000 | _T_9005; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9016 = _T_4951 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9019 = _T_8505 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9021 = _T_9019 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9022 = _T_9016 | _T_9021; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9032 = _T_4955 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9035 = _T_8521 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9037 = _T_9035 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9038 = _T_9032 | _T_9037; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9048 = _T_4959 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9051 = _T_8537 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9053 = _T_9051 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9054 = _T_9048 | _T_9053; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9064 = _T_4963 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9067 = _T_8553 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9069 = _T_9067 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9070 = _T_9064 | _T_9069; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9080 = _T_4967 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9083 = _T_8569 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9085 = _T_9083 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9086 = _T_9080 | _T_9085; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9096 = _T_4971 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9099 = _T_8585 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9101 = _T_9099 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9102 = _T_9096 | _T_9101; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9112 = _T_4975 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9115 = _T_8601 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9117 = _T_9115 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9118 = _T_9112 | _T_9117; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9128 = _T_4979 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9131 = _T_8617 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9133 = _T_9131 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9134 = _T_9128 | _T_9133; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9144 = _T_4983 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9147 = _T_8633 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9149 = _T_9147 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9150 = _T_9144 | _T_9149; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9160 = _T_4987 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9163 = _T_8649 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9165 = _T_9163 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9166 = _T_9160 | _T_9165; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9176 = _T_4991 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9179 = _T_8665 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9181 = _T_9179 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9182 = _T_9176 | _T_9181; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9192 = _T_4995 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9195 = _T_8681 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9197 = _T_9195 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9198 = _T_9192 | _T_9197; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9208 = _T_4999 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9211 = _T_8697 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9213 = _T_9211 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9214 = _T_9208 | _T_9213; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9224 = _T_5003 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9227 = _T_8713 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9229 = _T_9227 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9230 = _T_9224 | _T_9229; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9240 = _T_5007 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9243 = _T_8729 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9245 = _T_9243 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9246 = _T_9240 | _T_9245; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9256 = _T_5011 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9259 = _T_8745 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9261 = _T_9259 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9262 = _T_9256 | _T_9261; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9272 = _T_5015 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9275 = _T_8761 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9277 = _T_9275 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9278 = _T_9272 | _T_9277; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9288 = _T_5019 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9291 = _T_8777 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9293 = _T_9291 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9294 = _T_9288 | _T_9293; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9304 = _T_5023 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9307 = _T_8793 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9309 = _T_9307 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9310 = _T_9304 | _T_9309; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9320 = _T_5027 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9323 = _T_8809 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9325 = _T_9323 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9326 = _T_9320 | _T_9325; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_9336 = _T_5031 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:58] + wire _T_9339 = _T_8825 & ifu_tag_wren_ff[1]; // @[el2_ifu_mem_ctl.scala 749:123] + wire _T_9341 = _T_9339 & tag_valid_clken_3[1]; // @[el2_ifu_mem_ctl.scala 749:144] + wire _T_9342 = _T_9336 | _T_9341; // @[el2_ifu_mem_ctl.scala 749:80] + wire _T_10144 = ~fetch_uncacheable_ff; // @[el2_ifu_mem_ctl.scala 804:63] + wire _T_10145 = _T_10144 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 804:85] + wire [1:0] _T_10147 = _T_10145 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + reg _T_10154; // @[el2_ifu_mem_ctl.scala 809:57] + reg _T_10155; // @[el2_ifu_mem_ctl.scala 810:56] + reg _T_10156; // @[el2_ifu_mem_ctl.scala 811:59] + wire _T_10157 = ~ifu_bus_arready_ff; // @[el2_ifu_mem_ctl.scala 812:80] + wire _T_10158 = ifu_bus_arvalid_ff & _T_10157; // @[el2_ifu_mem_ctl.scala 812:78] + wire _T_10159 = _T_10158 & miss_pending; // @[el2_ifu_mem_ctl.scala 812:100] + reg _T_10160; // @[el2_ifu_mem_ctl.scala 812:58] + reg _T_10161; // @[el2_ifu_mem_ctl.scala 813:58] + wire _T_10164 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h3; // @[el2_ifu_mem_ctl.scala 820:71] + wire _T_10166 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h2; // @[el2_ifu_mem_ctl.scala 820:124] + wire _T_10168 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h1; // @[el2_ifu_mem_ctl.scala 821:50] + wire _T_10170 = io_dec_tlu_ic_diag_pkt_icache_dicawics[15:14] == 2'h0; // @[el2_ifu_mem_ctl.scala 821:103] + wire [3:0] _T_10173 = {_T_10164,_T_10166,_T_10168,_T_10170}; // @[Cat.scala 29:58] + wire ic_debug_ict_array_sel_in = io_ic_debug_rd_en & io_ic_debug_tag_array; // @[el2_ifu_mem_ctl.scala 823:53] + reg _T_10184; // @[Reg.scala 27:20] + assign io_ifu_miss_state_idle = miss_state == 3'h0; // @[el2_ifu_mem_ctl.scala 329:26] + assign io_ifu_ic_mb_empty = _T_326 | _T_231; // @[el2_ifu_mem_ctl.scala 328:22] + assign io_ic_dma_active = _T_11 | io_dec_tlu_flush_err_wb; // @[el2_ifu_mem_ctl.scala 192:20] + assign io_ic_write_stall = write_ic_16_bytes & _T_3978; // @[el2_ifu_mem_ctl.scala 699:21] + assign io_ifu_pmu_ic_miss = _T_10154; // @[el2_ifu_mem_ctl.scala 809:22] + assign io_ifu_pmu_ic_hit = _T_10155; // @[el2_ifu_mem_ctl.scala 810:21] + assign io_ifu_pmu_bus_error = _T_10156; // @[el2_ifu_mem_ctl.scala 811:24] + assign io_ifu_pmu_bus_busy = _T_10160; // @[el2_ifu_mem_ctl.scala 812:23] + assign io_ifu_pmu_bus_trxn = _T_10161; // @[el2_ifu_mem_ctl.scala 813:23] + assign io_ifu_axi_awvalid = 1'h0; // @[el2_ifu_mem_ctl.scala 142:22] + assign io_ifu_axi_awid = 3'h0; // @[el2_ifu_mem_ctl.scala 141:19] + assign io_ifu_axi_awaddr = 32'h0; // @[el2_ifu_mem_ctl.scala 136:21] + assign io_ifu_axi_awregion = 4'h0; // @[el2_ifu_mem_ctl.scala 140:23] + assign io_ifu_axi_awlen = 8'h0; // @[el2_ifu_mem_ctl.scala 138:20] + assign io_ifu_axi_awsize = 3'h0; // @[el2_ifu_mem_ctl.scala 149:21] + assign io_ifu_axi_awburst = 2'h0; // @[el2_ifu_mem_ctl.scala 151:22] + assign io_ifu_axi_awlock = 1'h0; // @[el2_ifu_mem_ctl.scala 146:21] + assign io_ifu_axi_awcache = 4'h0; // @[el2_ifu_mem_ctl.scala 144:22] + assign io_ifu_axi_awprot = 3'h0; // @[el2_ifu_mem_ctl.scala 137:21] + assign io_ifu_axi_awqos = 4'h0; // @[el2_ifu_mem_ctl.scala 135:20] + assign io_ifu_axi_wvalid = 1'h0; // @[el2_ifu_mem_ctl.scala 133:21] + assign io_ifu_axi_wdata = 64'h0; // @[el2_ifu_mem_ctl.scala 134:20] + assign io_ifu_axi_wstrb = 8'h0; // @[el2_ifu_mem_ctl.scala 143:20] + assign io_ifu_axi_wlast = 1'h0; // @[el2_ifu_mem_ctl.scala 152:20] + assign io_ifu_axi_bready = 1'h0; // @[el2_ifu_mem_ctl.scala 147:21] + assign io_ifu_axi_arvalid = ifu_bus_cmd_valid; // @[el2_ifu_mem_ctl.scala 561:22] + assign io_ifu_axi_arid = bus_rd_addr_count & _T_2572; // @[el2_ifu_mem_ctl.scala 562:19] + assign io_ifu_axi_araddr = _T_2574 & _T_2576; // @[el2_ifu_mem_ctl.scala 563:21] + assign io_ifu_axi_arregion = ifu_ic_req_addr_f[28:25]; // @[el2_ifu_mem_ctl.scala 566:23] + assign io_ifu_axi_arlen = 8'h0; // @[el2_ifu_mem_ctl.scala 148:20] + assign io_ifu_axi_arsize = 3'h3; // @[el2_ifu_mem_ctl.scala 564:21] + assign io_ifu_axi_arburst = 2'h1; // @[el2_ifu_mem_ctl.scala 567:22] + assign io_ifu_axi_arlock = 1'h0; // @[el2_ifu_mem_ctl.scala 139:21] + assign io_ifu_axi_arcache = 4'hf; // @[el2_ifu_mem_ctl.scala 565:22] + assign io_ifu_axi_arprot = 3'h0; // @[el2_ifu_mem_ctl.scala 150:21] + assign io_ifu_axi_arqos = 4'h0; // @[el2_ifu_mem_ctl.scala 145:20] + assign io_ifu_axi_rready = 1'h1; // @[el2_ifu_mem_ctl.scala 568:21] + assign io_iccm_dma_ecc_error = |iccm_double_ecc_error; // @[el2_ifu_mem_ctl.scala 658:25] + assign io_iccm_dma_rvalid = iccm_dma_rvalid; // @[el2_ifu_mem_ctl.scala 656:22] + assign io_iccm_dma_rdata = iccm_dma_rdata; // @[el2_ifu_mem_ctl.scala 660:21] + assign io_iccm_dma_rtag = iccm_dma_rtag; // @[el2_ifu_mem_ctl.scala 651:20] + assign io_iccm_ready = _T_2675 & _T_2669; // @[el2_ifu_mem_ctl.scala 631:17] + assign io_ic_rw_addr = _T_338 | _T_339; // @[el2_ifu_mem_ctl.scala 338:17] + assign io_ic_wr_en = bus_ic_wr_en & _T_3964; // @[el2_ifu_mem_ctl.scala 698:15] + assign io_ic_rd_en = _T_3956 | _T_3961; // @[el2_ifu_mem_ctl.scala 689:15] + assign io_ic_wr_data_0 = ic_wr_16bytes_data[70:0]; // @[el2_ifu_mem_ctl.scala 345:17] + assign io_ic_wr_data_1 = ic_wr_16bytes_data[141:71]; // @[el2_ifu_mem_ctl.scala 345:17] + assign io_ic_debug_wr_data = io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[el2_ifu_mem_ctl.scala 346:23] + assign io_ifu_ic_debug_rd_data = _T_1209; // @[el2_ifu_mem_ctl.scala 354:27] + assign io_ic_debug_addr = io_dec_tlu_ic_diag_pkt_icache_dicawics[9:0]; // @[el2_ifu_mem_ctl.scala 816:20] + assign io_ic_debug_rd_en = io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[el2_ifu_mem_ctl.scala 818:21] + assign io_ic_debug_wr_en = io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[el2_ifu_mem_ctl.scala 819:21] + assign io_ic_debug_tag_array = io_dec_tlu_ic_diag_pkt_icache_dicawics[16]; // @[el2_ifu_mem_ctl.scala 817:25] + assign io_ic_debug_way = _T_10173[1:0]; // @[el2_ifu_mem_ctl.scala 820:19] + assign io_ic_tag_valid = ic_tag_valid_unq & _T_10147; // @[el2_ifu_mem_ctl.scala 804:19] + assign io_iccm_rw_addr = _T_3110[14:0]; // @[el2_ifu_mem_ctl.scala 662:19] + assign io_iccm_wren = _T_2679 | iccm_correct_ecc; // @[el2_ifu_mem_ctl.scala 633:16] + assign io_iccm_rden = _T_2683 | _T_2684; // @[el2_ifu_mem_ctl.scala 634:16] + assign io_iccm_wr_data = _T_3085 ? _T_3086 : _T_3093; // @[el2_ifu_mem_ctl.scala 639:19] + assign io_iccm_wr_size = _T_2689 & io_dma_mem_sz; // @[el2_ifu_mem_ctl.scala 636:19] + assign io_ic_hit_f = _T_263 | _T_264; // @[el2_ifu_mem_ctl.scala 290:15] + assign io_ic_access_fault_f = _T_2457 & _T_317; // @[el2_ifu_mem_ctl.scala 386:24] + assign io_ic_access_fault_type_f = io_iccm_rd_ecc_double_err ? 2'h1 : _T_1271; // @[el2_ifu_mem_ctl.scala 387:29] + assign io_iccm_rd_ecc_single_err = _T_3901 & ifc_fetch_req_f; // @[el2_ifu_mem_ctl.scala 675:29] + assign io_iccm_rd_ecc_double_err = iccm_dma_ecc_error_in & ifc_iccm_access_f; // @[el2_ifu_mem_ctl.scala 676:29] + assign io_ic_error_start = _T_1197 | ic_rd_parity_final_err; // @[el2_ifu_mem_ctl.scala 348:21] + assign io_ifu_async_error_start = io_iccm_rd_ecc_single_err | io_ic_error_start; // @[el2_ifu_mem_ctl.scala 191:28] + assign io_iccm_dma_sb_error = _T_3 & dma_iccm_req_f; // @[el2_ifu_mem_ctl.scala 190:24] + assign io_ic_fetch_val_f = {_T_1279,fetch_req_f_qual}; // @[el2_ifu_mem_ctl.scala 390:21] + assign io_ic_data_f = io_ic_rd_data[31:0]; // @[el2_ifu_mem_ctl.scala 383:16] + assign io_ic_premux_data = ic_premux_data[63:0]; // @[el2_ifu_mem_ctl.scala 380:21] + assign io_ic_sel_premux_data = fetch_req_iccm_f | sel_byp_data; // @[el2_ifu_mem_ctl.scala 381:25] + assign io_ifu_ic_debug_rd_data_valid = _T_10184; // @[el2_ifu_mem_ctl.scala 827:33] + assign io_iccm_buf_correct_ecc = iccm_correct_ecc & _T_2462; // @[el2_ifu_mem_ctl.scala 480:27] + assign io_iccm_correction_state = _T_2490 ? 1'h0 : _GEN_60; // @[el2_ifu_mem_ctl.scala 515:28 el2_ifu_mem_ctl.scala 528:32 el2_ifu_mem_ctl.scala 535:32 el2_ifu_mem_ctl.scala 542:32] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -6025,17 +6031,17 @@ initial begin _RAND_462 = {1{`RANDOM}}; ic_valid_ff = _RAND_462[0:0]; _RAND_463 = {1{`RANDOM}}; - _T_10153 = _RAND_463[0:0]; + _T_10154 = _RAND_463[0:0]; _RAND_464 = {1{`RANDOM}}; - _T_10154 = _RAND_464[0:0]; + _T_10155 = _RAND_464[0:0]; _RAND_465 = {1{`RANDOM}}; - _T_10155 = _RAND_465[0:0]; + _T_10156 = _RAND_465[0:0]; _RAND_466 = {1{`RANDOM}}; - _T_10159 = _RAND_466[0:0]; + _T_10160 = _RAND_466[0:0]; _RAND_467 = {1{`RANDOM}}; - _T_10160 = _RAND_467[0:0]; + _T_10161 = _RAND_467[0:0]; _RAND_468 = {1{`RANDOM}}; - _T_10183 = _RAND_468[0:0]; + _T_10184 = _RAND_468[0:0]; `endif // RANDOMIZE_REG_INIT `endif // RANDOMIZE end // initial @@ -8505,9 +8511,9 @@ end // initial ic_valid_ff <= ic_valid; end if (reset) begin - _T_10183 <= 1'h0; + _T_10184 <= 1'h0; end else if (ic_debug_rd_en_ff) begin - _T_10183 <= ic_debug_rd_en_ff; + _T_10184 <= ic_debug_rd_en_ff; end end always @(posedge io_active_clk) begin @@ -8526,30 +8532,30 @@ end // initial end else begin dma_sb_err_state_ff <= _T_7; end - if (reset) begin - _T_10153 <= 1'h0; - end else begin - _T_10153 <= ic_act_miss_f; - end if (reset) begin _T_10154 <= 1'h0; end else begin - _T_10154 <= ic_act_hit_f; + _T_10154 <= ic_act_miss_f; end if (reset) begin _T_10155 <= 1'h0; end else begin - _T_10155 <= ifc_bus_acc_fault_f; + _T_10155 <= ic_act_hit_f; end if (reset) begin - _T_10159 <= 1'h0; + _T_10156 <= 1'h0; end else begin - _T_10159 <= _T_10158; + _T_10156 <= ifc_bus_acc_fault_f; end if (reset) begin _T_10160 <= 1'h0; end else begin - _T_10160 <= bus_cmd_sent; + _T_10160 <= _T_10159; + end + if (reset) begin + _T_10161 <= 1'h0; + end else begin + _T_10161 <= bus_cmd_sent; end end endmodule diff --git a/src/main/scala/ifu/el2_ifu_mem_ctl.scala b/src/main/scala/ifu/el2_ifu_mem_ctl.scala index 84129980..839069ba 100644 --- a/src/main/scala/ifu/el2_ifu_mem_ctl.scala +++ b/src/main/scala/ifu/el2_ifu_mem_ctl.scala @@ -126,6 +126,7 @@ class mem_ctl_bundle extends Bundle with el2_lib{ val iccm_buf_correct_ecc = Output(Bool()) val iccm_correction_state = Output(Bool()) val scan_mode = Input(Bool()) + } class el2_ifu_mem_ctl extends Module with el2_lib { val io = IO(new mem_ctl_bundle) @@ -693,7 +694,7 @@ class el2_ifu_mem_ctl extends Module with el2_lib { ((miss_state===crit_wrd_rdy_C) & !miss_state_en) | ((miss_state===crit_byp_ok_C) & miss_state_en & (miss_nxtstate===miss_wait_C)) )) | (io.ifc_fetch_req_bf & io.exu_flush_final & !io.ifc_fetch_uncacheable_bf & !io.ifc_iccm_access_bf) - val bus_ic_wr_en = WireInit(Bool(), false.B) + val bus_ic_wr_en = WireInit(UInt(ICACHE_NUM_WAYS.W), 0.U) io.ic_wr_en := bus_ic_wr_en & Fill(ICACHE_NUM_WAYS, write_ic_16_bytes) io.ic_write_stall := write_ic_16_bytes & !((((miss_state===crit_byp_ok_C) | ((miss_state===stream_C) & !(io.exu_flush_final | ifu_bp_hit_taken_q_f | stream_eol_f ))) & !(bus_ifu_wr_en_ff & last_beat & !uncacheable_miss_ff))) reset_all_tags := withClock(io.active_clk){RegNext(io.dec_tlu_fence_i_wb, false.B)} @@ -786,6 +787,7 @@ class el2_ifu_mem_ctl extends Module with el2_lib { val wren_reset_miss = (0 until ICACHE_NUM_WAYS).map(i => replace_way_mb_any(i) & reset_tag_valid_for_miss) ifu_tag_wren := (0 until ICACHE_NUM_WAYS).map(i => bus_wren_last(i) | wren_reset_miss(i)).reverse.reduce(Cat(_, _)) + bus_ic_wr_en := bus_wren.reverse.reduce(Cat(_,_)) if(!ICACHE_ENABLE){ for(i<- 0 until ICACHE_NUM_WAYS){ diff --git a/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class index 5d586fad..e4bfa66b 100644 Binary files a/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class and b/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_mem$.class b/target/scala-2.12/classes/ifu/ifu_mem$.class index 271b9e80..38b693b0 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_mem$.class and b/target/scala-2.12/classes/ifu/ifu_mem$.class differ diff --git a/target/scala-2.12/classes/ifu/ifu_mem$delayedInit$body.class b/target/scala-2.12/classes/ifu/ifu_mem$delayedInit$body.class index 4edc0aa5..bad895ca 100644 Binary files a/target/scala-2.12/classes/ifu/ifu_mem$delayedInit$body.class and b/target/scala-2.12/classes/ifu/ifu_mem$delayedInit$body.class differ