diff --git a/el2_lsu_bus_buffer.anno.json b/el2_lsu_bus_buffer.anno.json index 832cc8af..44ab8dcc 100644 --- a/el2_lsu_bus_buffer.anno.json +++ b/el2_lsu_bus_buffer.anno.json @@ -147,17 +147,17 @@ }, { "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_nonblock_load_data", + "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_nonblock_load_tag_m", "sources":[ - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_nonblock_load_data_tag" + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r", + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_r" ] }, { "class":"firrtl.transforms.CombinationalPath", - "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_nonblock_load_tag_m", + "sink":"~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_nonblock_load_data", "sources":[ - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_ldst_dual_r", - "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_busreq_m" + "~el2_lsu_bus_buffer|el2_lsu_bus_buffer>io_lsu_nonblock_load_data_tag" ] }, { diff --git a/el2_lsu_bus_buffer.fir b/el2_lsu_bus_buffer.fir index d90d7d54..0aab2e47 100644 --- a/el2_lsu_bus_buffer.fir +++ b/el2_lsu_bus_buffer.fir @@ -2591,267 +2591,243 @@ circuit el2_lsu_bus_buffer : wire WrPtr0_m : UInt<2> WrPtr0_m <= UInt<1>("h00") node _T_1777 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1778 = bits(ibuf_valid, 0, 0) @[Bitwise.scala 72:15] - node _T_1779 = mux(_T_1778, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_1780 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 392:114] - node _T_1781 = and(_T_1779, _T_1780) @[el2_lsu_bus_buffer.scala 392:103] - node _T_1782 = bits(io.lsu_busreq_m, 0, 0) @[Bitwise.scala 72:15] - node _T_1783 = mux(_T_1782, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_1784 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 393:51] - node _T_1785 = and(_T_1783, _T_1784) @[el2_lsu_bus_buffer.scala 393:40] - node _T_1786 = or(_T_1781, _T_1785) @[el2_lsu_bus_buffer.scala 392:123] - node _T_1787 = bits(io.ldst_dual_r, 0, 0) @[Bitwise.scala 72:15] - node _T_1788 = mux(_T_1787, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_1789 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 393:108] - node _T_1790 = and(_T_1788, _T_1789) @[el2_lsu_bus_buffer.scala 393:96] - node _T_1791 = or(_T_1786, _T_1790) @[el2_lsu_bus_buffer.scala 393:60] - node _T_1792 = eq(_T_1791, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 392:72] - node _T_1793 = and(_T_1777, _T_1792) @[el2_lsu_bus_buffer.scala 392:70] - node _T_1794 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1795 = bits(ibuf_valid, 0, 0) @[Bitwise.scala 72:15] - node _T_1796 = mux(_T_1795, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_1797 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 392:114] - node _T_1798 = and(_T_1796, _T_1797) @[el2_lsu_bus_buffer.scala 392:103] - node _T_1799 = bits(io.lsu_busreq_m, 0, 0) @[Bitwise.scala 72:15] - node _T_1800 = mux(_T_1799, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_1801 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 393:51] - node _T_1802 = and(_T_1800, _T_1801) @[el2_lsu_bus_buffer.scala 393:40] - node _T_1803 = or(_T_1798, _T_1802) @[el2_lsu_bus_buffer.scala 392:123] - node _T_1804 = bits(io.ldst_dual_r, 0, 0) @[Bitwise.scala 72:15] - node _T_1805 = mux(_T_1804, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_1806 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 393:108] - node _T_1807 = and(_T_1805, _T_1806) @[el2_lsu_bus_buffer.scala 393:96] - node _T_1808 = or(_T_1803, _T_1807) @[el2_lsu_bus_buffer.scala 393:60] - node _T_1809 = eq(_T_1808, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 392:72] - node _T_1810 = and(_T_1794, _T_1809) @[el2_lsu_bus_buffer.scala 392:70] - node _T_1811 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1812 = bits(ibuf_valid, 0, 0) @[Bitwise.scala 72:15] - node _T_1813 = mux(_T_1812, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_1814 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 392:114] - node _T_1815 = and(_T_1813, _T_1814) @[el2_lsu_bus_buffer.scala 392:103] - node _T_1816 = bits(io.lsu_busreq_m, 0, 0) @[Bitwise.scala 72:15] - node _T_1817 = mux(_T_1816, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_1818 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 393:51] - node _T_1819 = and(_T_1817, _T_1818) @[el2_lsu_bus_buffer.scala 393:40] - node _T_1820 = or(_T_1815, _T_1819) @[el2_lsu_bus_buffer.scala 392:123] - node _T_1821 = bits(io.ldst_dual_r, 0, 0) @[Bitwise.scala 72:15] - node _T_1822 = mux(_T_1821, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_1823 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 393:108] - node _T_1824 = and(_T_1822, _T_1823) @[el2_lsu_bus_buffer.scala 393:96] - node _T_1825 = or(_T_1820, _T_1824) @[el2_lsu_bus_buffer.scala 393:60] - node _T_1826 = eq(_T_1825, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 392:72] - node _T_1827 = and(_T_1811, _T_1826) @[el2_lsu_bus_buffer.scala 392:70] - node _T_1828 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 392:59] - node _T_1829 = bits(ibuf_valid, 0, 0) @[Bitwise.scala 72:15] - node _T_1830 = mux(_T_1829, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_1831 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 392:114] - node _T_1832 = and(_T_1830, _T_1831) @[el2_lsu_bus_buffer.scala 392:103] - node _T_1833 = bits(io.lsu_busreq_m, 0, 0) @[Bitwise.scala 72:15] - node _T_1834 = mux(_T_1833, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_1835 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 393:51] - node _T_1836 = and(_T_1834, _T_1835) @[el2_lsu_bus_buffer.scala 393:40] - node _T_1837 = or(_T_1832, _T_1836) @[el2_lsu_bus_buffer.scala 392:123] - node _T_1838 = bits(io.ldst_dual_r, 0, 0) @[Bitwise.scala 72:15] - node _T_1839 = mux(_T_1838, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_1840 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 393:108] - node _T_1841 = and(_T_1839, _T_1840) @[el2_lsu_bus_buffer.scala 393:96] - node _T_1842 = or(_T_1837, _T_1841) @[el2_lsu_bus_buffer.scala 393:60] - node _T_1843 = eq(_T_1842, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 392:72] - node _T_1844 = and(_T_1828, _T_1843) @[el2_lsu_bus_buffer.scala 392:70] - node _T_1845 = mux(_T_1844, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] - node _T_1846 = mux(_T_1827, UInt<2>("h02"), _T_1845) @[Mux.scala 98:16] - node _T_1847 = mux(_T_1810, UInt<1>("h01"), _T_1846) @[Mux.scala 98:16] - node _T_1848 = mux(_T_1793, UInt<1>("h00"), _T_1847) @[Mux.scala 98:16] - WrPtr0_m <= _T_1848 @[el2_lsu_bus_buffer.scala 394:12] - node _T_1849 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] - node _T_1850 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:97] - node _T_1851 = and(ibuf_valid, _T_1850) @[el2_lsu_bus_buffer.scala 395:86] - node _T_1852 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:33] - node _T_1853 = and(io.lsu_busreq_m, _T_1852) @[el2_lsu_bus_buffer.scala 396:22] - node _T_1854 = or(_T_1851, _T_1853) @[el2_lsu_bus_buffer.scala 395:106] - node _T_1855 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:73] - node _T_1856 = and(io.lsu_busreq_r, _T_1855) @[el2_lsu_bus_buffer.scala 396:61] - node _T_1857 = or(_T_1854, _T_1856) @[el2_lsu_bus_buffer.scala 396:42] - node _T_1858 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:112] - node _T_1859 = and(io.ldst_dual_r, _T_1858) @[el2_lsu_bus_buffer.scala 396:101] - node _T_1860 = or(_T_1857, _T_1859) @[el2_lsu_bus_buffer.scala 396:83] - node _T_1861 = eq(_T_1860, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1862 = and(_T_1849, _T_1861) @[el2_lsu_bus_buffer.scala 395:70] - node _T_1863 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] - node _T_1864 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 395:97] - node _T_1865 = and(ibuf_valid, _T_1864) @[el2_lsu_bus_buffer.scala 395:86] - node _T_1866 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 396:33] - node _T_1867 = and(io.lsu_busreq_m, _T_1866) @[el2_lsu_bus_buffer.scala 396:22] - node _T_1868 = or(_T_1865, _T_1867) @[el2_lsu_bus_buffer.scala 395:106] - node _T_1869 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 396:73] - node _T_1870 = and(io.lsu_busreq_r, _T_1869) @[el2_lsu_bus_buffer.scala 396:61] - node _T_1871 = or(_T_1868, _T_1870) @[el2_lsu_bus_buffer.scala 396:42] - node _T_1872 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 396:112] - node _T_1873 = and(io.ldst_dual_r, _T_1872) @[el2_lsu_bus_buffer.scala 396:101] - node _T_1874 = or(_T_1871, _T_1873) @[el2_lsu_bus_buffer.scala 396:83] - node _T_1875 = eq(_T_1874, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1876 = and(_T_1863, _T_1875) @[el2_lsu_bus_buffer.scala 395:70] - node _T_1877 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] - node _T_1878 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 395:97] - node _T_1879 = and(ibuf_valid, _T_1878) @[el2_lsu_bus_buffer.scala 395:86] - node _T_1880 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 396:33] - node _T_1881 = and(io.lsu_busreq_m, _T_1880) @[el2_lsu_bus_buffer.scala 396:22] - node _T_1882 = or(_T_1879, _T_1881) @[el2_lsu_bus_buffer.scala 395:106] - node _T_1883 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 396:73] - node _T_1884 = and(io.lsu_busreq_r, _T_1883) @[el2_lsu_bus_buffer.scala 396:61] - node _T_1885 = or(_T_1882, _T_1884) @[el2_lsu_bus_buffer.scala 396:42] - node _T_1886 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 396:112] - node _T_1887 = and(io.ldst_dual_r, _T_1886) @[el2_lsu_bus_buffer.scala 396:101] - node _T_1888 = or(_T_1885, _T_1887) @[el2_lsu_bus_buffer.scala 396:83] - node _T_1889 = eq(_T_1888, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1890 = and(_T_1877, _T_1889) @[el2_lsu_bus_buffer.scala 395:70] - node _T_1891 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] - node _T_1892 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 395:97] - node _T_1893 = and(ibuf_valid, _T_1892) @[el2_lsu_bus_buffer.scala 395:86] - node _T_1894 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 396:33] - node _T_1895 = and(io.lsu_busreq_m, _T_1894) @[el2_lsu_bus_buffer.scala 396:22] - node _T_1896 = or(_T_1893, _T_1895) @[el2_lsu_bus_buffer.scala 395:106] - node _T_1897 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 396:73] - node _T_1898 = and(io.lsu_busreq_r, _T_1897) @[el2_lsu_bus_buffer.scala 396:61] - node _T_1899 = or(_T_1896, _T_1898) @[el2_lsu_bus_buffer.scala 396:42] - node _T_1900 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 396:112] - node _T_1901 = and(io.ldst_dual_r, _T_1900) @[el2_lsu_bus_buffer.scala 396:101] - node _T_1902 = or(_T_1899, _T_1901) @[el2_lsu_bus_buffer.scala 396:83] - node _T_1903 = eq(_T_1902, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] - node _T_1904 = and(_T_1891, _T_1903) @[el2_lsu_bus_buffer.scala 395:70] - node _T_1905 = mux(_T_1904, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] - node _T_1906 = mux(_T_1890, UInt<2>("h02"), _T_1905) @[Mux.scala 98:16] - node _T_1907 = mux(_T_1876, UInt<1>("h01"), _T_1906) @[Mux.scala 98:16] - node WrPtr1_m = mux(_T_1862, UInt<1>("h00"), _T_1907) @[Mux.scala 98:16] + node _T_1778 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1779 = and(ibuf_valid, _T_1778) @[el2_lsu_bus_buffer.scala 392:86] + node _T_1780 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 393:33] + node _T_1781 = and(io.lsu_busreq_r, _T_1780) @[el2_lsu_bus_buffer.scala 393:22] + node _T_1782 = or(_T_1779, _T_1781) @[el2_lsu_bus_buffer.scala 392:106] + node _T_1783 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 393:72] + node _T_1784 = and(io.ldst_dual_r, _T_1783) @[el2_lsu_bus_buffer.scala 393:60] + node _T_1785 = or(_T_1782, _T_1784) @[el2_lsu_bus_buffer.scala 393:42] + node _T_1786 = eq(_T_1785, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 392:72] + node _T_1787 = and(_T_1777, _T_1786) @[el2_lsu_bus_buffer.scala 392:70] + node _T_1788 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1789 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1790 = and(ibuf_valid, _T_1789) @[el2_lsu_bus_buffer.scala 392:86] + node _T_1791 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 393:33] + node _T_1792 = and(io.lsu_busreq_r, _T_1791) @[el2_lsu_bus_buffer.scala 393:22] + node _T_1793 = or(_T_1790, _T_1792) @[el2_lsu_bus_buffer.scala 392:106] + node _T_1794 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 393:72] + node _T_1795 = and(io.ldst_dual_r, _T_1794) @[el2_lsu_bus_buffer.scala 393:60] + node _T_1796 = or(_T_1793, _T_1795) @[el2_lsu_bus_buffer.scala 393:42] + node _T_1797 = eq(_T_1796, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 392:72] + node _T_1798 = and(_T_1788, _T_1797) @[el2_lsu_bus_buffer.scala 392:70] + node _T_1799 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1800 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1801 = and(ibuf_valid, _T_1800) @[el2_lsu_bus_buffer.scala 392:86] + node _T_1802 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 393:33] + node _T_1803 = and(io.lsu_busreq_r, _T_1802) @[el2_lsu_bus_buffer.scala 393:22] + node _T_1804 = or(_T_1801, _T_1803) @[el2_lsu_bus_buffer.scala 392:106] + node _T_1805 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 393:72] + node _T_1806 = and(io.ldst_dual_r, _T_1805) @[el2_lsu_bus_buffer.scala 393:60] + node _T_1807 = or(_T_1804, _T_1806) @[el2_lsu_bus_buffer.scala 393:42] + node _T_1808 = eq(_T_1807, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 392:72] + node _T_1809 = and(_T_1799, _T_1808) @[el2_lsu_bus_buffer.scala 392:70] + node _T_1810 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 392:59] + node _T_1811 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 392:97] + node _T_1812 = and(ibuf_valid, _T_1811) @[el2_lsu_bus_buffer.scala 392:86] + node _T_1813 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 393:33] + node _T_1814 = and(io.lsu_busreq_r, _T_1813) @[el2_lsu_bus_buffer.scala 393:22] + node _T_1815 = or(_T_1812, _T_1814) @[el2_lsu_bus_buffer.scala 392:106] + node _T_1816 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 393:72] + node _T_1817 = and(io.ldst_dual_r, _T_1816) @[el2_lsu_bus_buffer.scala 393:60] + node _T_1818 = or(_T_1815, _T_1817) @[el2_lsu_bus_buffer.scala 393:42] + node _T_1819 = eq(_T_1818, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 392:72] + node _T_1820 = and(_T_1810, _T_1819) @[el2_lsu_bus_buffer.scala 392:70] + node _T_1821 = mux(_T_1820, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] + node _T_1822 = mux(_T_1809, UInt<2>("h02"), _T_1821) @[Mux.scala 98:16] + node _T_1823 = mux(_T_1798, UInt<1>("h01"), _T_1822) @[Mux.scala 98:16] + node _T_1824 = mux(_T_1787, UInt<1>("h00"), _T_1823) @[Mux.scala 98:16] + WrPtr0_m <= _T_1824 @[el2_lsu_bus_buffer.scala 394:12] + node _T_1825 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] + node _T_1826 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:97] + node _T_1827 = and(ibuf_valid, _T_1826) @[el2_lsu_bus_buffer.scala 395:86] + node _T_1828 = eq(WrPtr0_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:33] + node _T_1829 = and(io.lsu_busreq_m, _T_1828) @[el2_lsu_bus_buffer.scala 396:22] + node _T_1830 = or(_T_1827, _T_1829) @[el2_lsu_bus_buffer.scala 395:106] + node _T_1831 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:73] + node _T_1832 = and(io.lsu_busreq_r, _T_1831) @[el2_lsu_bus_buffer.scala 396:61] + node _T_1833 = or(_T_1830, _T_1832) @[el2_lsu_bus_buffer.scala 396:42] + node _T_1834 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 396:112] + node _T_1835 = and(io.ldst_dual_r, _T_1834) @[el2_lsu_bus_buffer.scala 396:101] + node _T_1836 = or(_T_1833, _T_1835) @[el2_lsu_bus_buffer.scala 396:83] + node _T_1837 = eq(_T_1836, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] + node _T_1838 = and(_T_1825, _T_1837) @[el2_lsu_bus_buffer.scala 395:70] + node _T_1839 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] + node _T_1840 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 395:97] + node _T_1841 = and(ibuf_valid, _T_1840) @[el2_lsu_bus_buffer.scala 395:86] + node _T_1842 = eq(WrPtr0_m, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 396:33] + node _T_1843 = and(io.lsu_busreq_m, _T_1842) @[el2_lsu_bus_buffer.scala 396:22] + node _T_1844 = or(_T_1841, _T_1843) @[el2_lsu_bus_buffer.scala 395:106] + node _T_1845 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 396:73] + node _T_1846 = and(io.lsu_busreq_r, _T_1845) @[el2_lsu_bus_buffer.scala 396:61] + node _T_1847 = or(_T_1844, _T_1846) @[el2_lsu_bus_buffer.scala 396:42] + node _T_1848 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 396:112] + node _T_1849 = and(io.ldst_dual_r, _T_1848) @[el2_lsu_bus_buffer.scala 396:101] + node _T_1850 = or(_T_1847, _T_1849) @[el2_lsu_bus_buffer.scala 396:83] + node _T_1851 = eq(_T_1850, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] + node _T_1852 = and(_T_1839, _T_1851) @[el2_lsu_bus_buffer.scala 395:70] + node _T_1853 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] + node _T_1854 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 395:97] + node _T_1855 = and(ibuf_valid, _T_1854) @[el2_lsu_bus_buffer.scala 395:86] + node _T_1856 = eq(WrPtr0_m, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 396:33] + node _T_1857 = and(io.lsu_busreq_m, _T_1856) @[el2_lsu_bus_buffer.scala 396:22] + node _T_1858 = or(_T_1855, _T_1857) @[el2_lsu_bus_buffer.scala 395:106] + node _T_1859 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 396:73] + node _T_1860 = and(io.lsu_busreq_r, _T_1859) @[el2_lsu_bus_buffer.scala 396:61] + node _T_1861 = or(_T_1858, _T_1860) @[el2_lsu_bus_buffer.scala 396:42] + node _T_1862 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 396:112] + node _T_1863 = and(io.ldst_dual_r, _T_1862) @[el2_lsu_bus_buffer.scala 396:101] + node _T_1864 = or(_T_1861, _T_1863) @[el2_lsu_bus_buffer.scala 396:83] + node _T_1865 = eq(_T_1864, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] + node _T_1866 = and(_T_1853, _T_1865) @[el2_lsu_bus_buffer.scala 395:70] + node _T_1867 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 395:59] + node _T_1868 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 395:97] + node _T_1869 = and(ibuf_valid, _T_1868) @[el2_lsu_bus_buffer.scala 395:86] + node _T_1870 = eq(WrPtr0_m, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 396:33] + node _T_1871 = and(io.lsu_busreq_m, _T_1870) @[el2_lsu_bus_buffer.scala 396:22] + node _T_1872 = or(_T_1869, _T_1871) @[el2_lsu_bus_buffer.scala 395:106] + node _T_1873 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 396:73] + node _T_1874 = and(io.lsu_busreq_r, _T_1873) @[el2_lsu_bus_buffer.scala 396:61] + node _T_1875 = or(_T_1872, _T_1874) @[el2_lsu_bus_buffer.scala 396:42] + node _T_1876 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 396:112] + node _T_1877 = and(io.ldst_dual_r, _T_1876) @[el2_lsu_bus_buffer.scala 396:101] + node _T_1878 = or(_T_1875, _T_1877) @[el2_lsu_bus_buffer.scala 396:83] + node _T_1879 = eq(_T_1878, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 395:72] + node _T_1880 = and(_T_1867, _T_1879) @[el2_lsu_bus_buffer.scala 395:70] + node _T_1881 = mux(_T_1880, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 98:16] + node _T_1882 = mux(_T_1866, UInt<2>("h02"), _T_1881) @[Mux.scala 98:16] + node _T_1883 = mux(_T_1852, UInt<1>("h01"), _T_1882) @[Mux.scala 98:16] + node WrPtr1_m = mux(_T_1838, UInt<1>("h00"), _T_1883) @[Mux.scala 98:16] io.test <= WrPtr1_m @[el2_lsu_bus_buffer.scala 398:11] wire buf_age : UInt<4>[4] @[el2_lsu_bus_buffer.scala 399:21] buf_age[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] buf_age[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] buf_age[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] buf_age[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 400:11] - node _T_1908 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 401:58] - node _T_1909 = eq(_T_1908, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] - node _T_1910 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] - node _T_1911 = and(_T_1909, _T_1910) @[el2_lsu_bus_buffer.scala 401:63] - node _T_1912 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] - node _T_1913 = and(_T_1911, _T_1912) @[el2_lsu_bus_buffer.scala 401:88] - node _T_1914 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 401:58] - node _T_1915 = eq(_T_1914, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] - node _T_1916 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] - node _T_1917 = and(_T_1915, _T_1916) @[el2_lsu_bus_buffer.scala 401:63] - node _T_1918 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] - node _T_1919 = and(_T_1917, _T_1918) @[el2_lsu_bus_buffer.scala 401:88] - node _T_1920 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 401:58] - node _T_1921 = eq(_T_1920, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] - node _T_1922 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] - node _T_1923 = and(_T_1921, _T_1922) @[el2_lsu_bus_buffer.scala 401:63] - node _T_1924 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] - node _T_1925 = and(_T_1923, _T_1924) @[el2_lsu_bus_buffer.scala 401:88] - node _T_1926 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 401:58] - node _T_1927 = eq(_T_1926, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] - node _T_1928 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] - node _T_1929 = and(_T_1927, _T_1928) @[el2_lsu_bus_buffer.scala 401:63] - node _T_1930 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] - node _T_1931 = and(_T_1929, _T_1930) @[el2_lsu_bus_buffer.scala 401:88] - node _T_1932 = cat(_T_1931, _T_1925) @[Cat.scala 29:58] - node _T_1933 = cat(_T_1932, _T_1919) @[Cat.scala 29:58] - node CmdPtr0Dec = cat(_T_1933, _T_1913) @[Cat.scala 29:58] - node _T_1934 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] - node _T_1935 = and(buf_age[0], _T_1934) @[el2_lsu_bus_buffer.scala 402:59] - node _T_1936 = orr(_T_1935) @[el2_lsu_bus_buffer.scala 402:76] - node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] - node _T_1938 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 402:94] - node _T_1939 = eq(_T_1938, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] - node _T_1940 = and(_T_1937, _T_1939) @[el2_lsu_bus_buffer.scala 402:81] - node _T_1941 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] - node _T_1942 = and(_T_1940, _T_1941) @[el2_lsu_bus_buffer.scala 402:98] - node _T_1943 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] - node _T_1944 = and(_T_1942, _T_1943) @[el2_lsu_bus_buffer.scala 402:123] - node _T_1945 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] - node _T_1946 = and(buf_age[1], _T_1945) @[el2_lsu_bus_buffer.scala 402:59] - node _T_1947 = orr(_T_1946) @[el2_lsu_bus_buffer.scala 402:76] - node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] - node _T_1949 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 402:94] - node _T_1950 = eq(_T_1949, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] - node _T_1951 = and(_T_1948, _T_1950) @[el2_lsu_bus_buffer.scala 402:81] - node _T_1952 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] - node _T_1953 = and(_T_1951, _T_1952) @[el2_lsu_bus_buffer.scala 402:98] - node _T_1954 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] - node _T_1955 = and(_T_1953, _T_1954) @[el2_lsu_bus_buffer.scala 402:123] - node _T_1956 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] - node _T_1957 = and(buf_age[2], _T_1956) @[el2_lsu_bus_buffer.scala 402:59] - node _T_1958 = orr(_T_1957) @[el2_lsu_bus_buffer.scala 402:76] - node _T_1959 = eq(_T_1958, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] - node _T_1960 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 402:94] - node _T_1961 = eq(_T_1960, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] - node _T_1962 = and(_T_1959, _T_1961) @[el2_lsu_bus_buffer.scala 402:81] - node _T_1963 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] - node _T_1964 = and(_T_1962, _T_1963) @[el2_lsu_bus_buffer.scala 402:98] - node _T_1965 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] - node _T_1966 = and(_T_1964, _T_1965) @[el2_lsu_bus_buffer.scala 402:123] - node _T_1967 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] - node _T_1968 = and(buf_age[3], _T_1967) @[el2_lsu_bus_buffer.scala 402:59] - node _T_1969 = orr(_T_1968) @[el2_lsu_bus_buffer.scala 402:76] - node _T_1970 = eq(_T_1969, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] - node _T_1971 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 402:94] - node _T_1972 = eq(_T_1971, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] - node _T_1973 = and(_T_1970, _T_1972) @[el2_lsu_bus_buffer.scala 402:81] - node _T_1974 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] - node _T_1975 = and(_T_1973, _T_1974) @[el2_lsu_bus_buffer.scala 402:98] - node _T_1976 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] - node _T_1977 = and(_T_1975, _T_1976) @[el2_lsu_bus_buffer.scala 402:123] - node _T_1978 = cat(_T_1977, _T_1966) @[Cat.scala 29:58] - node _T_1979 = cat(_T_1978, _T_1955) @[Cat.scala 29:58] - node CmdPtr1Dec = cat(_T_1979, _T_1944) @[Cat.scala 29:58] + node _T_1884 = orr(buf_age[0]) @[el2_lsu_bus_buffer.scala 401:58] + node _T_1885 = eq(_T_1884, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] + node _T_1886 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] + node _T_1887 = and(_T_1885, _T_1886) @[el2_lsu_bus_buffer.scala 401:63] + node _T_1888 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] + node _T_1889 = and(_T_1887, _T_1888) @[el2_lsu_bus_buffer.scala 401:88] + node _T_1890 = orr(buf_age[1]) @[el2_lsu_bus_buffer.scala 401:58] + node _T_1891 = eq(_T_1890, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] + node _T_1892 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] + node _T_1893 = and(_T_1891, _T_1892) @[el2_lsu_bus_buffer.scala 401:63] + node _T_1894 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] + node _T_1895 = and(_T_1893, _T_1894) @[el2_lsu_bus_buffer.scala 401:88] + node _T_1896 = orr(buf_age[2]) @[el2_lsu_bus_buffer.scala 401:58] + node _T_1897 = eq(_T_1896, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] + node _T_1898 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] + node _T_1899 = and(_T_1897, _T_1898) @[el2_lsu_bus_buffer.scala 401:63] + node _T_1900 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] + node _T_1901 = and(_T_1899, _T_1900) @[el2_lsu_bus_buffer.scala 401:88] + node _T_1902 = orr(buf_age[3]) @[el2_lsu_bus_buffer.scala 401:58] + node _T_1903 = eq(_T_1902, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:45] + node _T_1904 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 401:78] + node _T_1905 = and(_T_1903, _T_1904) @[el2_lsu_bus_buffer.scala 401:63] + node _T_1906 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 401:90] + node _T_1907 = and(_T_1905, _T_1906) @[el2_lsu_bus_buffer.scala 401:88] + node _T_1908 = cat(_T_1907, _T_1901) @[Cat.scala 29:58] + node _T_1909 = cat(_T_1908, _T_1895) @[Cat.scala 29:58] + node CmdPtr0Dec = cat(_T_1909, _T_1889) @[Cat.scala 29:58] + node _T_1910 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] + node _T_1911 = and(buf_age[0], _T_1910) @[el2_lsu_bus_buffer.scala 402:59] + node _T_1912 = orr(_T_1911) @[el2_lsu_bus_buffer.scala 402:76] + node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] + node _T_1914 = bits(CmdPtr0Dec, 0, 0) @[el2_lsu_bus_buffer.scala 402:94] + node _T_1915 = eq(_T_1914, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] + node _T_1916 = and(_T_1913, _T_1915) @[el2_lsu_bus_buffer.scala 402:81] + node _T_1917 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] + node _T_1918 = and(_T_1916, _T_1917) @[el2_lsu_bus_buffer.scala 402:98] + node _T_1919 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] + node _T_1920 = and(_T_1918, _T_1919) @[el2_lsu_bus_buffer.scala 402:123] + node _T_1921 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] + node _T_1922 = and(buf_age[1], _T_1921) @[el2_lsu_bus_buffer.scala 402:59] + node _T_1923 = orr(_T_1922) @[el2_lsu_bus_buffer.scala 402:76] + node _T_1924 = eq(_T_1923, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] + node _T_1925 = bits(CmdPtr0Dec, 1, 1) @[el2_lsu_bus_buffer.scala 402:94] + node _T_1926 = eq(_T_1925, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] + node _T_1927 = and(_T_1924, _T_1926) @[el2_lsu_bus_buffer.scala 402:81] + node _T_1928 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] + node _T_1929 = and(_T_1927, _T_1928) @[el2_lsu_bus_buffer.scala 402:98] + node _T_1930 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] + node _T_1931 = and(_T_1929, _T_1930) @[el2_lsu_bus_buffer.scala 402:123] + node _T_1932 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] + node _T_1933 = and(buf_age[2], _T_1932) @[el2_lsu_bus_buffer.scala 402:59] + node _T_1934 = orr(_T_1933) @[el2_lsu_bus_buffer.scala 402:76] + node _T_1935 = eq(_T_1934, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] + node _T_1936 = bits(CmdPtr0Dec, 2, 2) @[el2_lsu_bus_buffer.scala 402:94] + node _T_1937 = eq(_T_1936, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] + node _T_1938 = and(_T_1935, _T_1937) @[el2_lsu_bus_buffer.scala 402:81] + node _T_1939 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] + node _T_1940 = and(_T_1938, _T_1939) @[el2_lsu_bus_buffer.scala 402:98] + node _T_1941 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] + node _T_1942 = and(_T_1940, _T_1941) @[el2_lsu_bus_buffer.scala 402:123] + node _T_1943 = not(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 402:62] + node _T_1944 = and(buf_age[3], _T_1943) @[el2_lsu_bus_buffer.scala 402:59] + node _T_1945 = orr(_T_1944) @[el2_lsu_bus_buffer.scala 402:76] + node _T_1946 = eq(_T_1945, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:45] + node _T_1947 = bits(CmdPtr0Dec, 3, 3) @[el2_lsu_bus_buffer.scala 402:94] + node _T_1948 = eq(_T_1947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:83] + node _T_1949 = and(_T_1946, _T_1948) @[el2_lsu_bus_buffer.scala 402:81] + node _T_1950 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 402:113] + node _T_1951 = and(_T_1949, _T_1950) @[el2_lsu_bus_buffer.scala 402:98] + node _T_1952 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 402:125] + node _T_1953 = and(_T_1951, _T_1952) @[el2_lsu_bus_buffer.scala 402:123] + node _T_1954 = cat(_T_1953, _T_1942) @[Cat.scala 29:58] + node _T_1955 = cat(_T_1954, _T_1931) @[Cat.scala 29:58] + node CmdPtr1Dec = cat(_T_1955, _T_1920) @[Cat.scala 29:58] wire buf_rsp_pickage : UInt<4>[4] @[el2_lsu_bus_buffer.scala 403:29] buf_rsp_pickage[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 404:19] buf_rsp_pickage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 404:19] buf_rsp_pickage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 404:19] buf_rsp_pickage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 404:19] - node _T_1980 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 405:65] - node _T_1981 = eq(_T_1980, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] - node _T_1982 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] - node _T_1983 = and(_T_1981, _T_1982) @[el2_lsu_bus_buffer.scala 405:70] - node _T_1984 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 405:65] - node _T_1985 = eq(_T_1984, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] - node _T_1986 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] - node _T_1987 = and(_T_1985, _T_1986) @[el2_lsu_bus_buffer.scala 405:70] - node _T_1988 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 405:65] - node _T_1989 = eq(_T_1988, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] - node _T_1990 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] - node _T_1991 = and(_T_1989, _T_1990) @[el2_lsu_bus_buffer.scala 405:70] - node _T_1992 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 405:65] - node _T_1993 = eq(_T_1992, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] - node _T_1994 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] - node _T_1995 = and(_T_1993, _T_1994) @[el2_lsu_bus_buffer.scala 405:70] - node _T_1996 = cat(_T_1995, _T_1991) @[Cat.scala 29:58] - node _T_1997 = cat(_T_1996, _T_1987) @[Cat.scala 29:58] - node RspPtrDec = cat(_T_1997, _T_1983) @[Cat.scala 29:58] - node _T_1998 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 406:31] - found_cmdptr0 <= _T_1998 @[el2_lsu_bus_buffer.scala 406:17] - node _T_1999 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 407:31] - found_cmdptr1 <= _T_1999 @[el2_lsu_bus_buffer.scala 407:17] - node _T_2000 = bits(CmdPtr0Dec, 0, 0) @[OneHot.scala 47:40] - node _T_2001 = bits(CmdPtr0Dec, 1, 1) @[OneHot.scala 47:40] - node _T_2002 = bits(CmdPtr0Dec, 2, 2) @[OneHot.scala 47:40] - node _T_2003 = bits(CmdPtr0Dec, 3, 3) @[OneHot.scala 47:40] - node _T_2004 = mux(_T_2002, UInt<2>("h02"), UInt<2>("h03")) @[Mux.scala 47:69] - node _T_2005 = mux(_T_2001, UInt<1>("h01"), _T_2004) @[Mux.scala 47:69] - node CmdPtr0 = mux(_T_2000, UInt<1>("h00"), _T_2005) @[Mux.scala 47:69] - node _T_2006 = bits(CmdPtr1Dec, 0, 0) @[OneHot.scala 47:40] - node _T_2007 = bits(CmdPtr1Dec, 1, 1) @[OneHot.scala 47:40] - node _T_2008 = bits(CmdPtr1Dec, 2, 2) @[OneHot.scala 47:40] - node _T_2009 = bits(CmdPtr1Dec, 3, 3) @[OneHot.scala 47:40] - node _T_2010 = mux(_T_2008, UInt<2>("h02"), UInt<2>("h03")) @[Mux.scala 47:69] - node _T_2011 = mux(_T_2007, UInt<1>("h01"), _T_2010) @[Mux.scala 47:69] - node CmdPtr1 = mux(_T_2006, UInt<1>("h00"), _T_2011) @[Mux.scala 47:69] - node _T_2012 = bits(RspPtrDec, 0, 0) @[OneHot.scala 47:40] - node _T_2013 = bits(RspPtrDec, 1, 1) @[OneHot.scala 47:40] - node _T_2014 = bits(RspPtrDec, 2, 2) @[OneHot.scala 47:40] - node _T_2015 = bits(RspPtrDec, 3, 3) @[OneHot.scala 47:40] - node _T_2016 = mux(_T_2014, UInt<2>("h02"), UInt<2>("h03")) @[Mux.scala 47:69] - node _T_2017 = mux(_T_2013, UInt<1>("h01"), _T_2016) @[Mux.scala 47:69] - node RspPtr = mux(_T_2012, UInt<1>("h00"), _T_2017) @[Mux.scala 47:69] + node _T_1956 = orr(buf_rsp_pickage[0]) @[el2_lsu_bus_buffer.scala 405:65] + node _T_1957 = eq(_T_1956, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] + node _T_1958 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] + node _T_1959 = and(_T_1957, _T_1958) @[el2_lsu_bus_buffer.scala 405:70] + node _T_1960 = orr(buf_rsp_pickage[1]) @[el2_lsu_bus_buffer.scala 405:65] + node _T_1961 = eq(_T_1960, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] + node _T_1962 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] + node _T_1963 = and(_T_1961, _T_1962) @[el2_lsu_bus_buffer.scala 405:70] + node _T_1964 = orr(buf_rsp_pickage[2]) @[el2_lsu_bus_buffer.scala 405:65] + node _T_1965 = eq(_T_1964, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] + node _T_1966 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] + node _T_1967 = and(_T_1965, _T_1966) @[el2_lsu_bus_buffer.scala 405:70] + node _T_1968 = orr(buf_rsp_pickage[3]) @[el2_lsu_bus_buffer.scala 405:65] + node _T_1969 = eq(_T_1968, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 405:44] + node _T_1970 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 405:85] + node _T_1971 = and(_T_1969, _T_1970) @[el2_lsu_bus_buffer.scala 405:70] + node _T_1972 = cat(_T_1971, _T_1967) @[Cat.scala 29:58] + node _T_1973 = cat(_T_1972, _T_1963) @[Cat.scala 29:58] + node RspPtrDec = cat(_T_1973, _T_1959) @[Cat.scala 29:58] + node _T_1974 = orr(CmdPtr0Dec) @[el2_lsu_bus_buffer.scala 406:31] + found_cmdptr0 <= _T_1974 @[el2_lsu_bus_buffer.scala 406:17] + node _T_1975 = orr(CmdPtr1Dec) @[el2_lsu_bus_buffer.scala 407:31] + found_cmdptr1 <= _T_1975 @[el2_lsu_bus_buffer.scala 407:17] + node _T_1976 = bits(CmdPtr0Dec, 0, 0) @[OneHot.scala 47:40] + node _T_1977 = bits(CmdPtr0Dec, 1, 1) @[OneHot.scala 47:40] + node _T_1978 = bits(CmdPtr0Dec, 2, 2) @[OneHot.scala 47:40] + node _T_1979 = bits(CmdPtr0Dec, 3, 3) @[OneHot.scala 47:40] + node _T_1980 = mux(_T_1978, UInt<2>("h02"), UInt<2>("h03")) @[Mux.scala 47:69] + node _T_1981 = mux(_T_1977, UInt<1>("h01"), _T_1980) @[Mux.scala 47:69] + node CmdPtr0 = mux(_T_1976, UInt<1>("h00"), _T_1981) @[Mux.scala 47:69] + node _T_1982 = bits(CmdPtr1Dec, 0, 0) @[OneHot.scala 47:40] + node _T_1983 = bits(CmdPtr1Dec, 1, 1) @[OneHot.scala 47:40] + node _T_1984 = bits(CmdPtr1Dec, 2, 2) @[OneHot.scala 47:40] + node _T_1985 = bits(CmdPtr1Dec, 3, 3) @[OneHot.scala 47:40] + node _T_1986 = mux(_T_1984, UInt<2>("h02"), UInt<2>("h03")) @[Mux.scala 47:69] + node _T_1987 = mux(_T_1983, UInt<1>("h01"), _T_1986) @[Mux.scala 47:69] + node CmdPtr1 = mux(_T_1982, UInt<1>("h00"), _T_1987) @[Mux.scala 47:69] + node _T_1988 = bits(RspPtrDec, 0, 0) @[OneHot.scala 47:40] + node _T_1989 = bits(RspPtrDec, 1, 1) @[OneHot.scala 47:40] + node _T_1990 = bits(RspPtrDec, 2, 2) @[OneHot.scala 47:40] + node _T_1991 = bits(RspPtrDec, 3, 3) @[OneHot.scala 47:40] + node _T_1992 = mux(_T_1990, UInt<2>("h02"), UInt<2>("h03")) @[Mux.scala 47:69] + node _T_1993 = mux(_T_1989, UInt<1>("h01"), _T_1992) @[Mux.scala 47:69] + node RspPtr = mux(_T_1988, UInt<1>("h00"), _T_1993) @[Mux.scala 47:69] wire buf_state_en : UInt<1>[4] @[el2_lsu_bus_buffer.scala 412:26] buf_state_en[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:16] buf_state_en[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 413:16] @@ -2877,3513 +2853,3513 @@ circuit el2_lsu_bus_buffer : buf_rspage[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:14] buf_rspage[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:14] buf_rspage[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 421:14] - node _T_2018 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2019 = and(_T_2018, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2020 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2021 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2022 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2023 = and(_T_2021, _T_2022) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2024 = or(_T_2020, _T_2023) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2025 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2026 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2027 = and(_T_2025, _T_2026) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2028 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2029 = and(_T_2027, _T_2028) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2030 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2031 = and(_T_2029, _T_2030) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2032 = or(_T_2024, _T_2031) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2033 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2034 = and(_T_2033, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2035 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2036 = and(_T_2034, _T_2035) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2037 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2038 = and(_T_2036, _T_2037) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2039 = or(_T_2032, _T_2038) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2040 = and(_T_2019, _T_2039) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2041 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2042 = or(_T_2040, _T_2041) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2043 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2044 = and(_T_2043, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2045 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2046 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2047 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2048 = and(_T_2046, _T_2047) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2049 = or(_T_2045, _T_2048) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2051 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2052 = and(_T_2050, _T_2051) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2053 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2054 = and(_T_2052, _T_2053) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2055 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2056 = and(_T_2054, _T_2055) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2057 = or(_T_2049, _T_2056) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2058 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2059 = and(_T_2058, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2060 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2061 = and(_T_2059, _T_2060) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2062 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2063 = and(_T_2061, _T_2062) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2064 = or(_T_2057, _T_2063) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2065 = and(_T_2044, _T_2064) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2066 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2067 = or(_T_2065, _T_2066) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2068 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2069 = and(_T_2068, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2070 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2071 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2072 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2073 = and(_T_2071, _T_2072) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2074 = or(_T_2070, _T_2073) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2075 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2076 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2077 = and(_T_2075, _T_2076) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2078 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2079 = and(_T_2077, _T_2078) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2080 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2081 = and(_T_2079, _T_2080) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2082 = or(_T_2074, _T_2081) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2083 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2084 = and(_T_2083, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2085 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2086 = and(_T_2084, _T_2085) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2087 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2088 = and(_T_2086, _T_2087) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2089 = or(_T_2082, _T_2088) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2090 = and(_T_2069, _T_2089) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2091 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2092 = or(_T_2090, _T_2091) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2093 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2094 = and(_T_2093, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2095 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2096 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2097 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2098 = and(_T_2096, _T_2097) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2099 = or(_T_2095, _T_2098) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2100 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2101 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2102 = and(_T_2100, _T_2101) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2103 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2104 = and(_T_2102, _T_2103) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2105 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2106 = and(_T_2104, _T_2105) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2107 = or(_T_2099, _T_2106) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2108 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2109 = and(_T_2108, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2110 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2111 = and(_T_2109, _T_2110) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2112 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2113 = and(_T_2111, _T_2112) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2114 = or(_T_2107, _T_2113) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2115 = and(_T_2094, _T_2114) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2116 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2117 = or(_T_2115, _T_2116) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2118 = cat(_T_2117, _T_2092) @[Cat.scala 29:58] - node _T_2119 = cat(_T_2118, _T_2067) @[Cat.scala 29:58] - node buf_age_in_0 = cat(_T_2119, _T_2042) @[Cat.scala 29:58] - node _T_2120 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2121 = and(_T_2120, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2122 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2123 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2124 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2125 = and(_T_2123, _T_2124) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2126 = or(_T_2122, _T_2125) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2127 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2128 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2129 = and(_T_2127, _T_2128) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2130 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2131 = and(_T_2129, _T_2130) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2132 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2133 = and(_T_2131, _T_2132) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2134 = or(_T_2126, _T_2133) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2135 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2136 = and(_T_2135, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2137 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2138 = and(_T_2136, _T_2137) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2139 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2140 = and(_T_2138, _T_2139) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2141 = or(_T_2134, _T_2140) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2142 = and(_T_2121, _T_2141) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2143 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2144 = or(_T_2142, _T_2143) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2145 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2146 = and(_T_2145, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2147 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2148 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2149 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2150 = and(_T_2148, _T_2149) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2151 = or(_T_2147, _T_2150) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2152 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2153 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2154 = and(_T_2152, _T_2153) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2155 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2156 = and(_T_2154, _T_2155) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2157 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2158 = and(_T_2156, _T_2157) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2159 = or(_T_2151, _T_2158) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2160 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2161 = and(_T_2160, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2162 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2163 = and(_T_2161, _T_2162) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2164 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2165 = and(_T_2163, _T_2164) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2166 = or(_T_2159, _T_2165) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2167 = and(_T_2146, _T_2166) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2168 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2169 = or(_T_2167, _T_2168) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2170 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2171 = and(_T_2170, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2172 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2173 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2174 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2175 = and(_T_2173, _T_2174) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2176 = or(_T_2172, _T_2175) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2177 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2178 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2179 = and(_T_2177, _T_2178) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2180 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2181 = and(_T_2179, _T_2180) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2182 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2183 = and(_T_2181, _T_2182) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2184 = or(_T_2176, _T_2183) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2185 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2186 = and(_T_2185, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2187 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2188 = and(_T_2186, _T_2187) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2189 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2190 = and(_T_2188, _T_2189) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2191 = or(_T_2184, _T_2190) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2192 = and(_T_2171, _T_2191) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2193 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2194 = or(_T_2192, _T_2193) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2195 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2196 = and(_T_2195, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2197 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2198 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2199 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2200 = and(_T_2198, _T_2199) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2201 = or(_T_2197, _T_2200) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2202 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2203 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2204 = and(_T_2202, _T_2203) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2205 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2206 = and(_T_2204, _T_2205) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2207 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2208 = and(_T_2206, _T_2207) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2209 = or(_T_2201, _T_2208) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2210 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2211 = and(_T_2210, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2212 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2213 = and(_T_2211, _T_2212) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2214 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2215 = and(_T_2213, _T_2214) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2216 = or(_T_2209, _T_2215) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2217 = and(_T_2196, _T_2216) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2218 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2219 = or(_T_2217, _T_2218) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2220 = cat(_T_2219, _T_2194) @[Cat.scala 29:58] - node _T_2221 = cat(_T_2220, _T_2169) @[Cat.scala 29:58] - node buf_age_in_1 = cat(_T_2221, _T_2144) @[Cat.scala 29:58] - node _T_2222 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2223 = and(_T_2222, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2224 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2225 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2226 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2227 = and(_T_2225, _T_2226) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2228 = or(_T_2224, _T_2227) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2229 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2230 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2231 = and(_T_2229, _T_2230) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2232 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2233 = and(_T_2231, _T_2232) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2234 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2235 = and(_T_2233, _T_2234) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2236 = or(_T_2228, _T_2235) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2237 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2238 = and(_T_2237, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2239 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2240 = and(_T_2238, _T_2239) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2241 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2242 = and(_T_2240, _T_2241) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2243 = or(_T_2236, _T_2242) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2244 = and(_T_2223, _T_2243) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2245 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2246 = or(_T_2244, _T_2245) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2247 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2248 = and(_T_2247, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2249 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2250 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2251 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2252 = and(_T_2250, _T_2251) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2253 = or(_T_2249, _T_2252) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2254 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2255 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2256 = and(_T_2254, _T_2255) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2257 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2258 = and(_T_2256, _T_2257) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2259 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2260 = and(_T_2258, _T_2259) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2261 = or(_T_2253, _T_2260) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2262 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2263 = and(_T_2262, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2264 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2265 = and(_T_2263, _T_2264) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2266 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2267 = and(_T_2265, _T_2266) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2268 = or(_T_2261, _T_2267) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2269 = and(_T_2248, _T_2268) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2270 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2271 = or(_T_2269, _T_2270) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2272 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2273 = and(_T_2272, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2274 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2275 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2276 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2277 = and(_T_2275, _T_2276) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2278 = or(_T_2274, _T_2277) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2279 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2280 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2281 = and(_T_2279, _T_2280) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2282 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2283 = and(_T_2281, _T_2282) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2284 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2285 = and(_T_2283, _T_2284) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2286 = or(_T_2278, _T_2285) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2287 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2288 = and(_T_2287, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2289 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2290 = and(_T_2288, _T_2289) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2291 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2292 = and(_T_2290, _T_2291) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2293 = or(_T_2286, _T_2292) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2294 = and(_T_2273, _T_2293) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2295 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2296 = or(_T_2294, _T_2295) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2297 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2298 = and(_T_2297, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2299 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2300 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2301 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2302 = and(_T_2300, _T_2301) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2303 = or(_T_2299, _T_2302) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2304 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2305 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2306 = and(_T_2304, _T_2305) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2307 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2308 = and(_T_2306, _T_2307) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2309 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2310 = and(_T_2308, _T_2309) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2311 = or(_T_2303, _T_2310) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2312 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2313 = and(_T_2312, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2314 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2315 = and(_T_2313, _T_2314) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2316 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2317 = and(_T_2315, _T_2316) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2318 = or(_T_2311, _T_2317) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2319 = and(_T_2298, _T_2318) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2320 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2321 = or(_T_2319, _T_2320) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2322 = cat(_T_2321, _T_2296) @[Cat.scala 29:58] - node _T_2323 = cat(_T_2322, _T_2271) @[Cat.scala 29:58] - node buf_age_in_2 = cat(_T_2323, _T_2246) @[Cat.scala 29:58] - node _T_2324 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2325 = and(_T_2324, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2326 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2327 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2328 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2329 = and(_T_2327, _T_2328) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2330 = or(_T_2326, _T_2329) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2331 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2332 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2333 = and(_T_2331, _T_2332) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2334 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2335 = and(_T_2333, _T_2334) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2336 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2337 = and(_T_2335, _T_2336) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2338 = or(_T_2330, _T_2337) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2339 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2340 = and(_T_2339, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2341 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2342 = and(_T_2340, _T_2341) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2343 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2344 = and(_T_2342, _T_2343) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2345 = or(_T_2338, _T_2344) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2346 = and(_T_2325, _T_2345) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2347 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2348 = or(_T_2346, _T_2347) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2349 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2350 = and(_T_2349, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2351 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2352 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2353 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2354 = and(_T_2352, _T_2353) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2355 = or(_T_2351, _T_2354) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2356 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2357 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2358 = and(_T_2356, _T_2357) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2359 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2360 = and(_T_2358, _T_2359) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2361 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2362 = and(_T_2360, _T_2361) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2363 = or(_T_2355, _T_2362) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2364 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2365 = and(_T_2364, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2366 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2367 = and(_T_2365, _T_2366) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2368 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2369 = and(_T_2367, _T_2368) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2370 = or(_T_2363, _T_2369) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2371 = and(_T_2350, _T_2370) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2372 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2373 = or(_T_2371, _T_2372) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2374 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2375 = and(_T_2374, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2376 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2377 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2378 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2379 = and(_T_2377, _T_2378) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2380 = or(_T_2376, _T_2379) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2381 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2382 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2383 = and(_T_2381, _T_2382) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2384 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2385 = and(_T_2383, _T_2384) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2386 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2387 = and(_T_2385, _T_2386) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2388 = or(_T_2380, _T_2387) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2389 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2390 = and(_T_2389, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2391 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2392 = and(_T_2390, _T_2391) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2393 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2394 = and(_T_2392, _T_2393) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2395 = or(_T_2388, _T_2394) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2396 = and(_T_2375, _T_2395) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2397 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2398 = or(_T_2396, _T_2397) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2399 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] - node _T_2400 = and(_T_2399, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] - node _T_2401 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] - node _T_2402 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] - node _T_2403 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] - node _T_2404 = and(_T_2402, _T_2403) @[el2_lsu_bus_buffer.scala 424:57] - node _T_2405 = or(_T_2401, _T_2404) @[el2_lsu_bus_buffer.scala 424:31] - node _T_2406 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] - node _T_2407 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] - node _T_2408 = and(_T_2406, _T_2407) @[el2_lsu_bus_buffer.scala 425:41] - node _T_2409 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] - node _T_2410 = and(_T_2408, _T_2409) @[el2_lsu_bus_buffer.scala 425:71] - node _T_2411 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] - node _T_2412 = and(_T_2410, _T_2411) @[el2_lsu_bus_buffer.scala 425:92] - node _T_2413 = or(_T_2405, _T_2412) @[el2_lsu_bus_buffer.scala 424:86] - node _T_2414 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] - node _T_2415 = and(_T_2414, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] - node _T_2416 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] - node _T_2417 = and(_T_2415, _T_2416) @[el2_lsu_bus_buffer.scala 426:52] - node _T_2418 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] - node _T_2419 = and(_T_2417, _T_2418) @[el2_lsu_bus_buffer.scala 426:73] - node _T_2420 = or(_T_2413, _T_2419) @[el2_lsu_bus_buffer.scala 425:114] - node _T_2421 = and(_T_2400, _T_2420) @[el2_lsu_bus_buffer.scala 423:113] - node _T_2422 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] - node _T_2423 = or(_T_2421, _T_2422) @[el2_lsu_bus_buffer.scala 426:97] - node _T_2424 = cat(_T_2423, _T_2398) @[Cat.scala 29:58] - node _T_2425 = cat(_T_2424, _T_2373) @[Cat.scala 29:58] - node buf_age_in_3 = cat(_T_2425, _T_2348) @[Cat.scala 29:58] + node _T_1994 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_1995 = and(_T_1994, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_1996 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_1997 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_1998 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_1999 = and(_T_1997, _T_1998) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2000 = or(_T_1996, _T_1999) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2001 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2002 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2003 = and(_T_2001, _T_2002) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2004 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2005 = and(_T_2003, _T_2004) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2006 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2007 = and(_T_2005, _T_2006) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2008 = or(_T_2000, _T_2007) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2009 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2010 = and(_T_2009, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2011 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2012 = and(_T_2010, _T_2011) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2013 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2014 = and(_T_2012, _T_2013) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2015 = or(_T_2008, _T_2014) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2016 = and(_T_1995, _T_2015) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2017 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2018 = or(_T_2016, _T_2017) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2019 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2020 = and(_T_2019, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2021 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2022 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2023 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2024 = and(_T_2022, _T_2023) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2025 = or(_T_2021, _T_2024) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2026 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2027 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2028 = and(_T_2026, _T_2027) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2029 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2030 = and(_T_2028, _T_2029) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2031 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2032 = and(_T_2030, _T_2031) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2033 = or(_T_2025, _T_2032) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2034 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2035 = and(_T_2034, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2036 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2037 = and(_T_2035, _T_2036) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2038 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2039 = and(_T_2037, _T_2038) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2040 = or(_T_2033, _T_2039) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2041 = and(_T_2020, _T_2040) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2042 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2043 = or(_T_2041, _T_2042) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2044 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2045 = and(_T_2044, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2046 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2047 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2048 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2049 = and(_T_2047, _T_2048) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2050 = or(_T_2046, _T_2049) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2051 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2052 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2053 = and(_T_2051, _T_2052) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2054 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2055 = and(_T_2053, _T_2054) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2056 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2057 = and(_T_2055, _T_2056) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2058 = or(_T_2050, _T_2057) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2059 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2060 = and(_T_2059, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2061 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2062 = and(_T_2060, _T_2061) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2063 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2064 = and(_T_2062, _T_2063) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2065 = or(_T_2058, _T_2064) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2066 = and(_T_2045, _T_2065) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2067 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2068 = or(_T_2066, _T_2067) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2069 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2070 = and(_T_2069, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2071 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2072 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2073 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2074 = and(_T_2072, _T_2073) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2075 = or(_T_2071, _T_2074) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2076 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2077 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2078 = and(_T_2076, _T_2077) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2079 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2080 = and(_T_2078, _T_2079) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2081 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2082 = and(_T_2080, _T_2081) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2083 = or(_T_2075, _T_2082) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2084 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2085 = and(_T_2084, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2086 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2087 = and(_T_2085, _T_2086) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2088 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2089 = and(_T_2087, _T_2088) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2090 = or(_T_2083, _T_2089) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2091 = and(_T_2070, _T_2090) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2092 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2093 = or(_T_2091, _T_2092) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2094 = cat(_T_2093, _T_2068) @[Cat.scala 29:58] + node _T_2095 = cat(_T_2094, _T_2043) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2095, _T_2018) @[Cat.scala 29:58] + node _T_2096 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2097 = and(_T_2096, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2098 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2099 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2100 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2101 = and(_T_2099, _T_2100) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2102 = or(_T_2098, _T_2101) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2103 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2104 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2105 = and(_T_2103, _T_2104) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2106 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2107 = and(_T_2105, _T_2106) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2108 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2109 = and(_T_2107, _T_2108) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2110 = or(_T_2102, _T_2109) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2111 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2112 = and(_T_2111, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2113 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2114 = and(_T_2112, _T_2113) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2115 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2116 = and(_T_2114, _T_2115) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2117 = or(_T_2110, _T_2116) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2118 = and(_T_2097, _T_2117) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2119 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2120 = or(_T_2118, _T_2119) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2121 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2122 = and(_T_2121, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2123 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2124 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2125 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2126 = and(_T_2124, _T_2125) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2127 = or(_T_2123, _T_2126) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2128 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2129 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2130 = and(_T_2128, _T_2129) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2131 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2132 = and(_T_2130, _T_2131) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2133 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2134 = and(_T_2132, _T_2133) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2135 = or(_T_2127, _T_2134) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2136 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2137 = and(_T_2136, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2138 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2139 = and(_T_2137, _T_2138) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2140 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2141 = and(_T_2139, _T_2140) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2142 = or(_T_2135, _T_2141) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2143 = and(_T_2122, _T_2142) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2144 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2145 = or(_T_2143, _T_2144) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2146 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2147 = and(_T_2146, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2148 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2149 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2150 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2151 = and(_T_2149, _T_2150) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2152 = or(_T_2148, _T_2151) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2153 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2154 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2155 = and(_T_2153, _T_2154) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2156 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2157 = and(_T_2155, _T_2156) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2158 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2159 = and(_T_2157, _T_2158) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2160 = or(_T_2152, _T_2159) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2161 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2162 = and(_T_2161, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2163 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2164 = and(_T_2162, _T_2163) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2165 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2166 = and(_T_2164, _T_2165) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2167 = or(_T_2160, _T_2166) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2168 = and(_T_2147, _T_2167) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2169 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2170 = or(_T_2168, _T_2169) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2171 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2172 = and(_T_2171, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2173 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2174 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2175 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2176 = and(_T_2174, _T_2175) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2177 = or(_T_2173, _T_2176) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2178 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2179 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2180 = and(_T_2178, _T_2179) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2181 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2182 = and(_T_2180, _T_2181) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2183 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2184 = and(_T_2182, _T_2183) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2185 = or(_T_2177, _T_2184) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2186 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2187 = and(_T_2186, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2188 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2189 = and(_T_2187, _T_2188) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2190 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2191 = and(_T_2189, _T_2190) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2192 = or(_T_2185, _T_2191) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2193 = and(_T_2172, _T_2192) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2194 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2195 = or(_T_2193, _T_2194) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2196 = cat(_T_2195, _T_2170) @[Cat.scala 29:58] + node _T_2197 = cat(_T_2196, _T_2145) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2197, _T_2120) @[Cat.scala 29:58] + node _T_2198 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2199 = and(_T_2198, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2200 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2201 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2202 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2203 = and(_T_2201, _T_2202) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2204 = or(_T_2200, _T_2203) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2205 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2206 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2207 = and(_T_2205, _T_2206) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2208 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2209 = and(_T_2207, _T_2208) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2210 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2211 = and(_T_2209, _T_2210) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2212 = or(_T_2204, _T_2211) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2213 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2214 = and(_T_2213, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2215 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2216 = and(_T_2214, _T_2215) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2217 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2218 = and(_T_2216, _T_2217) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2219 = or(_T_2212, _T_2218) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2220 = and(_T_2199, _T_2219) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2221 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2222 = or(_T_2220, _T_2221) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2223 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2224 = and(_T_2223, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2225 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2226 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2227 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2228 = and(_T_2226, _T_2227) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2229 = or(_T_2225, _T_2228) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2230 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2231 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2232 = and(_T_2230, _T_2231) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2233 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2234 = and(_T_2232, _T_2233) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2235 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2236 = and(_T_2234, _T_2235) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2237 = or(_T_2229, _T_2236) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2238 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2239 = and(_T_2238, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2240 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2241 = and(_T_2239, _T_2240) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2242 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2243 = and(_T_2241, _T_2242) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2244 = or(_T_2237, _T_2243) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2245 = and(_T_2224, _T_2244) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2246 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2247 = or(_T_2245, _T_2246) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2248 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2249 = and(_T_2248, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2250 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2251 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2252 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2253 = and(_T_2251, _T_2252) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2254 = or(_T_2250, _T_2253) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2255 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2256 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2257 = and(_T_2255, _T_2256) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2258 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2259 = and(_T_2257, _T_2258) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2260 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2261 = and(_T_2259, _T_2260) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2262 = or(_T_2254, _T_2261) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2263 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2264 = and(_T_2263, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2265 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2266 = and(_T_2264, _T_2265) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2267 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2268 = and(_T_2266, _T_2267) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2269 = or(_T_2262, _T_2268) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2270 = and(_T_2249, _T_2269) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2271 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2272 = or(_T_2270, _T_2271) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2273 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2274 = and(_T_2273, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2275 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2276 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2277 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2278 = and(_T_2276, _T_2277) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2279 = or(_T_2275, _T_2278) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2280 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2281 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2282 = and(_T_2280, _T_2281) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2283 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2284 = and(_T_2282, _T_2283) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2285 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2286 = and(_T_2284, _T_2285) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2287 = or(_T_2279, _T_2286) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2288 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2289 = and(_T_2288, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2290 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2291 = and(_T_2289, _T_2290) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2292 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2293 = and(_T_2291, _T_2292) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2294 = or(_T_2287, _T_2293) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2295 = and(_T_2274, _T_2294) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2296 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2297 = or(_T_2295, _T_2296) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2298 = cat(_T_2297, _T_2272) @[Cat.scala 29:58] + node _T_2299 = cat(_T_2298, _T_2247) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2299, _T_2222) @[Cat.scala 29:58] + node _T_2300 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2301 = and(_T_2300, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2302 = eq(buf_state[0], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2303 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2304 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2305 = and(_T_2303, _T_2304) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2306 = or(_T_2302, _T_2305) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2307 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2308 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2309 = and(_T_2307, _T_2308) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2310 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2311 = and(_T_2309, _T_2310) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2312 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2313 = and(_T_2311, _T_2312) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2314 = or(_T_2306, _T_2313) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2315 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2316 = and(_T_2315, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2317 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2318 = and(_T_2316, _T_2317) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2319 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2320 = and(_T_2318, _T_2319) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2321 = or(_T_2314, _T_2320) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2322 = and(_T_2301, _T_2321) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2323 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2324 = or(_T_2322, _T_2323) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2325 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2326 = and(_T_2325, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2327 = eq(buf_state[1], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2328 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2329 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2330 = and(_T_2328, _T_2329) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2331 = or(_T_2327, _T_2330) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2332 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2333 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2334 = and(_T_2332, _T_2333) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2335 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2336 = and(_T_2334, _T_2335) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2337 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2338 = and(_T_2336, _T_2337) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2339 = or(_T_2331, _T_2338) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2340 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2341 = and(_T_2340, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2342 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2343 = and(_T_2341, _T_2342) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2344 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2345 = and(_T_2343, _T_2344) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2346 = or(_T_2339, _T_2345) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2347 = and(_T_2326, _T_2346) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2348 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2349 = or(_T_2347, _T_2348) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2350 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2351 = and(_T_2350, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2352 = eq(buf_state[2], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2353 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2354 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2355 = and(_T_2353, _T_2354) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2356 = or(_T_2352, _T_2355) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2357 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2358 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2359 = and(_T_2357, _T_2358) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2360 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2361 = and(_T_2359, _T_2360) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2362 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2363 = and(_T_2361, _T_2362) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2364 = or(_T_2356, _T_2363) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2365 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2366 = and(_T_2365, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2367 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2368 = and(_T_2366, _T_2367) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2369 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2370 = and(_T_2368, _T_2369) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2371 = or(_T_2364, _T_2370) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2372 = and(_T_2351, _T_2371) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2373 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2374 = or(_T_2372, _T_2373) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2375 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 423:83] + node _T_2376 = and(_T_2375, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 423:94] + node _T_2377 = eq(buf_state[3], UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 424:20] + node _T_2378 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 424:47] + node _T_2379 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 424:59] + node _T_2380 = and(_T_2378, _T_2379) @[el2_lsu_bus_buffer.scala 424:57] + node _T_2381 = or(_T_2377, _T_2380) @[el2_lsu_bus_buffer.scala 424:31] + node _T_2382 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 425:23] + node _T_2383 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 425:53] + node _T_2384 = and(_T_2382, _T_2383) @[el2_lsu_bus_buffer.scala 425:41] + node _T_2385 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:83] + node _T_2386 = and(_T_2384, _T_2385) @[el2_lsu_bus_buffer.scala 425:71] + node _T_2387 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 425:104] + node _T_2388 = and(_T_2386, _T_2387) @[el2_lsu_bus_buffer.scala 425:92] + node _T_2389 = or(_T_2381, _T_2388) @[el2_lsu_bus_buffer.scala 424:86] + node _T_2390 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 426:17] + node _T_2391 = and(_T_2390, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 426:35] + node _T_2392 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:64] + node _T_2393 = and(_T_2391, _T_2392) @[el2_lsu_bus_buffer.scala 426:52] + node _T_2394 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 426:85] + node _T_2395 = and(_T_2393, _T_2394) @[el2_lsu_bus_buffer.scala 426:73] + node _T_2396 = or(_T_2389, _T_2395) @[el2_lsu_bus_buffer.scala 425:114] + node _T_2397 = and(_T_2376, _T_2396) @[el2_lsu_bus_buffer.scala 423:113] + node _T_2398 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 426:109] + node _T_2399 = or(_T_2397, _T_2398) @[el2_lsu_bus_buffer.scala 426:97] + node _T_2400 = cat(_T_2399, _T_2374) @[Cat.scala 29:58] + node _T_2401 = cat(_T_2400, _T_2349) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2401, _T_2324) @[Cat.scala 29:58] wire buf_ageQ : UInt<4>[4] @[el2_lsu_bus_buffer.scala 427:22] buf_ageQ[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:12] buf_ageQ[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:12] buf_ageQ[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:12] buf_ageQ[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 428:12] - node _T_2426 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2427 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2428 = and(_T_2427, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2429 = and(_T_2426, _T_2428) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2430 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2431 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2432 = and(_T_2431, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2433 = and(_T_2430, _T_2432) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2434 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2435 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2436 = and(_T_2435, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2437 = and(_T_2434, _T_2436) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2438 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2439 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2440 = and(_T_2439, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2441 = and(_T_2438, _T_2440) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2442 = cat(_T_2441, _T_2437) @[Cat.scala 29:58] - node _T_2443 = cat(_T_2442, _T_2433) @[Cat.scala 29:58] - node _T_2444 = cat(_T_2443, _T_2429) @[Cat.scala 29:58] - node _T_2445 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2446 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2447 = and(_T_2446, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2448 = and(_T_2445, _T_2447) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2449 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2450 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2451 = and(_T_2450, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2452 = and(_T_2449, _T_2451) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2453 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2454 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2455 = and(_T_2454, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2456 = and(_T_2453, _T_2455) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2457 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2458 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2459 = and(_T_2458, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2460 = and(_T_2457, _T_2459) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2461 = cat(_T_2460, _T_2456) @[Cat.scala 29:58] - node _T_2462 = cat(_T_2461, _T_2452) @[Cat.scala 29:58] - node _T_2463 = cat(_T_2462, _T_2448) @[Cat.scala 29:58] - node _T_2464 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2465 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2466 = and(_T_2465, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2467 = and(_T_2464, _T_2466) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2468 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2469 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2470 = and(_T_2469, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2471 = and(_T_2468, _T_2470) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2472 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2473 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2474 = and(_T_2473, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2475 = and(_T_2472, _T_2474) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2476 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2477 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2478 = and(_T_2477, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2479 = and(_T_2476, _T_2478) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2480 = cat(_T_2479, _T_2475) @[Cat.scala 29:58] - node _T_2481 = cat(_T_2480, _T_2471) @[Cat.scala 29:58] - node _T_2482 = cat(_T_2481, _T_2467) @[Cat.scala 29:58] - node _T_2483 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2484 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2485 = and(_T_2484, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2486 = and(_T_2483, _T_2485) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2487 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2488 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2489 = and(_T_2488, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2490 = and(_T_2487, _T_2489) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2491 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2492 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2493 = and(_T_2492, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2494 = and(_T_2491, _T_2493) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2495 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] - node _T_2496 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] - node _T_2497 = and(_T_2496, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] - node _T_2498 = and(_T_2495, _T_2497) @[el2_lsu_bus_buffer.scala 429:78] - node _T_2499 = cat(_T_2498, _T_2494) @[Cat.scala 29:58] - node _T_2500 = cat(_T_2499, _T_2490) @[Cat.scala 29:58] - node _T_2501 = cat(_T_2500, _T_2486) @[Cat.scala 29:58] - buf_age[0] <= _T_2444 @[el2_lsu_bus_buffer.scala 429:13] - buf_age[1] <= _T_2463 @[el2_lsu_bus_buffer.scala 429:13] - buf_age[2] <= _T_2482 @[el2_lsu_bus_buffer.scala 429:13] - buf_age[3] <= _T_2501 @[el2_lsu_bus_buffer.scala 429:13] - node _T_2502 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2503 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2504 = eq(_T_2503, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2505 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2506 = and(_T_2504, _T_2505) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2507 = mux(_T_2502, UInt<1>("h00"), _T_2506) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2508 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2509 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2510 = eq(_T_2509, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2511 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2512 = and(_T_2510, _T_2511) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2513 = mux(_T_2508, UInt<1>("h00"), _T_2512) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2514 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2515 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2516 = eq(_T_2515, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2517 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2518 = and(_T_2516, _T_2517) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2519 = mux(_T_2514, UInt<1>("h00"), _T_2518) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2520 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2521 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2522 = eq(_T_2521, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2523 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2524 = and(_T_2522, _T_2523) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2525 = mux(_T_2520, UInt<1>("h00"), _T_2524) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2526 = cat(_T_2525, _T_2519) @[Cat.scala 29:58] - node _T_2527 = cat(_T_2526, _T_2513) @[Cat.scala 29:58] - node _T_2528 = cat(_T_2527, _T_2507) @[Cat.scala 29:58] - node _T_2529 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2530 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2531 = eq(_T_2530, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2532 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2533 = and(_T_2531, _T_2532) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2534 = mux(_T_2529, UInt<1>("h00"), _T_2533) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2535 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2536 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2537 = eq(_T_2536, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2538 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2539 = and(_T_2537, _T_2538) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2540 = mux(_T_2535, UInt<1>("h00"), _T_2539) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2541 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2542 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2543 = eq(_T_2542, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2544 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2545 = and(_T_2543, _T_2544) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2546 = mux(_T_2541, UInt<1>("h00"), _T_2545) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2547 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2548 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2549 = eq(_T_2548, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2550 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2551 = and(_T_2549, _T_2550) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2552 = mux(_T_2547, UInt<1>("h00"), _T_2551) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2553 = cat(_T_2552, _T_2546) @[Cat.scala 29:58] - node _T_2554 = cat(_T_2553, _T_2540) @[Cat.scala 29:58] - node _T_2555 = cat(_T_2554, _T_2534) @[Cat.scala 29:58] - node _T_2556 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2557 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2558 = eq(_T_2557, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2559 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2560 = and(_T_2558, _T_2559) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2561 = mux(_T_2556, UInt<1>("h00"), _T_2560) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2562 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2563 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2564 = eq(_T_2563, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2565 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2566 = and(_T_2564, _T_2565) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2567 = mux(_T_2562, UInt<1>("h00"), _T_2566) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2568 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2569 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2570 = eq(_T_2569, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2571 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2572 = and(_T_2570, _T_2571) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2573 = mux(_T_2568, UInt<1>("h00"), _T_2572) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2574 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2575 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2576 = eq(_T_2575, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2577 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2578 = and(_T_2576, _T_2577) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2579 = mux(_T_2574, UInt<1>("h00"), _T_2578) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2580 = cat(_T_2579, _T_2573) @[Cat.scala 29:58] - node _T_2581 = cat(_T_2580, _T_2567) @[Cat.scala 29:58] - node _T_2582 = cat(_T_2581, _T_2561) @[Cat.scala 29:58] - node _T_2583 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2584 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2586 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2587 = and(_T_2585, _T_2586) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2588 = mux(_T_2583, UInt<1>("h00"), _T_2587) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2589 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2590 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2591 = eq(_T_2590, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2592 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2593 = and(_T_2591, _T_2592) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2594 = mux(_T_2589, UInt<1>("h00"), _T_2593) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2595 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2596 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2597 = eq(_T_2596, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2598 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2599 = and(_T_2597, _T_2598) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2600 = mux(_T_2595, UInt<1>("h00"), _T_2599) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2601 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] - node _T_2602 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] - node _T_2603 = eq(_T_2602, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] - node _T_2604 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] - node _T_2605 = and(_T_2603, _T_2604) @[el2_lsu_bus_buffer.scala 430:106] - node _T_2606 = mux(_T_2601, UInt<1>("h00"), _T_2605) @[el2_lsu_bus_buffer.scala 430:74] - node _T_2607 = cat(_T_2606, _T_2600) @[Cat.scala 29:58] - node _T_2608 = cat(_T_2607, _T_2594) @[Cat.scala 29:58] - node _T_2609 = cat(_T_2608, _T_2588) @[Cat.scala 29:58] - buf_age_younger[0] <= _T_2528 @[el2_lsu_bus_buffer.scala 430:21] - buf_age_younger[1] <= _T_2555 @[el2_lsu_bus_buffer.scala 430:21] - buf_age_younger[2] <= _T_2582 @[el2_lsu_bus_buffer.scala 430:21] - buf_age_younger[3] <= _T_2609 @[el2_lsu_bus_buffer.scala 430:21] - node _T_2610 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2611 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2402 = bits(buf_ageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2403 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2404 = and(_T_2403, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2405 = and(_T_2402, _T_2404) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2406 = bits(buf_ageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2407 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2408 = and(_T_2407, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2409 = and(_T_2406, _T_2408) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2410 = bits(buf_ageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2411 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2412 = and(_T_2411, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2413 = and(_T_2410, _T_2412) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2414 = bits(buf_ageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2415 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2416 = and(_T_2415, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2417 = and(_T_2414, _T_2416) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2418 = cat(_T_2417, _T_2413) @[Cat.scala 29:58] + node _T_2419 = cat(_T_2418, _T_2409) @[Cat.scala 29:58] + node _T_2420 = cat(_T_2419, _T_2405) @[Cat.scala 29:58] + node _T_2421 = bits(buf_ageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2422 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2423 = and(_T_2422, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2424 = and(_T_2421, _T_2423) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2425 = bits(buf_ageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2426 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2427 = and(_T_2426, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2428 = and(_T_2425, _T_2427) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2429 = bits(buf_ageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2430 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2431 = and(_T_2430, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2432 = and(_T_2429, _T_2431) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2433 = bits(buf_ageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2434 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2435 = and(_T_2434, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2436 = and(_T_2433, _T_2435) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2437 = cat(_T_2436, _T_2432) @[Cat.scala 29:58] + node _T_2438 = cat(_T_2437, _T_2428) @[Cat.scala 29:58] + node _T_2439 = cat(_T_2438, _T_2424) @[Cat.scala 29:58] + node _T_2440 = bits(buf_ageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2441 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2442 = and(_T_2441, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2443 = and(_T_2440, _T_2442) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2444 = bits(buf_ageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2445 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2446 = and(_T_2445, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2447 = and(_T_2444, _T_2446) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2448 = bits(buf_ageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2449 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2450 = and(_T_2449, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2451 = and(_T_2448, _T_2450) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2452 = bits(buf_ageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2453 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2454 = and(_T_2453, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2455 = and(_T_2452, _T_2454) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2456 = cat(_T_2455, _T_2451) @[Cat.scala 29:58] + node _T_2457 = cat(_T_2456, _T_2447) @[Cat.scala 29:58] + node _T_2458 = cat(_T_2457, _T_2443) @[Cat.scala 29:58] + node _T_2459 = bits(buf_ageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2460 = eq(buf_state[0], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2461 = and(_T_2460, buf_cmd_state_bus_en[0]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2462 = and(_T_2459, _T_2461) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2463 = bits(buf_ageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2464 = eq(buf_state[1], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2465 = and(_T_2464, buf_cmd_state_bus_en[1]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2466 = and(_T_2463, _T_2465) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2467 = bits(buf_ageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2468 = eq(buf_state[2], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2469 = and(_T_2468, buf_cmd_state_bus_en[2]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2470 = and(_T_2467, _T_2469) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2471 = bits(buf_ageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 429:74] + node _T_2472 = eq(buf_state[3], UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 429:94] + node _T_2473 = and(_T_2472, buf_cmd_state_bus_en[3]) @[el2_lsu_bus_buffer.scala 429:104] + node _T_2474 = and(_T_2471, _T_2473) @[el2_lsu_bus_buffer.scala 429:78] + node _T_2475 = cat(_T_2474, _T_2470) @[Cat.scala 29:58] + node _T_2476 = cat(_T_2475, _T_2466) @[Cat.scala 29:58] + node _T_2477 = cat(_T_2476, _T_2462) @[Cat.scala 29:58] + buf_age[0] <= _T_2420 @[el2_lsu_bus_buffer.scala 429:13] + buf_age[1] <= _T_2439 @[el2_lsu_bus_buffer.scala 429:13] + buf_age[2] <= _T_2458 @[el2_lsu_bus_buffer.scala 429:13] + buf_age[3] <= _T_2477 @[el2_lsu_bus_buffer.scala 429:13] + node _T_2478 = eq(UInt<1>("h00"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2479 = bits(buf_age[0], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2480 = eq(_T_2479, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2481 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2482 = and(_T_2480, _T_2481) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2483 = mux(_T_2478, UInt<1>("h00"), _T_2482) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2484 = eq(UInt<1>("h00"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2485 = bits(buf_age[0], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2486 = eq(_T_2485, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2487 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2488 = and(_T_2486, _T_2487) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2489 = mux(_T_2484, UInt<1>("h00"), _T_2488) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2490 = eq(UInt<1>("h00"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2491 = bits(buf_age[0], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2492 = eq(_T_2491, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2493 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2494 = and(_T_2492, _T_2493) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2495 = mux(_T_2490, UInt<1>("h00"), _T_2494) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2496 = eq(UInt<1>("h00"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2497 = bits(buf_age[0], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2498 = eq(_T_2497, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2499 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2500 = and(_T_2498, _T_2499) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2501 = mux(_T_2496, UInt<1>("h00"), _T_2500) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2502 = cat(_T_2501, _T_2495) @[Cat.scala 29:58] + node _T_2503 = cat(_T_2502, _T_2489) @[Cat.scala 29:58] + node _T_2504 = cat(_T_2503, _T_2483) @[Cat.scala 29:58] + node _T_2505 = eq(UInt<1>("h01"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2506 = bits(buf_age[1], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2507 = eq(_T_2506, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2508 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2509 = and(_T_2507, _T_2508) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2510 = mux(_T_2505, UInt<1>("h00"), _T_2509) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2511 = eq(UInt<1>("h01"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2512 = bits(buf_age[1], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2513 = eq(_T_2512, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2514 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2515 = and(_T_2513, _T_2514) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2516 = mux(_T_2511, UInt<1>("h00"), _T_2515) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2517 = eq(UInt<1>("h01"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2518 = bits(buf_age[1], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2519 = eq(_T_2518, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2520 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2521 = and(_T_2519, _T_2520) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2522 = mux(_T_2517, UInt<1>("h00"), _T_2521) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2523 = eq(UInt<1>("h01"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2524 = bits(buf_age[1], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2525 = eq(_T_2524, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2526 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2527 = and(_T_2525, _T_2526) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2528 = mux(_T_2523, UInt<1>("h00"), _T_2527) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2529 = cat(_T_2528, _T_2522) @[Cat.scala 29:58] + node _T_2530 = cat(_T_2529, _T_2516) @[Cat.scala 29:58] + node _T_2531 = cat(_T_2530, _T_2510) @[Cat.scala 29:58] + node _T_2532 = eq(UInt<2>("h02"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2533 = bits(buf_age[2], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2534 = eq(_T_2533, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2535 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2536 = and(_T_2534, _T_2535) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2537 = mux(_T_2532, UInt<1>("h00"), _T_2536) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2538 = eq(UInt<2>("h02"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2539 = bits(buf_age[2], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2540 = eq(_T_2539, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2541 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2542 = and(_T_2540, _T_2541) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2543 = mux(_T_2538, UInt<1>("h00"), _T_2542) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2544 = eq(UInt<2>("h02"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2545 = bits(buf_age[2], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2546 = eq(_T_2545, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2547 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2548 = and(_T_2546, _T_2547) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2549 = mux(_T_2544, UInt<1>("h00"), _T_2548) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2550 = eq(UInt<2>("h02"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2551 = bits(buf_age[2], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2552 = eq(_T_2551, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2553 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2554 = and(_T_2552, _T_2553) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2555 = mux(_T_2550, UInt<1>("h00"), _T_2554) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2556 = cat(_T_2555, _T_2549) @[Cat.scala 29:58] + node _T_2557 = cat(_T_2556, _T_2543) @[Cat.scala 29:58] + node _T_2558 = cat(_T_2557, _T_2537) @[Cat.scala 29:58] + node _T_2559 = eq(UInt<2>("h03"), UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2560 = bits(buf_age[3], 0, 0) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2561 = eq(_T_2560, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2562 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2563 = and(_T_2561, _T_2562) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2564 = mux(_T_2559, UInt<1>("h00"), _T_2563) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2565 = eq(UInt<2>("h03"), UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2566 = bits(buf_age[3], 1, 1) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2567 = eq(_T_2566, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2568 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2569 = and(_T_2567, _T_2568) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2570 = mux(_T_2565, UInt<1>("h00"), _T_2569) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2571 = eq(UInt<2>("h03"), UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2572 = bits(buf_age[3], 2, 2) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2573 = eq(_T_2572, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2574 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2575 = and(_T_2573, _T_2574) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2576 = mux(_T_2571, UInt<1>("h00"), _T_2575) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2577 = eq(UInt<2>("h03"), UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 430:78] + node _T_2578 = bits(buf_age[3], 3, 3) @[el2_lsu_bus_buffer.scala 430:102] + node _T_2579 = eq(_T_2578, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 430:91] + node _T_2580 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 430:121] + node _T_2581 = and(_T_2579, _T_2580) @[el2_lsu_bus_buffer.scala 430:106] + node _T_2582 = mux(_T_2577, UInt<1>("h00"), _T_2581) @[el2_lsu_bus_buffer.scala 430:74] + node _T_2583 = cat(_T_2582, _T_2576) @[Cat.scala 29:58] + node _T_2584 = cat(_T_2583, _T_2570) @[Cat.scala 29:58] + node _T_2585 = cat(_T_2584, _T_2564) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2504 @[el2_lsu_bus_buffer.scala 430:21] + buf_age_younger[1] <= _T_2531 @[el2_lsu_bus_buffer.scala 430:21] + buf_age_younger[2] <= _T_2558 @[el2_lsu_bus_buffer.scala 430:21] + buf_age_younger[3] <= _T_2585 @[el2_lsu_bus_buffer.scala 430:21] + node _T_2586 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2587 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2588 = and(_T_2586, _T_2587) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2589 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2590 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2591 = and(_T_2589, _T_2590) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2592 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2593 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2594 = and(_T_2592, _T_2593) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2595 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2596 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2597 = and(_T_2595, _T_2596) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2598 = cat(_T_2597, _T_2594) @[Cat.scala 29:58] + node _T_2599 = cat(_T_2598, _T_2591) @[Cat.scala 29:58] + node _T_2600 = cat(_T_2599, _T_2588) @[Cat.scala 29:58] + node _T_2601 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2602 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2603 = and(_T_2601, _T_2602) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2604 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2605 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2606 = and(_T_2604, _T_2605) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2607 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2608 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2609 = and(_T_2607, _T_2608) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2610 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2611 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] node _T_2612 = and(_T_2610, _T_2611) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2613 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2614 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2615 = and(_T_2613, _T_2614) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2616 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2617 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2613 = cat(_T_2612, _T_2609) @[Cat.scala 29:58] + node _T_2614 = cat(_T_2613, _T_2606) @[Cat.scala 29:58] + node _T_2615 = cat(_T_2614, _T_2603) @[Cat.scala 29:58] + node _T_2616 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2617 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] node _T_2618 = and(_T_2616, _T_2617) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2619 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2620 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2619 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2620 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] node _T_2621 = and(_T_2619, _T_2620) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2622 = cat(_T_2621, _T_2618) @[Cat.scala 29:58] - node _T_2623 = cat(_T_2622, _T_2615) @[Cat.scala 29:58] - node _T_2624 = cat(_T_2623, _T_2612) @[Cat.scala 29:58] - node _T_2625 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2626 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2622 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2623 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2624 = and(_T_2622, _T_2623) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2625 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2626 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] node _T_2627 = and(_T_2625, _T_2626) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2628 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2629 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2630 = and(_T_2628, _T_2629) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2631 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2632 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2628 = cat(_T_2627, _T_2624) @[Cat.scala 29:58] + node _T_2629 = cat(_T_2628, _T_2621) @[Cat.scala 29:58] + node _T_2630 = cat(_T_2629, _T_2618) @[Cat.scala 29:58] + node _T_2631 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2632 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] node _T_2633 = and(_T_2631, _T_2632) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2634 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2635 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2634 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2635 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] node _T_2636 = and(_T_2634, _T_2635) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2637 = cat(_T_2636, _T_2633) @[Cat.scala 29:58] - node _T_2638 = cat(_T_2637, _T_2630) @[Cat.scala 29:58] - node _T_2639 = cat(_T_2638, _T_2627) @[Cat.scala 29:58] - node _T_2640 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2641 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2637 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2638 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] + node _T_2639 = and(_T_2637, _T_2638) @[el2_lsu_bus_buffer.scala 431:89] + node _T_2640 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] + node _T_2641 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] node _T_2642 = and(_T_2640, _T_2641) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2643 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2644 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2645 = and(_T_2643, _T_2644) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2646 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2647 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2648 = and(_T_2646, _T_2647) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2649 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2650 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2651 = and(_T_2649, _T_2650) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2652 = cat(_T_2651, _T_2648) @[Cat.scala 29:58] - node _T_2653 = cat(_T_2652, _T_2645) @[Cat.scala 29:58] - node _T_2654 = cat(_T_2653, _T_2642) @[Cat.scala 29:58] - node _T_2655 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2656 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2657 = and(_T_2655, _T_2656) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2658 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2659 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2660 = and(_T_2658, _T_2659) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2661 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2662 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2663 = and(_T_2661, _T_2662) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2664 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 431:85] - node _T_2665 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 431:104] - node _T_2666 = and(_T_2664, _T_2665) @[el2_lsu_bus_buffer.scala 431:89] - node _T_2667 = cat(_T_2666, _T_2663) @[Cat.scala 29:58] - node _T_2668 = cat(_T_2667, _T_2660) @[Cat.scala 29:58] - node _T_2669 = cat(_T_2668, _T_2657) @[Cat.scala 29:58] - buf_rsp_pickage[0] <= _T_2624 @[el2_lsu_bus_buffer.scala 431:21] - buf_rsp_pickage[1] <= _T_2639 @[el2_lsu_bus_buffer.scala 431:21] - buf_rsp_pickage[2] <= _T_2654 @[el2_lsu_bus_buffer.scala 431:21] - buf_rsp_pickage[3] <= _T_2669 @[el2_lsu_bus_buffer.scala 431:21] - node _T_2670 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2671 = and(_T_2670, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2672 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2673 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2674 = or(_T_2672, _T_2673) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2676 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2677 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2678 = and(_T_2676, _T_2677) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2679 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2681 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2682 = and(_T_2680, _T_2681) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2683 = or(_T_2675, _T_2682) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2684 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2685 = and(_T_2684, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2686 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2687 = and(_T_2685, _T_2686) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2688 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2689 = and(_T_2687, _T_2688) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2690 = or(_T_2683, _T_2689) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2691 = and(_T_2671, _T_2690) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2692 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2693 = and(_T_2692, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2694 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2695 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2696 = or(_T_2694, _T_2695) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2697 = eq(_T_2696, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2698 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2699 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2700 = and(_T_2698, _T_2699) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2701 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2702 = and(_T_2700, _T_2701) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2703 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2704 = and(_T_2702, _T_2703) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2705 = or(_T_2697, _T_2704) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2706 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2707 = and(_T_2706, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2708 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2709 = and(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2710 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2711 = and(_T_2709, _T_2710) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2712 = or(_T_2705, _T_2711) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2713 = and(_T_2693, _T_2712) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2714 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2715 = and(_T_2714, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2716 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2717 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2718 = or(_T_2716, _T_2717) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2719 = eq(_T_2718, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2720 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2721 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2723 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2725 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2726 = and(_T_2724, _T_2725) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2727 = or(_T_2719, _T_2726) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2728 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2729 = and(_T_2728, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2730 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2731 = and(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2732 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2733 = and(_T_2731, _T_2732) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2734 = or(_T_2727, _T_2733) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2735 = and(_T_2715, _T_2734) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2736 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2737 = and(_T_2736, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2738 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2739 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2740 = or(_T_2738, _T_2739) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2741 = eq(_T_2740, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2742 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2743 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2744 = and(_T_2742, _T_2743) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2745 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2746 = and(_T_2744, _T_2745) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2747 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2748 = and(_T_2746, _T_2747) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2749 = or(_T_2741, _T_2748) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2750 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2751 = and(_T_2750, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2752 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2753 = and(_T_2751, _T_2752) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2754 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2755 = and(_T_2753, _T_2754) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2756 = or(_T_2749, _T_2755) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2757 = and(_T_2737, _T_2756) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2758 = cat(_T_2757, _T_2735) @[Cat.scala 29:58] - node _T_2759 = cat(_T_2758, _T_2713) @[Cat.scala 29:58] - node _T_2760 = cat(_T_2759, _T_2691) @[Cat.scala 29:58] - node _T_2761 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2762 = and(_T_2761, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2763 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2764 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2765 = or(_T_2763, _T_2764) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2766 = eq(_T_2765, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2767 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2768 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2769 = and(_T_2767, _T_2768) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2770 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2772 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2773 = and(_T_2771, _T_2772) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2774 = or(_T_2766, _T_2773) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2775 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2776 = and(_T_2775, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2777 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2778 = and(_T_2776, _T_2777) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2779 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2780 = and(_T_2778, _T_2779) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2781 = or(_T_2774, _T_2780) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2782 = and(_T_2762, _T_2781) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2783 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2784 = and(_T_2783, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2785 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2786 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2787 = or(_T_2785, _T_2786) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2788 = eq(_T_2787, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2789 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2790 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2791 = and(_T_2789, _T_2790) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2792 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2793 = and(_T_2791, _T_2792) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2794 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2795 = and(_T_2793, _T_2794) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2796 = or(_T_2788, _T_2795) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2797 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2798 = and(_T_2797, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2799 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2800 = and(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2801 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2802 = and(_T_2800, _T_2801) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2803 = or(_T_2796, _T_2802) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2804 = and(_T_2784, _T_2803) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2805 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2806 = and(_T_2805, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2807 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2808 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2809 = or(_T_2807, _T_2808) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2810 = eq(_T_2809, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2811 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2812 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2814 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2816 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2817 = and(_T_2815, _T_2816) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2818 = or(_T_2810, _T_2817) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2819 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2820 = and(_T_2819, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2821 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2822 = and(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2823 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2824 = and(_T_2822, _T_2823) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2825 = or(_T_2818, _T_2824) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2826 = and(_T_2806, _T_2825) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2827 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2828 = and(_T_2827, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2829 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2830 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2831 = or(_T_2829, _T_2830) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2832 = eq(_T_2831, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2833 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2834 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2835 = and(_T_2833, _T_2834) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2837 = and(_T_2835, _T_2836) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2838 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2839 = and(_T_2837, _T_2838) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2840 = or(_T_2832, _T_2839) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2841 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2842 = and(_T_2841, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2843 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2844 = and(_T_2842, _T_2843) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2845 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2846 = and(_T_2844, _T_2845) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2847 = or(_T_2840, _T_2846) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2848 = and(_T_2828, _T_2847) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2849 = cat(_T_2848, _T_2826) @[Cat.scala 29:58] - node _T_2850 = cat(_T_2849, _T_2804) @[Cat.scala 29:58] - node _T_2851 = cat(_T_2850, _T_2782) @[Cat.scala 29:58] - node _T_2852 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2853 = and(_T_2852, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2854 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2855 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2856 = or(_T_2854, _T_2855) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2857 = eq(_T_2856, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2858 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2859 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2861 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2862 = and(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2863 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2864 = and(_T_2862, _T_2863) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2865 = or(_T_2857, _T_2864) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2866 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2867 = and(_T_2866, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2868 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2870 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2871 = and(_T_2869, _T_2870) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2872 = or(_T_2865, _T_2871) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2873 = and(_T_2853, _T_2872) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2874 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2875 = and(_T_2874, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2876 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2877 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2878 = or(_T_2876, _T_2877) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2879 = eq(_T_2878, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2880 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2881 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2882 = and(_T_2880, _T_2881) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2883 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2884 = and(_T_2882, _T_2883) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2885 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2886 = and(_T_2884, _T_2885) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2887 = or(_T_2879, _T_2886) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2888 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2889 = and(_T_2888, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2890 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2892 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2893 = and(_T_2891, _T_2892) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2894 = or(_T_2887, _T_2893) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2895 = and(_T_2875, _T_2894) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2896 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2897 = and(_T_2896, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2898 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2899 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2900 = or(_T_2898, _T_2899) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2901 = eq(_T_2900, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2902 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2903 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2905 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2907 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2908 = and(_T_2906, _T_2907) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2909 = or(_T_2901, _T_2908) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2910 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2911 = and(_T_2910, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2912 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2913 = and(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2914 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2915 = and(_T_2913, _T_2914) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2916 = or(_T_2909, _T_2915) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2917 = and(_T_2897, _T_2916) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2918 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2919 = and(_T_2918, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2920 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2921 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2922 = or(_T_2920, _T_2921) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2923 = eq(_T_2922, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2924 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2925 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2926 = and(_T_2924, _T_2925) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2927 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2928 = and(_T_2926, _T_2927) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2929 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2930 = and(_T_2928, _T_2929) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2931 = or(_T_2923, _T_2930) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2932 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2933 = and(_T_2932, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2934 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2935 = and(_T_2933, _T_2934) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2936 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2937 = and(_T_2935, _T_2936) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2938 = or(_T_2931, _T_2937) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2939 = and(_T_2919, _T_2938) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2940 = cat(_T_2939, _T_2917) @[Cat.scala 29:58] - node _T_2941 = cat(_T_2940, _T_2895) @[Cat.scala 29:58] - node _T_2942 = cat(_T_2941, _T_2873) @[Cat.scala 29:58] - node _T_2943 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2944 = and(_T_2943, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2945 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2946 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2947 = or(_T_2945, _T_2946) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2948 = eq(_T_2947, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2949 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2950 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2952 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2953 = and(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2954 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2955 = and(_T_2953, _T_2954) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2956 = or(_T_2948, _T_2955) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2957 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2958 = and(_T_2957, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2959 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2961 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2962 = and(_T_2960, _T_2961) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2963 = or(_T_2956, _T_2962) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2964 = and(_T_2944, _T_2963) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2965 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2966 = and(_T_2965, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2967 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2968 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2969 = or(_T_2967, _T_2968) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2970 = eq(_T_2969, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2971 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2972 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2973 = and(_T_2971, _T_2972) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2974 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2975 = and(_T_2973, _T_2974) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2976 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2977 = and(_T_2975, _T_2976) @[el2_lsu_bus_buffer.scala 435:92] - node _T_2978 = or(_T_2970, _T_2977) @[el2_lsu_bus_buffer.scala 434:61] - node _T_2979 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_2980 = and(_T_2979, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_2981 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 436:54] - node _T_2983 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_2984 = and(_T_2982, _T_2983) @[el2_lsu_bus_buffer.scala 436:73] - node _T_2985 = or(_T_2978, _T_2984) @[el2_lsu_bus_buffer.scala 435:112] - node _T_2986 = and(_T_2966, _T_2985) @[el2_lsu_bus_buffer.scala 433:114] - node _T_2987 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_2988 = and(_T_2987, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_2989 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_2990 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_2991 = or(_T_2989, _T_2990) @[el2_lsu_bus_buffer.scala 434:34] - node _T_2992 = eq(_T_2991, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_2993 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_2994 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 435:43] - node _T_2996 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 435:73] - node _T_2998 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_2999 = and(_T_2997, _T_2998) @[el2_lsu_bus_buffer.scala 435:92] - node _T_3000 = or(_T_2992, _T_2999) @[el2_lsu_bus_buffer.scala 434:61] - node _T_3001 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_3002 = and(_T_3001, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_3003 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_3004 = and(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 436:54] - node _T_3005 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_3006 = and(_T_3004, _T_3005) @[el2_lsu_bus_buffer.scala 436:73] - node _T_3007 = or(_T_3000, _T_3006) @[el2_lsu_bus_buffer.scala 435:112] - node _T_3008 = and(_T_2988, _T_3007) @[el2_lsu_bus_buffer.scala 433:114] - node _T_3009 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] - node _T_3010 = and(_T_3009, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] - node _T_3011 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] - node _T_3012 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] - node _T_3013 = or(_T_3011, _T_3012) @[el2_lsu_bus_buffer.scala 434:34] - node _T_3014 = eq(_T_3013, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] - node _T_3015 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] - node _T_3016 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] - node _T_3017 = and(_T_3015, _T_3016) @[el2_lsu_bus_buffer.scala 435:43] - node _T_3018 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] - node _T_3019 = and(_T_3017, _T_3018) @[el2_lsu_bus_buffer.scala 435:73] - node _T_3020 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] - node _T_3021 = and(_T_3019, _T_3020) @[el2_lsu_bus_buffer.scala 435:92] - node _T_3022 = or(_T_3014, _T_3021) @[el2_lsu_bus_buffer.scala 434:61] - node _T_3023 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] - node _T_3024 = and(_T_3023, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] - node _T_3025 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] - node _T_3026 = and(_T_3024, _T_3025) @[el2_lsu_bus_buffer.scala 436:54] - node _T_3027 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] - node _T_3028 = and(_T_3026, _T_3027) @[el2_lsu_bus_buffer.scala 436:73] - node _T_3029 = or(_T_3022, _T_3028) @[el2_lsu_bus_buffer.scala 435:112] - node _T_3030 = and(_T_3010, _T_3029) @[el2_lsu_bus_buffer.scala 433:114] - node _T_3031 = cat(_T_3030, _T_3008) @[Cat.scala 29:58] - node _T_3032 = cat(_T_3031, _T_2986) @[Cat.scala 29:58] - node _T_3033 = cat(_T_3032, _T_2964) @[Cat.scala 29:58] - buf_rspage_set[0] <= _T_2760 @[el2_lsu_bus_buffer.scala 433:20] - buf_rspage_set[1] <= _T_2851 @[el2_lsu_bus_buffer.scala 433:20] - buf_rspage_set[2] <= _T_2942 @[el2_lsu_bus_buffer.scala 433:20] - buf_rspage_set[3] <= _T_3033 @[el2_lsu_bus_buffer.scala 433:20] - node _T_3034 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3035 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] + node _T_2643 = cat(_T_2642, _T_2639) @[Cat.scala 29:58] + node _T_2644 = cat(_T_2643, _T_2636) @[Cat.scala 29:58] + node _T_2645 = cat(_T_2644, _T_2633) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2600 @[el2_lsu_bus_buffer.scala 431:21] + buf_rsp_pickage[1] <= _T_2615 @[el2_lsu_bus_buffer.scala 431:21] + buf_rsp_pickage[2] <= _T_2630 @[el2_lsu_bus_buffer.scala 431:21] + buf_rsp_pickage[3] <= _T_2645 @[el2_lsu_bus_buffer.scala 431:21] + node _T_2646 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2647 = and(_T_2646, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2648 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2649 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2650 = or(_T_2648, _T_2649) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2651 = eq(_T_2650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2652 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2653 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2654 = and(_T_2652, _T_2653) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2655 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2656 = and(_T_2654, _T_2655) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2657 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2658 = and(_T_2656, _T_2657) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2659 = or(_T_2651, _T_2658) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2660 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2661 = and(_T_2660, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2662 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2663 = and(_T_2661, _T_2662) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2664 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2665 = and(_T_2663, _T_2664) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2666 = or(_T_2659, _T_2665) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2667 = and(_T_2647, _T_2666) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2668 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2669 = and(_T_2668, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2670 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2671 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2672 = or(_T_2670, _T_2671) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2673 = eq(_T_2672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2674 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2675 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2676 = and(_T_2674, _T_2675) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2677 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2678 = and(_T_2676, _T_2677) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2679 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2680 = and(_T_2678, _T_2679) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2681 = or(_T_2673, _T_2680) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2682 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2683 = and(_T_2682, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2684 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2685 = and(_T_2683, _T_2684) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2686 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2687 = and(_T_2685, _T_2686) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2688 = or(_T_2681, _T_2687) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2689 = and(_T_2669, _T_2688) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2690 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2691 = and(_T_2690, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2692 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2693 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2694 = or(_T_2692, _T_2693) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2695 = eq(_T_2694, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2696 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2697 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2698 = and(_T_2696, _T_2697) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2699 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2700 = and(_T_2698, _T_2699) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2701 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2702 = and(_T_2700, _T_2701) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2703 = or(_T_2695, _T_2702) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2704 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2705 = and(_T_2704, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2706 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2707 = and(_T_2705, _T_2706) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2708 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2709 = and(_T_2707, _T_2708) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2710 = or(_T_2703, _T_2709) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2711 = and(_T_2691, _T_2710) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2712 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2713 = and(_T_2712, buf_state_en[0]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2714 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2715 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2716 = or(_T_2714, _T_2715) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2718 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2719 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2720 = and(_T_2718, _T_2719) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2721 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2722 = and(_T_2720, _T_2721) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2723 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2724 = and(_T_2722, _T_2723) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2725 = or(_T_2717, _T_2724) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2726 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2727 = and(_T_2726, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2728 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2729 = and(_T_2727, _T_2728) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2730 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2731 = and(_T_2729, _T_2730) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2732 = or(_T_2725, _T_2731) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2733 = and(_T_2713, _T_2732) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2734 = cat(_T_2733, _T_2711) @[Cat.scala 29:58] + node _T_2735 = cat(_T_2734, _T_2689) @[Cat.scala 29:58] + node _T_2736 = cat(_T_2735, _T_2667) @[Cat.scala 29:58] + node _T_2737 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2738 = and(_T_2737, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2739 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2740 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2741 = or(_T_2739, _T_2740) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2742 = eq(_T_2741, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2743 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2744 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2745 = and(_T_2743, _T_2744) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2746 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2747 = and(_T_2745, _T_2746) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2748 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2749 = and(_T_2747, _T_2748) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2750 = or(_T_2742, _T_2749) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2751 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2752 = and(_T_2751, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2753 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2754 = and(_T_2752, _T_2753) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2755 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2756 = and(_T_2754, _T_2755) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2757 = or(_T_2750, _T_2756) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2758 = and(_T_2738, _T_2757) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2759 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2760 = and(_T_2759, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2761 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2762 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2763 = or(_T_2761, _T_2762) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2764 = eq(_T_2763, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2765 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2766 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2767 = and(_T_2765, _T_2766) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2768 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2769 = and(_T_2767, _T_2768) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2770 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2771 = and(_T_2769, _T_2770) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2772 = or(_T_2764, _T_2771) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2773 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2774 = and(_T_2773, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2775 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2776 = and(_T_2774, _T_2775) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2777 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2778 = and(_T_2776, _T_2777) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2779 = or(_T_2772, _T_2778) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2780 = and(_T_2760, _T_2779) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2781 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2782 = and(_T_2781, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2783 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2784 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2785 = or(_T_2783, _T_2784) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2786 = eq(_T_2785, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2787 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2788 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2789 = and(_T_2787, _T_2788) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2790 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2791 = and(_T_2789, _T_2790) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2792 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2793 = and(_T_2791, _T_2792) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2794 = or(_T_2786, _T_2793) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2795 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2796 = and(_T_2795, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2797 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2798 = and(_T_2796, _T_2797) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2799 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2800 = and(_T_2798, _T_2799) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2801 = or(_T_2794, _T_2800) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2802 = and(_T_2782, _T_2801) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2803 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2804 = and(_T_2803, buf_state_en[1]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2805 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2806 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2807 = or(_T_2805, _T_2806) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2808 = eq(_T_2807, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2809 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2810 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2811 = and(_T_2809, _T_2810) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2812 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2813 = and(_T_2811, _T_2812) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2814 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2815 = and(_T_2813, _T_2814) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2816 = or(_T_2808, _T_2815) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2817 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2818 = and(_T_2817, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2819 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2820 = and(_T_2818, _T_2819) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2821 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2822 = and(_T_2820, _T_2821) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2823 = or(_T_2816, _T_2822) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2824 = and(_T_2804, _T_2823) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2825 = cat(_T_2824, _T_2802) @[Cat.scala 29:58] + node _T_2826 = cat(_T_2825, _T_2780) @[Cat.scala 29:58] + node _T_2827 = cat(_T_2826, _T_2758) @[Cat.scala 29:58] + node _T_2828 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2829 = and(_T_2828, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2830 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2831 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2832 = or(_T_2830, _T_2831) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2833 = eq(_T_2832, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2834 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2835 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2836 = and(_T_2834, _T_2835) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2837 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2838 = and(_T_2836, _T_2837) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2839 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2840 = and(_T_2838, _T_2839) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2841 = or(_T_2833, _T_2840) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2842 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2843 = and(_T_2842, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2844 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2845 = and(_T_2843, _T_2844) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2846 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2847 = and(_T_2845, _T_2846) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2848 = or(_T_2841, _T_2847) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2849 = and(_T_2829, _T_2848) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2850 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2851 = and(_T_2850, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2852 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2853 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2854 = or(_T_2852, _T_2853) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2855 = eq(_T_2854, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2856 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2857 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2858 = and(_T_2856, _T_2857) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2859 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2860 = and(_T_2858, _T_2859) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2861 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2862 = and(_T_2860, _T_2861) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2863 = or(_T_2855, _T_2862) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2864 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2865 = and(_T_2864, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2866 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2867 = and(_T_2865, _T_2866) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2868 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2869 = and(_T_2867, _T_2868) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2870 = or(_T_2863, _T_2869) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2871 = and(_T_2851, _T_2870) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2872 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2873 = and(_T_2872, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2874 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2875 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2876 = or(_T_2874, _T_2875) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2877 = eq(_T_2876, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2878 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2879 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2880 = and(_T_2878, _T_2879) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2881 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2882 = and(_T_2880, _T_2881) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2883 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2884 = and(_T_2882, _T_2883) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2885 = or(_T_2877, _T_2884) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2886 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2887 = and(_T_2886, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2888 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2889 = and(_T_2887, _T_2888) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2890 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2891 = and(_T_2889, _T_2890) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2892 = or(_T_2885, _T_2891) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2893 = and(_T_2873, _T_2892) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2894 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2895 = and(_T_2894, buf_state_en[2]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2896 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2897 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2898 = or(_T_2896, _T_2897) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2899 = eq(_T_2898, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2900 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2901 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2902 = and(_T_2900, _T_2901) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2903 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2904 = and(_T_2902, _T_2903) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2905 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2906 = and(_T_2904, _T_2905) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2907 = or(_T_2899, _T_2906) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2908 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2909 = and(_T_2908, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2910 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2911 = and(_T_2909, _T_2910) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2912 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2913 = and(_T_2911, _T_2912) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2914 = or(_T_2907, _T_2913) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2915 = and(_T_2895, _T_2914) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2916 = cat(_T_2915, _T_2893) @[Cat.scala 29:58] + node _T_2917 = cat(_T_2916, _T_2871) @[Cat.scala 29:58] + node _T_2918 = cat(_T_2917, _T_2849) @[Cat.scala 29:58] + node _T_2919 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2920 = and(_T_2919, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2921 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2922 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2923 = or(_T_2921, _T_2922) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2924 = eq(_T_2923, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2925 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2926 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2927 = and(_T_2925, _T_2926) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2928 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2929 = and(_T_2927, _T_2928) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2930 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2931 = and(_T_2929, _T_2930) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2932 = or(_T_2924, _T_2931) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2933 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2934 = and(_T_2933, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2935 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2936 = and(_T_2934, _T_2935) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2937 = eq(WrPtr0_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2938 = and(_T_2936, _T_2937) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2939 = or(_T_2932, _T_2938) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2940 = and(_T_2920, _T_2939) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2941 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2942 = and(_T_2941, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2943 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2944 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2945 = or(_T_2943, _T_2944) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2946 = eq(_T_2945, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2947 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2948 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2949 = and(_T_2947, _T_2948) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2950 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2951 = and(_T_2949, _T_2950) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2952 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2953 = and(_T_2951, _T_2952) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2954 = or(_T_2946, _T_2953) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2955 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2956 = and(_T_2955, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2957 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2958 = and(_T_2956, _T_2957) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2959 = eq(WrPtr0_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2960 = and(_T_2958, _T_2959) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2961 = or(_T_2954, _T_2960) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2962 = and(_T_2942, _T_2961) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2963 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2964 = and(_T_2963, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2965 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2966 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2967 = or(_T_2965, _T_2966) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2968 = eq(_T_2967, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2969 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2970 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2971 = and(_T_2969, _T_2970) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2972 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2973 = and(_T_2971, _T_2972) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2974 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2975 = and(_T_2973, _T_2974) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2976 = or(_T_2968, _T_2975) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2977 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_2978 = and(_T_2977, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_2979 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_2980 = and(_T_2978, _T_2979) @[el2_lsu_bus_buffer.scala 436:54] + node _T_2981 = eq(WrPtr0_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_2982 = and(_T_2980, _T_2981) @[el2_lsu_bus_buffer.scala 436:73] + node _T_2983 = or(_T_2976, _T_2982) @[el2_lsu_bus_buffer.scala 435:112] + node _T_2984 = and(_T_2964, _T_2983) @[el2_lsu_bus_buffer.scala 433:114] + node _T_2985 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 433:84] + node _T_2986 = and(_T_2985, buf_state_en[3]) @[el2_lsu_bus_buffer.scala 433:95] + node _T_2987 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 434:23] + node _T_2988 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 434:49] + node _T_2989 = or(_T_2987, _T_2988) @[el2_lsu_bus_buffer.scala 434:34] + node _T_2990 = eq(_T_2989, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 434:8] + node _T_2991 = and(ibuf_drain_vld, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 435:25] + node _T_2992 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 435:55] + node _T_2993 = and(_T_2991, _T_2992) @[el2_lsu_bus_buffer.scala 435:43] + node _T_2994 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:84] + node _T_2995 = and(_T_2993, _T_2994) @[el2_lsu_bus_buffer.scala 435:73] + node _T_2996 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 435:103] + node _T_2997 = and(_T_2995, _T_2996) @[el2_lsu_bus_buffer.scala 435:92] + node _T_2998 = or(_T_2990, _T_2997) @[el2_lsu_bus_buffer.scala 434:61] + node _T_2999 = and(ibuf_byp, io.lsu_busreq_r) @[el2_lsu_bus_buffer.scala 436:19] + node _T_3000 = and(_T_2999, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 436:37] + node _T_3001 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:65] + node _T_3002 = and(_T_3000, _T_3001) @[el2_lsu_bus_buffer.scala 436:54] + node _T_3003 = eq(WrPtr0_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 436:84] + node _T_3004 = and(_T_3002, _T_3003) @[el2_lsu_bus_buffer.scala 436:73] + node _T_3005 = or(_T_2998, _T_3004) @[el2_lsu_bus_buffer.scala 435:112] + node _T_3006 = and(_T_2986, _T_3005) @[el2_lsu_bus_buffer.scala 433:114] + node _T_3007 = cat(_T_3006, _T_2984) @[Cat.scala 29:58] + node _T_3008 = cat(_T_3007, _T_2962) @[Cat.scala 29:58] + node _T_3009 = cat(_T_3008, _T_2940) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2736 @[el2_lsu_bus_buffer.scala 433:20] + buf_rspage_set[1] <= _T_2827 @[el2_lsu_bus_buffer.scala 433:20] + buf_rspage_set[2] <= _T_2918 @[el2_lsu_bus_buffer.scala 433:20] + buf_rspage_set[3] <= _T_3009 @[el2_lsu_bus_buffer.scala 433:20] + node _T_3010 = bits(buf_rspage_set[0], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3011 = bits(buf_rspage[0], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3012 = or(_T_3010, _T_3011) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3013 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3014 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3015 = or(_T_3013, _T_3014) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3016 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3017 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3018 = or(_T_3016, _T_3017) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3019 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3020 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3021 = or(_T_3019, _T_3020) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3022 = cat(_T_3021, _T_3018) @[Cat.scala 29:58] + node _T_3023 = cat(_T_3022, _T_3015) @[Cat.scala 29:58] + node _T_3024 = cat(_T_3023, _T_3012) @[Cat.scala 29:58] + node _T_3025 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3026 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3027 = or(_T_3025, _T_3026) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3028 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3029 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3030 = or(_T_3028, _T_3029) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3031 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3032 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3033 = or(_T_3031, _T_3032) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3034 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3035 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] node _T_3036 = or(_T_3034, _T_3035) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3037 = bits(buf_rspage_set[0], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3038 = bits(buf_rspage[0], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3039 = or(_T_3037, _T_3038) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3040 = bits(buf_rspage_set[0], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3041 = bits(buf_rspage[0], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3037 = cat(_T_3036, _T_3033) @[Cat.scala 29:58] + node _T_3038 = cat(_T_3037, _T_3030) @[Cat.scala 29:58] + node _T_3039 = cat(_T_3038, _T_3027) @[Cat.scala 29:58] + node _T_3040 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3041 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] node _T_3042 = or(_T_3040, _T_3041) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3043 = bits(buf_rspage_set[0], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3044 = bits(buf_rspage[0], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3043 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3044 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] node _T_3045 = or(_T_3043, _T_3044) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3046 = cat(_T_3045, _T_3042) @[Cat.scala 29:58] - node _T_3047 = cat(_T_3046, _T_3039) @[Cat.scala 29:58] - node _T_3048 = cat(_T_3047, _T_3036) @[Cat.scala 29:58] - node _T_3049 = bits(buf_rspage_set[1], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3050 = bits(buf_rspage[1], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3046 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3047 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3048 = or(_T_3046, _T_3047) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3049 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3050 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] node _T_3051 = or(_T_3049, _T_3050) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3052 = bits(buf_rspage_set[1], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3053 = bits(buf_rspage[1], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3054 = or(_T_3052, _T_3053) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3055 = bits(buf_rspage_set[1], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3056 = bits(buf_rspage[1], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3052 = cat(_T_3051, _T_3048) @[Cat.scala 29:58] + node _T_3053 = cat(_T_3052, _T_3045) @[Cat.scala 29:58] + node _T_3054 = cat(_T_3053, _T_3042) @[Cat.scala 29:58] + node _T_3055 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3056 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] node _T_3057 = or(_T_3055, _T_3056) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3058 = bits(buf_rspage_set[1], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3059 = bits(buf_rspage[1], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3058 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3059 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] node _T_3060 = or(_T_3058, _T_3059) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3061 = cat(_T_3060, _T_3057) @[Cat.scala 29:58] - node _T_3062 = cat(_T_3061, _T_3054) @[Cat.scala 29:58] - node _T_3063 = cat(_T_3062, _T_3051) @[Cat.scala 29:58] - node _T_3064 = bits(buf_rspage_set[2], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3065 = bits(buf_rspage[2], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3061 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3062 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] + node _T_3063 = or(_T_3061, _T_3062) @[el2_lsu_bus_buffer.scala 437:90] + node _T_3064 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] + node _T_3065 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] node _T_3066 = or(_T_3064, _T_3065) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3067 = bits(buf_rspage_set[2], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3068 = bits(buf_rspage[2], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3069 = or(_T_3067, _T_3068) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3070 = bits(buf_rspage_set[2], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3071 = bits(buf_rspage[2], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3072 = or(_T_3070, _T_3071) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3073 = bits(buf_rspage_set[2], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3074 = bits(buf_rspage[2], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3075 = or(_T_3073, _T_3074) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3076 = cat(_T_3075, _T_3072) @[Cat.scala 29:58] - node _T_3077 = cat(_T_3076, _T_3069) @[Cat.scala 29:58] - node _T_3078 = cat(_T_3077, _T_3066) @[Cat.scala 29:58] - node _T_3079 = bits(buf_rspage_set[3], 0, 0) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3080 = bits(buf_rspage[3], 0, 0) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3081 = or(_T_3079, _T_3080) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3082 = bits(buf_rspage_set[3], 1, 1) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3083 = bits(buf_rspage[3], 1, 1) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3084 = or(_T_3082, _T_3083) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3085 = bits(buf_rspage_set[3], 2, 2) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3086 = bits(buf_rspage[3], 2, 2) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3087 = or(_T_3085, _T_3086) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3088 = bits(buf_rspage_set[3], 3, 3) @[el2_lsu_bus_buffer.scala 437:86] - node _T_3089 = bits(buf_rspage[3], 3, 3) @[el2_lsu_bus_buffer.scala 437:105] - node _T_3090 = or(_T_3088, _T_3089) @[el2_lsu_bus_buffer.scala 437:90] - node _T_3091 = cat(_T_3090, _T_3087) @[Cat.scala 29:58] - node _T_3092 = cat(_T_3091, _T_3084) @[Cat.scala 29:58] - node _T_3093 = cat(_T_3092, _T_3081) @[Cat.scala 29:58] - buf_rspage_in[0] <= _T_3048 @[el2_lsu_bus_buffer.scala 437:19] - buf_rspage_in[1] <= _T_3063 @[el2_lsu_bus_buffer.scala 437:19] - buf_rspage_in[2] <= _T_3078 @[el2_lsu_bus_buffer.scala 437:19] - buf_rspage_in[3] <= _T_3093 @[el2_lsu_bus_buffer.scala 437:19] - node _T_3094 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3095 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3096 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3097 = or(_T_3095, _T_3096) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3098 = eq(_T_3097, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3099 = and(_T_3094, _T_3098) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3100 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3101 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3102 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3103 = or(_T_3101, _T_3102) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3104 = eq(_T_3103, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3105 = and(_T_3100, _T_3104) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3106 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3107 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3108 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3109 = or(_T_3107, _T_3108) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3110 = eq(_T_3109, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3111 = and(_T_3106, _T_3110) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3112 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3113 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3114 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3115 = or(_T_3113, _T_3114) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3116 = eq(_T_3115, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3117 = and(_T_3112, _T_3116) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3118 = cat(_T_3117, _T_3111) @[Cat.scala 29:58] - node _T_3119 = cat(_T_3118, _T_3105) @[Cat.scala 29:58] - node _T_3120 = cat(_T_3119, _T_3099) @[Cat.scala 29:58] - node _T_3121 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3122 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3123 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3124 = or(_T_3122, _T_3123) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3125 = eq(_T_3124, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3126 = and(_T_3121, _T_3125) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3127 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3128 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3129 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3130 = or(_T_3128, _T_3129) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3131 = eq(_T_3130, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3132 = and(_T_3127, _T_3131) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3133 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3134 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3135 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3136 = or(_T_3134, _T_3135) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3137 = eq(_T_3136, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3138 = and(_T_3133, _T_3137) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3139 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3140 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3141 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3142 = or(_T_3140, _T_3141) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3143 = eq(_T_3142, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3144 = and(_T_3139, _T_3143) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3145 = cat(_T_3144, _T_3138) @[Cat.scala 29:58] - node _T_3146 = cat(_T_3145, _T_3132) @[Cat.scala 29:58] - node _T_3147 = cat(_T_3146, _T_3126) @[Cat.scala 29:58] - node _T_3148 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3149 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3150 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3151 = or(_T_3149, _T_3150) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3152 = eq(_T_3151, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3153 = and(_T_3148, _T_3152) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3154 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3155 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3156 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3157 = or(_T_3155, _T_3156) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3158 = eq(_T_3157, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3159 = and(_T_3154, _T_3158) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3160 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3161 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3162 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3163 = or(_T_3161, _T_3162) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3164 = eq(_T_3163, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3165 = and(_T_3160, _T_3164) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3166 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3167 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3168 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3169 = or(_T_3167, _T_3168) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3170 = eq(_T_3169, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3171 = and(_T_3166, _T_3170) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3172 = cat(_T_3171, _T_3165) @[Cat.scala 29:58] - node _T_3173 = cat(_T_3172, _T_3159) @[Cat.scala 29:58] - node _T_3174 = cat(_T_3173, _T_3153) @[Cat.scala 29:58] - node _T_3175 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3176 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3177 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3178 = or(_T_3176, _T_3177) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3179 = eq(_T_3178, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3180 = and(_T_3175, _T_3179) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3181 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3182 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3183 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3184 = or(_T_3182, _T_3183) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3185 = eq(_T_3184, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3186 = and(_T_3181, _T_3185) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3187 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3188 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3189 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3190 = or(_T_3188, _T_3189) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3191 = eq(_T_3190, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3192 = and(_T_3187, _T_3191) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3193 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] - node _T_3194 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] - node _T_3195 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] - node _T_3196 = or(_T_3194, _T_3195) @[el2_lsu_bus_buffer.scala 438:112] - node _T_3197 = eq(_T_3196, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] - node _T_3198 = and(_T_3193, _T_3197) @[el2_lsu_bus_buffer.scala 438:84] - node _T_3199 = cat(_T_3198, _T_3192) @[Cat.scala 29:58] - node _T_3200 = cat(_T_3199, _T_3186) @[Cat.scala 29:58] - node _T_3201 = cat(_T_3200, _T_3180) @[Cat.scala 29:58] - buf_rspage[0] <= _T_3120 @[el2_lsu_bus_buffer.scala 438:16] - buf_rspage[1] <= _T_3147 @[el2_lsu_bus_buffer.scala 438:16] - buf_rspage[2] <= _T_3174 @[el2_lsu_bus_buffer.scala 438:16] - buf_rspage[3] <= _T_3201 @[el2_lsu_bus_buffer.scala 438:16] - node _T_3202 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:77] - node _T_3203 = and(ibuf_drain_vld, _T_3202) @[el2_lsu_bus_buffer.scala 443:65] - node _T_3204 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:77] - node _T_3205 = and(ibuf_drain_vld, _T_3204) @[el2_lsu_bus_buffer.scala 443:65] - node _T_3206 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:77] - node _T_3207 = and(ibuf_drain_vld, _T_3206) @[el2_lsu_bus_buffer.scala 443:65] - node _T_3208 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:77] - node _T_3209 = and(ibuf_drain_vld, _T_3208) @[el2_lsu_bus_buffer.scala 443:65] - node _T_3210 = cat(_T_3209, _T_3207) @[Cat.scala 29:58] - node _T_3211 = cat(_T_3210, _T_3205) @[Cat.scala 29:58] - node _T_3212 = cat(_T_3211, _T_3203) @[Cat.scala 29:58] - ibuf_drainvec_vld <= _T_3212 @[el2_lsu_bus_buffer.scala 443:23] - node _T_3213 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 444:66] - node _T_3214 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3215 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] - node _T_3216 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:134] - node _T_3217 = and(_T_3215, _T_3216) @[el2_lsu_bus_buffer.scala 444:123] - node _T_3218 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] - node _T_3219 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] - node _T_3220 = mux(_T_3217, _T_3218, _T_3219) @[el2_lsu_bus_buffer.scala 444:96] - node _T_3221 = mux(_T_3213, _T_3214, _T_3220) @[el2_lsu_bus_buffer.scala 444:48] - node _T_3222 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 444:66] - node _T_3223 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3224 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] - node _T_3225 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:134] - node _T_3226 = and(_T_3224, _T_3225) @[el2_lsu_bus_buffer.scala 444:123] - node _T_3227 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] - node _T_3228 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] - node _T_3229 = mux(_T_3226, _T_3227, _T_3228) @[el2_lsu_bus_buffer.scala 444:96] - node _T_3230 = mux(_T_3222, _T_3223, _T_3229) @[el2_lsu_bus_buffer.scala 444:48] - node _T_3231 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 444:66] - node _T_3232 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3233 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] - node _T_3234 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:134] - node _T_3235 = and(_T_3233, _T_3234) @[el2_lsu_bus_buffer.scala 444:123] - node _T_3236 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] - node _T_3237 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] - node _T_3238 = mux(_T_3235, _T_3236, _T_3237) @[el2_lsu_bus_buffer.scala 444:96] - node _T_3239 = mux(_T_3231, _T_3232, _T_3238) @[el2_lsu_bus_buffer.scala 444:48] - node _T_3240 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 444:66] - node _T_3241 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] - node _T_3242 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] - node _T_3243 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:134] - node _T_3244 = and(_T_3242, _T_3243) @[el2_lsu_bus_buffer.scala 444:123] - node _T_3245 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] - node _T_3246 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] - node _T_3247 = mux(_T_3244, _T_3245, _T_3246) @[el2_lsu_bus_buffer.scala 444:96] - node _T_3248 = mux(_T_3240, _T_3241, _T_3247) @[el2_lsu_bus_buffer.scala 444:48] - buf_byteen_in[0] <= _T_3221 @[el2_lsu_bus_buffer.scala 444:19] - buf_byteen_in[1] <= _T_3230 @[el2_lsu_bus_buffer.scala 444:19] - buf_byteen_in[2] <= _T_3239 @[el2_lsu_bus_buffer.scala 444:19] - buf_byteen_in[3] <= _T_3248 @[el2_lsu_bus_buffer.scala 444:19] - node _T_3249 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 445:64] - node _T_3250 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] - node _T_3251 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_3252 = and(_T_3250, _T_3251) @[el2_lsu_bus_buffer.scala 445:110] - node _T_3253 = mux(_T_3252, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] - node _T_3254 = mux(_T_3249, ibuf_addr, _T_3253) @[el2_lsu_bus_buffer.scala 445:46] - node _T_3255 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 445:64] - node _T_3256 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] - node _T_3257 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_3258 = and(_T_3256, _T_3257) @[el2_lsu_bus_buffer.scala 445:110] - node _T_3259 = mux(_T_3258, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] - node _T_3260 = mux(_T_3255, ibuf_addr, _T_3259) @[el2_lsu_bus_buffer.scala 445:46] - node _T_3261 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 445:64] - node _T_3262 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] - node _T_3263 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_3264 = and(_T_3262, _T_3263) @[el2_lsu_bus_buffer.scala 445:110] - node _T_3265 = mux(_T_3264, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] - node _T_3266 = mux(_T_3261, ibuf_addr, _T_3265) @[el2_lsu_bus_buffer.scala 445:46] - node _T_3267 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 445:64] - node _T_3268 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] - node _T_3269 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:121] - node _T_3270 = and(_T_3268, _T_3269) @[el2_lsu_bus_buffer.scala 445:110] - node _T_3271 = mux(_T_3270, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] - node _T_3272 = mux(_T_3267, ibuf_addr, _T_3271) @[el2_lsu_bus_buffer.scala 445:46] - buf_addr_in[0] <= _T_3254 @[el2_lsu_bus_buffer.scala 445:17] - buf_addr_in[1] <= _T_3260 @[el2_lsu_bus_buffer.scala 445:17] - buf_addr_in[2] <= _T_3266 @[el2_lsu_bus_buffer.scala 445:17] - buf_addr_in[3] <= _T_3272 @[el2_lsu_bus_buffer.scala 445:17] - node _T_3273 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 446:65] - node _T_3274 = mux(_T_3273, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] - node _T_3275 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 446:65] - node _T_3276 = mux(_T_3275, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] - node _T_3277 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 446:65] - node _T_3278 = mux(_T_3277, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] - node _T_3279 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 446:65] - node _T_3280 = mux(_T_3279, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] - node _T_3281 = cat(_T_3280, _T_3278) @[Cat.scala 29:58] - node _T_3282 = cat(_T_3281, _T_3276) @[Cat.scala 29:58] - node _T_3283 = cat(_T_3282, _T_3274) @[Cat.scala 29:58] - buf_dual_in <= _T_3283 @[el2_lsu_bus_buffer.scala 446:17] - node _T_3284 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 447:67] - node _T_3285 = mux(_T_3284, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] - node _T_3286 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 447:67] - node _T_3287 = mux(_T_3286, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] - node _T_3288 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 447:67] - node _T_3289 = mux(_T_3288, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] - node _T_3290 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 447:67] - node _T_3291 = mux(_T_3290, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] - node _T_3292 = cat(_T_3291, _T_3289) @[Cat.scala 29:58] - node _T_3293 = cat(_T_3292, _T_3287) @[Cat.scala 29:58] - node _T_3294 = cat(_T_3293, _T_3285) @[Cat.scala 29:58] - buf_samedw_in <= _T_3294 @[el2_lsu_bus_buffer.scala 447:19] - node _T_3295 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 448:68] - node _T_3296 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3297 = mux(_T_3295, _T_3296, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] - node _T_3298 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 448:68] - node _T_3299 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3300 = mux(_T_3298, _T_3299, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] - node _T_3301 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 448:68] - node _T_3302 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3303 = mux(_T_3301, _T_3302, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] - node _T_3304 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 448:68] - node _T_3305 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] - node _T_3306 = mux(_T_3304, _T_3305, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] - node _T_3307 = cat(_T_3306, _T_3303) @[Cat.scala 29:58] - node _T_3308 = cat(_T_3307, _T_3300) @[Cat.scala 29:58] - node _T_3309 = cat(_T_3308, _T_3297) @[Cat.scala 29:58] - buf_nomerge_in <= _T_3309 @[el2_lsu_bus_buffer.scala 448:20] - node _T_3310 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 449:67] - node _T_3311 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] - node _T_3312 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:120] - node _T_3313 = and(_T_3311, _T_3312) @[el2_lsu_bus_buffer.scala 449:109] - node _T_3314 = mux(_T_3310, ibuf_dual, _T_3313) @[el2_lsu_bus_buffer.scala 449:49] - node _T_3315 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 449:67] - node _T_3316 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] - node _T_3317 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 449:120] - node _T_3318 = and(_T_3316, _T_3317) @[el2_lsu_bus_buffer.scala 449:109] - node _T_3319 = mux(_T_3315, ibuf_dual, _T_3318) @[el2_lsu_bus_buffer.scala 449:49] - node _T_3320 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 449:67] - node _T_3321 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] - node _T_3322 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 449:120] - node _T_3323 = and(_T_3321, _T_3322) @[el2_lsu_bus_buffer.scala 449:109] - node _T_3324 = mux(_T_3320, ibuf_dual, _T_3323) @[el2_lsu_bus_buffer.scala 449:49] - node _T_3325 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 449:67] - node _T_3326 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] - node _T_3327 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 449:120] - node _T_3328 = and(_T_3326, _T_3327) @[el2_lsu_bus_buffer.scala 449:109] - node _T_3329 = mux(_T_3325, ibuf_dual, _T_3328) @[el2_lsu_bus_buffer.scala 449:49] - node _T_3330 = cat(_T_3329, _T_3324) @[Cat.scala 29:58] - node _T_3331 = cat(_T_3330, _T_3319) @[Cat.scala 29:58] - node _T_3332 = cat(_T_3331, _T_3314) @[Cat.scala 29:58] - buf_dualhi_in <= _T_3332 @[el2_lsu_bus_buffer.scala 449:19] - node _T_3333 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3334 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] - node _T_3335 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:127] - node _T_3336 = and(_T_3334, _T_3335) @[el2_lsu_bus_buffer.scala 450:116] - node _T_3337 = mux(_T_3336, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] - node _T_3338 = mux(_T_3333, ibuf_dualtag, _T_3337) @[el2_lsu_bus_buffer.scala 450:49] - node _T_3339 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3340 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] - node _T_3341 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:127] - node _T_3342 = and(_T_3340, _T_3341) @[el2_lsu_bus_buffer.scala 450:116] - node _T_3343 = mux(_T_3342, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] - node _T_3344 = mux(_T_3339, ibuf_dualtag, _T_3343) @[el2_lsu_bus_buffer.scala 450:49] - node _T_3345 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3346 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] - node _T_3347 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:127] - node _T_3348 = and(_T_3346, _T_3347) @[el2_lsu_bus_buffer.scala 450:116] - node _T_3349 = mux(_T_3348, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] - node _T_3350 = mux(_T_3345, ibuf_dualtag, _T_3349) @[el2_lsu_bus_buffer.scala 450:49] - node _T_3351 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 450:67] - node _T_3352 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] - node _T_3353 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:127] - node _T_3354 = and(_T_3352, _T_3353) @[el2_lsu_bus_buffer.scala 450:116] - node _T_3355 = mux(_T_3354, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] - node _T_3356 = mux(_T_3351, ibuf_dualtag, _T_3355) @[el2_lsu_bus_buffer.scala 450:49] - buf_dualtag_in[0] <= _T_3338 @[el2_lsu_bus_buffer.scala 450:20] - buf_dualtag_in[1] <= _T_3344 @[el2_lsu_bus_buffer.scala 450:20] - buf_dualtag_in[2] <= _T_3350 @[el2_lsu_bus_buffer.scala 450:20] - buf_dualtag_in[3] <= _T_3356 @[el2_lsu_bus_buffer.scala 450:20] - node _T_3357 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 451:71] - node _T_3358 = mux(_T_3357, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] - node _T_3359 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 451:71] - node _T_3360 = mux(_T_3359, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] - node _T_3361 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 451:71] - node _T_3362 = mux(_T_3361, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] - node _T_3363 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 451:71] - node _T_3364 = mux(_T_3363, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] - node _T_3365 = cat(_T_3364, _T_3362) @[Cat.scala 29:58] - node _T_3366 = cat(_T_3365, _T_3360) @[Cat.scala 29:58] - node _T_3367 = cat(_T_3366, _T_3358) @[Cat.scala 29:58] - buf_sideeffect_in <= _T_3367 @[el2_lsu_bus_buffer.scala 451:23] - node _T_3368 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 452:67] - node _T_3369 = mux(_T_3368, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] - node _T_3370 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 452:67] - node _T_3371 = mux(_T_3370, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] - node _T_3372 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 452:67] - node _T_3373 = mux(_T_3372, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] - node _T_3374 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 452:67] - node _T_3375 = mux(_T_3374, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] - node _T_3376 = cat(_T_3375, _T_3373) @[Cat.scala 29:58] - node _T_3377 = cat(_T_3376, _T_3371) @[Cat.scala 29:58] - node _T_3378 = cat(_T_3377, _T_3369) @[Cat.scala 29:58] - buf_unsign_in <= _T_3378 @[el2_lsu_bus_buffer.scala 452:19] - node _T_3379 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:62] - node _T_3380 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3381 = mux(_T_3379, ibuf_sz, _T_3380) @[el2_lsu_bus_buffer.scala 453:44] - node _T_3382 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:62] - node _T_3383 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3384 = mux(_T_3382, ibuf_sz, _T_3383) @[el2_lsu_bus_buffer.scala 453:44] - node _T_3385 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:62] - node _T_3386 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3387 = mux(_T_3385, ibuf_sz, _T_3386) @[el2_lsu_bus_buffer.scala 453:44] - node _T_3388 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:62] - node _T_3389 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] - node _T_3390 = mux(_T_3388, ibuf_sz, _T_3389) @[el2_lsu_bus_buffer.scala 453:44] - buf_sz_in[0] <= _T_3381 @[el2_lsu_bus_buffer.scala 453:15] - buf_sz_in[1] <= _T_3384 @[el2_lsu_bus_buffer.scala 453:15] - buf_sz_in[2] <= _T_3387 @[el2_lsu_bus_buffer.scala 453:15] - buf_sz_in[3] <= _T_3390 @[el2_lsu_bus_buffer.scala 453:15] - node _T_3391 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3392 = mux(_T_3391, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] - node _T_3393 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3394 = mux(_T_3393, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] - node _T_3395 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3396 = mux(_T_3395, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] - node _T_3397 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:66] - node _T_3398 = mux(_T_3397, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] - node _T_3399 = cat(_T_3398, _T_3396) @[Cat.scala 29:58] - node _T_3400 = cat(_T_3399, _T_3394) @[Cat.scala 29:58] - node _T_3401 = cat(_T_3400, _T_3392) @[Cat.scala 29:58] - buf_write_in <= _T_3401 @[el2_lsu_bus_buffer.scala 454:18] - node _T_3402 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3402 : @[Conditional.scala 40:58] - node _T_3403 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] - node _T_3404 = mux(_T_3403, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] - buf_nxtstate[0] <= _T_3404 @[el2_lsu_bus_buffer.scala 459:25] - node _T_3405 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] - node _T_3406 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] - node _T_3407 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] - node _T_3408 = and(_T_3406, _T_3407) @[el2_lsu_bus_buffer.scala 460:95] - node _T_3409 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] - node _T_3410 = and(_T_3408, _T_3409) @[el2_lsu_bus_buffer.scala 460:112] - node _T_3411 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] - node _T_3412 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] - node _T_3413 = and(_T_3411, _T_3412) @[el2_lsu_bus_buffer.scala 460:161] - node _T_3414 = or(_T_3410, _T_3413) @[el2_lsu_bus_buffer.scala 460:132] - node _T_3415 = and(_T_3405, _T_3414) @[el2_lsu_bus_buffer.scala 460:63] - node _T_3416 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] - node _T_3417 = and(ibuf_drain_vld, _T_3416) @[el2_lsu_bus_buffer.scala 460:201] - node _T_3418 = or(_T_3415, _T_3417) @[el2_lsu_bus_buffer.scala 460:183] - buf_state_en[0] <= _T_3418 @[el2_lsu_bus_buffer.scala 460:25] + node _T_3067 = cat(_T_3066, _T_3063) @[Cat.scala 29:58] + node _T_3068 = cat(_T_3067, _T_3060) @[Cat.scala 29:58] + node _T_3069 = cat(_T_3068, _T_3057) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3024 @[el2_lsu_bus_buffer.scala 437:19] + buf_rspage_in[1] <= _T_3039 @[el2_lsu_bus_buffer.scala 437:19] + buf_rspage_in[2] <= _T_3054 @[el2_lsu_bus_buffer.scala 437:19] + buf_rspage_in[3] <= _T_3069 @[el2_lsu_bus_buffer.scala 437:19] + node _T_3070 = bits(buf_rspageQ[0], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3071 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3072 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3073 = or(_T_3071, _T_3072) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3074 = eq(_T_3073, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3075 = and(_T_3070, _T_3074) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3076 = bits(buf_rspageQ[0], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3077 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3078 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3079 = or(_T_3077, _T_3078) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3080 = eq(_T_3079, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3081 = and(_T_3076, _T_3080) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3082 = bits(buf_rspageQ[0], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3083 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3084 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3085 = or(_T_3083, _T_3084) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3086 = eq(_T_3085, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3087 = and(_T_3082, _T_3086) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3088 = bits(buf_rspageQ[0], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3089 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3090 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3091 = or(_T_3089, _T_3090) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3092 = eq(_T_3091, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3093 = and(_T_3088, _T_3092) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3094 = cat(_T_3093, _T_3087) @[Cat.scala 29:58] + node _T_3095 = cat(_T_3094, _T_3081) @[Cat.scala 29:58] + node _T_3096 = cat(_T_3095, _T_3075) @[Cat.scala 29:58] + node _T_3097 = bits(buf_rspageQ[1], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3098 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3099 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3100 = or(_T_3098, _T_3099) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3101 = eq(_T_3100, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3102 = and(_T_3097, _T_3101) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3103 = bits(buf_rspageQ[1], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3104 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3105 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3106 = or(_T_3104, _T_3105) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3107 = eq(_T_3106, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3108 = and(_T_3103, _T_3107) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3109 = bits(buf_rspageQ[1], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3110 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3111 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3112 = or(_T_3110, _T_3111) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3113 = eq(_T_3112, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3114 = and(_T_3109, _T_3113) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3115 = bits(buf_rspageQ[1], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3116 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3117 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3118 = or(_T_3116, _T_3117) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3119 = eq(_T_3118, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3120 = and(_T_3115, _T_3119) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3121 = cat(_T_3120, _T_3114) @[Cat.scala 29:58] + node _T_3122 = cat(_T_3121, _T_3108) @[Cat.scala 29:58] + node _T_3123 = cat(_T_3122, _T_3102) @[Cat.scala 29:58] + node _T_3124 = bits(buf_rspageQ[2], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3125 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3126 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3127 = or(_T_3125, _T_3126) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3128 = eq(_T_3127, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3129 = and(_T_3124, _T_3128) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3130 = bits(buf_rspageQ[2], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3131 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3132 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3133 = or(_T_3131, _T_3132) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3134 = eq(_T_3133, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3135 = and(_T_3130, _T_3134) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3136 = bits(buf_rspageQ[2], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3137 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3138 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3139 = or(_T_3137, _T_3138) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3140 = eq(_T_3139, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3141 = and(_T_3136, _T_3140) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3142 = bits(buf_rspageQ[2], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3143 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3144 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3145 = or(_T_3143, _T_3144) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3146 = eq(_T_3145, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3147 = and(_T_3142, _T_3146) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3148 = cat(_T_3147, _T_3141) @[Cat.scala 29:58] + node _T_3149 = cat(_T_3148, _T_3135) @[Cat.scala 29:58] + node _T_3150 = cat(_T_3149, _T_3129) @[Cat.scala 29:58] + node _T_3151 = bits(buf_rspageQ[3], 0, 0) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3152 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3153 = eq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3154 = or(_T_3152, _T_3153) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3155 = eq(_T_3154, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3156 = and(_T_3151, _T_3155) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3157 = bits(buf_rspageQ[3], 1, 1) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3158 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3159 = eq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3160 = or(_T_3158, _T_3159) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3161 = eq(_T_3160, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3162 = and(_T_3157, _T_3161) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3163 = bits(buf_rspageQ[3], 2, 2) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3164 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3165 = eq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3166 = or(_T_3164, _T_3165) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3167 = eq(_T_3166, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3168 = and(_T_3163, _T_3167) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3169 = bits(buf_rspageQ[3], 3, 3) @[el2_lsu_bus_buffer.scala 438:80] + node _T_3170 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 438:101] + node _T_3171 = eq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 438:127] + node _T_3172 = or(_T_3170, _T_3171) @[el2_lsu_bus_buffer.scala 438:112] + node _T_3173 = eq(_T_3172, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 438:86] + node _T_3174 = and(_T_3169, _T_3173) @[el2_lsu_bus_buffer.scala 438:84] + node _T_3175 = cat(_T_3174, _T_3168) @[Cat.scala 29:58] + node _T_3176 = cat(_T_3175, _T_3162) @[Cat.scala 29:58] + node _T_3177 = cat(_T_3176, _T_3156) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3096 @[el2_lsu_bus_buffer.scala 438:16] + buf_rspage[1] <= _T_3123 @[el2_lsu_bus_buffer.scala 438:16] + buf_rspage[2] <= _T_3150 @[el2_lsu_bus_buffer.scala 438:16] + buf_rspage[3] <= _T_3177 @[el2_lsu_bus_buffer.scala 438:16] + node _T_3178 = eq(ibuf_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 443:77] + node _T_3179 = and(ibuf_drain_vld, _T_3178) @[el2_lsu_bus_buffer.scala 443:65] + node _T_3180 = eq(ibuf_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 443:77] + node _T_3181 = and(ibuf_drain_vld, _T_3180) @[el2_lsu_bus_buffer.scala 443:65] + node _T_3182 = eq(ibuf_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 443:77] + node _T_3183 = and(ibuf_drain_vld, _T_3182) @[el2_lsu_bus_buffer.scala 443:65] + node _T_3184 = eq(ibuf_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 443:77] + node _T_3185 = and(ibuf_drain_vld, _T_3184) @[el2_lsu_bus_buffer.scala 443:65] + node _T_3186 = cat(_T_3185, _T_3183) @[Cat.scala 29:58] + node _T_3187 = cat(_T_3186, _T_3181) @[Cat.scala 29:58] + node _T_3188 = cat(_T_3187, _T_3179) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3188 @[el2_lsu_bus_buffer.scala 443:23] + node _T_3189 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 444:66] + node _T_3190 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3191 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] + node _T_3192 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 444:134] + node _T_3193 = and(_T_3191, _T_3192) @[el2_lsu_bus_buffer.scala 444:123] + node _T_3194 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] + node _T_3195 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] + node _T_3196 = mux(_T_3193, _T_3194, _T_3195) @[el2_lsu_bus_buffer.scala 444:96] + node _T_3197 = mux(_T_3189, _T_3190, _T_3196) @[el2_lsu_bus_buffer.scala 444:48] + node _T_3198 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 444:66] + node _T_3199 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3200 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] + node _T_3201 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 444:134] + node _T_3202 = and(_T_3200, _T_3201) @[el2_lsu_bus_buffer.scala 444:123] + node _T_3203 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] + node _T_3204 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] + node _T_3205 = mux(_T_3202, _T_3203, _T_3204) @[el2_lsu_bus_buffer.scala 444:96] + node _T_3206 = mux(_T_3198, _T_3199, _T_3205) @[el2_lsu_bus_buffer.scala 444:48] + node _T_3207 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 444:66] + node _T_3208 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3209 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] + node _T_3210 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 444:134] + node _T_3211 = and(_T_3209, _T_3210) @[el2_lsu_bus_buffer.scala 444:123] + node _T_3212 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] + node _T_3213 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] + node _T_3214 = mux(_T_3211, _T_3212, _T_3213) @[el2_lsu_bus_buffer.scala 444:96] + node _T_3215 = mux(_T_3207, _T_3208, _T_3214) @[el2_lsu_bus_buffer.scala 444:48] + node _T_3216 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 444:66] + node _T_3217 = bits(ibuf_byteen_out, 3, 0) @[el2_lsu_bus_buffer.scala 444:86] + node _T_3218 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 444:106] + node _T_3219 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 444:134] + node _T_3220 = and(_T_3218, _T_3219) @[el2_lsu_bus_buffer.scala 444:123] + node _T_3221 = bits(ldst_byteen_hi_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:159] + node _T_3222 = bits(ldst_byteen_lo_r, 3, 0) @[el2_lsu_bus_buffer.scala 444:182] + node _T_3223 = mux(_T_3220, _T_3221, _T_3222) @[el2_lsu_bus_buffer.scala 444:96] + node _T_3224 = mux(_T_3216, _T_3217, _T_3223) @[el2_lsu_bus_buffer.scala 444:48] + buf_byteen_in[0] <= _T_3197 @[el2_lsu_bus_buffer.scala 444:19] + buf_byteen_in[1] <= _T_3206 @[el2_lsu_bus_buffer.scala 444:19] + buf_byteen_in[2] <= _T_3215 @[el2_lsu_bus_buffer.scala 444:19] + buf_byteen_in[3] <= _T_3224 @[el2_lsu_bus_buffer.scala 444:19] + node _T_3225 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 445:64] + node _T_3226 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] + node _T_3227 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_3228 = and(_T_3226, _T_3227) @[el2_lsu_bus_buffer.scala 445:110] + node _T_3229 = mux(_T_3228, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] + node _T_3230 = mux(_T_3225, ibuf_addr, _T_3229) @[el2_lsu_bus_buffer.scala 445:46] + node _T_3231 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 445:64] + node _T_3232 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] + node _T_3233 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_3234 = and(_T_3232, _T_3233) @[el2_lsu_bus_buffer.scala 445:110] + node _T_3235 = mux(_T_3234, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] + node _T_3236 = mux(_T_3231, ibuf_addr, _T_3235) @[el2_lsu_bus_buffer.scala 445:46] + node _T_3237 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 445:64] + node _T_3238 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] + node _T_3239 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_3240 = and(_T_3238, _T_3239) @[el2_lsu_bus_buffer.scala 445:110] + node _T_3241 = mux(_T_3240, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] + node _T_3242 = mux(_T_3237, ibuf_addr, _T_3241) @[el2_lsu_bus_buffer.scala 445:46] + node _T_3243 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 445:64] + node _T_3244 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 445:93] + node _T_3245 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 445:121] + node _T_3246 = and(_T_3244, _T_3245) @[el2_lsu_bus_buffer.scala 445:110] + node _T_3247 = mux(_T_3246, io.end_addr_r, io.lsu_addr_r) @[el2_lsu_bus_buffer.scala 445:83] + node _T_3248 = mux(_T_3243, ibuf_addr, _T_3247) @[el2_lsu_bus_buffer.scala 445:46] + buf_addr_in[0] <= _T_3230 @[el2_lsu_bus_buffer.scala 445:17] + buf_addr_in[1] <= _T_3236 @[el2_lsu_bus_buffer.scala 445:17] + buf_addr_in[2] <= _T_3242 @[el2_lsu_bus_buffer.scala 445:17] + buf_addr_in[3] <= _T_3248 @[el2_lsu_bus_buffer.scala 445:17] + node _T_3249 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 446:65] + node _T_3250 = mux(_T_3249, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] + node _T_3251 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 446:65] + node _T_3252 = mux(_T_3251, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] + node _T_3253 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 446:65] + node _T_3254 = mux(_T_3253, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] + node _T_3255 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 446:65] + node _T_3256 = mux(_T_3255, ibuf_dual, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 446:47] + node _T_3257 = cat(_T_3256, _T_3254) @[Cat.scala 29:58] + node _T_3258 = cat(_T_3257, _T_3252) @[Cat.scala 29:58] + node _T_3259 = cat(_T_3258, _T_3250) @[Cat.scala 29:58] + buf_dual_in <= _T_3259 @[el2_lsu_bus_buffer.scala 446:17] + node _T_3260 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 447:67] + node _T_3261 = mux(_T_3260, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] + node _T_3262 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 447:67] + node _T_3263 = mux(_T_3262, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] + node _T_3264 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 447:67] + node _T_3265 = mux(_T_3264, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] + node _T_3266 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 447:67] + node _T_3267 = mux(_T_3266, ibuf_samedw, ldst_samedw_r) @[el2_lsu_bus_buffer.scala 447:49] + node _T_3268 = cat(_T_3267, _T_3265) @[Cat.scala 29:58] + node _T_3269 = cat(_T_3268, _T_3263) @[Cat.scala 29:58] + node _T_3270 = cat(_T_3269, _T_3261) @[Cat.scala 29:58] + buf_samedw_in <= _T_3270 @[el2_lsu_bus_buffer.scala 447:19] + node _T_3271 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 448:68] + node _T_3272 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3273 = mux(_T_3271, _T_3272, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] + node _T_3274 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 448:68] + node _T_3275 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3276 = mux(_T_3274, _T_3275, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] + node _T_3277 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 448:68] + node _T_3278 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3279 = mux(_T_3277, _T_3278, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] + node _T_3280 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 448:68] + node _T_3281 = or(ibuf_nomerge, ibuf_force_drain) @[el2_lsu_bus_buffer.scala 448:86] + node _T_3282 = mux(_T_3280, _T_3281, io.no_dword_merge_r) @[el2_lsu_bus_buffer.scala 448:50] + node _T_3283 = cat(_T_3282, _T_3279) @[Cat.scala 29:58] + node _T_3284 = cat(_T_3283, _T_3276) @[Cat.scala 29:58] + node _T_3285 = cat(_T_3284, _T_3273) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3285 @[el2_lsu_bus_buffer.scala 448:20] + node _T_3286 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 449:67] + node _T_3287 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] + node _T_3288 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 449:120] + node _T_3289 = and(_T_3287, _T_3288) @[el2_lsu_bus_buffer.scala 449:109] + node _T_3290 = mux(_T_3286, ibuf_dual, _T_3289) @[el2_lsu_bus_buffer.scala 449:49] + node _T_3291 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 449:67] + node _T_3292 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] + node _T_3293 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 449:120] + node _T_3294 = and(_T_3292, _T_3293) @[el2_lsu_bus_buffer.scala 449:109] + node _T_3295 = mux(_T_3291, ibuf_dual, _T_3294) @[el2_lsu_bus_buffer.scala 449:49] + node _T_3296 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 449:67] + node _T_3297 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] + node _T_3298 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 449:120] + node _T_3299 = and(_T_3297, _T_3298) @[el2_lsu_bus_buffer.scala 449:109] + node _T_3300 = mux(_T_3296, ibuf_dual, _T_3299) @[el2_lsu_bus_buffer.scala 449:49] + node _T_3301 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 449:67] + node _T_3302 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 449:92] + node _T_3303 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 449:120] + node _T_3304 = and(_T_3302, _T_3303) @[el2_lsu_bus_buffer.scala 449:109] + node _T_3305 = mux(_T_3301, ibuf_dual, _T_3304) @[el2_lsu_bus_buffer.scala 449:49] + node _T_3306 = cat(_T_3305, _T_3300) @[Cat.scala 29:58] + node _T_3307 = cat(_T_3306, _T_3295) @[Cat.scala 29:58] + node _T_3308 = cat(_T_3307, _T_3290) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3308 @[el2_lsu_bus_buffer.scala 449:19] + node _T_3309 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 450:67] + node _T_3310 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] + node _T_3311 = eq(WrPtr1_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 450:127] + node _T_3312 = and(_T_3310, _T_3311) @[el2_lsu_bus_buffer.scala 450:116] + node _T_3313 = mux(_T_3312, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] + node _T_3314 = mux(_T_3309, ibuf_dualtag, _T_3313) @[el2_lsu_bus_buffer.scala 450:49] + node _T_3315 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 450:67] + node _T_3316 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] + node _T_3317 = eq(WrPtr1_r, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 450:127] + node _T_3318 = and(_T_3316, _T_3317) @[el2_lsu_bus_buffer.scala 450:116] + node _T_3319 = mux(_T_3318, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] + node _T_3320 = mux(_T_3315, ibuf_dualtag, _T_3319) @[el2_lsu_bus_buffer.scala 450:49] + node _T_3321 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 450:67] + node _T_3322 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] + node _T_3323 = eq(WrPtr1_r, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 450:127] + node _T_3324 = and(_T_3322, _T_3323) @[el2_lsu_bus_buffer.scala 450:116] + node _T_3325 = mux(_T_3324, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] + node _T_3326 = mux(_T_3321, ibuf_dualtag, _T_3325) @[el2_lsu_bus_buffer.scala 450:49] + node _T_3327 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 450:67] + node _T_3328 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 450:99] + node _T_3329 = eq(WrPtr1_r, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 450:127] + node _T_3330 = and(_T_3328, _T_3329) @[el2_lsu_bus_buffer.scala 450:116] + node _T_3331 = mux(_T_3330, WrPtr0_r, WrPtr1_r) @[el2_lsu_bus_buffer.scala 450:89] + node _T_3332 = mux(_T_3327, ibuf_dualtag, _T_3331) @[el2_lsu_bus_buffer.scala 450:49] + buf_dualtag_in[0] <= _T_3314 @[el2_lsu_bus_buffer.scala 450:20] + buf_dualtag_in[1] <= _T_3320 @[el2_lsu_bus_buffer.scala 450:20] + buf_dualtag_in[2] <= _T_3326 @[el2_lsu_bus_buffer.scala 450:20] + buf_dualtag_in[3] <= _T_3332 @[el2_lsu_bus_buffer.scala 450:20] + node _T_3333 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 451:71] + node _T_3334 = mux(_T_3333, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] + node _T_3335 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 451:71] + node _T_3336 = mux(_T_3335, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] + node _T_3337 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 451:71] + node _T_3338 = mux(_T_3337, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] + node _T_3339 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 451:71] + node _T_3340 = mux(_T_3339, ibuf_sideeffect, io.is_sideeffects_r) @[el2_lsu_bus_buffer.scala 451:53] + node _T_3341 = cat(_T_3340, _T_3338) @[Cat.scala 29:58] + node _T_3342 = cat(_T_3341, _T_3336) @[Cat.scala 29:58] + node _T_3343 = cat(_T_3342, _T_3334) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3343 @[el2_lsu_bus_buffer.scala 451:23] + node _T_3344 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 452:67] + node _T_3345 = mux(_T_3344, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] + node _T_3346 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 452:67] + node _T_3347 = mux(_T_3346, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] + node _T_3348 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 452:67] + node _T_3349 = mux(_T_3348, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] + node _T_3350 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 452:67] + node _T_3351 = mux(_T_3350, ibuf_unsign, io.lsu_pkt_r.unsign) @[el2_lsu_bus_buffer.scala 452:49] + node _T_3352 = cat(_T_3351, _T_3349) @[Cat.scala 29:58] + node _T_3353 = cat(_T_3352, _T_3347) @[Cat.scala 29:58] + node _T_3354 = cat(_T_3353, _T_3345) @[Cat.scala 29:58] + buf_unsign_in <= _T_3354 @[el2_lsu_bus_buffer.scala 452:19] + node _T_3355 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 453:62] + node _T_3356 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3357 = mux(_T_3355, ibuf_sz, _T_3356) @[el2_lsu_bus_buffer.scala 453:44] + node _T_3358 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 453:62] + node _T_3359 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3360 = mux(_T_3358, ibuf_sz, _T_3359) @[el2_lsu_bus_buffer.scala 453:44] + node _T_3361 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 453:62] + node _T_3362 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3363 = mux(_T_3361, ibuf_sz, _T_3362) @[el2_lsu_bus_buffer.scala 453:44] + node _T_3364 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 453:62] + node _T_3365 = cat(io.lsu_pkt_r.word, io.lsu_pkt_r.half) @[Cat.scala 29:58] + node _T_3366 = mux(_T_3364, ibuf_sz, _T_3365) @[el2_lsu_bus_buffer.scala 453:44] + buf_sz_in[0] <= _T_3357 @[el2_lsu_bus_buffer.scala 453:15] + buf_sz_in[1] <= _T_3360 @[el2_lsu_bus_buffer.scala 453:15] + buf_sz_in[2] <= _T_3363 @[el2_lsu_bus_buffer.scala 453:15] + buf_sz_in[3] <= _T_3366 @[el2_lsu_bus_buffer.scala 453:15] + node _T_3367 = bits(ibuf_drainvec_vld, 0, 0) @[el2_lsu_bus_buffer.scala 454:66] + node _T_3368 = mux(_T_3367, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] + node _T_3369 = bits(ibuf_drainvec_vld, 1, 1) @[el2_lsu_bus_buffer.scala 454:66] + node _T_3370 = mux(_T_3369, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] + node _T_3371 = bits(ibuf_drainvec_vld, 2, 2) @[el2_lsu_bus_buffer.scala 454:66] + node _T_3372 = mux(_T_3371, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] + node _T_3373 = bits(ibuf_drainvec_vld, 3, 3) @[el2_lsu_bus_buffer.scala 454:66] + node _T_3374 = mux(_T_3373, ibuf_write, io.lsu_pkt_r.store) @[el2_lsu_bus_buffer.scala 454:48] + node _T_3375 = cat(_T_3374, _T_3372) @[Cat.scala 29:58] + node _T_3376 = cat(_T_3375, _T_3370) @[Cat.scala 29:58] + node _T_3377 = cat(_T_3376, _T_3368) @[Cat.scala 29:58] + buf_write_in <= _T_3377 @[el2_lsu_bus_buffer.scala 454:18] + node _T_3378 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3378 : @[Conditional.scala 40:58] + node _T_3379 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] + node _T_3380 = mux(_T_3379, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] + buf_nxtstate[0] <= _T_3380 @[el2_lsu_bus_buffer.scala 459:25] + node _T_3381 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] + node _T_3382 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] + node _T_3383 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] + node _T_3384 = and(_T_3382, _T_3383) @[el2_lsu_bus_buffer.scala 460:95] + node _T_3385 = eq(UInt<1>("h00"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] + node _T_3386 = and(_T_3384, _T_3385) @[el2_lsu_bus_buffer.scala 460:112] + node _T_3387 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] + node _T_3388 = eq(UInt<1>("h00"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] + node _T_3389 = and(_T_3387, _T_3388) @[el2_lsu_bus_buffer.scala 460:161] + node _T_3390 = or(_T_3386, _T_3389) @[el2_lsu_bus_buffer.scala 460:132] + node _T_3391 = and(_T_3381, _T_3390) @[el2_lsu_bus_buffer.scala 460:63] + node _T_3392 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] + node _T_3393 = and(ibuf_drain_vld, _T_3392) @[el2_lsu_bus_buffer.scala 460:201] + node _T_3394 = or(_T_3391, _T_3393) @[el2_lsu_bus_buffer.scala 460:183] + buf_state_en[0] <= _T_3394 @[el2_lsu_bus_buffer.scala 460:25] buf_wr_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 461:22] buf_data_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 462:24] - node _T_3419 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] - node _T_3420 = and(ibuf_drain_vld, _T_3419) @[el2_lsu_bus_buffer.scala 463:47] - node _T_3421 = bits(_T_3420, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] - node _T_3422 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] - node _T_3423 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] - node _T_3424 = mux(_T_3421, _T_3422, _T_3423) @[el2_lsu_bus_buffer.scala 463:30] - buf_data_in[0] <= _T_3424 @[el2_lsu_bus_buffer.scala 463:24] + node _T_3395 = eq(UInt<1>("h00"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] + node _T_3396 = and(ibuf_drain_vld, _T_3395) @[el2_lsu_bus_buffer.scala 463:47] + node _T_3397 = bits(_T_3396, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] + node _T_3398 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] + node _T_3399 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] + node _T_3400 = mux(_T_3397, _T_3398, _T_3399) @[el2_lsu_bus_buffer.scala 463:30] + buf_data_in[0] <= _T_3400 @[el2_lsu_bus_buffer.scala 463:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3425 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3425 : @[Conditional.scala 39:67] - node _T_3426 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] - node _T_3427 = mux(_T_3426, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] - buf_nxtstate[0] <= _T_3427 @[el2_lsu_bus_buffer.scala 466:25] - node _T_3428 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] - buf_state_en[0] <= _T_3428 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3401 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3401 : @[Conditional.scala 39:67] + node _T_3402 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] + node _T_3403 = mux(_T_3402, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] + buf_nxtstate[0] <= _T_3403 @[el2_lsu_bus_buffer.scala 466:25] + node _T_3404 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] + buf_state_en[0] <= _T_3404 @[el2_lsu_bus_buffer.scala 467:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3429 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3429 : @[Conditional.scala 39:67] - node _T_3430 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_3431 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] - node _T_3432 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] - node _T_3433 = and(_T_3431, _T_3432) @[el2_lsu_bus_buffer.scala 470:104] - node _T_3434 = mux(_T_3433, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] - node _T_3435 = mux(_T_3430, UInt<3>("h00"), _T_3434) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[0] <= _T_3435 @[el2_lsu_bus_buffer.scala 470:25] - node _T_3436 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 471:48] - node _T_3437 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3438 = and(obuf_merge, _T_3437) @[el2_lsu_bus_buffer.scala 471:91] - node _T_3439 = or(_T_3436, _T_3438) @[el2_lsu_bus_buffer.scala 471:77] - node _T_3440 = and(_T_3439, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] - node _T_3441 = and(_T_3440, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] - buf_cmd_state_bus_en[0] <= _T_3441 @[el2_lsu_bus_buffer.scala 471:33] + node _T_3405 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3405 : @[Conditional.scala 39:67] + node _T_3406 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] + node _T_3407 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] + node _T_3408 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] + node _T_3409 = and(_T_3407, _T_3408) @[el2_lsu_bus_buffer.scala 470:104] + node _T_3410 = mux(_T_3409, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] + node _T_3411 = mux(_T_3406, UInt<3>("h00"), _T_3410) @[el2_lsu_bus_buffer.scala 470:31] + buf_nxtstate[0] <= _T_3411 @[el2_lsu_bus_buffer.scala 470:25] + node _T_3412 = eq(obuf_tag0, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 471:48] + node _T_3413 = eq(obuf_tag1, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 471:104] + node _T_3414 = and(obuf_merge, _T_3413) @[el2_lsu_bus_buffer.scala 471:91] + node _T_3415 = or(_T_3412, _T_3414) @[el2_lsu_bus_buffer.scala 471:77] + node _T_3416 = and(_T_3415, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] + node _T_3417 = and(_T_3416, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] + buf_cmd_state_bus_en[0] <= _T_3417 @[el2_lsu_bus_buffer.scala 471:33] buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[el2_lsu_bus_buffer.scala 472:29] - node _T_3442 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] - node _T_3443 = or(_T_3442, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] - buf_state_en[0] <= _T_3443 @[el2_lsu_bus_buffer.scala 473:25] + node _T_3418 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] + node _T_3419 = or(_T_3418, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] + buf_state_en[0] <= _T_3419 @[el2_lsu_bus_buffer.scala 473:25] buf_ldfwd_in[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 474:25] - node _T_3444 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 475:56] - node _T_3445 = eq(_T_3444, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] - node _T_3446 = and(buf_state_en[0], _T_3445) @[el2_lsu_bus_buffer.scala 475:44] - node _T_3447 = and(_T_3446, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] - node _T_3448 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] - node _T_3449 = and(_T_3447, _T_3448) @[el2_lsu_bus_buffer.scala 475:74] - buf_ldfwd_en[0] <= _T_3449 @[el2_lsu_bus_buffer.scala 475:25] - node _T_3450 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] - buf_ldfwdtag_in[0] <= _T_3450 @[el2_lsu_bus_buffer.scala 476:28] - node _T_3451 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] - node _T_3452 = and(_T_3451, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] - node _T_3453 = and(_T_3452, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] - buf_data_en[0] <= _T_3453 @[el2_lsu_bus_buffer.scala 477:24] - node _T_3454 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] - node _T_3455 = and(_T_3454, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] - node _T_3456 = and(_T_3455, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] - buf_error_en[0] <= _T_3456 @[el2_lsu_bus_buffer.scala 478:25] - node _T_3457 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] - node _T_3458 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] - node _T_3459 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3460 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] - node _T_3461 = mux(_T_3458, _T_3459, _T_3460) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3462 = mux(buf_error_en[0], _T_3457, _T_3461) @[el2_lsu_bus_buffer.scala 479:30] - buf_data_in[0] <= _T_3462 @[el2_lsu_bus_buffer.scala 479:24] + node _T_3420 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 475:56] + node _T_3421 = eq(_T_3420, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] + node _T_3422 = and(buf_state_en[0], _T_3421) @[el2_lsu_bus_buffer.scala 475:44] + node _T_3423 = and(_T_3422, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] + node _T_3424 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] + node _T_3425 = and(_T_3423, _T_3424) @[el2_lsu_bus_buffer.scala 475:74] + buf_ldfwd_en[0] <= _T_3425 @[el2_lsu_bus_buffer.scala 475:25] + node _T_3426 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] + buf_ldfwdtag_in[0] <= _T_3426 @[el2_lsu_bus_buffer.scala 476:28] + node _T_3427 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] + node _T_3428 = and(_T_3427, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] + node _T_3429 = and(_T_3428, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] + buf_data_en[0] <= _T_3429 @[el2_lsu_bus_buffer.scala 477:24] + node _T_3430 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] + node _T_3431 = and(_T_3430, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] + node _T_3432 = and(_T_3431, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] + buf_error_en[0] <= _T_3432 @[el2_lsu_bus_buffer.scala 478:25] + node _T_3433 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] + node _T_3434 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] + node _T_3435 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] + node _T_3436 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] + node _T_3437 = mux(_T_3434, _T_3435, _T_3436) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3438 = mux(buf_error_en[0], _T_3433, _T_3437) @[el2_lsu_bus_buffer.scala 479:30] + buf_data_in[0] <= _T_3438 @[el2_lsu_bus_buffer.scala 479:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3463 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3463 : @[Conditional.scala 39:67] - node _T_3464 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 482:67] - node _T_3465 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] - node _T_3466 = eq(_T_3465, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] - node _T_3467 = and(_T_3464, _T_3466) @[el2_lsu_bus_buffer.scala 482:71] - node _T_3468 = or(io.dec_tlu_force_halt, _T_3467) @[el2_lsu_bus_buffer.scala 482:55] - node _T_3469 = bits(_T_3468, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] - node _T_3470 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] - node _T_3471 = and(buf_dual[0], _T_3470) @[el2_lsu_bus_buffer.scala 483:28] - node _T_3472 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 483:57] - node _T_3473 = eq(_T_3472, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] - node _T_3474 = and(_T_3471, _T_3473) @[el2_lsu_bus_buffer.scala 483:45] - node _T_3475 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] - node _T_3476 = and(_T_3474, _T_3475) @[el2_lsu_bus_buffer.scala 483:61] - node _T_3477 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 484:27] - node _T_3478 = or(_T_3477, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] - node _T_3479 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] - node _T_3480 = and(buf_dual[0], _T_3479) @[el2_lsu_bus_buffer.scala 484:68] - node _T_3481 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 484:97] - node _T_3482 = eq(_T_3481, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] - node _T_3483 = and(_T_3480, _T_3482) @[el2_lsu_bus_buffer.scala 484:85] - node _T_3484 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3485 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3486 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3487 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3488 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3489 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3490 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3491 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3492 = mux(_T_3484, _T_3485, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3493 = mux(_T_3486, _T_3487, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3494 = mux(_T_3488, _T_3489, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3495 = mux(_T_3490, _T_3491, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3496 = or(_T_3492, _T_3493) @[Mux.scala 27:72] - node _T_3497 = or(_T_3496, _T_3494) @[Mux.scala 27:72] - node _T_3498 = or(_T_3497, _T_3495) @[Mux.scala 27:72] - wire _T_3499 : UInt<1> @[Mux.scala 27:72] - _T_3499 <= _T_3498 @[Mux.scala 27:72] - node _T_3500 = and(_T_3483, _T_3499) @[el2_lsu_bus_buffer.scala 484:101] - node _T_3501 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] - node _T_3502 = and(_T_3500, _T_3501) @[el2_lsu_bus_buffer.scala 484:138] - node _T_3503 = and(_T_3502, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] - node _T_3504 = or(_T_3478, _T_3503) @[el2_lsu_bus_buffer.scala 484:53] - node _T_3505 = mux(_T_3504, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] - node _T_3506 = mux(_T_3476, UInt<3>("h04"), _T_3505) @[el2_lsu_bus_buffer.scala 483:14] - node _T_3507 = mux(_T_3469, UInt<3>("h00"), _T_3506) @[el2_lsu_bus_buffer.scala 482:31] - buf_nxtstate[0] <= _T_3507 @[el2_lsu_bus_buffer.scala 482:25] - node _T_3508 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 485:73] - node _T_3509 = and(bus_rsp_write, _T_3508) @[el2_lsu_bus_buffer.scala 485:52] - node _T_3510 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 486:46] - node _T_3511 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 487:23] - node _T_3512 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3513 = and(_T_3511, _T_3512) @[el2_lsu_bus_buffer.scala 487:27] - node _T_3514 = or(_T_3510, _T_3513) @[el2_lsu_bus_buffer.scala 486:77] - node _T_3515 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 488:26] - node _T_3516 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 488:54] - node _T_3517 = not(_T_3516) @[el2_lsu_bus_buffer.scala 488:44] - node _T_3518 = and(_T_3515, _T_3517) @[el2_lsu_bus_buffer.scala 488:42] - node _T_3519 = and(_T_3518, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 488:58] - node _T_3520 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 488:94] - node _T_3521 = and(_T_3519, _T_3520) @[el2_lsu_bus_buffer.scala 488:74] - node _T_3522 = or(_T_3514, _T_3521) @[el2_lsu_bus_buffer.scala 487:71] - node _T_3523 = and(bus_rsp_read, _T_3522) @[el2_lsu_bus_buffer.scala 486:25] - node _T_3524 = or(_T_3509, _T_3523) @[el2_lsu_bus_buffer.scala 485:105] - buf_resp_state_bus_en[0] <= _T_3524 @[el2_lsu_bus_buffer.scala 485:34] + node _T_3439 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3439 : @[Conditional.scala 39:67] + node _T_3440 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3441 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] + node _T_3442 = eq(_T_3441, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] + node _T_3443 = and(_T_3440, _T_3442) @[el2_lsu_bus_buffer.scala 482:71] + node _T_3444 = or(io.dec_tlu_force_halt, _T_3443) @[el2_lsu_bus_buffer.scala 482:55] + node _T_3445 = bits(_T_3444, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] + node _T_3446 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] + node _T_3447 = and(buf_dual[0], _T_3446) @[el2_lsu_bus_buffer.scala 483:28] + node _T_3448 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 483:57] + node _T_3449 = eq(_T_3448, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] + node _T_3450 = and(_T_3447, _T_3449) @[el2_lsu_bus_buffer.scala 483:45] + node _T_3451 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] + node _T_3452 = and(_T_3450, _T_3451) @[el2_lsu_bus_buffer.scala 483:61] + node _T_3453 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 484:27] + node _T_3454 = or(_T_3453, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] + node _T_3455 = eq(buf_samedw[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] + node _T_3456 = and(buf_dual[0], _T_3455) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3457 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 484:97] + node _T_3458 = eq(_T_3457, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] + node _T_3459 = and(_T_3456, _T_3458) @[el2_lsu_bus_buffer.scala 484:85] + node _T_3460 = eq(buf_dualtag[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3461 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3462 = eq(buf_dualtag[0], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3463 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3464 = eq(buf_dualtag[0], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3465 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3466 = eq(buf_dualtag[0], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3467 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3468 = mux(_T_3460, _T_3461, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3469 = mux(_T_3462, _T_3463, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3470 = mux(_T_3464, _T_3465, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3471 = mux(_T_3466, _T_3467, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3472 = or(_T_3468, _T_3469) @[Mux.scala 27:72] + node _T_3473 = or(_T_3472, _T_3470) @[Mux.scala 27:72] + node _T_3474 = or(_T_3473, _T_3471) @[Mux.scala 27:72] + wire _T_3475 : UInt<1> @[Mux.scala 27:72] + _T_3475 <= _T_3474 @[Mux.scala 27:72] + node _T_3476 = and(_T_3459, _T_3475) @[el2_lsu_bus_buffer.scala 484:101] + node _T_3477 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] + node _T_3478 = and(_T_3476, _T_3477) @[el2_lsu_bus_buffer.scala 484:138] + node _T_3479 = and(_T_3478, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] + node _T_3480 = or(_T_3454, _T_3479) @[el2_lsu_bus_buffer.scala 484:53] + node _T_3481 = mux(_T_3480, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] + node _T_3482 = mux(_T_3452, UInt<3>("h04"), _T_3481) @[el2_lsu_bus_buffer.scala 483:14] + node _T_3483 = mux(_T_3445, UInt<3>("h00"), _T_3482) @[el2_lsu_bus_buffer.scala 482:31] + buf_nxtstate[0] <= _T_3483 @[el2_lsu_bus_buffer.scala 482:25] + node _T_3484 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 485:73] + node _T_3485 = and(bus_rsp_write, _T_3484) @[el2_lsu_bus_buffer.scala 485:52] + node _T_3486 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 486:46] + node _T_3487 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 487:23] + node _T_3488 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 487:47] + node _T_3489 = and(_T_3487, _T_3488) @[el2_lsu_bus_buffer.scala 487:27] + node _T_3490 = or(_T_3486, _T_3489) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3491 = and(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 488:26] + node _T_3492 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 488:54] + node _T_3493 = not(_T_3492) @[el2_lsu_bus_buffer.scala 488:44] + node _T_3494 = and(_T_3491, _T_3493) @[el2_lsu_bus_buffer.scala 488:42] + node _T_3495 = and(_T_3494, buf_samedw[0]) @[el2_lsu_bus_buffer.scala 488:58] + node _T_3496 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 488:94] + node _T_3497 = and(_T_3495, _T_3496) @[el2_lsu_bus_buffer.scala 488:74] + node _T_3498 = or(_T_3490, _T_3497) @[el2_lsu_bus_buffer.scala 487:71] + node _T_3499 = and(bus_rsp_read, _T_3498) @[el2_lsu_bus_buffer.scala 486:25] + node _T_3500 = or(_T_3485, _T_3499) @[el2_lsu_bus_buffer.scala 485:105] + buf_resp_state_bus_en[0] <= _T_3500 @[el2_lsu_bus_buffer.scala 485:34] buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[el2_lsu_bus_buffer.scala 489:29] - node _T_3525 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] - node _T_3526 = or(_T_3525, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] - buf_state_en[0] <= _T_3526 @[el2_lsu_bus_buffer.scala 490:25] - node _T_3527 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3528 = and(_T_3527, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] - buf_data_en[0] <= _T_3528 @[el2_lsu_bus_buffer.scala 491:24] - node _T_3529 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] - node _T_3530 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 492:111] - node _T_3531 = and(bus_rsp_read_error, _T_3530) @[el2_lsu_bus_buffer.scala 492:91] - node _T_3532 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 493:42] - node _T_3533 = and(bus_rsp_read_error, _T_3532) @[el2_lsu_bus_buffer.scala 493:31] - node _T_3534 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 493:66] - node _T_3535 = and(_T_3533, _T_3534) @[el2_lsu_bus_buffer.scala 493:46] - node _T_3536 = or(_T_3531, _T_3535) @[el2_lsu_bus_buffer.scala 492:143] - node _T_3537 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] - node _T_3538 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 494:74] - node _T_3539 = and(_T_3537, _T_3538) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3540 = or(_T_3536, _T_3539) @[el2_lsu_bus_buffer.scala 493:88] - node _T_3541 = and(_T_3529, _T_3540) @[el2_lsu_bus_buffer.scala 492:68] - buf_error_en[0] <= _T_3541 @[el2_lsu_bus_buffer.scala 492:25] - node _T_3542 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] - node _T_3543 = and(buf_state_en[0], _T_3542) @[el2_lsu_bus_buffer.scala 495:48] - node _T_3544 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] - node _T_3545 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] - node _T_3546 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] - node _T_3547 = mux(_T_3544, _T_3545, _T_3546) @[el2_lsu_bus_buffer.scala 495:72] - node _T_3548 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] - node _T_3549 = mux(_T_3543, _T_3547, _T_3548) @[el2_lsu_bus_buffer.scala 495:30] - buf_data_in[0] <= _T_3549 @[el2_lsu_bus_buffer.scala 495:24] + node _T_3501 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] + node _T_3502 = or(_T_3501, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] + buf_state_en[0] <= _T_3502 @[el2_lsu_bus_buffer.scala 490:25] + node _T_3503 = and(buf_state_bus_en[0], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] + node _T_3504 = and(_T_3503, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] + buf_data_en[0] <= _T_3504 @[el2_lsu_bus_buffer.scala 491:24] + node _T_3505 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] + node _T_3506 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 492:111] + node _T_3507 = and(bus_rsp_read_error, _T_3506) @[el2_lsu_bus_buffer.scala 492:91] + node _T_3508 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 493:42] + node _T_3509 = and(bus_rsp_read_error, _T_3508) @[el2_lsu_bus_buffer.scala 493:31] + node _T_3510 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[el2_lsu_bus_buffer.scala 493:66] + node _T_3511 = and(_T_3509, _T_3510) @[el2_lsu_bus_buffer.scala 493:46] + node _T_3512 = or(_T_3507, _T_3511) @[el2_lsu_bus_buffer.scala 492:143] + node _T_3513 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] + node _T_3514 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 494:74] + node _T_3515 = and(_T_3513, _T_3514) @[el2_lsu_bus_buffer.scala 494:53] + node _T_3516 = or(_T_3512, _T_3515) @[el2_lsu_bus_buffer.scala 493:88] + node _T_3517 = and(_T_3505, _T_3516) @[el2_lsu_bus_buffer.scala 492:68] + buf_error_en[0] <= _T_3517 @[el2_lsu_bus_buffer.scala 492:25] + node _T_3518 = eq(buf_error_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] + node _T_3519 = and(buf_state_en[0], _T_3518) @[el2_lsu_bus_buffer.scala 495:48] + node _T_3520 = bits(buf_addr[0], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] + node _T_3521 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] + node _T_3522 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] + node _T_3523 = mux(_T_3520, _T_3521, _T_3522) @[el2_lsu_bus_buffer.scala 495:72] + node _T_3524 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] + node _T_3525 = mux(_T_3519, _T_3523, _T_3524) @[el2_lsu_bus_buffer.scala 495:30] + buf_data_in[0] <= _T_3525 @[el2_lsu_bus_buffer.scala 495:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3550 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3550 : @[Conditional.scala 39:67] - node _T_3551 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] - node _T_3552 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 498:86] - node _T_3553 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 498:101] - node _T_3554 = bits(_T_3553, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] - node _T_3555 = or(_T_3552, _T_3554) @[el2_lsu_bus_buffer.scala 498:90] - node _T_3556 = or(_T_3555, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] - node _T_3557 = mux(_T_3556, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] - node _T_3558 = mux(_T_3551, UInt<3>("h00"), _T_3557) @[el2_lsu_bus_buffer.scala 498:31] - buf_nxtstate[0] <= _T_3558 @[el2_lsu_bus_buffer.scala 498:25] - node _T_3559 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 499:66] - node _T_3560 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 500:21] - node _T_3561 = bits(_T_3560, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] - node _T_3562 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 500:58] - node _T_3563 = and(_T_3561, _T_3562) @[el2_lsu_bus_buffer.scala 500:38] - node _T_3564 = or(_T_3559, _T_3563) @[el2_lsu_bus_buffer.scala 499:95] - node _T_3565 = and(bus_rsp_read, _T_3564) @[el2_lsu_bus_buffer.scala 499:45] - buf_state_bus_en[0] <= _T_3565 @[el2_lsu_bus_buffer.scala 499:29] - node _T_3566 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] - node _T_3567 = or(_T_3566, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] - buf_state_en[0] <= _T_3567 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3526 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3526 : @[Conditional.scala 39:67] + node _T_3527 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] + node _T_3528 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 498:86] + node _T_3529 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 498:101] + node _T_3530 = bits(_T_3529, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] + node _T_3531 = or(_T_3528, _T_3530) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3532 = or(_T_3531, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] + node _T_3533 = mux(_T_3532, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] + node _T_3534 = mux(_T_3527, UInt<3>("h00"), _T_3533) @[el2_lsu_bus_buffer.scala 498:31] + buf_nxtstate[0] <= _T_3534 @[el2_lsu_bus_buffer.scala 498:25] + node _T_3535 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 499:66] + node _T_3536 = dshr(buf_ldfwd, buf_dualtag[0]) @[el2_lsu_bus_buffer.scala 500:21] + node _T_3537 = bits(_T_3536, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] + node _T_3538 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[el2_lsu_bus_buffer.scala 500:58] + node _T_3539 = and(_T_3537, _T_3538) @[el2_lsu_bus_buffer.scala 500:38] + node _T_3540 = or(_T_3535, _T_3539) @[el2_lsu_bus_buffer.scala 499:95] + node _T_3541 = and(bus_rsp_read, _T_3540) @[el2_lsu_bus_buffer.scala 499:45] + buf_state_bus_en[0] <= _T_3541 @[el2_lsu_bus_buffer.scala 499:29] + node _T_3542 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] + node _T_3543 = or(_T_3542, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] + buf_state_en[0] <= _T_3543 @[el2_lsu_bus_buffer.scala 501:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3568 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3568 : @[Conditional.scala 39:67] - node _T_3569 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_3570 = mux(_T_3569, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[0] <= _T_3570 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3571 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 505:37] - node _T_3572 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] - node _T_3573 = and(buf_dual[0], _T_3572) @[el2_lsu_bus_buffer.scala 505:80] - node _T_3574 = or(_T_3571, _T_3573) @[el2_lsu_bus_buffer.scala 505:65] - node _T_3575 = or(_T_3574, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] - buf_state_en[0] <= _T_3575 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3544 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3544 : @[Conditional.scala 39:67] + node _T_3545 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_3546 = mux(_T_3545, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[0] <= _T_3546 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3547 = eq(RspPtr, UInt<2>("h00")) @[el2_lsu_bus_buffer.scala 505:37] + node _T_3548 = eq(buf_dualtag[0], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] + node _T_3549 = and(buf_dual[0], _T_3548) @[el2_lsu_bus_buffer.scala 505:80] + node _T_3550 = or(_T_3547, _T_3549) @[el2_lsu_bus_buffer.scala 505:65] + node _T_3551 = or(_T_3550, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] + buf_state_en[0] <= _T_3551 @[el2_lsu_bus_buffer.scala 505:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3576 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] - when _T_3576 : @[Conditional.scala 39:67] + node _T_3552 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3552 : @[Conditional.scala 39:67] buf_nxtstate[0] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 508:25] buf_rst[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:20] buf_state_en[0] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] buf_ldfwd_in[0] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 511:25] buf_ldfwd_en[0] <= buf_state_en[0] @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] - node _T_3577 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] - reg _T_3578 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3577 : @[Reg.scala 28:19] - _T_3578 <= buf_nxtstate[0] @[Reg.scala 28:23] + node _T_3553 = bits(buf_state_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] + reg _T_3554 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3553 : @[Reg.scala 28:19] + _T_3554 <= buf_nxtstate[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[0] <= _T_3578 @[el2_lsu_bus_buffer.scala 515:18] - reg _T_3579 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] - _T_3579 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 516:60] - buf_ageQ[0] <= _T_3579 @[el2_lsu_bus_buffer.scala 516:17] - reg _T_3580 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] - _T_3580 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 517:63] - buf_rspageQ[0] <= _T_3580 @[el2_lsu_bus_buffer.scala 517:20] - node _T_3581 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] - reg _T_3582 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3581 : @[Reg.scala 28:19] - _T_3582 <= buf_dualtag_in[0] @[Reg.scala 28:23] + buf_state[0] <= _T_3554 @[el2_lsu_bus_buffer.scala 515:18] + reg _T_3555 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] + _T_3555 <= buf_age_in_0 @[el2_lsu_bus_buffer.scala 516:60] + buf_ageQ[0] <= _T_3555 @[el2_lsu_bus_buffer.scala 516:17] + reg _T_3556 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] + _T_3556 <= buf_rspage_in[0] @[el2_lsu_bus_buffer.scala 517:63] + buf_rspageQ[0] <= _T_3556 @[el2_lsu_bus_buffer.scala 517:20] + node _T_3557 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] + reg _T_3558 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3557 : @[Reg.scala 28:19] + _T_3558 <= buf_dualtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[0] <= _T_3582 @[el2_lsu_bus_buffer.scala 518:20] - node _T_3583 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 519:74] - node _T_3584 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] - reg _T_3585 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3584 : @[Reg.scala 28:19] - _T_3585 <= _T_3583 @[Reg.scala 28:23] + buf_dualtag[0] <= _T_3558 @[el2_lsu_bus_buffer.scala 518:20] + node _T_3559 = bits(buf_dual_in, 0, 0) @[el2_lsu_bus_buffer.scala 519:74] + node _T_3560 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] + reg _T_3561 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3560 : @[Reg.scala 28:19] + _T_3561 <= _T_3559 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[0] <= _T_3585 @[el2_lsu_bus_buffer.scala 519:17] - node _T_3586 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 520:78] - node _T_3587 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] - reg _T_3588 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3587 : @[Reg.scala 28:19] - _T_3588 <= _T_3586 @[Reg.scala 28:23] + buf_dual[0] <= _T_3561 @[el2_lsu_bus_buffer.scala 519:17] + node _T_3562 = bits(buf_samedw_in, 0, 0) @[el2_lsu_bus_buffer.scala 520:78] + node _T_3563 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] + reg _T_3564 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3563 : @[Reg.scala 28:19] + _T_3564 <= _T_3562 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[0] <= _T_3588 @[el2_lsu_bus_buffer.scala 520:19] - node _T_3589 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 521:80] - node _T_3590 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] - reg _T_3591 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3590 : @[Reg.scala 28:19] - _T_3591 <= _T_3589 @[Reg.scala 28:23] + buf_samedw[0] <= _T_3564 @[el2_lsu_bus_buffer.scala 520:19] + node _T_3565 = bits(buf_nomerge_in, 0, 0) @[el2_lsu_bus_buffer.scala 521:80] + node _T_3566 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] + reg _T_3567 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3566 : @[Reg.scala 28:19] + _T_3567 <= _T_3565 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[0] <= _T_3591 @[el2_lsu_bus_buffer.scala 521:20] - node _T_3592 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 522:78] - node _T_3593 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] - reg _T_3594 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3593 : @[Reg.scala 28:19] - _T_3594 <= _T_3592 @[Reg.scala 28:23] + buf_nomerge[0] <= _T_3567 @[el2_lsu_bus_buffer.scala 521:20] + node _T_3568 = bits(buf_dualhi_in, 0, 0) @[el2_lsu_bus_buffer.scala 522:78] + node _T_3569 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] + reg _T_3570 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3569 : @[Reg.scala 28:19] + _T_3570 <= _T_3568 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[0] <= _T_3594 @[el2_lsu_bus_buffer.scala 522:19] - node _T_3595 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3595 : @[Conditional.scala 40:58] - node _T_3596 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] - node _T_3597 = mux(_T_3596, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] - buf_nxtstate[1] <= _T_3597 @[el2_lsu_bus_buffer.scala 459:25] - node _T_3598 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] - node _T_3599 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] - node _T_3600 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] - node _T_3601 = and(_T_3599, _T_3600) @[el2_lsu_bus_buffer.scala 460:95] - node _T_3602 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] - node _T_3603 = and(_T_3601, _T_3602) @[el2_lsu_bus_buffer.scala 460:112] - node _T_3604 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] - node _T_3605 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] - node _T_3606 = and(_T_3604, _T_3605) @[el2_lsu_bus_buffer.scala 460:161] - node _T_3607 = or(_T_3603, _T_3606) @[el2_lsu_bus_buffer.scala 460:132] - node _T_3608 = and(_T_3598, _T_3607) @[el2_lsu_bus_buffer.scala 460:63] - node _T_3609 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] - node _T_3610 = and(ibuf_drain_vld, _T_3609) @[el2_lsu_bus_buffer.scala 460:201] - node _T_3611 = or(_T_3608, _T_3610) @[el2_lsu_bus_buffer.scala 460:183] - buf_state_en[1] <= _T_3611 @[el2_lsu_bus_buffer.scala 460:25] + buf_dualhi[0] <= _T_3570 @[el2_lsu_bus_buffer.scala 522:19] + node _T_3571 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3571 : @[Conditional.scala 40:58] + node _T_3572 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] + node _T_3573 = mux(_T_3572, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] + buf_nxtstate[1] <= _T_3573 @[el2_lsu_bus_buffer.scala 459:25] + node _T_3574 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] + node _T_3575 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] + node _T_3576 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] + node _T_3577 = and(_T_3575, _T_3576) @[el2_lsu_bus_buffer.scala 460:95] + node _T_3578 = eq(UInt<1>("h01"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] + node _T_3579 = and(_T_3577, _T_3578) @[el2_lsu_bus_buffer.scala 460:112] + node _T_3580 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] + node _T_3581 = eq(UInt<1>("h01"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] + node _T_3582 = and(_T_3580, _T_3581) @[el2_lsu_bus_buffer.scala 460:161] + node _T_3583 = or(_T_3579, _T_3582) @[el2_lsu_bus_buffer.scala 460:132] + node _T_3584 = and(_T_3574, _T_3583) @[el2_lsu_bus_buffer.scala 460:63] + node _T_3585 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] + node _T_3586 = and(ibuf_drain_vld, _T_3585) @[el2_lsu_bus_buffer.scala 460:201] + node _T_3587 = or(_T_3584, _T_3586) @[el2_lsu_bus_buffer.scala 460:183] + buf_state_en[1] <= _T_3587 @[el2_lsu_bus_buffer.scala 460:25] buf_wr_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 461:22] buf_data_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 462:24] - node _T_3612 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] - node _T_3613 = and(ibuf_drain_vld, _T_3612) @[el2_lsu_bus_buffer.scala 463:47] - node _T_3614 = bits(_T_3613, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] - node _T_3615 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] - node _T_3616 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] - node _T_3617 = mux(_T_3614, _T_3615, _T_3616) @[el2_lsu_bus_buffer.scala 463:30] - buf_data_in[1] <= _T_3617 @[el2_lsu_bus_buffer.scala 463:24] + node _T_3588 = eq(UInt<1>("h01"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] + node _T_3589 = and(ibuf_drain_vld, _T_3588) @[el2_lsu_bus_buffer.scala 463:47] + node _T_3590 = bits(_T_3589, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] + node _T_3591 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] + node _T_3592 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] + node _T_3593 = mux(_T_3590, _T_3591, _T_3592) @[el2_lsu_bus_buffer.scala 463:30] + buf_data_in[1] <= _T_3593 @[el2_lsu_bus_buffer.scala 463:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3618 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3618 : @[Conditional.scala 39:67] - node _T_3619 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] - node _T_3620 = mux(_T_3619, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] - buf_nxtstate[1] <= _T_3620 @[el2_lsu_bus_buffer.scala 466:25] - node _T_3621 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] - buf_state_en[1] <= _T_3621 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3594 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3594 : @[Conditional.scala 39:67] + node _T_3595 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] + node _T_3596 = mux(_T_3595, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] + buf_nxtstate[1] <= _T_3596 @[el2_lsu_bus_buffer.scala 466:25] + node _T_3597 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] + buf_state_en[1] <= _T_3597 @[el2_lsu_bus_buffer.scala 467:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3622 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3622 : @[Conditional.scala 39:67] - node _T_3623 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_3624 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] - node _T_3625 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] - node _T_3626 = and(_T_3624, _T_3625) @[el2_lsu_bus_buffer.scala 470:104] - node _T_3627 = mux(_T_3626, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] - node _T_3628 = mux(_T_3623, UInt<3>("h00"), _T_3627) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[1] <= _T_3628 @[el2_lsu_bus_buffer.scala 470:25] - node _T_3629 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 471:48] - node _T_3630 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3631 = and(obuf_merge, _T_3630) @[el2_lsu_bus_buffer.scala 471:91] - node _T_3632 = or(_T_3629, _T_3631) @[el2_lsu_bus_buffer.scala 471:77] - node _T_3633 = and(_T_3632, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] - node _T_3634 = and(_T_3633, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] - buf_cmd_state_bus_en[1] <= _T_3634 @[el2_lsu_bus_buffer.scala 471:33] + node _T_3598 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3598 : @[Conditional.scala 39:67] + node _T_3599 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] + node _T_3600 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] + node _T_3601 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] + node _T_3602 = and(_T_3600, _T_3601) @[el2_lsu_bus_buffer.scala 470:104] + node _T_3603 = mux(_T_3602, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] + node _T_3604 = mux(_T_3599, UInt<3>("h00"), _T_3603) @[el2_lsu_bus_buffer.scala 470:31] + buf_nxtstate[1] <= _T_3604 @[el2_lsu_bus_buffer.scala 470:25] + node _T_3605 = eq(obuf_tag0, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 471:48] + node _T_3606 = eq(obuf_tag1, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 471:104] + node _T_3607 = and(obuf_merge, _T_3606) @[el2_lsu_bus_buffer.scala 471:91] + node _T_3608 = or(_T_3605, _T_3607) @[el2_lsu_bus_buffer.scala 471:77] + node _T_3609 = and(_T_3608, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] + node _T_3610 = and(_T_3609, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] + buf_cmd_state_bus_en[1] <= _T_3610 @[el2_lsu_bus_buffer.scala 471:33] buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[el2_lsu_bus_buffer.scala 472:29] - node _T_3635 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] - node _T_3636 = or(_T_3635, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] - buf_state_en[1] <= _T_3636 @[el2_lsu_bus_buffer.scala 473:25] + node _T_3611 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] + node _T_3612 = or(_T_3611, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] + buf_state_en[1] <= _T_3612 @[el2_lsu_bus_buffer.scala 473:25] buf_ldfwd_in[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 474:25] - node _T_3637 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 475:56] - node _T_3638 = eq(_T_3637, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] - node _T_3639 = and(buf_state_en[1], _T_3638) @[el2_lsu_bus_buffer.scala 475:44] - node _T_3640 = and(_T_3639, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] - node _T_3641 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] - node _T_3642 = and(_T_3640, _T_3641) @[el2_lsu_bus_buffer.scala 475:74] - buf_ldfwd_en[1] <= _T_3642 @[el2_lsu_bus_buffer.scala 475:25] - node _T_3643 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] - buf_ldfwdtag_in[1] <= _T_3643 @[el2_lsu_bus_buffer.scala 476:28] - node _T_3644 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] - node _T_3645 = and(_T_3644, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] - node _T_3646 = and(_T_3645, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] - buf_data_en[1] <= _T_3646 @[el2_lsu_bus_buffer.scala 477:24] - node _T_3647 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] - node _T_3648 = and(_T_3647, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] - node _T_3649 = and(_T_3648, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] - buf_error_en[1] <= _T_3649 @[el2_lsu_bus_buffer.scala 478:25] - node _T_3650 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] - node _T_3651 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] - node _T_3652 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3653 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] - node _T_3654 = mux(_T_3651, _T_3652, _T_3653) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3655 = mux(buf_error_en[1], _T_3650, _T_3654) @[el2_lsu_bus_buffer.scala 479:30] - buf_data_in[1] <= _T_3655 @[el2_lsu_bus_buffer.scala 479:24] + node _T_3613 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 475:56] + node _T_3614 = eq(_T_3613, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] + node _T_3615 = and(buf_state_en[1], _T_3614) @[el2_lsu_bus_buffer.scala 475:44] + node _T_3616 = and(_T_3615, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] + node _T_3617 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] + node _T_3618 = and(_T_3616, _T_3617) @[el2_lsu_bus_buffer.scala 475:74] + buf_ldfwd_en[1] <= _T_3618 @[el2_lsu_bus_buffer.scala 475:25] + node _T_3619 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] + buf_ldfwdtag_in[1] <= _T_3619 @[el2_lsu_bus_buffer.scala 476:28] + node _T_3620 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] + node _T_3621 = and(_T_3620, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] + node _T_3622 = and(_T_3621, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] + buf_data_en[1] <= _T_3622 @[el2_lsu_bus_buffer.scala 477:24] + node _T_3623 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] + node _T_3624 = and(_T_3623, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] + node _T_3625 = and(_T_3624, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] + buf_error_en[1] <= _T_3625 @[el2_lsu_bus_buffer.scala 478:25] + node _T_3626 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] + node _T_3627 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] + node _T_3628 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] + node _T_3629 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] + node _T_3630 = mux(_T_3627, _T_3628, _T_3629) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3631 = mux(buf_error_en[1], _T_3626, _T_3630) @[el2_lsu_bus_buffer.scala 479:30] + buf_data_in[1] <= _T_3631 @[el2_lsu_bus_buffer.scala 479:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3656 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3656 : @[Conditional.scala 39:67] - node _T_3657 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 482:67] - node _T_3658 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] - node _T_3659 = eq(_T_3658, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] - node _T_3660 = and(_T_3657, _T_3659) @[el2_lsu_bus_buffer.scala 482:71] - node _T_3661 = or(io.dec_tlu_force_halt, _T_3660) @[el2_lsu_bus_buffer.scala 482:55] - node _T_3662 = bits(_T_3661, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] - node _T_3663 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] - node _T_3664 = and(buf_dual[1], _T_3663) @[el2_lsu_bus_buffer.scala 483:28] - node _T_3665 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 483:57] - node _T_3666 = eq(_T_3665, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] - node _T_3667 = and(_T_3664, _T_3666) @[el2_lsu_bus_buffer.scala 483:45] - node _T_3668 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] - node _T_3669 = and(_T_3667, _T_3668) @[el2_lsu_bus_buffer.scala 483:61] - node _T_3670 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 484:27] - node _T_3671 = or(_T_3670, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] - node _T_3672 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] - node _T_3673 = and(buf_dual[1], _T_3672) @[el2_lsu_bus_buffer.scala 484:68] - node _T_3674 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 484:97] - node _T_3675 = eq(_T_3674, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] - node _T_3676 = and(_T_3673, _T_3675) @[el2_lsu_bus_buffer.scala 484:85] - node _T_3677 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3678 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3679 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3680 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3681 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3682 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3683 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3684 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3685 = mux(_T_3677, _T_3678, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3686 = mux(_T_3679, _T_3680, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3687 = mux(_T_3681, _T_3682, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3688 = mux(_T_3683, _T_3684, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3689 = or(_T_3685, _T_3686) @[Mux.scala 27:72] - node _T_3690 = or(_T_3689, _T_3687) @[Mux.scala 27:72] - node _T_3691 = or(_T_3690, _T_3688) @[Mux.scala 27:72] - wire _T_3692 : UInt<1> @[Mux.scala 27:72] - _T_3692 <= _T_3691 @[Mux.scala 27:72] - node _T_3693 = and(_T_3676, _T_3692) @[el2_lsu_bus_buffer.scala 484:101] - node _T_3694 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] - node _T_3695 = and(_T_3693, _T_3694) @[el2_lsu_bus_buffer.scala 484:138] - node _T_3696 = and(_T_3695, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] - node _T_3697 = or(_T_3671, _T_3696) @[el2_lsu_bus_buffer.scala 484:53] - node _T_3698 = mux(_T_3697, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] - node _T_3699 = mux(_T_3669, UInt<3>("h04"), _T_3698) @[el2_lsu_bus_buffer.scala 483:14] - node _T_3700 = mux(_T_3662, UInt<3>("h00"), _T_3699) @[el2_lsu_bus_buffer.scala 482:31] - buf_nxtstate[1] <= _T_3700 @[el2_lsu_bus_buffer.scala 482:25] - node _T_3701 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 485:73] - node _T_3702 = and(bus_rsp_write, _T_3701) @[el2_lsu_bus_buffer.scala 485:52] - node _T_3703 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 486:46] - node _T_3704 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 487:23] - node _T_3705 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3706 = and(_T_3704, _T_3705) @[el2_lsu_bus_buffer.scala 487:27] - node _T_3707 = or(_T_3703, _T_3706) @[el2_lsu_bus_buffer.scala 486:77] - node _T_3708 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 488:26] - node _T_3709 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 488:54] - node _T_3710 = not(_T_3709) @[el2_lsu_bus_buffer.scala 488:44] - node _T_3711 = and(_T_3708, _T_3710) @[el2_lsu_bus_buffer.scala 488:42] - node _T_3712 = and(_T_3711, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 488:58] - node _T_3713 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 488:94] - node _T_3714 = and(_T_3712, _T_3713) @[el2_lsu_bus_buffer.scala 488:74] - node _T_3715 = or(_T_3707, _T_3714) @[el2_lsu_bus_buffer.scala 487:71] - node _T_3716 = and(bus_rsp_read, _T_3715) @[el2_lsu_bus_buffer.scala 486:25] - node _T_3717 = or(_T_3702, _T_3716) @[el2_lsu_bus_buffer.scala 485:105] - buf_resp_state_bus_en[1] <= _T_3717 @[el2_lsu_bus_buffer.scala 485:34] + node _T_3632 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3632 : @[Conditional.scala 39:67] + node _T_3633 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3634 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] + node _T_3635 = eq(_T_3634, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] + node _T_3636 = and(_T_3633, _T_3635) @[el2_lsu_bus_buffer.scala 482:71] + node _T_3637 = or(io.dec_tlu_force_halt, _T_3636) @[el2_lsu_bus_buffer.scala 482:55] + node _T_3638 = bits(_T_3637, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] + node _T_3639 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] + node _T_3640 = and(buf_dual[1], _T_3639) @[el2_lsu_bus_buffer.scala 483:28] + node _T_3641 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 483:57] + node _T_3642 = eq(_T_3641, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] + node _T_3643 = and(_T_3640, _T_3642) @[el2_lsu_bus_buffer.scala 483:45] + node _T_3644 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] + node _T_3645 = and(_T_3643, _T_3644) @[el2_lsu_bus_buffer.scala 483:61] + node _T_3646 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 484:27] + node _T_3647 = or(_T_3646, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] + node _T_3648 = eq(buf_samedw[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] + node _T_3649 = and(buf_dual[1], _T_3648) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3650 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 484:97] + node _T_3651 = eq(_T_3650, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] + node _T_3652 = and(_T_3649, _T_3651) @[el2_lsu_bus_buffer.scala 484:85] + node _T_3653 = eq(buf_dualtag[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3654 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3655 = eq(buf_dualtag[1], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3656 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3657 = eq(buf_dualtag[1], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3658 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3659 = eq(buf_dualtag[1], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3660 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3661 = mux(_T_3653, _T_3654, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3662 = mux(_T_3655, _T_3656, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3663 = mux(_T_3657, _T_3658, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3664 = mux(_T_3659, _T_3660, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3665 = or(_T_3661, _T_3662) @[Mux.scala 27:72] + node _T_3666 = or(_T_3665, _T_3663) @[Mux.scala 27:72] + node _T_3667 = or(_T_3666, _T_3664) @[Mux.scala 27:72] + wire _T_3668 : UInt<1> @[Mux.scala 27:72] + _T_3668 <= _T_3667 @[Mux.scala 27:72] + node _T_3669 = and(_T_3652, _T_3668) @[el2_lsu_bus_buffer.scala 484:101] + node _T_3670 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] + node _T_3671 = and(_T_3669, _T_3670) @[el2_lsu_bus_buffer.scala 484:138] + node _T_3672 = and(_T_3671, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] + node _T_3673 = or(_T_3647, _T_3672) @[el2_lsu_bus_buffer.scala 484:53] + node _T_3674 = mux(_T_3673, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] + node _T_3675 = mux(_T_3645, UInt<3>("h04"), _T_3674) @[el2_lsu_bus_buffer.scala 483:14] + node _T_3676 = mux(_T_3638, UInt<3>("h00"), _T_3675) @[el2_lsu_bus_buffer.scala 482:31] + buf_nxtstate[1] <= _T_3676 @[el2_lsu_bus_buffer.scala 482:25] + node _T_3677 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 485:73] + node _T_3678 = and(bus_rsp_write, _T_3677) @[el2_lsu_bus_buffer.scala 485:52] + node _T_3679 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 486:46] + node _T_3680 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 487:23] + node _T_3681 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 487:47] + node _T_3682 = and(_T_3680, _T_3681) @[el2_lsu_bus_buffer.scala 487:27] + node _T_3683 = or(_T_3679, _T_3682) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3684 = and(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 488:26] + node _T_3685 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 488:54] + node _T_3686 = not(_T_3685) @[el2_lsu_bus_buffer.scala 488:44] + node _T_3687 = and(_T_3684, _T_3686) @[el2_lsu_bus_buffer.scala 488:42] + node _T_3688 = and(_T_3687, buf_samedw[1]) @[el2_lsu_bus_buffer.scala 488:58] + node _T_3689 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 488:94] + node _T_3690 = and(_T_3688, _T_3689) @[el2_lsu_bus_buffer.scala 488:74] + node _T_3691 = or(_T_3683, _T_3690) @[el2_lsu_bus_buffer.scala 487:71] + node _T_3692 = and(bus_rsp_read, _T_3691) @[el2_lsu_bus_buffer.scala 486:25] + node _T_3693 = or(_T_3678, _T_3692) @[el2_lsu_bus_buffer.scala 485:105] + buf_resp_state_bus_en[1] <= _T_3693 @[el2_lsu_bus_buffer.scala 485:34] buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[el2_lsu_bus_buffer.scala 489:29] - node _T_3718 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] - node _T_3719 = or(_T_3718, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] - buf_state_en[1] <= _T_3719 @[el2_lsu_bus_buffer.scala 490:25] - node _T_3720 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3721 = and(_T_3720, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] - buf_data_en[1] <= _T_3721 @[el2_lsu_bus_buffer.scala 491:24] - node _T_3722 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] - node _T_3723 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 492:111] - node _T_3724 = and(bus_rsp_read_error, _T_3723) @[el2_lsu_bus_buffer.scala 492:91] - node _T_3725 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 493:42] - node _T_3726 = and(bus_rsp_read_error, _T_3725) @[el2_lsu_bus_buffer.scala 493:31] - node _T_3727 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 493:66] - node _T_3728 = and(_T_3726, _T_3727) @[el2_lsu_bus_buffer.scala 493:46] - node _T_3729 = or(_T_3724, _T_3728) @[el2_lsu_bus_buffer.scala 492:143] - node _T_3730 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] - node _T_3731 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:74] - node _T_3732 = and(_T_3730, _T_3731) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3733 = or(_T_3729, _T_3732) @[el2_lsu_bus_buffer.scala 493:88] - node _T_3734 = and(_T_3722, _T_3733) @[el2_lsu_bus_buffer.scala 492:68] - buf_error_en[1] <= _T_3734 @[el2_lsu_bus_buffer.scala 492:25] - node _T_3735 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] - node _T_3736 = and(buf_state_en[1], _T_3735) @[el2_lsu_bus_buffer.scala 495:48] - node _T_3737 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] - node _T_3738 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] - node _T_3739 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] - node _T_3740 = mux(_T_3737, _T_3738, _T_3739) @[el2_lsu_bus_buffer.scala 495:72] - node _T_3741 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] - node _T_3742 = mux(_T_3736, _T_3740, _T_3741) @[el2_lsu_bus_buffer.scala 495:30] - buf_data_in[1] <= _T_3742 @[el2_lsu_bus_buffer.scala 495:24] + node _T_3694 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] + node _T_3695 = or(_T_3694, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] + buf_state_en[1] <= _T_3695 @[el2_lsu_bus_buffer.scala 490:25] + node _T_3696 = and(buf_state_bus_en[1], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] + node _T_3697 = and(_T_3696, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] + buf_data_en[1] <= _T_3697 @[el2_lsu_bus_buffer.scala 491:24] + node _T_3698 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] + node _T_3699 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 492:111] + node _T_3700 = and(bus_rsp_read_error, _T_3699) @[el2_lsu_bus_buffer.scala 492:91] + node _T_3701 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 493:42] + node _T_3702 = and(bus_rsp_read_error, _T_3701) @[el2_lsu_bus_buffer.scala 493:31] + node _T_3703 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[el2_lsu_bus_buffer.scala 493:66] + node _T_3704 = and(_T_3702, _T_3703) @[el2_lsu_bus_buffer.scala 493:46] + node _T_3705 = or(_T_3700, _T_3704) @[el2_lsu_bus_buffer.scala 492:143] + node _T_3706 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] + node _T_3707 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 494:74] + node _T_3708 = and(_T_3706, _T_3707) @[el2_lsu_bus_buffer.scala 494:53] + node _T_3709 = or(_T_3705, _T_3708) @[el2_lsu_bus_buffer.scala 493:88] + node _T_3710 = and(_T_3698, _T_3709) @[el2_lsu_bus_buffer.scala 492:68] + buf_error_en[1] <= _T_3710 @[el2_lsu_bus_buffer.scala 492:25] + node _T_3711 = eq(buf_error_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] + node _T_3712 = and(buf_state_en[1], _T_3711) @[el2_lsu_bus_buffer.scala 495:48] + node _T_3713 = bits(buf_addr[1], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] + node _T_3714 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] + node _T_3715 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] + node _T_3716 = mux(_T_3713, _T_3714, _T_3715) @[el2_lsu_bus_buffer.scala 495:72] + node _T_3717 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] + node _T_3718 = mux(_T_3712, _T_3716, _T_3717) @[el2_lsu_bus_buffer.scala 495:30] + buf_data_in[1] <= _T_3718 @[el2_lsu_bus_buffer.scala 495:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3743 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3743 : @[Conditional.scala 39:67] - node _T_3744 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] - node _T_3745 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 498:86] - node _T_3746 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 498:101] - node _T_3747 = bits(_T_3746, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] - node _T_3748 = or(_T_3745, _T_3747) @[el2_lsu_bus_buffer.scala 498:90] - node _T_3749 = or(_T_3748, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] - node _T_3750 = mux(_T_3749, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] - node _T_3751 = mux(_T_3744, UInt<3>("h00"), _T_3750) @[el2_lsu_bus_buffer.scala 498:31] - buf_nxtstate[1] <= _T_3751 @[el2_lsu_bus_buffer.scala 498:25] - node _T_3752 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 499:66] - node _T_3753 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 500:21] - node _T_3754 = bits(_T_3753, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] - node _T_3755 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 500:58] - node _T_3756 = and(_T_3754, _T_3755) @[el2_lsu_bus_buffer.scala 500:38] - node _T_3757 = or(_T_3752, _T_3756) @[el2_lsu_bus_buffer.scala 499:95] - node _T_3758 = and(bus_rsp_read, _T_3757) @[el2_lsu_bus_buffer.scala 499:45] - buf_state_bus_en[1] <= _T_3758 @[el2_lsu_bus_buffer.scala 499:29] - node _T_3759 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] - node _T_3760 = or(_T_3759, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] - buf_state_en[1] <= _T_3760 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3719 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3719 : @[Conditional.scala 39:67] + node _T_3720 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] + node _T_3721 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 498:86] + node _T_3722 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 498:101] + node _T_3723 = bits(_T_3722, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] + node _T_3724 = or(_T_3721, _T_3723) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3725 = or(_T_3724, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] + node _T_3726 = mux(_T_3725, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] + node _T_3727 = mux(_T_3720, UInt<3>("h00"), _T_3726) @[el2_lsu_bus_buffer.scala 498:31] + buf_nxtstate[1] <= _T_3727 @[el2_lsu_bus_buffer.scala 498:25] + node _T_3728 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 499:66] + node _T_3729 = dshr(buf_ldfwd, buf_dualtag[1]) @[el2_lsu_bus_buffer.scala 500:21] + node _T_3730 = bits(_T_3729, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] + node _T_3731 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[el2_lsu_bus_buffer.scala 500:58] + node _T_3732 = and(_T_3730, _T_3731) @[el2_lsu_bus_buffer.scala 500:38] + node _T_3733 = or(_T_3728, _T_3732) @[el2_lsu_bus_buffer.scala 499:95] + node _T_3734 = and(bus_rsp_read, _T_3733) @[el2_lsu_bus_buffer.scala 499:45] + buf_state_bus_en[1] <= _T_3734 @[el2_lsu_bus_buffer.scala 499:29] + node _T_3735 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] + node _T_3736 = or(_T_3735, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] + buf_state_en[1] <= _T_3736 @[el2_lsu_bus_buffer.scala 501:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3761 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3761 : @[Conditional.scala 39:67] - node _T_3762 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_3763 = mux(_T_3762, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[1] <= _T_3763 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3764 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 505:37] - node _T_3765 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] - node _T_3766 = and(buf_dual[1], _T_3765) @[el2_lsu_bus_buffer.scala 505:80] - node _T_3767 = or(_T_3764, _T_3766) @[el2_lsu_bus_buffer.scala 505:65] - node _T_3768 = or(_T_3767, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] - buf_state_en[1] <= _T_3768 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3737 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3737 : @[Conditional.scala 39:67] + node _T_3738 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_3739 = mux(_T_3738, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[1] <= _T_3739 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3740 = eq(RspPtr, UInt<2>("h01")) @[el2_lsu_bus_buffer.scala 505:37] + node _T_3741 = eq(buf_dualtag[1], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] + node _T_3742 = and(buf_dual[1], _T_3741) @[el2_lsu_bus_buffer.scala 505:80] + node _T_3743 = or(_T_3740, _T_3742) @[el2_lsu_bus_buffer.scala 505:65] + node _T_3744 = or(_T_3743, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] + buf_state_en[1] <= _T_3744 @[el2_lsu_bus_buffer.scala 505:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3769 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] - when _T_3769 : @[Conditional.scala 39:67] + node _T_3745 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3745 : @[Conditional.scala 39:67] buf_nxtstate[1] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 508:25] buf_rst[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:20] buf_state_en[1] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] buf_ldfwd_in[1] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 511:25] buf_ldfwd_en[1] <= buf_state_en[1] @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] - node _T_3770 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] - reg _T_3771 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3770 : @[Reg.scala 28:19] - _T_3771 <= buf_nxtstate[1] @[Reg.scala 28:23] + node _T_3746 = bits(buf_state_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] + reg _T_3747 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3746 : @[Reg.scala 28:19] + _T_3747 <= buf_nxtstate[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[1] <= _T_3771 @[el2_lsu_bus_buffer.scala 515:18] - reg _T_3772 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] - _T_3772 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 516:60] - buf_ageQ[1] <= _T_3772 @[el2_lsu_bus_buffer.scala 516:17] - reg _T_3773 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] - _T_3773 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 517:63] - buf_rspageQ[1] <= _T_3773 @[el2_lsu_bus_buffer.scala 517:20] - node _T_3774 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] - reg _T_3775 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3774 : @[Reg.scala 28:19] - _T_3775 <= buf_dualtag_in[1] @[Reg.scala 28:23] + buf_state[1] <= _T_3747 @[el2_lsu_bus_buffer.scala 515:18] + reg _T_3748 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] + _T_3748 <= buf_age_in_1 @[el2_lsu_bus_buffer.scala 516:60] + buf_ageQ[1] <= _T_3748 @[el2_lsu_bus_buffer.scala 516:17] + reg _T_3749 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] + _T_3749 <= buf_rspage_in[1] @[el2_lsu_bus_buffer.scala 517:63] + buf_rspageQ[1] <= _T_3749 @[el2_lsu_bus_buffer.scala 517:20] + node _T_3750 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] + reg _T_3751 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3750 : @[Reg.scala 28:19] + _T_3751 <= buf_dualtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[1] <= _T_3775 @[el2_lsu_bus_buffer.scala 518:20] - node _T_3776 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 519:74] - node _T_3777 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] - reg _T_3778 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3777 : @[Reg.scala 28:19] - _T_3778 <= _T_3776 @[Reg.scala 28:23] + buf_dualtag[1] <= _T_3751 @[el2_lsu_bus_buffer.scala 518:20] + node _T_3752 = bits(buf_dual_in, 1, 1) @[el2_lsu_bus_buffer.scala 519:74] + node _T_3753 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] + reg _T_3754 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3753 : @[Reg.scala 28:19] + _T_3754 <= _T_3752 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[1] <= _T_3778 @[el2_lsu_bus_buffer.scala 519:17] - node _T_3779 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 520:78] - node _T_3780 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] - reg _T_3781 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3780 : @[Reg.scala 28:19] - _T_3781 <= _T_3779 @[Reg.scala 28:23] + buf_dual[1] <= _T_3754 @[el2_lsu_bus_buffer.scala 519:17] + node _T_3755 = bits(buf_samedw_in, 1, 1) @[el2_lsu_bus_buffer.scala 520:78] + node _T_3756 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] + reg _T_3757 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3756 : @[Reg.scala 28:19] + _T_3757 <= _T_3755 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[1] <= _T_3781 @[el2_lsu_bus_buffer.scala 520:19] - node _T_3782 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 521:80] - node _T_3783 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] - reg _T_3784 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3783 : @[Reg.scala 28:19] - _T_3784 <= _T_3782 @[Reg.scala 28:23] + buf_samedw[1] <= _T_3757 @[el2_lsu_bus_buffer.scala 520:19] + node _T_3758 = bits(buf_nomerge_in, 1, 1) @[el2_lsu_bus_buffer.scala 521:80] + node _T_3759 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] + reg _T_3760 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3759 : @[Reg.scala 28:19] + _T_3760 <= _T_3758 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[1] <= _T_3784 @[el2_lsu_bus_buffer.scala 521:20] - node _T_3785 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 522:78] - node _T_3786 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] - reg _T_3787 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3786 : @[Reg.scala 28:19] - _T_3787 <= _T_3785 @[Reg.scala 28:23] + buf_nomerge[1] <= _T_3760 @[el2_lsu_bus_buffer.scala 521:20] + node _T_3761 = bits(buf_dualhi_in, 1, 1) @[el2_lsu_bus_buffer.scala 522:78] + node _T_3762 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] + reg _T_3763 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3762 : @[Reg.scala 28:19] + _T_3763 <= _T_3761 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[1] <= _T_3787 @[el2_lsu_bus_buffer.scala 522:19] - node _T_3788 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3788 : @[Conditional.scala 40:58] - node _T_3789 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] - node _T_3790 = mux(_T_3789, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] - buf_nxtstate[2] <= _T_3790 @[el2_lsu_bus_buffer.scala 459:25] - node _T_3791 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] - node _T_3792 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] - node _T_3793 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] - node _T_3794 = and(_T_3792, _T_3793) @[el2_lsu_bus_buffer.scala 460:95] - node _T_3795 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] - node _T_3796 = and(_T_3794, _T_3795) @[el2_lsu_bus_buffer.scala 460:112] - node _T_3797 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] - node _T_3798 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] - node _T_3799 = and(_T_3797, _T_3798) @[el2_lsu_bus_buffer.scala 460:161] - node _T_3800 = or(_T_3796, _T_3799) @[el2_lsu_bus_buffer.scala 460:132] - node _T_3801 = and(_T_3791, _T_3800) @[el2_lsu_bus_buffer.scala 460:63] - node _T_3802 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] - node _T_3803 = and(ibuf_drain_vld, _T_3802) @[el2_lsu_bus_buffer.scala 460:201] - node _T_3804 = or(_T_3801, _T_3803) @[el2_lsu_bus_buffer.scala 460:183] - buf_state_en[2] <= _T_3804 @[el2_lsu_bus_buffer.scala 460:25] + buf_dualhi[1] <= _T_3763 @[el2_lsu_bus_buffer.scala 522:19] + node _T_3764 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3764 : @[Conditional.scala 40:58] + node _T_3765 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] + node _T_3766 = mux(_T_3765, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] + buf_nxtstate[2] <= _T_3766 @[el2_lsu_bus_buffer.scala 459:25] + node _T_3767 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] + node _T_3768 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] + node _T_3769 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] + node _T_3770 = and(_T_3768, _T_3769) @[el2_lsu_bus_buffer.scala 460:95] + node _T_3771 = eq(UInt<2>("h02"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] + node _T_3772 = and(_T_3770, _T_3771) @[el2_lsu_bus_buffer.scala 460:112] + node _T_3773 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] + node _T_3774 = eq(UInt<2>("h02"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] + node _T_3775 = and(_T_3773, _T_3774) @[el2_lsu_bus_buffer.scala 460:161] + node _T_3776 = or(_T_3772, _T_3775) @[el2_lsu_bus_buffer.scala 460:132] + node _T_3777 = and(_T_3767, _T_3776) @[el2_lsu_bus_buffer.scala 460:63] + node _T_3778 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] + node _T_3779 = and(ibuf_drain_vld, _T_3778) @[el2_lsu_bus_buffer.scala 460:201] + node _T_3780 = or(_T_3777, _T_3779) @[el2_lsu_bus_buffer.scala 460:183] + buf_state_en[2] <= _T_3780 @[el2_lsu_bus_buffer.scala 460:25] buf_wr_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 461:22] buf_data_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 462:24] - node _T_3805 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] - node _T_3806 = and(ibuf_drain_vld, _T_3805) @[el2_lsu_bus_buffer.scala 463:47] - node _T_3807 = bits(_T_3806, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] - node _T_3808 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] - node _T_3809 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] - node _T_3810 = mux(_T_3807, _T_3808, _T_3809) @[el2_lsu_bus_buffer.scala 463:30] - buf_data_in[2] <= _T_3810 @[el2_lsu_bus_buffer.scala 463:24] + node _T_3781 = eq(UInt<2>("h02"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] + node _T_3782 = and(ibuf_drain_vld, _T_3781) @[el2_lsu_bus_buffer.scala 463:47] + node _T_3783 = bits(_T_3782, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] + node _T_3784 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] + node _T_3785 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] + node _T_3786 = mux(_T_3783, _T_3784, _T_3785) @[el2_lsu_bus_buffer.scala 463:30] + buf_data_in[2] <= _T_3786 @[el2_lsu_bus_buffer.scala 463:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_3811 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3811 : @[Conditional.scala 39:67] - node _T_3812 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] - node _T_3813 = mux(_T_3812, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] - buf_nxtstate[2] <= _T_3813 @[el2_lsu_bus_buffer.scala 466:25] - node _T_3814 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] - buf_state_en[2] <= _T_3814 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3787 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3787 : @[Conditional.scala 39:67] + node _T_3788 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] + node _T_3789 = mux(_T_3788, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] + buf_nxtstate[2] <= _T_3789 @[el2_lsu_bus_buffer.scala 466:25] + node _T_3790 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] + buf_state_en[2] <= _T_3790 @[el2_lsu_bus_buffer.scala 467:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3815 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3815 : @[Conditional.scala 39:67] - node _T_3816 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_3817 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] - node _T_3818 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] - node _T_3819 = and(_T_3817, _T_3818) @[el2_lsu_bus_buffer.scala 470:104] - node _T_3820 = mux(_T_3819, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] - node _T_3821 = mux(_T_3816, UInt<3>("h00"), _T_3820) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[2] <= _T_3821 @[el2_lsu_bus_buffer.scala 470:25] - node _T_3822 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:48] - node _T_3823 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:104] - node _T_3824 = and(obuf_merge, _T_3823) @[el2_lsu_bus_buffer.scala 471:91] - node _T_3825 = or(_T_3822, _T_3824) @[el2_lsu_bus_buffer.scala 471:77] - node _T_3826 = and(_T_3825, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] - node _T_3827 = and(_T_3826, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] - buf_cmd_state_bus_en[2] <= _T_3827 @[el2_lsu_bus_buffer.scala 471:33] + node _T_3791 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3791 : @[Conditional.scala 39:67] + node _T_3792 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] + node _T_3793 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] + node _T_3794 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] + node _T_3795 = and(_T_3793, _T_3794) @[el2_lsu_bus_buffer.scala 470:104] + node _T_3796 = mux(_T_3795, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] + node _T_3797 = mux(_T_3792, UInt<3>("h00"), _T_3796) @[el2_lsu_bus_buffer.scala 470:31] + buf_nxtstate[2] <= _T_3797 @[el2_lsu_bus_buffer.scala 470:25] + node _T_3798 = eq(obuf_tag0, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:48] + node _T_3799 = eq(obuf_tag1, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 471:104] + node _T_3800 = and(obuf_merge, _T_3799) @[el2_lsu_bus_buffer.scala 471:91] + node _T_3801 = or(_T_3798, _T_3800) @[el2_lsu_bus_buffer.scala 471:77] + node _T_3802 = and(_T_3801, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] + node _T_3803 = and(_T_3802, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] + buf_cmd_state_bus_en[2] <= _T_3803 @[el2_lsu_bus_buffer.scala 471:33] buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[el2_lsu_bus_buffer.scala 472:29] - node _T_3828 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] - node _T_3829 = or(_T_3828, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] - buf_state_en[2] <= _T_3829 @[el2_lsu_bus_buffer.scala 473:25] + node _T_3804 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] + node _T_3805 = or(_T_3804, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] + buf_state_en[2] <= _T_3805 @[el2_lsu_bus_buffer.scala 473:25] buf_ldfwd_in[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 474:25] - node _T_3830 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 475:56] - node _T_3831 = eq(_T_3830, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] - node _T_3832 = and(buf_state_en[2], _T_3831) @[el2_lsu_bus_buffer.scala 475:44] - node _T_3833 = and(_T_3832, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] - node _T_3834 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] - node _T_3835 = and(_T_3833, _T_3834) @[el2_lsu_bus_buffer.scala 475:74] - buf_ldfwd_en[2] <= _T_3835 @[el2_lsu_bus_buffer.scala 475:25] - node _T_3836 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] - buf_ldfwdtag_in[2] <= _T_3836 @[el2_lsu_bus_buffer.scala 476:28] - node _T_3837 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] - node _T_3838 = and(_T_3837, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] - node _T_3839 = and(_T_3838, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] - buf_data_en[2] <= _T_3839 @[el2_lsu_bus_buffer.scala 477:24] - node _T_3840 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] - node _T_3841 = and(_T_3840, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] - node _T_3842 = and(_T_3841, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] - buf_error_en[2] <= _T_3842 @[el2_lsu_bus_buffer.scala 478:25] - node _T_3843 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] - node _T_3844 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] - node _T_3845 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] - node _T_3846 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] - node _T_3847 = mux(_T_3844, _T_3845, _T_3846) @[el2_lsu_bus_buffer.scala 479:73] - node _T_3848 = mux(buf_error_en[2], _T_3843, _T_3847) @[el2_lsu_bus_buffer.scala 479:30] - buf_data_in[2] <= _T_3848 @[el2_lsu_bus_buffer.scala 479:24] + node _T_3806 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 475:56] + node _T_3807 = eq(_T_3806, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] + node _T_3808 = and(buf_state_en[2], _T_3807) @[el2_lsu_bus_buffer.scala 475:44] + node _T_3809 = and(_T_3808, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] + node _T_3810 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] + node _T_3811 = and(_T_3809, _T_3810) @[el2_lsu_bus_buffer.scala 475:74] + buf_ldfwd_en[2] <= _T_3811 @[el2_lsu_bus_buffer.scala 475:25] + node _T_3812 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] + buf_ldfwdtag_in[2] <= _T_3812 @[el2_lsu_bus_buffer.scala 476:28] + node _T_3813 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] + node _T_3814 = and(_T_3813, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] + node _T_3815 = and(_T_3814, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] + buf_data_en[2] <= _T_3815 @[el2_lsu_bus_buffer.scala 477:24] + node _T_3816 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] + node _T_3817 = and(_T_3816, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] + node _T_3818 = and(_T_3817, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] + buf_error_en[2] <= _T_3818 @[el2_lsu_bus_buffer.scala 478:25] + node _T_3819 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] + node _T_3820 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] + node _T_3821 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] + node _T_3822 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] + node _T_3823 = mux(_T_3820, _T_3821, _T_3822) @[el2_lsu_bus_buffer.scala 479:73] + node _T_3824 = mux(buf_error_en[2], _T_3819, _T_3823) @[el2_lsu_bus_buffer.scala 479:30] + buf_data_in[2] <= _T_3824 @[el2_lsu_bus_buffer.scala 479:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3849 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3849 : @[Conditional.scala 39:67] - node _T_3850 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 482:67] - node _T_3851 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] - node _T_3852 = eq(_T_3851, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] - node _T_3853 = and(_T_3850, _T_3852) @[el2_lsu_bus_buffer.scala 482:71] - node _T_3854 = or(io.dec_tlu_force_halt, _T_3853) @[el2_lsu_bus_buffer.scala 482:55] - node _T_3855 = bits(_T_3854, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] - node _T_3856 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] - node _T_3857 = and(buf_dual[2], _T_3856) @[el2_lsu_bus_buffer.scala 483:28] - node _T_3858 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 483:57] - node _T_3859 = eq(_T_3858, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] - node _T_3860 = and(_T_3857, _T_3859) @[el2_lsu_bus_buffer.scala 483:45] - node _T_3861 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] - node _T_3862 = and(_T_3860, _T_3861) @[el2_lsu_bus_buffer.scala 483:61] - node _T_3863 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 484:27] - node _T_3864 = or(_T_3863, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] - node _T_3865 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] - node _T_3866 = and(buf_dual[2], _T_3865) @[el2_lsu_bus_buffer.scala 484:68] - node _T_3867 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 484:97] - node _T_3868 = eq(_T_3867, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] - node _T_3869 = and(_T_3866, _T_3868) @[el2_lsu_bus_buffer.scala 484:85] - node _T_3870 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3871 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3872 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3873 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3874 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3875 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3876 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_3877 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_3878 = mux(_T_3870, _T_3871, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3879 = mux(_T_3872, _T_3873, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3880 = mux(_T_3874, _T_3875, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3881 = mux(_T_3876, _T_3877, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_3882 = or(_T_3878, _T_3879) @[Mux.scala 27:72] - node _T_3883 = or(_T_3882, _T_3880) @[Mux.scala 27:72] - node _T_3884 = or(_T_3883, _T_3881) @[Mux.scala 27:72] - wire _T_3885 : UInt<1> @[Mux.scala 27:72] - _T_3885 <= _T_3884 @[Mux.scala 27:72] - node _T_3886 = and(_T_3869, _T_3885) @[el2_lsu_bus_buffer.scala 484:101] - node _T_3887 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] - node _T_3888 = and(_T_3886, _T_3887) @[el2_lsu_bus_buffer.scala 484:138] - node _T_3889 = and(_T_3888, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] - node _T_3890 = or(_T_3864, _T_3889) @[el2_lsu_bus_buffer.scala 484:53] - node _T_3891 = mux(_T_3890, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] - node _T_3892 = mux(_T_3862, UInt<3>("h04"), _T_3891) @[el2_lsu_bus_buffer.scala 483:14] - node _T_3893 = mux(_T_3855, UInt<3>("h00"), _T_3892) @[el2_lsu_bus_buffer.scala 482:31] - buf_nxtstate[2] <= _T_3893 @[el2_lsu_bus_buffer.scala 482:25] - node _T_3894 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 485:73] - node _T_3895 = and(bus_rsp_write, _T_3894) @[el2_lsu_bus_buffer.scala 485:52] - node _T_3896 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 486:46] - node _T_3897 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 487:23] - node _T_3898 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 487:47] - node _T_3899 = and(_T_3897, _T_3898) @[el2_lsu_bus_buffer.scala 487:27] - node _T_3900 = or(_T_3896, _T_3899) @[el2_lsu_bus_buffer.scala 486:77] - node _T_3901 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 488:26] - node _T_3902 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 488:54] - node _T_3903 = not(_T_3902) @[el2_lsu_bus_buffer.scala 488:44] - node _T_3904 = and(_T_3901, _T_3903) @[el2_lsu_bus_buffer.scala 488:42] - node _T_3905 = and(_T_3904, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 488:58] - node _T_3906 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 488:94] - node _T_3907 = and(_T_3905, _T_3906) @[el2_lsu_bus_buffer.scala 488:74] - node _T_3908 = or(_T_3900, _T_3907) @[el2_lsu_bus_buffer.scala 487:71] - node _T_3909 = and(bus_rsp_read, _T_3908) @[el2_lsu_bus_buffer.scala 486:25] - node _T_3910 = or(_T_3895, _T_3909) @[el2_lsu_bus_buffer.scala 485:105] - buf_resp_state_bus_en[2] <= _T_3910 @[el2_lsu_bus_buffer.scala 485:34] + node _T_3825 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3825 : @[Conditional.scala 39:67] + node _T_3826 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 482:67] + node _T_3827 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] + node _T_3828 = eq(_T_3827, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] + node _T_3829 = and(_T_3826, _T_3828) @[el2_lsu_bus_buffer.scala 482:71] + node _T_3830 = or(io.dec_tlu_force_halt, _T_3829) @[el2_lsu_bus_buffer.scala 482:55] + node _T_3831 = bits(_T_3830, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] + node _T_3832 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] + node _T_3833 = and(buf_dual[2], _T_3832) @[el2_lsu_bus_buffer.scala 483:28] + node _T_3834 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 483:57] + node _T_3835 = eq(_T_3834, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] + node _T_3836 = and(_T_3833, _T_3835) @[el2_lsu_bus_buffer.scala 483:45] + node _T_3837 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] + node _T_3838 = and(_T_3836, _T_3837) @[el2_lsu_bus_buffer.scala 483:61] + node _T_3839 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 484:27] + node _T_3840 = or(_T_3839, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] + node _T_3841 = eq(buf_samedw[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] + node _T_3842 = and(buf_dual[2], _T_3841) @[el2_lsu_bus_buffer.scala 484:68] + node _T_3843 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 484:97] + node _T_3844 = eq(_T_3843, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] + node _T_3845 = and(_T_3842, _T_3844) @[el2_lsu_bus_buffer.scala 484:85] + node _T_3846 = eq(buf_dualtag[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3847 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3848 = eq(buf_dualtag[2], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3849 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3850 = eq(buf_dualtag[2], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3851 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3852 = eq(buf_dualtag[2], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_3853 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_3854 = mux(_T_3846, _T_3847, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3855 = mux(_T_3848, _T_3849, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3856 = mux(_T_3850, _T_3851, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3857 = mux(_T_3852, _T_3853, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3858 = or(_T_3854, _T_3855) @[Mux.scala 27:72] + node _T_3859 = or(_T_3858, _T_3856) @[Mux.scala 27:72] + node _T_3860 = or(_T_3859, _T_3857) @[Mux.scala 27:72] + wire _T_3861 : UInt<1> @[Mux.scala 27:72] + _T_3861 <= _T_3860 @[Mux.scala 27:72] + node _T_3862 = and(_T_3845, _T_3861) @[el2_lsu_bus_buffer.scala 484:101] + node _T_3863 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] + node _T_3864 = and(_T_3862, _T_3863) @[el2_lsu_bus_buffer.scala 484:138] + node _T_3865 = and(_T_3864, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] + node _T_3866 = or(_T_3840, _T_3865) @[el2_lsu_bus_buffer.scala 484:53] + node _T_3867 = mux(_T_3866, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] + node _T_3868 = mux(_T_3838, UInt<3>("h04"), _T_3867) @[el2_lsu_bus_buffer.scala 483:14] + node _T_3869 = mux(_T_3831, UInt<3>("h00"), _T_3868) @[el2_lsu_bus_buffer.scala 482:31] + buf_nxtstate[2] <= _T_3869 @[el2_lsu_bus_buffer.scala 482:25] + node _T_3870 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 485:73] + node _T_3871 = and(bus_rsp_write, _T_3870) @[el2_lsu_bus_buffer.scala 485:52] + node _T_3872 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 486:46] + node _T_3873 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 487:23] + node _T_3874 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 487:47] + node _T_3875 = and(_T_3873, _T_3874) @[el2_lsu_bus_buffer.scala 487:27] + node _T_3876 = or(_T_3872, _T_3875) @[el2_lsu_bus_buffer.scala 486:77] + node _T_3877 = and(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 488:26] + node _T_3878 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 488:54] + node _T_3879 = not(_T_3878) @[el2_lsu_bus_buffer.scala 488:44] + node _T_3880 = and(_T_3877, _T_3879) @[el2_lsu_bus_buffer.scala 488:42] + node _T_3881 = and(_T_3880, buf_samedw[2]) @[el2_lsu_bus_buffer.scala 488:58] + node _T_3882 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 488:94] + node _T_3883 = and(_T_3881, _T_3882) @[el2_lsu_bus_buffer.scala 488:74] + node _T_3884 = or(_T_3876, _T_3883) @[el2_lsu_bus_buffer.scala 487:71] + node _T_3885 = and(bus_rsp_read, _T_3884) @[el2_lsu_bus_buffer.scala 486:25] + node _T_3886 = or(_T_3871, _T_3885) @[el2_lsu_bus_buffer.scala 485:105] + buf_resp_state_bus_en[2] <= _T_3886 @[el2_lsu_bus_buffer.scala 485:34] buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[el2_lsu_bus_buffer.scala 489:29] - node _T_3911 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] - node _T_3912 = or(_T_3911, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] - buf_state_en[2] <= _T_3912 @[el2_lsu_bus_buffer.scala 490:25] - node _T_3913 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] - node _T_3914 = and(_T_3913, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] - buf_data_en[2] <= _T_3914 @[el2_lsu_bus_buffer.scala 491:24] - node _T_3915 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] - node _T_3916 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 492:111] - node _T_3917 = and(bus_rsp_read_error, _T_3916) @[el2_lsu_bus_buffer.scala 492:91] - node _T_3918 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 493:42] - node _T_3919 = and(bus_rsp_read_error, _T_3918) @[el2_lsu_bus_buffer.scala 493:31] - node _T_3920 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 493:66] - node _T_3921 = and(_T_3919, _T_3920) @[el2_lsu_bus_buffer.scala 493:46] - node _T_3922 = or(_T_3917, _T_3921) @[el2_lsu_bus_buffer.scala 492:143] - node _T_3923 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] - node _T_3924 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 494:74] - node _T_3925 = and(_T_3923, _T_3924) @[el2_lsu_bus_buffer.scala 494:53] - node _T_3926 = or(_T_3922, _T_3925) @[el2_lsu_bus_buffer.scala 493:88] - node _T_3927 = and(_T_3915, _T_3926) @[el2_lsu_bus_buffer.scala 492:68] - buf_error_en[2] <= _T_3927 @[el2_lsu_bus_buffer.scala 492:25] - node _T_3928 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] - node _T_3929 = and(buf_state_en[2], _T_3928) @[el2_lsu_bus_buffer.scala 495:48] - node _T_3930 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] - node _T_3931 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] - node _T_3932 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] - node _T_3933 = mux(_T_3930, _T_3931, _T_3932) @[el2_lsu_bus_buffer.scala 495:72] - node _T_3934 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] - node _T_3935 = mux(_T_3929, _T_3933, _T_3934) @[el2_lsu_bus_buffer.scala 495:30] - buf_data_in[2] <= _T_3935 @[el2_lsu_bus_buffer.scala 495:24] + node _T_3887 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] + node _T_3888 = or(_T_3887, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] + buf_state_en[2] <= _T_3888 @[el2_lsu_bus_buffer.scala 490:25] + node _T_3889 = and(buf_state_bus_en[2], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] + node _T_3890 = and(_T_3889, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] + buf_data_en[2] <= _T_3890 @[el2_lsu_bus_buffer.scala 491:24] + node _T_3891 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] + node _T_3892 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 492:111] + node _T_3893 = and(bus_rsp_read_error, _T_3892) @[el2_lsu_bus_buffer.scala 492:91] + node _T_3894 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 493:42] + node _T_3895 = and(bus_rsp_read_error, _T_3894) @[el2_lsu_bus_buffer.scala 493:31] + node _T_3896 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[el2_lsu_bus_buffer.scala 493:66] + node _T_3897 = and(_T_3895, _T_3896) @[el2_lsu_bus_buffer.scala 493:46] + node _T_3898 = or(_T_3893, _T_3897) @[el2_lsu_bus_buffer.scala 492:143] + node _T_3899 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] + node _T_3900 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 494:74] + node _T_3901 = and(_T_3899, _T_3900) @[el2_lsu_bus_buffer.scala 494:53] + node _T_3902 = or(_T_3898, _T_3901) @[el2_lsu_bus_buffer.scala 493:88] + node _T_3903 = and(_T_3891, _T_3902) @[el2_lsu_bus_buffer.scala 492:68] + buf_error_en[2] <= _T_3903 @[el2_lsu_bus_buffer.scala 492:25] + node _T_3904 = eq(buf_error_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] + node _T_3905 = and(buf_state_en[2], _T_3904) @[el2_lsu_bus_buffer.scala 495:48] + node _T_3906 = bits(buf_addr[2], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] + node _T_3907 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] + node _T_3908 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] + node _T_3909 = mux(_T_3906, _T_3907, _T_3908) @[el2_lsu_bus_buffer.scala 495:72] + node _T_3910 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] + node _T_3911 = mux(_T_3905, _T_3909, _T_3910) @[el2_lsu_bus_buffer.scala 495:30] + buf_data_in[2] <= _T_3911 @[el2_lsu_bus_buffer.scala 495:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3936 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3936 : @[Conditional.scala 39:67] - node _T_3937 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] - node _T_3938 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 498:86] - node _T_3939 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 498:101] - node _T_3940 = bits(_T_3939, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] - node _T_3941 = or(_T_3938, _T_3940) @[el2_lsu_bus_buffer.scala 498:90] - node _T_3942 = or(_T_3941, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] - node _T_3943 = mux(_T_3942, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] - node _T_3944 = mux(_T_3937, UInt<3>("h00"), _T_3943) @[el2_lsu_bus_buffer.scala 498:31] - buf_nxtstate[2] <= _T_3944 @[el2_lsu_bus_buffer.scala 498:25] - node _T_3945 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 499:66] - node _T_3946 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 500:21] - node _T_3947 = bits(_T_3946, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] - node _T_3948 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 500:58] - node _T_3949 = and(_T_3947, _T_3948) @[el2_lsu_bus_buffer.scala 500:38] - node _T_3950 = or(_T_3945, _T_3949) @[el2_lsu_bus_buffer.scala 499:95] - node _T_3951 = and(bus_rsp_read, _T_3950) @[el2_lsu_bus_buffer.scala 499:45] - buf_state_bus_en[2] <= _T_3951 @[el2_lsu_bus_buffer.scala 499:29] - node _T_3952 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] - node _T_3953 = or(_T_3952, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] - buf_state_en[2] <= _T_3953 @[el2_lsu_bus_buffer.scala 501:25] + node _T_3912 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3912 : @[Conditional.scala 39:67] + node _T_3913 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] + node _T_3914 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 498:86] + node _T_3915 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 498:101] + node _T_3916 = bits(_T_3915, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] + node _T_3917 = or(_T_3914, _T_3916) @[el2_lsu_bus_buffer.scala 498:90] + node _T_3918 = or(_T_3917, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] + node _T_3919 = mux(_T_3918, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] + node _T_3920 = mux(_T_3913, UInt<3>("h00"), _T_3919) @[el2_lsu_bus_buffer.scala 498:31] + buf_nxtstate[2] <= _T_3920 @[el2_lsu_bus_buffer.scala 498:25] + node _T_3921 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 499:66] + node _T_3922 = dshr(buf_ldfwd, buf_dualtag[2]) @[el2_lsu_bus_buffer.scala 500:21] + node _T_3923 = bits(_T_3922, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] + node _T_3924 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[el2_lsu_bus_buffer.scala 500:58] + node _T_3925 = and(_T_3923, _T_3924) @[el2_lsu_bus_buffer.scala 500:38] + node _T_3926 = or(_T_3921, _T_3925) @[el2_lsu_bus_buffer.scala 499:95] + node _T_3927 = and(bus_rsp_read, _T_3926) @[el2_lsu_bus_buffer.scala 499:45] + buf_state_bus_en[2] <= _T_3927 @[el2_lsu_bus_buffer.scala 499:29] + node _T_3928 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] + node _T_3929 = or(_T_3928, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] + buf_state_en[2] <= _T_3929 @[el2_lsu_bus_buffer.scala 501:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3954 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3954 : @[Conditional.scala 39:67] - node _T_3955 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_3956 = mux(_T_3955, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[2] <= _T_3956 @[el2_lsu_bus_buffer.scala 504:25] - node _T_3957 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 505:37] - node _T_3958 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] - node _T_3959 = and(buf_dual[2], _T_3958) @[el2_lsu_bus_buffer.scala 505:80] - node _T_3960 = or(_T_3957, _T_3959) @[el2_lsu_bus_buffer.scala 505:65] - node _T_3961 = or(_T_3960, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] - buf_state_en[2] <= _T_3961 @[el2_lsu_bus_buffer.scala 505:25] + node _T_3930 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3930 : @[Conditional.scala 39:67] + node _T_3931 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_3932 = mux(_T_3931, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[2] <= _T_3932 @[el2_lsu_bus_buffer.scala 504:25] + node _T_3933 = eq(RspPtr, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 505:37] + node _T_3934 = eq(buf_dualtag[2], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] + node _T_3935 = and(buf_dual[2], _T_3934) @[el2_lsu_bus_buffer.scala 505:80] + node _T_3936 = or(_T_3933, _T_3935) @[el2_lsu_bus_buffer.scala 505:65] + node _T_3937 = or(_T_3936, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] + buf_state_en[2] <= _T_3937 @[el2_lsu_bus_buffer.scala 505:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_3962 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] - when _T_3962 : @[Conditional.scala 39:67] + node _T_3938 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3938 : @[Conditional.scala 39:67] buf_nxtstate[2] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 508:25] buf_rst[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:20] buf_state_en[2] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] buf_ldfwd_in[2] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 511:25] buf_ldfwd_en[2] <= buf_state_en[2] @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] - node _T_3963 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] - reg _T_3964 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3963 : @[Reg.scala 28:19] - _T_3964 <= buf_nxtstate[2] @[Reg.scala 28:23] + node _T_3939 = bits(buf_state_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] + reg _T_3940 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3939 : @[Reg.scala 28:19] + _T_3940 <= buf_nxtstate[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[2] <= _T_3964 @[el2_lsu_bus_buffer.scala 515:18] - reg _T_3965 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] - _T_3965 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 516:60] - buf_ageQ[2] <= _T_3965 @[el2_lsu_bus_buffer.scala 516:17] - reg _T_3966 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] - _T_3966 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 517:63] - buf_rspageQ[2] <= _T_3966 @[el2_lsu_bus_buffer.scala 517:20] - node _T_3967 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] - reg _T_3968 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3967 : @[Reg.scala 28:19] - _T_3968 <= buf_dualtag_in[2] @[Reg.scala 28:23] + buf_state[2] <= _T_3940 @[el2_lsu_bus_buffer.scala 515:18] + reg _T_3941 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] + _T_3941 <= buf_age_in_2 @[el2_lsu_bus_buffer.scala 516:60] + buf_ageQ[2] <= _T_3941 @[el2_lsu_bus_buffer.scala 516:17] + reg _T_3942 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] + _T_3942 <= buf_rspage_in[2] @[el2_lsu_bus_buffer.scala 517:63] + buf_rspageQ[2] <= _T_3942 @[el2_lsu_bus_buffer.scala 517:20] + node _T_3943 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] + reg _T_3944 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3943 : @[Reg.scala 28:19] + _T_3944 <= buf_dualtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[2] <= _T_3968 @[el2_lsu_bus_buffer.scala 518:20] - node _T_3969 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 519:74] - node _T_3970 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] - reg _T_3971 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3970 : @[Reg.scala 28:19] - _T_3971 <= _T_3969 @[Reg.scala 28:23] + buf_dualtag[2] <= _T_3944 @[el2_lsu_bus_buffer.scala 518:20] + node _T_3945 = bits(buf_dual_in, 2, 2) @[el2_lsu_bus_buffer.scala 519:74] + node _T_3946 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] + reg _T_3947 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3946 : @[Reg.scala 28:19] + _T_3947 <= _T_3945 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[2] <= _T_3971 @[el2_lsu_bus_buffer.scala 519:17] - node _T_3972 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 520:78] - node _T_3973 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] - reg _T_3974 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3973 : @[Reg.scala 28:19] - _T_3974 <= _T_3972 @[Reg.scala 28:23] + buf_dual[2] <= _T_3947 @[el2_lsu_bus_buffer.scala 519:17] + node _T_3948 = bits(buf_samedw_in, 2, 2) @[el2_lsu_bus_buffer.scala 520:78] + node _T_3949 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] + reg _T_3950 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3949 : @[Reg.scala 28:19] + _T_3950 <= _T_3948 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[2] <= _T_3974 @[el2_lsu_bus_buffer.scala 520:19] - node _T_3975 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 521:80] - node _T_3976 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] - reg _T_3977 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3976 : @[Reg.scala 28:19] - _T_3977 <= _T_3975 @[Reg.scala 28:23] + buf_samedw[2] <= _T_3950 @[el2_lsu_bus_buffer.scala 520:19] + node _T_3951 = bits(buf_nomerge_in, 2, 2) @[el2_lsu_bus_buffer.scala 521:80] + node _T_3952 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] + reg _T_3953 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3952 : @[Reg.scala 28:19] + _T_3953 <= _T_3951 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[2] <= _T_3977 @[el2_lsu_bus_buffer.scala 521:20] - node _T_3978 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 522:78] - node _T_3979 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] - reg _T_3980 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_3979 : @[Reg.scala 28:19] - _T_3980 <= _T_3978 @[Reg.scala 28:23] + buf_nomerge[2] <= _T_3953 @[el2_lsu_bus_buffer.scala 521:20] + node _T_3954 = bits(buf_dualhi_in, 2, 2) @[el2_lsu_bus_buffer.scala 522:78] + node _T_3955 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] + reg _T_3956 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3955 : @[Reg.scala 28:19] + _T_3956 <= _T_3954 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[2] <= _T_3980 @[el2_lsu_bus_buffer.scala 522:19] - node _T_3981 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] - when _T_3981 : @[Conditional.scala 40:58] - node _T_3982 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] - node _T_3983 = mux(_T_3982, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] - buf_nxtstate[3] <= _T_3983 @[el2_lsu_bus_buffer.scala 459:25] - node _T_3984 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] - node _T_3985 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] - node _T_3986 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] - node _T_3987 = and(_T_3985, _T_3986) @[el2_lsu_bus_buffer.scala 460:95] - node _T_3988 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] - node _T_3989 = and(_T_3987, _T_3988) @[el2_lsu_bus_buffer.scala 460:112] - node _T_3990 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] - node _T_3991 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] - node _T_3992 = and(_T_3990, _T_3991) @[el2_lsu_bus_buffer.scala 460:161] - node _T_3993 = or(_T_3989, _T_3992) @[el2_lsu_bus_buffer.scala 460:132] - node _T_3994 = and(_T_3984, _T_3993) @[el2_lsu_bus_buffer.scala 460:63] - node _T_3995 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] - node _T_3996 = and(ibuf_drain_vld, _T_3995) @[el2_lsu_bus_buffer.scala 460:201] - node _T_3997 = or(_T_3994, _T_3996) @[el2_lsu_bus_buffer.scala 460:183] - buf_state_en[3] <= _T_3997 @[el2_lsu_bus_buffer.scala 460:25] + buf_dualhi[2] <= _T_3956 @[el2_lsu_bus_buffer.scala 522:19] + node _T_3957 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_3957 : @[Conditional.scala 40:58] + node _T_3958 = bits(io.lsu_bus_clk_en, 0, 0) @[el2_lsu_bus_buffer.scala 459:56] + node _T_3959 = mux(_T_3958, UInt<3>("h02"), UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 459:31] + buf_nxtstate[3] <= _T_3959 @[el2_lsu_bus_buffer.scala 459:25] + node _T_3960 = and(io.lsu_busreq_r, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 460:45] + node _T_3961 = or(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:77] + node _T_3962 = eq(ibuf_merge_en, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 460:97] + node _T_3963 = and(_T_3961, _T_3962) @[el2_lsu_bus_buffer.scala 460:95] + node _T_3964 = eq(UInt<2>("h03"), WrPtr0_r) @[el2_lsu_bus_buffer.scala 460:117] + node _T_3965 = and(_T_3963, _T_3964) @[el2_lsu_bus_buffer.scala 460:112] + node _T_3966 = and(ibuf_byp, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 460:144] + node _T_3967 = eq(UInt<2>("h03"), WrPtr1_r) @[el2_lsu_bus_buffer.scala 460:166] + node _T_3968 = and(_T_3966, _T_3967) @[el2_lsu_bus_buffer.scala 460:161] + node _T_3969 = or(_T_3965, _T_3968) @[el2_lsu_bus_buffer.scala 460:132] + node _T_3970 = and(_T_3960, _T_3969) @[el2_lsu_bus_buffer.scala 460:63] + node _T_3971 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 460:206] + node _T_3972 = and(ibuf_drain_vld, _T_3971) @[el2_lsu_bus_buffer.scala 460:201] + node _T_3973 = or(_T_3970, _T_3972) @[el2_lsu_bus_buffer.scala 460:183] + buf_state_en[3] <= _T_3973 @[el2_lsu_bus_buffer.scala 460:25] buf_wr_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 461:22] buf_data_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 462:24] - node _T_3998 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] - node _T_3999 = and(ibuf_drain_vld, _T_3998) @[el2_lsu_bus_buffer.scala 463:47] - node _T_4000 = bits(_T_3999, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] - node _T_4001 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] - node _T_4002 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] - node _T_4003 = mux(_T_4000, _T_4001, _T_4002) @[el2_lsu_bus_buffer.scala 463:30] - buf_data_in[3] <= _T_4003 @[el2_lsu_bus_buffer.scala 463:24] + node _T_3974 = eq(UInt<2>("h03"), ibuf_tag) @[el2_lsu_bus_buffer.scala 463:52] + node _T_3975 = and(ibuf_drain_vld, _T_3974) @[el2_lsu_bus_buffer.scala 463:47] + node _T_3976 = bits(_T_3975, 0, 0) @[el2_lsu_bus_buffer.scala 463:73] + node _T_3977 = bits(ibuf_data_out, 31, 0) @[el2_lsu_bus_buffer.scala 463:90] + node _T_3978 = bits(store_data_lo_r, 31, 0) @[el2_lsu_bus_buffer.scala 463:114] + node _T_3979 = mux(_T_3976, _T_3977, _T_3978) @[el2_lsu_bus_buffer.scala 463:30] + buf_data_in[3] <= _T_3979 @[el2_lsu_bus_buffer.scala 463:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_4004 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4004 : @[Conditional.scala 39:67] - node _T_4005 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] - node _T_4006 = mux(_T_4005, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] - buf_nxtstate[3] <= _T_4006 @[el2_lsu_bus_buffer.scala 466:25] - node _T_4007 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] - buf_state_en[3] <= _T_4007 @[el2_lsu_bus_buffer.scala 467:25] + node _T_3980 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_3980 : @[Conditional.scala 39:67] + node _T_3981 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 466:60] + node _T_3982 = mux(_T_3981, UInt<3>("h00"), UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 466:31] + buf_nxtstate[3] <= _T_3982 @[el2_lsu_bus_buffer.scala 466:25] + node _T_3983 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 467:46] + buf_state_en[3] <= _T_3983 @[el2_lsu_bus_buffer.scala 467:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4008 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4008 : @[Conditional.scala 39:67] - node _T_4009 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] - node _T_4010 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] - node _T_4011 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] - node _T_4012 = and(_T_4010, _T_4011) @[el2_lsu_bus_buffer.scala 470:104] - node _T_4013 = mux(_T_4012, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] - node _T_4014 = mux(_T_4009, UInt<3>("h00"), _T_4013) @[el2_lsu_bus_buffer.scala 470:31] - buf_nxtstate[3] <= _T_4014 @[el2_lsu_bus_buffer.scala 470:25] - node _T_4015 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:48] - node _T_4016 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:104] - node _T_4017 = and(obuf_merge, _T_4016) @[el2_lsu_bus_buffer.scala 471:91] - node _T_4018 = or(_T_4015, _T_4017) @[el2_lsu_bus_buffer.scala 471:77] - node _T_4019 = and(_T_4018, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] - node _T_4020 = and(_T_4019, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] - buf_cmd_state_bus_en[3] <= _T_4020 @[el2_lsu_bus_buffer.scala 471:33] + node _T_3984 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_3984 : @[Conditional.scala 39:67] + node _T_3985 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 470:60] + node _T_3986 = and(obuf_nosend, bus_rsp_read) @[el2_lsu_bus_buffer.scala 470:89] + node _T_3987 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[el2_lsu_bus_buffer.scala 470:124] + node _T_3988 = and(_T_3986, _T_3987) @[el2_lsu_bus_buffer.scala 470:104] + node _T_3989 = mux(_T_3988, UInt<3>("h05"), UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 470:75] + node _T_3990 = mux(_T_3985, UInt<3>("h00"), _T_3989) @[el2_lsu_bus_buffer.scala 470:31] + buf_nxtstate[3] <= _T_3990 @[el2_lsu_bus_buffer.scala 470:25] + node _T_3991 = eq(obuf_tag0, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:48] + node _T_3992 = eq(obuf_tag1, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 471:104] + node _T_3993 = and(obuf_merge, _T_3992) @[el2_lsu_bus_buffer.scala 471:91] + node _T_3994 = or(_T_3991, _T_3993) @[el2_lsu_bus_buffer.scala 471:77] + node _T_3995 = and(_T_3994, obuf_valid) @[el2_lsu_bus_buffer.scala 471:135] + node _T_3996 = and(_T_3995, obuf_wr_enQ) @[el2_lsu_bus_buffer.scala 471:148] + buf_cmd_state_bus_en[3] <= _T_3996 @[el2_lsu_bus_buffer.scala 471:33] buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[el2_lsu_bus_buffer.scala 472:29] - node _T_4021 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] - node _T_4022 = or(_T_4021, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] - buf_state_en[3] <= _T_4022 @[el2_lsu_bus_buffer.scala 473:25] + node _T_3997 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 473:49] + node _T_3998 = or(_T_3997, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 473:70] + buf_state_en[3] <= _T_3998 @[el2_lsu_bus_buffer.scala 473:25] buf_ldfwd_in[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 474:25] - node _T_4023 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 475:56] - node _T_4024 = eq(_T_4023, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] - node _T_4025 = and(buf_state_en[3], _T_4024) @[el2_lsu_bus_buffer.scala 475:44] - node _T_4026 = and(_T_4025, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] - node _T_4027 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] - node _T_4028 = and(_T_4026, _T_4027) @[el2_lsu_bus_buffer.scala 475:74] - buf_ldfwd_en[3] <= _T_4028 @[el2_lsu_bus_buffer.scala 475:25] - node _T_4029 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] - buf_ldfwdtag_in[3] <= _T_4029 @[el2_lsu_bus_buffer.scala 476:28] - node _T_4030 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] - node _T_4031 = and(_T_4030, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] - node _T_4032 = and(_T_4031, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] - buf_data_en[3] <= _T_4032 @[el2_lsu_bus_buffer.scala 477:24] - node _T_4033 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] - node _T_4034 = and(_T_4033, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] - node _T_4035 = and(_T_4034, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] - buf_error_en[3] <= _T_4035 @[el2_lsu_bus_buffer.scala 478:25] - node _T_4036 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] - node _T_4037 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] - node _T_4038 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] - node _T_4039 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] - node _T_4040 = mux(_T_4037, _T_4038, _T_4039) @[el2_lsu_bus_buffer.scala 479:73] - node _T_4041 = mux(buf_error_en[3], _T_4036, _T_4040) @[el2_lsu_bus_buffer.scala 479:30] - buf_data_in[3] <= _T_4041 @[el2_lsu_bus_buffer.scala 479:24] + node _T_3999 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 475:56] + node _T_4000 = eq(_T_3999, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:46] + node _T_4001 = and(buf_state_en[3], _T_4000) @[el2_lsu_bus_buffer.scala 475:44] + node _T_4002 = and(_T_4001, obuf_nosend) @[el2_lsu_bus_buffer.scala 475:60] + node _T_4003 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 475:76] + node _T_4004 = and(_T_4002, _T_4003) @[el2_lsu_bus_buffer.scala 475:74] + buf_ldfwd_en[3] <= _T_4004 @[el2_lsu_bus_buffer.scala 475:25] + node _T_4005 = bits(obuf_rdrsp_tag, 1, 0) @[el2_lsu_bus_buffer.scala 476:46] + buf_ldfwdtag_in[3] <= _T_4005 @[el2_lsu_bus_buffer.scala 476:28] + node _T_4006 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 477:47] + node _T_4007 = and(_T_4006, obuf_nosend) @[el2_lsu_bus_buffer.scala 477:67] + node _T_4008 = and(_T_4007, bus_rsp_read) @[el2_lsu_bus_buffer.scala 477:81] + buf_data_en[3] <= _T_4008 @[el2_lsu_bus_buffer.scala 477:24] + node _T_4009 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 478:48] + node _T_4010 = and(_T_4009, obuf_nosend) @[el2_lsu_bus_buffer.scala 478:68] + node _T_4011 = and(_T_4010, bus_rsp_read_error) @[el2_lsu_bus_buffer.scala 478:82] + buf_error_en[3] <= _T_4011 @[el2_lsu_bus_buffer.scala 478:25] + node _T_4012 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:61] + node _T_4013 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 479:85] + node _T_4014 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 479:103] + node _T_4015 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 479:126] + node _T_4016 = mux(_T_4013, _T_4014, _T_4015) @[el2_lsu_bus_buffer.scala 479:73] + node _T_4017 = mux(buf_error_en[3], _T_4012, _T_4016) @[el2_lsu_bus_buffer.scala 479:30] + buf_data_in[3] <= _T_4017 @[el2_lsu_bus_buffer.scala 479:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4042 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4042 : @[Conditional.scala 39:67] - node _T_4043 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 482:67] - node _T_4044 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] - node _T_4045 = eq(_T_4044, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] - node _T_4046 = and(_T_4043, _T_4045) @[el2_lsu_bus_buffer.scala 482:71] - node _T_4047 = or(io.dec_tlu_force_halt, _T_4046) @[el2_lsu_bus_buffer.scala 482:55] - node _T_4048 = bits(_T_4047, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] - node _T_4049 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] - node _T_4050 = and(buf_dual[3], _T_4049) @[el2_lsu_bus_buffer.scala 483:28] - node _T_4051 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 483:57] - node _T_4052 = eq(_T_4051, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] - node _T_4053 = and(_T_4050, _T_4052) @[el2_lsu_bus_buffer.scala 483:45] - node _T_4054 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] - node _T_4055 = and(_T_4053, _T_4054) @[el2_lsu_bus_buffer.scala 483:61] - node _T_4056 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 484:27] - node _T_4057 = or(_T_4056, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] - node _T_4058 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] - node _T_4059 = and(buf_dual[3], _T_4058) @[el2_lsu_bus_buffer.scala 484:68] - node _T_4060 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 484:97] - node _T_4061 = eq(_T_4060, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] - node _T_4062 = and(_T_4059, _T_4061) @[el2_lsu_bus_buffer.scala 484:85] - node _T_4063 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4064 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4065 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4066 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4067 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4068 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4069 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4070 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4071 = mux(_T_4063, _T_4064, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4072 = mux(_T_4065, _T_4066, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4073 = mux(_T_4067, _T_4068, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4074 = mux(_T_4069, _T_4070, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4075 = or(_T_4071, _T_4072) @[Mux.scala 27:72] - node _T_4076 = or(_T_4075, _T_4073) @[Mux.scala 27:72] - node _T_4077 = or(_T_4076, _T_4074) @[Mux.scala 27:72] - wire _T_4078 : UInt<1> @[Mux.scala 27:72] - _T_4078 <= _T_4077 @[Mux.scala 27:72] - node _T_4079 = and(_T_4062, _T_4078) @[el2_lsu_bus_buffer.scala 484:101] - node _T_4080 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] - node _T_4081 = and(_T_4079, _T_4080) @[el2_lsu_bus_buffer.scala 484:138] - node _T_4082 = and(_T_4081, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] - node _T_4083 = or(_T_4057, _T_4082) @[el2_lsu_bus_buffer.scala 484:53] - node _T_4084 = mux(_T_4083, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] - node _T_4085 = mux(_T_4055, UInt<3>("h04"), _T_4084) @[el2_lsu_bus_buffer.scala 483:14] - node _T_4086 = mux(_T_4048, UInt<3>("h00"), _T_4085) @[el2_lsu_bus_buffer.scala 482:31] - buf_nxtstate[3] <= _T_4086 @[el2_lsu_bus_buffer.scala 482:25] - node _T_4087 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 485:73] - node _T_4088 = and(bus_rsp_write, _T_4087) @[el2_lsu_bus_buffer.scala 485:52] - node _T_4089 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 486:46] - node _T_4090 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 487:23] - node _T_4091 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 487:47] - node _T_4092 = and(_T_4090, _T_4091) @[el2_lsu_bus_buffer.scala 487:27] - node _T_4093 = or(_T_4089, _T_4092) @[el2_lsu_bus_buffer.scala 486:77] - node _T_4094 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 488:26] - node _T_4095 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 488:54] - node _T_4096 = not(_T_4095) @[el2_lsu_bus_buffer.scala 488:44] - node _T_4097 = and(_T_4094, _T_4096) @[el2_lsu_bus_buffer.scala 488:42] - node _T_4098 = and(_T_4097, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 488:58] - node _T_4099 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 488:94] - node _T_4100 = and(_T_4098, _T_4099) @[el2_lsu_bus_buffer.scala 488:74] - node _T_4101 = or(_T_4093, _T_4100) @[el2_lsu_bus_buffer.scala 487:71] - node _T_4102 = and(bus_rsp_read, _T_4101) @[el2_lsu_bus_buffer.scala 486:25] - node _T_4103 = or(_T_4088, _T_4102) @[el2_lsu_bus_buffer.scala 485:105] - buf_resp_state_bus_en[3] <= _T_4103 @[el2_lsu_bus_buffer.scala 485:34] + node _T_4018 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4018 : @[Conditional.scala 39:67] + node _T_4019 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 482:67] + node _T_4020 = and(UInt<1>("h01"), bus_rsp_write_error) @[el2_lsu_bus_buffer.scala 482:94] + node _T_4021 = eq(_T_4020, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 482:73] + node _T_4022 = and(_T_4019, _T_4021) @[el2_lsu_bus_buffer.scala 482:71] + node _T_4023 = or(io.dec_tlu_force_halt, _T_4022) @[el2_lsu_bus_buffer.scala 482:55] + node _T_4024 = bits(_T_4023, 0, 0) @[el2_lsu_bus_buffer.scala 482:125] + node _T_4025 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:30] + node _T_4026 = and(buf_dual[3], _T_4025) @[el2_lsu_bus_buffer.scala 483:28] + node _T_4027 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 483:57] + node _T_4028 = eq(_T_4027, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 483:47] + node _T_4029 = and(_T_4026, _T_4028) @[el2_lsu_bus_buffer.scala 483:45] + node _T_4030 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 483:90] + node _T_4031 = and(_T_4029, _T_4030) @[el2_lsu_bus_buffer.scala 483:61] + node _T_4032 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 484:27] + node _T_4033 = or(_T_4032, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:31] + node _T_4034 = eq(buf_samedw[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:70] + node _T_4035 = and(buf_dual[3], _T_4034) @[el2_lsu_bus_buffer.scala 484:68] + node _T_4036 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 484:97] + node _T_4037 = eq(_T_4036, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 484:87] + node _T_4038 = and(_T_4035, _T_4037) @[el2_lsu_bus_buffer.scala 484:85] + node _T_4039 = eq(buf_dualtag[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4040 = bits(buf_ldfwd, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4041 = eq(buf_dualtag[3], UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4042 = bits(buf_ldfwd, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4043 = eq(buf_dualtag[3], UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4044 = bits(buf_ldfwd, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4045 = eq(buf_dualtag[3], UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4046 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4047 = mux(_T_4039, _T_4040, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4048 = mux(_T_4041, _T_4042, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4049 = mux(_T_4043, _T_4044, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4050 = mux(_T_4045, _T_4046, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4051 = or(_T_4047, _T_4048) @[Mux.scala 27:72] + node _T_4052 = or(_T_4051, _T_4049) @[Mux.scala 27:72] + node _T_4053 = or(_T_4052, _T_4050) @[Mux.scala 27:72] + wire _T_4054 : UInt<1> @[Mux.scala 27:72] + _T_4054 <= _T_4053 @[Mux.scala 27:72] + node _T_4055 = and(_T_4038, _T_4054) @[el2_lsu_bus_buffer.scala 484:101] + node _T_4056 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[el2_lsu_bus_buffer.scala 484:167] + node _T_4057 = and(_T_4055, _T_4056) @[el2_lsu_bus_buffer.scala 484:138] + node _T_4058 = and(_T_4057, any_done_wait_state) @[el2_lsu_bus_buffer.scala 484:187] + node _T_4059 = or(_T_4033, _T_4058) @[el2_lsu_bus_buffer.scala 484:53] + node _T_4060 = mux(_T_4059, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 484:16] + node _T_4061 = mux(_T_4031, UInt<3>("h04"), _T_4060) @[el2_lsu_bus_buffer.scala 483:14] + node _T_4062 = mux(_T_4024, UInt<3>("h00"), _T_4061) @[el2_lsu_bus_buffer.scala 482:31] + buf_nxtstate[3] <= _T_4062 @[el2_lsu_bus_buffer.scala 482:25] + node _T_4063 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 485:73] + node _T_4064 = and(bus_rsp_write, _T_4063) @[el2_lsu_bus_buffer.scala 485:52] + node _T_4065 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 486:46] + node _T_4066 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 487:23] + node _T_4067 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 487:47] + node _T_4068 = and(_T_4066, _T_4067) @[el2_lsu_bus_buffer.scala 487:27] + node _T_4069 = or(_T_4065, _T_4068) @[el2_lsu_bus_buffer.scala 486:77] + node _T_4070 = and(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 488:26] + node _T_4071 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 488:54] + node _T_4072 = not(_T_4071) @[el2_lsu_bus_buffer.scala 488:44] + node _T_4073 = and(_T_4070, _T_4072) @[el2_lsu_bus_buffer.scala 488:42] + node _T_4074 = and(_T_4073, buf_samedw[3]) @[el2_lsu_bus_buffer.scala 488:58] + node _T_4075 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 488:94] + node _T_4076 = and(_T_4074, _T_4075) @[el2_lsu_bus_buffer.scala 488:74] + node _T_4077 = or(_T_4069, _T_4076) @[el2_lsu_bus_buffer.scala 487:71] + node _T_4078 = and(bus_rsp_read, _T_4077) @[el2_lsu_bus_buffer.scala 486:25] + node _T_4079 = or(_T_4064, _T_4078) @[el2_lsu_bus_buffer.scala 485:105] + buf_resp_state_bus_en[3] <= _T_4079 @[el2_lsu_bus_buffer.scala 485:34] buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[el2_lsu_bus_buffer.scala 489:29] - node _T_4104 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] - node _T_4105 = or(_T_4104, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] - buf_state_en[3] <= _T_4105 @[el2_lsu_bus_buffer.scala 490:25] - node _T_4106 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] - node _T_4107 = and(_T_4106, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] - buf_data_en[3] <= _T_4107 @[el2_lsu_bus_buffer.scala 491:24] - node _T_4108 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] - node _T_4109 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 492:111] - node _T_4110 = and(bus_rsp_read_error, _T_4109) @[el2_lsu_bus_buffer.scala 492:91] - node _T_4111 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 493:42] - node _T_4112 = and(bus_rsp_read_error, _T_4111) @[el2_lsu_bus_buffer.scala 493:31] - node _T_4113 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 493:66] - node _T_4114 = and(_T_4112, _T_4113) @[el2_lsu_bus_buffer.scala 493:46] - node _T_4115 = or(_T_4110, _T_4114) @[el2_lsu_bus_buffer.scala 492:143] - node _T_4116 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] - node _T_4117 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 494:74] - node _T_4118 = and(_T_4116, _T_4117) @[el2_lsu_bus_buffer.scala 494:53] - node _T_4119 = or(_T_4115, _T_4118) @[el2_lsu_bus_buffer.scala 493:88] - node _T_4120 = and(_T_4108, _T_4119) @[el2_lsu_bus_buffer.scala 492:68] - buf_error_en[3] <= _T_4120 @[el2_lsu_bus_buffer.scala 492:25] - node _T_4121 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] - node _T_4122 = and(buf_state_en[3], _T_4121) @[el2_lsu_bus_buffer.scala 495:48] - node _T_4123 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] - node _T_4124 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] - node _T_4125 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] - node _T_4126 = mux(_T_4123, _T_4124, _T_4125) @[el2_lsu_bus_buffer.scala 495:72] - node _T_4127 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] - node _T_4128 = mux(_T_4122, _T_4126, _T_4127) @[el2_lsu_bus_buffer.scala 495:30] - buf_data_in[3] <= _T_4128 @[el2_lsu_bus_buffer.scala 495:24] + node _T_4080 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 490:49] + node _T_4081 = or(_T_4080, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 490:70] + buf_state_en[3] <= _T_4081 @[el2_lsu_bus_buffer.scala 490:25] + node _T_4082 = and(buf_state_bus_en[3], bus_rsp_read) @[el2_lsu_bus_buffer.scala 491:47] + node _T_4083 = and(_T_4082, io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 491:62] + buf_data_en[3] <= _T_4083 @[el2_lsu_bus_buffer.scala 491:24] + node _T_4084 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 492:48] + node _T_4085 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 492:111] + node _T_4086 = and(bus_rsp_read_error, _T_4085) @[el2_lsu_bus_buffer.scala 492:91] + node _T_4087 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 493:42] + node _T_4088 = and(bus_rsp_read_error, _T_4087) @[el2_lsu_bus_buffer.scala 493:31] + node _T_4089 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[el2_lsu_bus_buffer.scala 493:66] + node _T_4090 = and(_T_4088, _T_4089) @[el2_lsu_bus_buffer.scala 493:46] + node _T_4091 = or(_T_4086, _T_4090) @[el2_lsu_bus_buffer.scala 492:143] + node _T_4092 = and(bus_rsp_write_error, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 494:32] + node _T_4093 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 494:74] + node _T_4094 = and(_T_4092, _T_4093) @[el2_lsu_bus_buffer.scala 494:53] + node _T_4095 = or(_T_4091, _T_4094) @[el2_lsu_bus_buffer.scala 493:88] + node _T_4096 = and(_T_4084, _T_4095) @[el2_lsu_bus_buffer.scala 492:68] + buf_error_en[3] <= _T_4096 @[el2_lsu_bus_buffer.scala 492:25] + node _T_4097 = eq(buf_error_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 495:50] + node _T_4098 = and(buf_state_en[3], _T_4097) @[el2_lsu_bus_buffer.scala 495:48] + node _T_4099 = bits(buf_addr[3], 2, 2) @[el2_lsu_bus_buffer.scala 495:84] + node _T_4100 = bits(bus_rsp_rdata, 63, 32) @[el2_lsu_bus_buffer.scala 495:102] + node _T_4101 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:125] + node _T_4102 = mux(_T_4099, _T_4100, _T_4101) @[el2_lsu_bus_buffer.scala 495:72] + node _T_4103 = bits(bus_rsp_rdata, 31, 0) @[el2_lsu_bus_buffer.scala 495:148] + node _T_4104 = mux(_T_4098, _T_4102, _T_4103) @[el2_lsu_bus_buffer.scala 495:30] + buf_data_in[3] <= _T_4104 @[el2_lsu_bus_buffer.scala 495:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4129 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4129 : @[Conditional.scala 39:67] - node _T_4130 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] - node _T_4131 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 498:86] - node _T_4132 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 498:101] - node _T_4133 = bits(_T_4132, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] - node _T_4134 = or(_T_4131, _T_4133) @[el2_lsu_bus_buffer.scala 498:90] - node _T_4135 = or(_T_4134, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] - node _T_4136 = mux(_T_4135, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] - node _T_4137 = mux(_T_4130, UInt<3>("h00"), _T_4136) @[el2_lsu_bus_buffer.scala 498:31] - buf_nxtstate[3] <= _T_4137 @[el2_lsu_bus_buffer.scala 498:25] - node _T_4138 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 499:66] - node _T_4139 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 500:21] - node _T_4140 = bits(_T_4139, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] - node _T_4141 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 500:58] - node _T_4142 = and(_T_4140, _T_4141) @[el2_lsu_bus_buffer.scala 500:38] - node _T_4143 = or(_T_4138, _T_4142) @[el2_lsu_bus_buffer.scala 499:95] - node _T_4144 = and(bus_rsp_read, _T_4143) @[el2_lsu_bus_buffer.scala 499:45] - buf_state_bus_en[3] <= _T_4144 @[el2_lsu_bus_buffer.scala 499:29] - node _T_4145 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] - node _T_4146 = or(_T_4145, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] - buf_state_en[3] <= _T_4146 @[el2_lsu_bus_buffer.scala 501:25] + node _T_4105 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4105 : @[Conditional.scala 39:67] + node _T_4106 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 498:60] + node _T_4107 = bits(buf_ldfwd, 3, 3) @[el2_lsu_bus_buffer.scala 498:86] + node _T_4108 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 498:101] + node _T_4109 = bits(_T_4108, 0, 0) @[el2_lsu_bus_buffer.scala 498:101] + node _T_4110 = or(_T_4107, _T_4109) @[el2_lsu_bus_buffer.scala 498:90] + node _T_4111 = or(_T_4110, any_done_wait_state) @[el2_lsu_bus_buffer.scala 498:118] + node _T_4112 = mux(_T_4111, UInt<3>("h05"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 498:75] + node _T_4113 = mux(_T_4106, UInt<3>("h00"), _T_4112) @[el2_lsu_bus_buffer.scala 498:31] + buf_nxtstate[3] <= _T_4113 @[el2_lsu_bus_buffer.scala 498:25] + node _T_4114 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 499:66] + node _T_4115 = dshr(buf_ldfwd, buf_dualtag[3]) @[el2_lsu_bus_buffer.scala 500:21] + node _T_4116 = bits(_T_4115, 0, 0) @[el2_lsu_bus_buffer.scala 500:21] + node _T_4117 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[el2_lsu_bus_buffer.scala 500:58] + node _T_4118 = and(_T_4116, _T_4117) @[el2_lsu_bus_buffer.scala 500:38] + node _T_4119 = or(_T_4114, _T_4118) @[el2_lsu_bus_buffer.scala 499:95] + node _T_4120 = and(bus_rsp_read, _T_4119) @[el2_lsu_bus_buffer.scala 499:45] + buf_state_bus_en[3] <= _T_4120 @[el2_lsu_bus_buffer.scala 499:29] + node _T_4121 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[el2_lsu_bus_buffer.scala 501:49] + node _T_4122 = or(_T_4121, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 501:70] + buf_state_en[3] <= _T_4122 @[el2_lsu_bus_buffer.scala 501:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4147 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4147 : @[Conditional.scala 39:67] - node _T_4148 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] - node _T_4149 = mux(_T_4148, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] - buf_nxtstate[3] <= _T_4149 @[el2_lsu_bus_buffer.scala 504:25] - node _T_4150 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 505:37] - node _T_4151 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] - node _T_4152 = and(buf_dual[3], _T_4151) @[el2_lsu_bus_buffer.scala 505:80] - node _T_4153 = or(_T_4150, _T_4152) @[el2_lsu_bus_buffer.scala 505:65] - node _T_4154 = or(_T_4153, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] - buf_state_en[3] <= _T_4154 @[el2_lsu_bus_buffer.scala 505:25] + node _T_4123 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4123 : @[Conditional.scala 39:67] + node _T_4124 = bits(io.dec_tlu_force_halt, 0, 0) @[el2_lsu_bus_buffer.scala 504:60] + node _T_4125 = mux(_T_4124, UInt<3>("h00"), UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 504:31] + buf_nxtstate[3] <= _T_4125 @[el2_lsu_bus_buffer.scala 504:25] + node _T_4126 = eq(RspPtr, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 505:37] + node _T_4127 = eq(buf_dualtag[3], RspPtr) @[el2_lsu_bus_buffer.scala 505:98] + node _T_4128 = and(buf_dual[3], _T_4127) @[el2_lsu_bus_buffer.scala 505:80] + node _T_4129 = or(_T_4126, _T_4128) @[el2_lsu_bus_buffer.scala 505:65] + node _T_4130 = or(_T_4129, io.dec_tlu_force_halt) @[el2_lsu_bus_buffer.scala 505:112] + buf_state_en[3] <= _T_4130 @[el2_lsu_bus_buffer.scala 505:25] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_4155 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] - when _T_4155 : @[Conditional.scala 39:67] + node _T_4131 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4131 : @[Conditional.scala 39:67] buf_nxtstate[3] <= UInt<3>("h00") @[el2_lsu_bus_buffer.scala 508:25] buf_rst[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 509:20] buf_state_en[3] <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 510:25] buf_ldfwd_in[3] <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 511:25] buf_ldfwd_en[3] <= buf_state_en[3] @[el2_lsu_bus_buffer.scala 512:25] skip @[Conditional.scala 39:67] - node _T_4156 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] - reg _T_4157 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4132 = bits(buf_state_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 515:108] + reg _T_4133 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4132 : @[Reg.scala 28:19] + _T_4133 <= buf_nxtstate[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[3] <= _T_4133 @[el2_lsu_bus_buffer.scala 515:18] + reg _T_4134 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] + _T_4134 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 516:60] + buf_ageQ[3] <= _T_4134 @[el2_lsu_bus_buffer.scala 516:17] + reg _T_4135 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] + _T_4135 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 517:63] + buf_rspageQ[3] <= _T_4135 @[el2_lsu_bus_buffer.scala 517:20] + node _T_4136 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] + reg _T_4137 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4136 : @[Reg.scala 28:19] + _T_4137 <= buf_dualtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[3] <= _T_4137 @[el2_lsu_bus_buffer.scala 518:20] + node _T_4138 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 519:74] + node _T_4139 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] + reg _T_4140 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4139 : @[Reg.scala 28:19] + _T_4140 <= _T_4138 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[3] <= _T_4140 @[el2_lsu_bus_buffer.scala 519:17] + node _T_4141 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 520:78] + node _T_4142 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] + reg _T_4143 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4142 : @[Reg.scala 28:19] + _T_4143 <= _T_4141 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[3] <= _T_4143 @[el2_lsu_bus_buffer.scala 520:19] + node _T_4144 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 521:80] + node _T_4145 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] + reg _T_4146 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4145 : @[Reg.scala 28:19] + _T_4146 <= _T_4144 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[3] <= _T_4146 @[el2_lsu_bus_buffer.scala 521:20] + node _T_4147 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 522:78] + node _T_4148 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] + reg _T_4149 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4148 : @[Reg.scala 28:19] + _T_4149 <= _T_4147 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[3] <= _T_4149 @[el2_lsu_bus_buffer.scala 522:19] + node _T_4150 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] + reg _T_4151 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4150 : @[Reg.scala 28:19] + _T_4151 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4152 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] + reg _T_4153 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4152 : @[Reg.scala 28:19] + _T_4153 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4154 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] + reg _T_4155 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4154 : @[Reg.scala 28:19] + _T_4155 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4156 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] + reg _T_4157 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4156 : @[Reg.scala 28:19] - _T_4157 <= buf_nxtstate[3] @[Reg.scala 28:23] + _T_4157 <= buf_ldfwd_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_state[3] <= _T_4157 @[el2_lsu_bus_buffer.scala 515:18] - reg _T_4158 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 516:60] - _T_4158 <= buf_age_in_3 @[el2_lsu_bus_buffer.scala 516:60] - buf_ageQ[3] <= _T_4158 @[el2_lsu_bus_buffer.scala 516:17] - reg _T_4159 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 517:63] - _T_4159 <= buf_rspage_in[3] @[el2_lsu_bus_buffer.scala 517:63] - buf_rspageQ[3] <= _T_4159 @[el2_lsu_bus_buffer.scala 517:20] - node _T_4160 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 518:109] - reg _T_4161 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4160 : @[Reg.scala 28:19] - _T_4161 <= buf_dualtag_in[3] @[Reg.scala 28:23] + node _T_4158 = cat(_T_4157, _T_4155) @[Cat.scala 29:58] + node _T_4159 = cat(_T_4158, _T_4153) @[Cat.scala 29:58] + node _T_4160 = cat(_T_4159, _T_4151) @[Cat.scala 29:58] + buf_ldfwd <= _T_4160 @[el2_lsu_bus_buffer.scala 525:15] + node _T_4161 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] + reg _T_4162 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4161 : @[Reg.scala 28:19] + _T_4162 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualtag[3] <= _T_4161 @[el2_lsu_bus_buffer.scala 518:20] - node _T_4162 = bits(buf_dual_in, 3, 3) @[el2_lsu_bus_buffer.scala 519:74] - node _T_4163 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 519:107] - reg _T_4164 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4163 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] + reg _T_4164 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4163 : @[Reg.scala 28:19] - _T_4164 <= _T_4162 @[Reg.scala 28:23] + _T_4164 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dual[3] <= _T_4164 @[el2_lsu_bus_buffer.scala 519:17] - node _T_4165 = bits(buf_samedw_in, 3, 3) @[el2_lsu_bus_buffer.scala 520:78] - node _T_4166 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 520:111] - reg _T_4167 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4166 : @[Reg.scala 28:19] - _T_4167 <= _T_4165 @[Reg.scala 28:23] + node _T_4165 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] + reg _T_4166 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4165 : @[Reg.scala 28:19] + _T_4166 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_samedw[3] <= _T_4167 @[el2_lsu_bus_buffer.scala 520:19] - node _T_4168 = bits(buf_nomerge_in, 3, 3) @[el2_lsu_bus_buffer.scala 521:80] - node _T_4169 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 521:113] - reg _T_4170 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4169 : @[Reg.scala 28:19] - _T_4170 <= _T_4168 @[Reg.scala 28:23] + node _T_4167 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] + reg _T_4168 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4167 : @[Reg.scala 28:19] + _T_4168 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_nomerge[3] <= _T_4170 @[el2_lsu_bus_buffer.scala 521:20] - node _T_4171 = bits(buf_dualhi_in, 3, 3) @[el2_lsu_bus_buffer.scala 522:78] - node _T_4172 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 522:111] - reg _T_4173 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4172 : @[Reg.scala 28:19] - _T_4173 <= _T_4171 @[Reg.scala 28:23] + buf_ldfwdtag[0] <= _T_4162 @[el2_lsu_bus_buffer.scala 526:18] + buf_ldfwdtag[1] <= _T_4164 @[el2_lsu_bus_buffer.scala 526:18] + buf_ldfwdtag[2] <= _T_4166 @[el2_lsu_bus_buffer.scala 526:18] + buf_ldfwdtag[3] <= _T_4168 @[el2_lsu_bus_buffer.scala 526:18] + node _T_4169 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 527:107] + node _T_4170 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] + reg _T_4171 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4170 : @[Reg.scala 28:19] + _T_4171 <= _T_4169 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_dualhi[3] <= _T_4173 @[el2_lsu_bus_buffer.scala 522:19] - node _T_4174 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] - reg _T_4175 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4174 : @[Reg.scala 28:19] - _T_4175 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + node _T_4172 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 527:107] + node _T_4173 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] + reg _T_4174 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4173 : @[Reg.scala 28:19] + _T_4174 <= _T_4172 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4176 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] + node _T_4175 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 527:107] + node _T_4176 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] reg _T_4177 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4176 : @[Reg.scala 28:19] - _T_4177 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + _T_4177 <= _T_4175 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4178 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] - reg _T_4179 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4178 : @[Reg.scala 28:19] - _T_4179 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + node _T_4178 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 527:107] + node _T_4179 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] + reg _T_4180 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4179 : @[Reg.scala 28:19] + _T_4180 <= _T_4178 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4180 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 525:133] - reg _T_4181 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4180 : @[Reg.scala 28:19] - _T_4181 <= buf_ldfwd_in[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4182 = cat(_T_4181, _T_4179) @[Cat.scala 29:58] - node _T_4183 = cat(_T_4182, _T_4177) @[Cat.scala 29:58] - node _T_4184 = cat(_T_4183, _T_4175) @[Cat.scala 29:58] - buf_ldfwd <= _T_4184 @[el2_lsu_bus_buffer.scala 525:15] - node _T_4185 = bits(buf_ldfwd_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] - reg _T_4186 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4181 = cat(_T_4180, _T_4177) @[Cat.scala 29:58] + node _T_4182 = cat(_T_4181, _T_4174) @[Cat.scala 29:58] + node _T_4183 = cat(_T_4182, _T_4171) @[Cat.scala 29:58] + buf_sideeffect <= _T_4183 @[el2_lsu_bus_buffer.scala 527:20] + node _T_4184 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 528:99] + node _T_4185 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] + reg _T_4186 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4185 : @[Reg.scala 28:19] - _T_4186 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + _T_4186 <= _T_4184 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4187 = bits(buf_ldfwd_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] - reg _T_4188 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4187 : @[Reg.scala 28:19] - _T_4188 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + node _T_4187 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 528:99] + node _T_4188 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] + reg _T_4189 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4188 : @[Reg.scala 28:19] + _T_4189 <= _T_4187 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4189 = bits(buf_ldfwd_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] - reg _T_4190 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4189 : @[Reg.scala 28:19] - _T_4190 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4191 = bits(buf_ldfwd_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 526:134] - reg _T_4192 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4190 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 528:99] + node _T_4191 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] + reg _T_4192 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4191 : @[Reg.scala 28:19] - _T_4192 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] + _T_4192 <= _T_4190 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_ldfwdtag[0] <= _T_4186 @[el2_lsu_bus_buffer.scala 526:18] - buf_ldfwdtag[1] <= _T_4188 @[el2_lsu_bus_buffer.scala 526:18] - buf_ldfwdtag[2] <= _T_4190 @[el2_lsu_bus_buffer.scala 526:18] - buf_ldfwdtag[3] <= _T_4192 @[el2_lsu_bus_buffer.scala 526:18] - node _T_4193 = bits(buf_sideeffect_in, 0, 0) @[el2_lsu_bus_buffer.scala 527:107] - node _T_4194 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] + node _T_4193 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 528:99] + node _T_4194 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] reg _T_4195 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4194 : @[Reg.scala 28:19] _T_4195 <= _T_4193 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4196 = bits(buf_sideeffect_in, 1, 1) @[el2_lsu_bus_buffer.scala 527:107] - node _T_4197 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] - reg _T_4198 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4197 : @[Reg.scala 28:19] - _T_4198 <= _T_4196 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4199 = bits(buf_sideeffect_in, 2, 2) @[el2_lsu_bus_buffer.scala 527:107] - node _T_4200 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] + node _T_4196 = cat(_T_4195, _T_4192) @[Cat.scala 29:58] + node _T_4197 = cat(_T_4196, _T_4189) @[Cat.scala 29:58] + node _T_4198 = cat(_T_4197, _T_4186) @[Cat.scala 29:58] + buf_unsign <= _T_4198 @[el2_lsu_bus_buffer.scala 528:16] + node _T_4199 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 529:97] + node _T_4200 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] reg _T_4201 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4200 : @[Reg.scala 28:19] _T_4201 <= _T_4199 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4202 = bits(buf_sideeffect_in, 3, 3) @[el2_lsu_bus_buffer.scala 527:107] - node _T_4203 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 527:140] + node _T_4202 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 529:97] + node _T_4203 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] reg _T_4204 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4203 : @[Reg.scala 28:19] _T_4204 <= _T_4202 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4205 = cat(_T_4204, _T_4201) @[Cat.scala 29:58] - node _T_4206 = cat(_T_4205, _T_4198) @[Cat.scala 29:58] - node _T_4207 = cat(_T_4206, _T_4195) @[Cat.scala 29:58] - buf_sideeffect <= _T_4207 @[el2_lsu_bus_buffer.scala 527:20] - node _T_4208 = bits(buf_unsign_in, 0, 0) @[el2_lsu_bus_buffer.scala 528:99] - node _T_4209 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] + node _T_4205 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 529:97] + node _T_4206 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] + reg _T_4207 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4206 : @[Reg.scala 28:19] + _T_4207 <= _T_4205 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4208 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 529:97] + node _T_4209 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] reg _T_4210 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4209 : @[Reg.scala 28:19] _T_4210 <= _T_4208 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4211 = bits(buf_unsign_in, 1, 1) @[el2_lsu_bus_buffer.scala 528:99] - node _T_4212 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] - reg _T_4213 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4212 : @[Reg.scala 28:19] - _T_4213 <= _T_4211 @[Reg.scala 28:23] + node _T_4211 = cat(_T_4210, _T_4207) @[Cat.scala 29:58] + node _T_4212 = cat(_T_4211, _T_4204) @[Cat.scala 29:58] + node _T_4213 = cat(_T_4212, _T_4201) @[Cat.scala 29:58] + buf_write <= _T_4213 @[el2_lsu_bus_buffer.scala 529:15] + node _T_4214 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] + reg _T_4215 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4214 : @[Reg.scala 28:19] + _T_4215 <= buf_sz_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4214 = bits(buf_unsign_in, 2, 2) @[el2_lsu_bus_buffer.scala 528:99] - node _T_4215 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] - reg _T_4216 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4215 : @[Reg.scala 28:19] - _T_4216 <= _T_4214 @[Reg.scala 28:23] + node _T_4216 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] + reg _T_4217 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4216 : @[Reg.scala 28:19] + _T_4217 <= buf_sz_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4217 = bits(buf_unsign_in, 3, 3) @[el2_lsu_bus_buffer.scala 528:99] - node _T_4218 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 528:132] - reg _T_4219 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_4218 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] + reg _T_4219 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when _T_4218 : @[Reg.scala 28:19] - _T_4219 <= _T_4217 @[Reg.scala 28:23] + _T_4219 <= buf_sz_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4220 = cat(_T_4219, _T_4216) @[Cat.scala 29:58] - node _T_4221 = cat(_T_4220, _T_4213) @[Cat.scala 29:58] - node _T_4222 = cat(_T_4221, _T_4210) @[Cat.scala 29:58] - buf_unsign <= _T_4222 @[el2_lsu_bus_buffer.scala 528:16] - node _T_4223 = bits(buf_write_in, 0, 0) @[el2_lsu_bus_buffer.scala 529:97] - node _T_4224 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] - reg _T_4225 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4224 : @[Reg.scala 28:19] - _T_4225 <= _T_4223 @[Reg.scala 28:23] + node _T_4220 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] + reg _T_4221 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4220 : @[Reg.scala 28:19] + _T_4221 <= buf_sz_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4226 = bits(buf_write_in, 1, 1) @[el2_lsu_bus_buffer.scala 529:97] - node _T_4227 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] - reg _T_4228 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4227 : @[Reg.scala 28:19] - _T_4228 <= _T_4226 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4229 = bits(buf_write_in, 2, 2) @[el2_lsu_bus_buffer.scala 529:97] - node _T_4230 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] - reg _T_4231 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4230 : @[Reg.scala 28:19] - _T_4231 <= _T_4229 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4232 = bits(buf_write_in, 3, 3) @[el2_lsu_bus_buffer.scala 529:97] - node _T_4233 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 529:130] - reg _T_4234 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4233 : @[Reg.scala 28:19] - _T_4234 <= _T_4232 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4235 = cat(_T_4234, _T_4231) @[Cat.scala 29:58] - node _T_4236 = cat(_T_4235, _T_4228) @[Cat.scala 29:58] - node _T_4237 = cat(_T_4236, _T_4225) @[Cat.scala 29:58] - buf_write <= _T_4237 @[el2_lsu_bus_buffer.scala 529:15] - node _T_4238 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] - reg _T_4239 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4238 : @[Reg.scala 28:19] - _T_4239 <= buf_sz_in[0] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4240 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] - reg _T_4241 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4240 : @[Reg.scala 28:19] - _T_4241 <= buf_sz_in[1] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4242 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] - reg _T_4243 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4242 : @[Reg.scala 28:19] - _T_4243 <= buf_sz_in[2] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - node _T_4244 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 530:119] - reg _T_4245 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4244 : @[Reg.scala 28:19] - _T_4245 <= buf_sz_in[3] @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_sz[0] <= _T_4239 @[el2_lsu_bus_buffer.scala 530:12] - buf_sz[1] <= _T_4241 @[el2_lsu_bus_buffer.scala 530:12] - buf_sz[2] <= _T_4243 @[el2_lsu_bus_buffer.scala 530:12] - buf_sz[3] <= _T_4245 @[el2_lsu_bus_buffer.scala 530:12] - node _T_4246 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] + buf_sz[0] <= _T_4215 @[el2_lsu_bus_buffer.scala 530:12] + buf_sz[1] <= _T_4217 @[el2_lsu_bus_buffer.scala 530:12] + buf_sz[2] <= _T_4219 @[el2_lsu_bus_buffer.scala 530:12] + buf_sz[3] <= _T_4221 @[el2_lsu_bus_buffer.scala 530:12] + node _T_4222 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] inst rvclkhdr_4 of rvclkhdr_4 @[el2_lib.scala 472:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset rvclkhdr_4.io.clk <= clock @[el2_lib.scala 474:18] - rvclkhdr_4.io.en <= _T_4246 @[el2_lib.scala 475:17] + rvclkhdr_4.io.en <= _T_4222 @[el2_lib.scala 475:17] rvclkhdr_4.io.scan_mode <= io.scan_mode @[el2_lib.scala 476:24] - reg _T_4247 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] - _T_4247 <= buf_addr_in[0] @[el2_lib.scala 478:16] - node _T_4248 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] + reg _T_4223 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] + _T_4223 <= buf_addr_in[0] @[el2_lib.scala 478:16] + node _T_4224 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] inst rvclkhdr_5 of rvclkhdr_5 @[el2_lib.scala 472:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset rvclkhdr_5.io.clk <= clock @[el2_lib.scala 474:18] - rvclkhdr_5.io.en <= _T_4248 @[el2_lib.scala 475:17] + rvclkhdr_5.io.en <= _T_4224 @[el2_lib.scala 475:17] rvclkhdr_5.io.scan_mode <= io.scan_mode @[el2_lib.scala 476:24] - reg _T_4249 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] - _T_4249 <= buf_addr_in[1] @[el2_lib.scala 478:16] - node _T_4250 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] + reg _T_4225 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] + _T_4225 <= buf_addr_in[1] @[el2_lib.scala 478:16] + node _T_4226 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] inst rvclkhdr_6 of rvclkhdr_6 @[el2_lib.scala 472:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset rvclkhdr_6.io.clk <= clock @[el2_lib.scala 474:18] - rvclkhdr_6.io.en <= _T_4250 @[el2_lib.scala 475:17] + rvclkhdr_6.io.en <= _T_4226 @[el2_lib.scala 475:17] rvclkhdr_6.io.scan_mode <= io.scan_mode @[el2_lib.scala 476:24] - reg _T_4251 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] - _T_4251 <= buf_addr_in[2] @[el2_lib.scala 478:16] - node _T_4252 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] + reg _T_4227 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] + _T_4227 <= buf_addr_in[2] @[el2_lib.scala 478:16] + node _T_4228 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 531:82] inst rvclkhdr_7 of rvclkhdr_7 @[el2_lib.scala 472:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= reset rvclkhdr_7.io.clk <= clock @[el2_lib.scala 474:18] - rvclkhdr_7.io.en <= _T_4252 @[el2_lib.scala 475:17] + rvclkhdr_7.io.en <= _T_4228 @[el2_lib.scala 475:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[el2_lib.scala 476:24] - reg _T_4253 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] - _T_4253 <= buf_addr_in[3] @[el2_lib.scala 478:16] - buf_addr[0] <= _T_4247 @[el2_lsu_bus_buffer.scala 531:14] - buf_addr[1] <= _T_4249 @[el2_lsu_bus_buffer.scala 531:14] - buf_addr[2] <= _T_4251 @[el2_lsu_bus_buffer.scala 531:14] - buf_addr[3] <= _T_4253 @[el2_lsu_bus_buffer.scala 531:14] - node _T_4254 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] - reg _T_4255 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4254 : @[Reg.scala 28:19] - _T_4255 <= buf_byteen_in[0] @[Reg.scala 28:23] + reg _T_4229 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] + _T_4229 <= buf_addr_in[3] @[el2_lib.scala 478:16] + buf_addr[0] <= _T_4223 @[el2_lsu_bus_buffer.scala 531:14] + buf_addr[1] <= _T_4225 @[el2_lsu_bus_buffer.scala 531:14] + buf_addr[2] <= _T_4227 @[el2_lsu_bus_buffer.scala 531:14] + buf_addr[3] <= _T_4229 @[el2_lsu_bus_buffer.scala 531:14] + node _T_4230 = bits(buf_wr_en[0], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] + reg _T_4231 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4230 : @[Reg.scala 28:19] + _T_4231 <= buf_byteen_in[0] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4256 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] - reg _T_4257 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4256 : @[Reg.scala 28:19] - _T_4257 <= buf_byteen_in[1] @[Reg.scala 28:23] + node _T_4232 = bits(buf_wr_en[1], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] + reg _T_4233 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4232 : @[Reg.scala 28:19] + _T_4233 <= buf_byteen_in[1] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4258 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] - reg _T_4259 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4258 : @[Reg.scala 28:19] - _T_4259 <= buf_byteen_in[2] @[Reg.scala 28:23] + node _T_4234 = bits(buf_wr_en[2], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] + reg _T_4235 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4234 : @[Reg.scala 28:19] + _T_4235 <= buf_byteen_in[2] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_4260 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] - reg _T_4261 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_4260 : @[Reg.scala 28:19] - _T_4261 <= buf_byteen_in[3] @[Reg.scala 28:23] + node _T_4236 = bits(buf_wr_en[3], 0, 0) @[el2_lsu_bus_buffer.scala 532:127] + reg _T_4237 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4236 : @[Reg.scala 28:19] + _T_4237 <= buf_byteen_in[3] @[Reg.scala 28:23] skip @[Reg.scala 28:19] - buf_byteen[0] <= _T_4255 @[el2_lsu_bus_buffer.scala 532:16] - buf_byteen[1] <= _T_4257 @[el2_lsu_bus_buffer.scala 532:16] - buf_byteen[2] <= _T_4259 @[el2_lsu_bus_buffer.scala 532:16] - buf_byteen[3] <= _T_4261 @[el2_lsu_bus_buffer.scala 532:16] + buf_byteen[0] <= _T_4231 @[el2_lsu_bus_buffer.scala 532:16] + buf_byteen[1] <= _T_4233 @[el2_lsu_bus_buffer.scala 532:16] + buf_byteen[2] <= _T_4235 @[el2_lsu_bus_buffer.scala 532:16] + buf_byteen[3] <= _T_4237 @[el2_lsu_bus_buffer.scala 532:16] inst rvclkhdr_8 of rvclkhdr_8 @[el2_lib.scala 472:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset rvclkhdr_8.io.clk <= clock @[el2_lib.scala 474:18] rvclkhdr_8.io.en <= buf_data_en[0] @[el2_lib.scala 475:17] rvclkhdr_8.io.scan_mode <= io.scan_mode @[el2_lib.scala 476:24] - reg _T_4262 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] - _T_4262 <= buf_data_in[0] @[el2_lib.scala 478:16] + reg _T_4238 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] + _T_4238 <= buf_data_in[0] @[el2_lib.scala 478:16] inst rvclkhdr_9 of rvclkhdr_9 @[el2_lib.scala 472:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset rvclkhdr_9.io.clk <= clock @[el2_lib.scala 474:18] rvclkhdr_9.io.en <= buf_data_en[1] @[el2_lib.scala 475:17] rvclkhdr_9.io.scan_mode <= io.scan_mode @[el2_lib.scala 476:24] - reg _T_4263 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] - _T_4263 <= buf_data_in[1] @[el2_lib.scala 478:16] + reg _T_4239 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] + _T_4239 <= buf_data_in[1] @[el2_lib.scala 478:16] inst rvclkhdr_10 of rvclkhdr_10 @[el2_lib.scala 472:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[el2_lib.scala 474:18] rvclkhdr_10.io.en <= buf_data_en[2] @[el2_lib.scala 475:17] rvclkhdr_10.io.scan_mode <= io.scan_mode @[el2_lib.scala 476:24] - reg _T_4264 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] - _T_4264 <= buf_data_in[2] @[el2_lib.scala 478:16] + reg _T_4240 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] + _T_4240 <= buf_data_in[2] @[el2_lib.scala 478:16] inst rvclkhdr_11 of rvclkhdr_11 @[el2_lib.scala 472:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[el2_lib.scala 474:18] rvclkhdr_11.io.en <= buf_data_en[3] @[el2_lib.scala 475:17] rvclkhdr_11.io.scan_mode <= io.scan_mode @[el2_lib.scala 476:24] - reg _T_4265 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] - _T_4265 <= buf_data_in[3] @[el2_lib.scala 478:16] - buf_data[0] <= _T_4262 @[el2_lsu_bus_buffer.scala 533:14] - buf_data[1] <= _T_4263 @[el2_lsu_bus_buffer.scala 533:14] - buf_data[2] <= _T_4264 @[el2_lsu_bus_buffer.scala 533:14] - buf_data[3] <= _T_4265 @[el2_lsu_bus_buffer.scala 533:14] - node _T_4266 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 534:121] - node _T_4267 = mux(buf_error_en[0], UInt<1>("h01"), _T_4266) @[el2_lsu_bus_buffer.scala 534:86] - node _T_4268 = and(_T_4267, buf_rst[0]) @[el2_lsu_bus_buffer.scala 534:126] - reg _T_4269 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] - _T_4269 <= _T_4268 @[el2_lsu_bus_buffer.scala 534:82] - node _T_4270 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 534:121] - node _T_4271 = mux(buf_error_en[1], UInt<1>("h01"), _T_4270) @[el2_lsu_bus_buffer.scala 534:86] - node _T_4272 = and(_T_4271, buf_rst[1]) @[el2_lsu_bus_buffer.scala 534:126] - reg _T_4273 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] - _T_4273 <= _T_4272 @[el2_lsu_bus_buffer.scala 534:82] - node _T_4274 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 534:121] - node _T_4275 = mux(buf_error_en[2], UInt<1>("h01"), _T_4274) @[el2_lsu_bus_buffer.scala 534:86] - node _T_4276 = and(_T_4275, buf_rst[2]) @[el2_lsu_bus_buffer.scala 534:126] - reg _T_4277 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] - _T_4277 <= _T_4276 @[el2_lsu_bus_buffer.scala 534:82] - node _T_4278 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 534:121] - node _T_4279 = mux(buf_error_en[3], UInt<1>("h01"), _T_4278) @[el2_lsu_bus_buffer.scala 534:86] - node _T_4280 = and(_T_4279, buf_rst[3]) @[el2_lsu_bus_buffer.scala 534:126] - reg _T_4281 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] - _T_4281 <= _T_4280 @[el2_lsu_bus_buffer.scala 534:82] - node _T_4282 = cat(_T_4281, _T_4277) @[Cat.scala 29:58] - node _T_4283 = cat(_T_4282, _T_4273) @[Cat.scala 29:58] - node _T_4284 = cat(_T_4283, _T_4269) @[Cat.scala 29:58] - buf_error <= _T_4284 @[el2_lsu_bus_buffer.scala 534:15] - node _T_4285 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] - node _T_4286 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] - node _T_4287 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] - node _T_4288 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] - node _T_4289 = add(_T_4288, _T_4287) @[el2_lsu_bus_buffer.scala 536:96] - node _T_4290 = add(_T_4289, _T_4286) @[el2_lsu_bus_buffer.scala 536:96] - node buf_numvld_any = add(_T_4290, _T_4285) @[el2_lsu_bus_buffer.scala 536:96] - node _T_4291 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4292 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 537:76] - node _T_4293 = eq(_T_4292, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] - node _T_4294 = and(_T_4291, _T_4293) @[el2_lsu_bus_buffer.scala 537:64] - node _T_4295 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] - node _T_4296 = and(_T_4294, _T_4295) @[el2_lsu_bus_buffer.scala 537:89] - node _T_4297 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4298 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 537:76] - node _T_4299 = eq(_T_4298, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] - node _T_4300 = and(_T_4297, _T_4299) @[el2_lsu_bus_buffer.scala 537:64] - node _T_4301 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] - node _T_4302 = and(_T_4300, _T_4301) @[el2_lsu_bus_buffer.scala 537:89] - node _T_4303 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4304 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 537:76] - node _T_4305 = eq(_T_4304, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] - node _T_4306 = and(_T_4303, _T_4305) @[el2_lsu_bus_buffer.scala 537:64] - node _T_4307 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] - node _T_4308 = and(_T_4306, _T_4307) @[el2_lsu_bus_buffer.scala 537:89] - node _T_4309 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 537:60] - node _T_4310 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 537:76] - node _T_4311 = eq(_T_4310, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] - node _T_4312 = and(_T_4309, _T_4311) @[el2_lsu_bus_buffer.scala 537:64] - node _T_4313 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] - node _T_4314 = and(_T_4312, _T_4313) @[el2_lsu_bus_buffer.scala 537:89] - node _T_4315 = add(_T_4314, _T_4308) @[el2_lsu_bus_buffer.scala 537:142] - node _T_4316 = add(_T_4315, _T_4302) @[el2_lsu_bus_buffer.scala 537:142] - node _T_4317 = add(_T_4316, _T_4296) @[el2_lsu_bus_buffer.scala 537:142] - buf_numvld_wrcmd_any <= _T_4317 @[el2_lsu_bus_buffer.scala 537:24] - node _T_4318 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4319 = eq(_T_4318, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] - node _T_4320 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] - node _T_4321 = and(_T_4319, _T_4320) @[el2_lsu_bus_buffer.scala 538:73] - node _T_4322 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4323 = eq(_T_4322, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] - node _T_4324 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] - node _T_4325 = and(_T_4323, _T_4324) @[el2_lsu_bus_buffer.scala 538:73] - node _T_4326 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4327 = eq(_T_4326, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] - node _T_4328 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] - node _T_4329 = and(_T_4327, _T_4328) @[el2_lsu_bus_buffer.scala 538:73] - node _T_4330 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 538:60] - node _T_4331 = eq(_T_4330, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] - node _T_4332 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] - node _T_4333 = and(_T_4331, _T_4332) @[el2_lsu_bus_buffer.scala 538:73] - node _T_4334 = add(_T_4333, _T_4329) @[el2_lsu_bus_buffer.scala 538:126] - node _T_4335 = add(_T_4334, _T_4325) @[el2_lsu_bus_buffer.scala 538:126] - node _T_4336 = add(_T_4335, _T_4321) @[el2_lsu_bus_buffer.scala 538:126] - buf_numvld_cmd_any <= _T_4336 @[el2_lsu_bus_buffer.scala 538:22] - node _T_4337 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 539:61] - node _T_4338 = eq(_T_4337, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] - node _T_4339 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 539:85] - node _T_4340 = eq(_T_4339, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] - node _T_4341 = or(_T_4338, _T_4340) @[el2_lsu_bus_buffer.scala 539:74] - node _T_4342 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] - node _T_4343 = and(_T_4341, _T_4342) @[el2_lsu_bus_buffer.scala 539:100] - node _T_4344 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 539:61] - node _T_4345 = eq(_T_4344, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] - node _T_4346 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 539:85] - node _T_4347 = eq(_T_4346, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] - node _T_4348 = or(_T_4345, _T_4347) @[el2_lsu_bus_buffer.scala 539:74] - node _T_4349 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] - node _T_4350 = and(_T_4348, _T_4349) @[el2_lsu_bus_buffer.scala 539:100] - node _T_4351 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 539:61] - node _T_4352 = eq(_T_4351, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] - node _T_4353 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 539:85] - node _T_4354 = eq(_T_4353, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] - node _T_4355 = or(_T_4352, _T_4354) @[el2_lsu_bus_buffer.scala 539:74] - node _T_4356 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] - node _T_4357 = and(_T_4355, _T_4356) @[el2_lsu_bus_buffer.scala 539:100] - node _T_4358 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 539:61] - node _T_4359 = eq(_T_4358, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] - node _T_4360 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 539:85] - node _T_4361 = eq(_T_4360, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] - node _T_4362 = or(_T_4359, _T_4361) @[el2_lsu_bus_buffer.scala 539:74] - node _T_4363 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] - node _T_4364 = and(_T_4362, _T_4363) @[el2_lsu_bus_buffer.scala 539:100] - node _T_4365 = add(_T_4364, _T_4357) @[el2_lsu_bus_buffer.scala 539:153] - node _T_4366 = add(_T_4365, _T_4350) @[el2_lsu_bus_buffer.scala 539:153] - node _T_4367 = add(_T_4366, _T_4343) @[el2_lsu_bus_buffer.scala 539:153] - buf_numvld_pend_any <= _T_4367 @[el2_lsu_bus_buffer.scala 539:23] - node _T_4368 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4369 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4370 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4371 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] - node _T_4372 = or(_T_4371, _T_4370) @[el2_lsu_bus_buffer.scala 540:93] - node _T_4373 = or(_T_4372, _T_4369) @[el2_lsu_bus_buffer.scala 540:93] - node _T_4374 = or(_T_4373, _T_4368) @[el2_lsu_bus_buffer.scala 540:93] - any_done_wait_state <= _T_4374 @[el2_lsu_bus_buffer.scala 540:23] - node _T_4375 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 541:53] - io.lsu_bus_buffer_pend_any <= _T_4375 @[el2_lsu_bus_buffer.scala 541:30] - node _T_4376 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 542:52] - node _T_4377 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 542:92] - node _T_4378 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 542:119] - node _T_4379 = mux(_T_4376, _T_4377, _T_4378) @[el2_lsu_bus_buffer.scala 542:36] - io.lsu_bus_buffer_full_any <= _T_4379 @[el2_lsu_bus_buffer.scala 542:30] - node _T_4380 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 543:52] - node _T_4381 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 543:52] - node _T_4382 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 543:52] - node _T_4383 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 543:52] - node _T_4384 = or(_T_4380, _T_4381) @[el2_lsu_bus_buffer.scala 543:65] - node _T_4385 = or(_T_4384, _T_4382) @[el2_lsu_bus_buffer.scala 543:65] - node _T_4386 = or(_T_4385, _T_4383) @[el2_lsu_bus_buffer.scala 543:65] - node _T_4387 = eq(_T_4386, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:34] - node _T_4388 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:72] - node _T_4389 = and(_T_4387, _T_4388) @[el2_lsu_bus_buffer.scala 543:70] - node _T_4390 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:86] - node _T_4391 = and(_T_4389, _T_4390) @[el2_lsu_bus_buffer.scala 543:84] - io.lsu_bus_buffer_empty_any <= _T_4391 @[el2_lsu_bus_buffer.scala 543:31] - node _T_4392 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 545:51] - node _T_4393 = and(_T_4392, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 545:72] - node _T_4394 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:94] - node _T_4395 = and(_T_4393, _T_4394) @[el2_lsu_bus_buffer.scala 545:92] - node _T_4396 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:111] - node _T_4397 = and(_T_4395, _T_4396) @[el2_lsu_bus_buffer.scala 545:109] - io.lsu_nonblock_load_valid_m <= _T_4397 @[el2_lsu_bus_buffer.scala 545:32] + reg _T_4241 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lib.scala 478:16] + _T_4241 <= buf_data_in[3] @[el2_lib.scala 478:16] + buf_data[0] <= _T_4238 @[el2_lsu_bus_buffer.scala 533:14] + buf_data[1] <= _T_4239 @[el2_lsu_bus_buffer.scala 533:14] + buf_data[2] <= _T_4240 @[el2_lsu_bus_buffer.scala 533:14] + buf_data[3] <= _T_4241 @[el2_lsu_bus_buffer.scala 533:14] + node _T_4242 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 534:121] + node _T_4243 = mux(buf_error_en[0], UInt<1>("h01"), _T_4242) @[el2_lsu_bus_buffer.scala 534:86] + node _T_4244 = and(_T_4243, buf_rst[0]) @[el2_lsu_bus_buffer.scala 534:126] + reg _T_4245 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] + _T_4245 <= _T_4244 @[el2_lsu_bus_buffer.scala 534:82] + node _T_4246 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 534:121] + node _T_4247 = mux(buf_error_en[1], UInt<1>("h01"), _T_4246) @[el2_lsu_bus_buffer.scala 534:86] + node _T_4248 = and(_T_4247, buf_rst[1]) @[el2_lsu_bus_buffer.scala 534:126] + reg _T_4249 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] + _T_4249 <= _T_4248 @[el2_lsu_bus_buffer.scala 534:82] + node _T_4250 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 534:121] + node _T_4251 = mux(buf_error_en[2], UInt<1>("h01"), _T_4250) @[el2_lsu_bus_buffer.scala 534:86] + node _T_4252 = and(_T_4251, buf_rst[2]) @[el2_lsu_bus_buffer.scala 534:126] + reg _T_4253 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] + _T_4253 <= _T_4252 @[el2_lsu_bus_buffer.scala 534:82] + node _T_4254 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 534:121] + node _T_4255 = mux(buf_error_en[3], UInt<1>("h01"), _T_4254) @[el2_lsu_bus_buffer.scala 534:86] + node _T_4256 = and(_T_4255, buf_rst[3]) @[el2_lsu_bus_buffer.scala 534:126] + reg _T_4257 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 534:82] + _T_4257 <= _T_4256 @[el2_lsu_bus_buffer.scala 534:82] + node _T_4258 = cat(_T_4257, _T_4253) @[Cat.scala 29:58] + node _T_4259 = cat(_T_4258, _T_4249) @[Cat.scala 29:58] + node _T_4260 = cat(_T_4259, _T_4245) @[Cat.scala 29:58] + buf_error <= _T_4260 @[el2_lsu_bus_buffer.scala 534:15] + node _T_4261 = neq(buf_state[0], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] + node _T_4262 = neq(buf_state[1], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] + node _T_4263 = neq(buf_state[2], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] + node _T_4264 = neq(buf_state[3], UInt<3>("h00")) @[el2_lsu_bus_buffer.scala 536:60] + node _T_4265 = add(_T_4264, _T_4263) @[el2_lsu_bus_buffer.scala 536:96] + node _T_4266 = add(_T_4265, _T_4262) @[el2_lsu_bus_buffer.scala 536:96] + node buf_numvld_any = add(_T_4266, _T_4261) @[el2_lsu_bus_buffer.scala 536:96] + node _T_4267 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 537:60] + node _T_4268 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 537:76] + node _T_4269 = eq(_T_4268, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] + node _T_4270 = and(_T_4267, _T_4269) @[el2_lsu_bus_buffer.scala 537:64] + node _T_4271 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] + node _T_4272 = and(_T_4270, _T_4271) @[el2_lsu_bus_buffer.scala 537:89] + node _T_4273 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 537:60] + node _T_4274 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 537:76] + node _T_4275 = eq(_T_4274, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] + node _T_4276 = and(_T_4273, _T_4275) @[el2_lsu_bus_buffer.scala 537:64] + node _T_4277 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] + node _T_4278 = and(_T_4276, _T_4277) @[el2_lsu_bus_buffer.scala 537:89] + node _T_4279 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 537:60] + node _T_4280 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 537:76] + node _T_4281 = eq(_T_4280, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] + node _T_4282 = and(_T_4279, _T_4281) @[el2_lsu_bus_buffer.scala 537:64] + node _T_4283 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] + node _T_4284 = and(_T_4282, _T_4283) @[el2_lsu_bus_buffer.scala 537:89] + node _T_4285 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 537:60] + node _T_4286 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 537:76] + node _T_4287 = eq(_T_4286, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 537:79] + node _T_4288 = and(_T_4285, _T_4287) @[el2_lsu_bus_buffer.scala 537:64] + node _T_4289 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 537:91] + node _T_4290 = and(_T_4288, _T_4289) @[el2_lsu_bus_buffer.scala 537:89] + node _T_4291 = add(_T_4290, _T_4284) @[el2_lsu_bus_buffer.scala 537:142] + node _T_4292 = add(_T_4291, _T_4278) @[el2_lsu_bus_buffer.scala 537:142] + node _T_4293 = add(_T_4292, _T_4272) @[el2_lsu_bus_buffer.scala 537:142] + buf_numvld_wrcmd_any <= _T_4293 @[el2_lsu_bus_buffer.scala 537:24] + node _T_4294 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 538:60] + node _T_4295 = eq(_T_4294, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] + node _T_4296 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] + node _T_4297 = and(_T_4295, _T_4296) @[el2_lsu_bus_buffer.scala 538:73] + node _T_4298 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 538:60] + node _T_4299 = eq(_T_4298, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] + node _T_4300 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] + node _T_4301 = and(_T_4299, _T_4300) @[el2_lsu_bus_buffer.scala 538:73] + node _T_4302 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 538:60] + node _T_4303 = eq(_T_4302, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] + node _T_4304 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] + node _T_4305 = and(_T_4303, _T_4304) @[el2_lsu_bus_buffer.scala 538:73] + node _T_4306 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 538:60] + node _T_4307 = eq(_T_4306, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 538:63] + node _T_4308 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 538:75] + node _T_4309 = and(_T_4307, _T_4308) @[el2_lsu_bus_buffer.scala 538:73] + node _T_4310 = add(_T_4309, _T_4305) @[el2_lsu_bus_buffer.scala 538:126] + node _T_4311 = add(_T_4310, _T_4301) @[el2_lsu_bus_buffer.scala 538:126] + node _T_4312 = add(_T_4311, _T_4297) @[el2_lsu_bus_buffer.scala 538:126] + buf_numvld_cmd_any <= _T_4312 @[el2_lsu_bus_buffer.scala 538:22] + node _T_4313 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 539:61] + node _T_4314 = eq(_T_4313, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] + node _T_4315 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 539:85] + node _T_4316 = eq(_T_4315, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] + node _T_4317 = or(_T_4314, _T_4316) @[el2_lsu_bus_buffer.scala 539:74] + node _T_4318 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] + node _T_4319 = and(_T_4317, _T_4318) @[el2_lsu_bus_buffer.scala 539:100] + node _T_4320 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 539:61] + node _T_4321 = eq(_T_4320, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] + node _T_4322 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 539:85] + node _T_4323 = eq(_T_4322, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] + node _T_4324 = or(_T_4321, _T_4323) @[el2_lsu_bus_buffer.scala 539:74] + node _T_4325 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] + node _T_4326 = and(_T_4324, _T_4325) @[el2_lsu_bus_buffer.scala 539:100] + node _T_4327 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 539:61] + node _T_4328 = eq(_T_4327, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] + node _T_4329 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 539:85] + node _T_4330 = eq(_T_4329, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] + node _T_4331 = or(_T_4328, _T_4330) @[el2_lsu_bus_buffer.scala 539:74] + node _T_4332 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] + node _T_4333 = and(_T_4331, _T_4332) @[el2_lsu_bus_buffer.scala 539:100] + node _T_4334 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 539:61] + node _T_4335 = eq(_T_4334, UInt<3>("h01")) @[el2_lsu_bus_buffer.scala 539:64] + node _T_4336 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 539:85] + node _T_4337 = eq(_T_4336, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 539:88] + node _T_4338 = or(_T_4335, _T_4337) @[el2_lsu_bus_buffer.scala 539:74] + node _T_4339 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 539:102] + node _T_4340 = and(_T_4338, _T_4339) @[el2_lsu_bus_buffer.scala 539:100] + node _T_4341 = add(_T_4340, _T_4333) @[el2_lsu_bus_buffer.scala 539:153] + node _T_4342 = add(_T_4341, _T_4326) @[el2_lsu_bus_buffer.scala 539:153] + node _T_4343 = add(_T_4342, _T_4319) @[el2_lsu_bus_buffer.scala 539:153] + buf_numvld_pend_any <= _T_4343 @[el2_lsu_bus_buffer.scala 539:23] + node _T_4344 = eq(buf_state[0], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] + node _T_4345 = eq(buf_state[1], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] + node _T_4346 = eq(buf_state[2], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] + node _T_4347 = eq(buf_state[3], UInt<3>("h05")) @[el2_lsu_bus_buffer.scala 540:61] + node _T_4348 = or(_T_4347, _T_4346) @[el2_lsu_bus_buffer.scala 540:93] + node _T_4349 = or(_T_4348, _T_4345) @[el2_lsu_bus_buffer.scala 540:93] + node _T_4350 = or(_T_4349, _T_4344) @[el2_lsu_bus_buffer.scala 540:93] + any_done_wait_state <= _T_4350 @[el2_lsu_bus_buffer.scala 540:23] + node _T_4351 = orr(buf_numvld_pend_any) @[el2_lsu_bus_buffer.scala 541:53] + io.lsu_bus_buffer_pend_any <= _T_4351 @[el2_lsu_bus_buffer.scala 541:30] + node _T_4352 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[el2_lsu_bus_buffer.scala 542:52] + node _T_4353 = geq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 542:92] + node _T_4354 = eq(buf_numvld_any, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 542:119] + node _T_4355 = mux(_T_4352, _T_4353, _T_4354) @[el2_lsu_bus_buffer.scala 542:36] + io.lsu_bus_buffer_full_any <= _T_4355 @[el2_lsu_bus_buffer.scala 542:30] + node _T_4356 = orr(buf_state[0]) @[el2_lsu_bus_buffer.scala 543:52] + node _T_4357 = orr(buf_state[1]) @[el2_lsu_bus_buffer.scala 543:52] + node _T_4358 = orr(buf_state[2]) @[el2_lsu_bus_buffer.scala 543:52] + node _T_4359 = orr(buf_state[3]) @[el2_lsu_bus_buffer.scala 543:52] + node _T_4360 = or(_T_4356, _T_4357) @[el2_lsu_bus_buffer.scala 543:65] + node _T_4361 = or(_T_4360, _T_4358) @[el2_lsu_bus_buffer.scala 543:65] + node _T_4362 = or(_T_4361, _T_4359) @[el2_lsu_bus_buffer.scala 543:65] + node _T_4363 = eq(_T_4362, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:34] + node _T_4364 = eq(ibuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:72] + node _T_4365 = and(_T_4363, _T_4364) @[el2_lsu_bus_buffer.scala 543:70] + node _T_4366 = eq(obuf_valid, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 543:86] + node _T_4367 = and(_T_4365, _T_4366) @[el2_lsu_bus_buffer.scala 543:84] + io.lsu_bus_buffer_empty_any <= _T_4367 @[el2_lsu_bus_buffer.scala 543:31] + node _T_4368 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[el2_lsu_bus_buffer.scala 545:51] + node _T_4369 = and(_T_4368, io.lsu_pkt_m.load) @[el2_lsu_bus_buffer.scala 545:72] + node _T_4370 = eq(io.flush_m_up, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:94] + node _T_4371 = and(_T_4369, _T_4370) @[el2_lsu_bus_buffer.scala 545:92] + node _T_4372 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 545:111] + node _T_4373 = and(_T_4371, _T_4372) @[el2_lsu_bus_buffer.scala 545:109] + io.lsu_nonblock_load_valid_m <= _T_4373 @[el2_lsu_bus_buffer.scala 545:32] io.lsu_nonblock_load_tag_m <= WrPtr0_m @[el2_lsu_bus_buffer.scala 546:30] wire lsu_nonblock_load_valid_r : UInt<1> lsu_nonblock_load_valid_r <= UInt<1>("h00") - node _T_4398 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:61] - node _T_4399 = and(lsu_nonblock_load_valid_r, _T_4398) @[el2_lsu_bus_buffer.scala 548:59] - io.lsu_nonblock_load_inv_r <= _T_4399 @[el2_lsu_bus_buffer.scala 548:30] + node _T_4374 = eq(io.lsu_commit_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 548:61] + node _T_4375 = and(lsu_nonblock_load_valid_r, _T_4374) @[el2_lsu_bus_buffer.scala 548:59] + io.lsu_nonblock_load_inv_r <= _T_4375 @[el2_lsu_bus_buffer.scala 548:30] io.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[el2_lsu_bus_buffer.scala 549:34] - node _T_4400 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4401 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 550:127] - node _T_4402 = and(UInt<1>("h01"), _T_4401) @[el2_lsu_bus_buffer.scala 550:116] - node _T_4403 = eq(_T_4402, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] - node _T_4404 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4405 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 550:127] - node _T_4406 = and(UInt<1>("h01"), _T_4405) @[el2_lsu_bus_buffer.scala 550:116] - node _T_4407 = eq(_T_4406, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] - node _T_4408 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4409 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 550:127] - node _T_4410 = and(UInt<1>("h01"), _T_4409) @[el2_lsu_bus_buffer.scala 550:116] - node _T_4411 = eq(_T_4410, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] - node _T_4412 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] - node _T_4413 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 550:127] - node _T_4414 = and(UInt<1>("h01"), _T_4413) @[el2_lsu_bus_buffer.scala 550:116] - node _T_4415 = eq(_T_4414, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] - node _T_4416 = mux(_T_4400, _T_4403, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4417 = mux(_T_4404, _T_4407, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4418 = mux(_T_4408, _T_4411, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4419 = mux(_T_4412, _T_4415, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4420 = or(_T_4416, _T_4417) @[Mux.scala 27:72] - node _T_4421 = or(_T_4420, _T_4418) @[Mux.scala 27:72] - node _T_4422 = or(_T_4421, _T_4419) @[Mux.scala 27:72] + node _T_4376 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] + node _T_4377 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 550:127] + node _T_4378 = and(UInt<1>("h01"), _T_4377) @[el2_lsu_bus_buffer.scala 550:116] + node _T_4379 = eq(_T_4378, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] + node _T_4380 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] + node _T_4381 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 550:127] + node _T_4382 = and(UInt<1>("h01"), _T_4381) @[el2_lsu_bus_buffer.scala 550:116] + node _T_4383 = eq(_T_4382, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] + node _T_4384 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] + node _T_4385 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 550:127] + node _T_4386 = and(UInt<1>("h01"), _T_4385) @[el2_lsu_bus_buffer.scala 550:116] + node _T_4387 = eq(_T_4386, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] + node _T_4388 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 550:80] + node _T_4389 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 550:127] + node _T_4390 = and(UInt<1>("h01"), _T_4389) @[el2_lsu_bus_buffer.scala 550:116] + node _T_4391 = eq(_T_4390, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 550:95] + node _T_4392 = mux(_T_4376, _T_4379, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4393 = mux(_T_4380, _T_4383, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4394 = mux(_T_4384, _T_4387, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4395 = mux(_T_4388, _T_4391, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4396 = or(_T_4392, _T_4393) @[Mux.scala 27:72] + node _T_4397 = or(_T_4396, _T_4394) @[Mux.scala 27:72] + node _T_4398 = or(_T_4397, _T_4395) @[Mux.scala 27:72] wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_load_data_ready <= _T_4422 @[Mux.scala 27:72] - node _T_4423 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4424 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 551:104] - node _T_4425 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 551:120] - node _T_4426 = eq(_T_4425, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] - node _T_4427 = and(_T_4424, _T_4426) @[el2_lsu_bus_buffer.scala 551:108] - node _T_4428 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4429 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 551:104] - node _T_4430 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 551:120] - node _T_4431 = eq(_T_4430, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] - node _T_4432 = and(_T_4429, _T_4431) @[el2_lsu_bus_buffer.scala 551:108] - node _T_4433 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4434 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 551:104] - node _T_4435 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 551:120] - node _T_4436 = eq(_T_4435, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] - node _T_4437 = and(_T_4434, _T_4436) @[el2_lsu_bus_buffer.scala 551:108] - node _T_4438 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] - node _T_4439 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 551:104] - node _T_4440 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 551:120] - node _T_4441 = eq(_T_4440, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] - node _T_4442 = and(_T_4439, _T_4441) @[el2_lsu_bus_buffer.scala 551:108] - node _T_4443 = mux(_T_4423, _T_4427, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4444 = mux(_T_4428, _T_4432, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4445 = mux(_T_4433, _T_4437, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4446 = mux(_T_4438, _T_4442, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4447 = or(_T_4443, _T_4444) @[Mux.scala 27:72] - node _T_4448 = or(_T_4447, _T_4445) @[Mux.scala 27:72] - node _T_4449 = or(_T_4448, _T_4446) @[Mux.scala 27:72] - wire _T_4450 : UInt<1> @[Mux.scala 27:72] - _T_4450 <= _T_4449 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_error <= _T_4450 @[el2_lsu_bus_buffer.scala 551:35] - node _T_4451 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] - node _T_4452 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 552:102] + lsu_nonblock_load_data_ready <= _T_4398 @[Mux.scala 27:72] + node _T_4399 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] + node _T_4400 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 551:104] + node _T_4401 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 551:120] + node _T_4402 = eq(_T_4401, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] + node _T_4403 = and(_T_4400, _T_4402) @[el2_lsu_bus_buffer.scala 551:108] + node _T_4404 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] + node _T_4405 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 551:104] + node _T_4406 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 551:120] + node _T_4407 = eq(_T_4406, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] + node _T_4408 = and(_T_4405, _T_4407) @[el2_lsu_bus_buffer.scala 551:108] + node _T_4409 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] + node _T_4410 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 551:104] + node _T_4411 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 551:120] + node _T_4412 = eq(_T_4411, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] + node _T_4413 = and(_T_4410, _T_4412) @[el2_lsu_bus_buffer.scala 551:108] + node _T_4414 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 551:80] + node _T_4415 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 551:104] + node _T_4416 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 551:120] + node _T_4417 = eq(_T_4416, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 551:110] + node _T_4418 = and(_T_4415, _T_4417) @[el2_lsu_bus_buffer.scala 551:108] + node _T_4419 = mux(_T_4399, _T_4403, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4420 = mux(_T_4404, _T_4408, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4421 = mux(_T_4409, _T_4413, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4422 = mux(_T_4414, _T_4418, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4423 = or(_T_4419, _T_4420) @[Mux.scala 27:72] + node _T_4424 = or(_T_4423, _T_4421) @[Mux.scala 27:72] + node _T_4425 = or(_T_4424, _T_4422) @[Mux.scala 27:72] + wire _T_4426 : UInt<1> @[Mux.scala 27:72] + _T_4426 <= _T_4425 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_error <= _T_4426 @[el2_lsu_bus_buffer.scala 551:35] + node _T_4427 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] + node _T_4428 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 552:102] + node _T_4429 = eq(_T_4428, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] + node _T_4430 = and(_T_4427, _T_4429) @[el2_lsu_bus_buffer.scala 552:90] + node _T_4431 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] + node _T_4432 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] + node _T_4433 = or(_T_4431, _T_4432) @[el2_lsu_bus_buffer.scala 552:122] + node _T_4434 = and(_T_4430, _T_4433) @[el2_lsu_bus_buffer.scala 552:106] + node _T_4435 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] + node _T_4436 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 552:102] + node _T_4437 = eq(_T_4436, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] + node _T_4438 = and(_T_4435, _T_4437) @[el2_lsu_bus_buffer.scala 552:90] + node _T_4439 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] + node _T_4440 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] + node _T_4441 = or(_T_4439, _T_4440) @[el2_lsu_bus_buffer.scala 552:122] + node _T_4442 = and(_T_4438, _T_4441) @[el2_lsu_bus_buffer.scala 552:106] + node _T_4443 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] + node _T_4444 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 552:102] + node _T_4445 = eq(_T_4444, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] + node _T_4446 = and(_T_4443, _T_4445) @[el2_lsu_bus_buffer.scala 552:90] + node _T_4447 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] + node _T_4448 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] + node _T_4449 = or(_T_4447, _T_4448) @[el2_lsu_bus_buffer.scala 552:122] + node _T_4450 = and(_T_4446, _T_4449) @[el2_lsu_bus_buffer.scala 552:106] + node _T_4451 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] + node _T_4452 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 552:102] node _T_4453 = eq(_T_4452, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] node _T_4454 = and(_T_4451, _T_4453) @[el2_lsu_bus_buffer.scala 552:90] - node _T_4455 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] - node _T_4456 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] + node _T_4455 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] + node _T_4456 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] node _T_4457 = or(_T_4455, _T_4456) @[el2_lsu_bus_buffer.scala 552:122] node _T_4458 = and(_T_4454, _T_4457) @[el2_lsu_bus_buffer.scala 552:106] - node _T_4459 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] - node _T_4460 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 552:102] - node _T_4461 = eq(_T_4460, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] - node _T_4462 = and(_T_4459, _T_4461) @[el2_lsu_bus_buffer.scala 552:90] - node _T_4463 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] - node _T_4464 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] - node _T_4465 = or(_T_4463, _T_4464) @[el2_lsu_bus_buffer.scala 552:122] - node _T_4466 = and(_T_4462, _T_4465) @[el2_lsu_bus_buffer.scala 552:106] - node _T_4467 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] - node _T_4468 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 552:102] - node _T_4469 = eq(_T_4468, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] - node _T_4470 = and(_T_4467, _T_4469) @[el2_lsu_bus_buffer.scala 552:90] - node _T_4471 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] - node _T_4472 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] - node _T_4473 = or(_T_4471, _T_4472) @[el2_lsu_bus_buffer.scala 552:122] - node _T_4474 = and(_T_4470, _T_4473) @[el2_lsu_bus_buffer.scala 552:106] - node _T_4475 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 552:79] - node _T_4476 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 552:102] - node _T_4477 = eq(_T_4476, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:92] - node _T_4478 = and(_T_4475, _T_4477) @[el2_lsu_bus_buffer.scala 552:90] - node _T_4479 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:109] - node _T_4480 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 552:124] - node _T_4481 = or(_T_4479, _T_4480) @[el2_lsu_bus_buffer.scala 552:122] - node _T_4482 = and(_T_4478, _T_4481) @[el2_lsu_bus_buffer.scala 552:106] - node _T_4483 = mux(_T_4458, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4484 = mux(_T_4466, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4485 = mux(_T_4474, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4486 = mux(_T_4482, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4487 = or(_T_4483, _T_4484) @[Mux.scala 27:72] - node _T_4488 = or(_T_4487, _T_4485) @[Mux.scala 27:72] - node _T_4489 = or(_T_4488, _T_4486) @[Mux.scala 27:72] - wire _T_4490 : UInt<2> @[Mux.scala 27:72] - _T_4490 <= _T_4489 @[Mux.scala 27:72] - io.lsu_nonblock_load_data_tag <= _T_4490 @[el2_lsu_bus_buffer.scala 552:33] - node _T_4491 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] - node _T_4492 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 553:101] + node _T_4459 = mux(_T_4434, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4460 = mux(_T_4442, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4461 = mux(_T_4450, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4462 = mux(_T_4458, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4463 = or(_T_4459, _T_4460) @[Mux.scala 27:72] + node _T_4464 = or(_T_4463, _T_4461) @[Mux.scala 27:72] + node _T_4465 = or(_T_4464, _T_4462) @[Mux.scala 27:72] + wire _T_4466 : UInt<2> @[Mux.scala 27:72] + _T_4466 <= _T_4465 @[Mux.scala 27:72] + io.lsu_nonblock_load_data_tag <= _T_4466 @[el2_lsu_bus_buffer.scala 552:33] + node _T_4467 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] + node _T_4468 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 553:101] + node _T_4469 = eq(_T_4468, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] + node _T_4470 = and(_T_4467, _T_4469) @[el2_lsu_bus_buffer.scala 553:89] + node _T_4471 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] + node _T_4472 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] + node _T_4473 = or(_T_4471, _T_4472) @[el2_lsu_bus_buffer.scala 553:121] + node _T_4474 = and(_T_4470, _T_4473) @[el2_lsu_bus_buffer.scala 553:105] + node _T_4475 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] + node _T_4476 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 553:101] + node _T_4477 = eq(_T_4476, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] + node _T_4478 = and(_T_4475, _T_4477) @[el2_lsu_bus_buffer.scala 553:89] + node _T_4479 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] + node _T_4480 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] + node _T_4481 = or(_T_4479, _T_4480) @[el2_lsu_bus_buffer.scala 553:121] + node _T_4482 = and(_T_4478, _T_4481) @[el2_lsu_bus_buffer.scala 553:105] + node _T_4483 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] + node _T_4484 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 553:101] + node _T_4485 = eq(_T_4484, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] + node _T_4486 = and(_T_4483, _T_4485) @[el2_lsu_bus_buffer.scala 553:89] + node _T_4487 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] + node _T_4488 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] + node _T_4489 = or(_T_4487, _T_4488) @[el2_lsu_bus_buffer.scala 553:121] + node _T_4490 = and(_T_4486, _T_4489) @[el2_lsu_bus_buffer.scala 553:105] + node _T_4491 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] + node _T_4492 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 553:101] node _T_4493 = eq(_T_4492, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] node _T_4494 = and(_T_4491, _T_4493) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4495 = eq(buf_dual[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] - node _T_4496 = eq(buf_dualhi[0], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] + node _T_4495 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] + node _T_4496 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] node _T_4497 = or(_T_4495, _T_4496) @[el2_lsu_bus_buffer.scala 553:121] node _T_4498 = and(_T_4494, _T_4497) @[el2_lsu_bus_buffer.scala 553:105] - node _T_4499 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] - node _T_4500 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 553:101] - node _T_4501 = eq(_T_4500, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4502 = and(_T_4499, _T_4501) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4503 = eq(buf_dual[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] - node _T_4504 = eq(buf_dualhi[1], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] - node _T_4505 = or(_T_4503, _T_4504) @[el2_lsu_bus_buffer.scala 553:121] - node _T_4506 = and(_T_4502, _T_4505) @[el2_lsu_bus_buffer.scala 553:105] - node _T_4507 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] - node _T_4508 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 553:101] - node _T_4509 = eq(_T_4508, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4510 = and(_T_4507, _T_4509) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4511 = eq(buf_dual[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] - node _T_4512 = eq(buf_dualhi[2], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] - node _T_4513 = or(_T_4511, _T_4512) @[el2_lsu_bus_buffer.scala 553:121] - node _T_4514 = and(_T_4510, _T_4513) @[el2_lsu_bus_buffer.scala 553:105] - node _T_4515 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 553:78] - node _T_4516 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 553:101] - node _T_4517 = eq(_T_4516, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:91] - node _T_4518 = and(_T_4515, _T_4517) @[el2_lsu_bus_buffer.scala 553:89] - node _T_4519 = eq(buf_dual[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:108] - node _T_4520 = eq(buf_dualhi[3], UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 553:123] - node _T_4521 = or(_T_4519, _T_4520) @[el2_lsu_bus_buffer.scala 553:121] - node _T_4522 = and(_T_4518, _T_4521) @[el2_lsu_bus_buffer.scala 553:105] - node _T_4523 = mux(_T_4498, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4524 = mux(_T_4506, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4525 = mux(_T_4514, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4526 = mux(_T_4522, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4527 = or(_T_4523, _T_4524) @[Mux.scala 27:72] - node _T_4528 = or(_T_4527, _T_4525) @[Mux.scala 27:72] - node _T_4529 = or(_T_4528, _T_4526) @[Mux.scala 27:72] + node _T_4499 = mux(_T_4474, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4500 = mux(_T_4482, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4501 = mux(_T_4490, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4502 = mux(_T_4498, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4503 = or(_T_4499, _T_4500) @[Mux.scala 27:72] + node _T_4504 = or(_T_4503, _T_4501) @[Mux.scala 27:72] + node _T_4505 = or(_T_4504, _T_4502) @[Mux.scala 27:72] wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_lo <= _T_4529 @[Mux.scala 27:72] - node _T_4530 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4531 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4532 = eq(_T_4531, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4533 = and(_T_4530, _T_4532) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4534 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 554:120] - node _T_4535 = and(_T_4533, _T_4534) @[el2_lsu_bus_buffer.scala 554:105] - node _T_4536 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4537 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4538 = eq(_T_4537, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4539 = and(_T_4536, _T_4538) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4540 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 554:120] - node _T_4541 = and(_T_4539, _T_4540) @[el2_lsu_bus_buffer.scala 554:105] - node _T_4542 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4543 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4544 = eq(_T_4543, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4545 = and(_T_4542, _T_4544) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4546 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 554:120] - node _T_4547 = and(_T_4545, _T_4546) @[el2_lsu_bus_buffer.scala 554:105] - node _T_4548 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] - node _T_4549 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 554:101] - node _T_4550 = eq(_T_4549, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] - node _T_4551 = and(_T_4548, _T_4550) @[el2_lsu_bus_buffer.scala 554:89] - node _T_4552 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 554:120] - node _T_4553 = and(_T_4551, _T_4552) @[el2_lsu_bus_buffer.scala 554:105] - node _T_4554 = mux(_T_4535, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4555 = mux(_T_4541, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4556 = mux(_T_4547, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4557 = mux(_T_4553, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4558 = or(_T_4554, _T_4555) @[Mux.scala 27:72] - node _T_4559 = or(_T_4558, _T_4556) @[Mux.scala 27:72] - node _T_4560 = or(_T_4559, _T_4557) @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4505 @[Mux.scala 27:72] + node _T_4506 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] + node _T_4507 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 554:101] + node _T_4508 = eq(_T_4507, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] + node _T_4509 = and(_T_4506, _T_4508) @[el2_lsu_bus_buffer.scala 554:89] + node _T_4510 = or(buf_dual[0], buf_dualhi[0]) @[el2_lsu_bus_buffer.scala 554:120] + node _T_4511 = and(_T_4509, _T_4510) @[el2_lsu_bus_buffer.scala 554:105] + node _T_4512 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] + node _T_4513 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 554:101] + node _T_4514 = eq(_T_4513, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] + node _T_4515 = and(_T_4512, _T_4514) @[el2_lsu_bus_buffer.scala 554:89] + node _T_4516 = or(buf_dual[1], buf_dualhi[1]) @[el2_lsu_bus_buffer.scala 554:120] + node _T_4517 = and(_T_4515, _T_4516) @[el2_lsu_bus_buffer.scala 554:105] + node _T_4518 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] + node _T_4519 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 554:101] + node _T_4520 = eq(_T_4519, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] + node _T_4521 = and(_T_4518, _T_4520) @[el2_lsu_bus_buffer.scala 554:89] + node _T_4522 = or(buf_dual[2], buf_dualhi[2]) @[el2_lsu_bus_buffer.scala 554:120] + node _T_4523 = and(_T_4521, _T_4522) @[el2_lsu_bus_buffer.scala 554:105] + node _T_4524 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 554:78] + node _T_4525 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 554:101] + node _T_4526 = eq(_T_4525, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 554:91] + node _T_4527 = and(_T_4524, _T_4526) @[el2_lsu_bus_buffer.scala 554:89] + node _T_4528 = or(buf_dual[3], buf_dualhi[3]) @[el2_lsu_bus_buffer.scala 554:120] + node _T_4529 = and(_T_4527, _T_4528) @[el2_lsu_bus_buffer.scala 554:105] + node _T_4530 = mux(_T_4511, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4531 = mux(_T_4517, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4532 = mux(_T_4523, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4533 = mux(_T_4529, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4534 = or(_T_4530, _T_4531) @[Mux.scala 27:72] + node _T_4535 = or(_T_4534, _T_4532) @[Mux.scala 27:72] + node _T_4536 = or(_T_4535, _T_4533) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_load_data_hi <= _T_4560 @[Mux.scala 27:72] - node _T_4561 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4562 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4563 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4564 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4565 = mux(_T_4561, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4566 = mux(_T_4562, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4567 = mux(_T_4563, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4568 = mux(_T_4564, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4569 = or(_T_4565, _T_4566) @[Mux.scala 27:72] - node _T_4570 = or(_T_4569, _T_4567) @[Mux.scala 27:72] - node _T_4571 = or(_T_4570, _T_4568) @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4536 @[Mux.scala 27:72] + node _T_4537 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4538 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4539 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4540 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4541 = mux(_T_4537, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4542 = mux(_T_4538, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4543 = mux(_T_4539, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4544 = mux(_T_4540, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4545 = or(_T_4541, _T_4542) @[Mux.scala 27:72] + node _T_4546 = or(_T_4545, _T_4543) @[Mux.scala 27:72] + node _T_4547 = or(_T_4546, _T_4544) @[Mux.scala 27:72] wire lsu_nonblock_addr_offset : UInt<32> @[Mux.scala 27:72] - lsu_nonblock_addr_offset <= _T_4571 @[Mux.scala 27:72] - node _T_4572 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4573 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4574 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4575 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4576 = mux(_T_4572, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4577 = mux(_T_4573, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4578 = mux(_T_4574, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4579 = mux(_T_4575, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4580 = or(_T_4576, _T_4577) @[Mux.scala 27:72] - node _T_4581 = or(_T_4580, _T_4578) @[Mux.scala 27:72] - node _T_4582 = or(_T_4581, _T_4579) @[Mux.scala 27:72] + lsu_nonblock_addr_offset <= _T_4547 @[Mux.scala 27:72] + node _T_4548 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4549 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4550 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4551 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4552 = mux(_T_4548, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4553 = mux(_T_4549, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4554 = mux(_T_4550, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4555 = mux(_T_4551, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4556 = or(_T_4552, _T_4553) @[Mux.scala 27:72] + node _T_4557 = or(_T_4556, _T_4554) @[Mux.scala 27:72] + node _T_4558 = or(_T_4557, _T_4555) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] - lsu_nonblock_sz <= _T_4582 @[Mux.scala 27:72] - node _T_4583 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4584 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4585 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4586 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4587 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4588 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4589 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4590 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4591 = mux(_T_4583, _T_4584, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4592 = mux(_T_4585, _T_4586, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4593 = mux(_T_4587, _T_4588, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4594 = mux(_T_4589, _T_4590, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4595 = or(_T_4591, _T_4592) @[Mux.scala 27:72] - node _T_4596 = or(_T_4595, _T_4593) @[Mux.scala 27:72] - node _T_4597 = or(_T_4596, _T_4594) @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4558 @[Mux.scala 27:72] + node _T_4559 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4560 = bits(buf_unsign, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4561 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4562 = bits(buf_unsign, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4563 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4564 = bits(buf_unsign, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4565 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4566 = bits(buf_unsign, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4567 = mux(_T_4559, _T_4560, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4568 = mux(_T_4561, _T_4562, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4569 = mux(_T_4563, _T_4564, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4570 = mux(_T_4565, _T_4566, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4571 = or(_T_4567, _T_4568) @[Mux.scala 27:72] + node _T_4572 = or(_T_4571, _T_4569) @[Mux.scala 27:72] + node _T_4573 = or(_T_4572, _T_4570) @[Mux.scala 27:72] wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_unsign <= _T_4597 @[Mux.scala 27:72] - node _T_4598 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] - node _T_4599 = cat(_T_4598, buf_dual[1]) @[Cat.scala 29:58] - node _T_4600 = cat(_T_4599, buf_dual[0]) @[Cat.scala 29:58] - node _T_4601 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4602 = bits(_T_4600, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4603 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4604 = bits(_T_4600, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4605 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4606 = bits(_T_4600, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4607 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] - node _T_4608 = bits(_T_4600, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] - node _T_4609 = mux(_T_4601, _T_4602, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4610 = mux(_T_4603, _T_4604, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4611 = mux(_T_4605, _T_4606, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4612 = mux(_T_4607, _T_4608, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4613 = or(_T_4609, _T_4610) @[Mux.scala 27:72] - node _T_4614 = or(_T_4613, _T_4611) @[Mux.scala 27:72] - node _T_4615 = or(_T_4614, _T_4612) @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4573 @[Mux.scala 27:72] + node _T_4574 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4575 = cat(_T_4574, buf_dual[1]) @[Cat.scala 29:58] + node _T_4576 = cat(_T_4575, buf_dual[0]) @[Cat.scala 29:58] + node _T_4577 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4578 = bits(_T_4576, 0, 0) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4579 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4580 = bits(_T_4576, 1, 1) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4581 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4582 = bits(_T_4576, 2, 2) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4583 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 110:118] + node _T_4584 = bits(_T_4576, 3, 3) @[el2_lsu_bus_buffer.scala 110:129] + node _T_4585 = mux(_T_4577, _T_4578, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4586 = mux(_T_4579, _T_4580, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4587 = mux(_T_4581, _T_4582, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4588 = mux(_T_4583, _T_4584, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4589 = or(_T_4585, _T_4586) @[Mux.scala 27:72] + node _T_4590 = or(_T_4589, _T_4587) @[Mux.scala 27:72] + node _T_4591 = or(_T_4590, _T_4588) @[Mux.scala 27:72] wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] - lsu_nonblock_dual <= _T_4615 @[Mux.scala 27:72] - node _T_4616 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] - node _T_4617 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 559:121] - node lsu_nonblock_data_unalgn = dshr(_T_4616, _T_4617) @[el2_lsu_bus_buffer.scala 559:92] - node _T_4618 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:69] - node _T_4619 = and(lsu_nonblock_load_data_ready, _T_4618) @[el2_lsu_bus_buffer.scala 560:67] - io.lsu_nonblock_load_data_valid <= _T_4619 @[el2_lsu_bus_buffer.scala 560:35] - node _T_4620 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:81] - node _T_4621 = and(lsu_nonblock_unsign, _T_4620) @[el2_lsu_bus_buffer.scala 561:63] - node _T_4622 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 561:131] - node _T_4623 = cat(UInt<24>("h00"), _T_4622) @[Cat.scala 29:58] - node _T_4624 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 562:45] - node _T_4625 = and(lsu_nonblock_unsign, _T_4624) @[el2_lsu_bus_buffer.scala 562:26] - node _T_4626 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 562:95] - node _T_4627 = cat(UInt<16>("h00"), _T_4626) @[Cat.scala 29:58] - node _T_4628 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:6] - node _T_4629 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:45] - node _T_4630 = and(_T_4628, _T_4629) @[el2_lsu_bus_buffer.scala 563:27] - node _T_4631 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 563:93] - node _T_4632 = bits(_T_4631, 0, 0) @[Bitwise.scala 72:15] - node _T_4633 = mux(_T_4632, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] - node _T_4634 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 563:123] - node _T_4635 = cat(_T_4633, _T_4634) @[Cat.scala 29:58] - node _T_4636 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:6] - node _T_4637 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 564:45] - node _T_4638 = and(_T_4636, _T_4637) @[el2_lsu_bus_buffer.scala 564:27] - node _T_4639 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 564:93] - node _T_4640 = bits(_T_4639, 0, 0) @[Bitwise.scala 72:15] - node _T_4641 = mux(_T_4640, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] - node _T_4642 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 564:124] - node _T_4643 = cat(_T_4641, _T_4642) @[Cat.scala 29:58] - node _T_4644 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 565:21] - node _T_4645 = mux(_T_4621, _T_4623, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4646 = mux(_T_4625, _T_4627, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4647 = mux(_T_4630, _T_4635, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4648 = mux(_T_4638, _T_4643, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4649 = mux(_T_4644, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4650 = or(_T_4645, _T_4646) @[Mux.scala 27:72] - node _T_4651 = or(_T_4650, _T_4647) @[Mux.scala 27:72] - node _T_4652 = or(_T_4651, _T_4648) @[Mux.scala 27:72] - node _T_4653 = or(_T_4652, _T_4649) @[Mux.scala 27:72] - wire _T_4654 : UInt<64> @[Mux.scala 27:72] - _T_4654 <= _T_4653 @[Mux.scala 27:72] - io.lsu_nonblock_load_data <= _T_4654 @[el2_lsu_bus_buffer.scala 561:29] - node _T_4655 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:68] - node _T_4656 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 566:95] - node _T_4657 = and(_T_4656, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:99] - node _T_4658 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:68] - node _T_4659 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 566:95] - node _T_4660 = and(_T_4659, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:99] - node _T_4661 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:68] - node _T_4662 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 566:95] - node _T_4663 = and(_T_4662, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:99] - node _T_4664 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:68] - node _T_4665 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 566:95] - node _T_4666 = and(_T_4665, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:99] - node _T_4667 = mux(_T_4655, _T_4657, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4668 = mux(_T_4658, _T_4660, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4669 = mux(_T_4661, _T_4663, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4670 = mux(_T_4664, _T_4666, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4671 = or(_T_4667, _T_4668) @[Mux.scala 27:72] - node _T_4672 = or(_T_4671, _T_4669) @[Mux.scala 27:72] - node _T_4673 = or(_T_4672, _T_4670) @[Mux.scala 27:72] - wire _T_4674 : UInt<1> @[Mux.scala 27:72] - _T_4674 <= _T_4673 @[Mux.scala 27:72] - bus_sideeffect_pend <= _T_4674 @[el2_lsu_bus_buffer.scala 566:23] - node _T_4675 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] + lsu_nonblock_dual <= _T_4591 @[Mux.scala 27:72] + node _T_4592 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4593 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[el2_lsu_bus_buffer.scala 559:121] + node lsu_nonblock_data_unalgn = dshr(_T_4592, _T_4593) @[el2_lsu_bus_buffer.scala 559:92] + node _T_4594 = eq(io.lsu_nonblock_load_data_error, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 560:69] + node _T_4595 = and(lsu_nonblock_load_data_ready, _T_4594) @[el2_lsu_bus_buffer.scala 560:67] + io.lsu_nonblock_load_data_valid <= _T_4595 @[el2_lsu_bus_buffer.scala 560:35] + node _T_4596 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 561:81] + node _T_4597 = and(lsu_nonblock_unsign, _T_4596) @[el2_lsu_bus_buffer.scala 561:63] + node _T_4598 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 561:131] + node _T_4599 = cat(UInt<24>("h00"), _T_4598) @[Cat.scala 29:58] + node _T_4600 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 562:45] + node _T_4601 = and(lsu_nonblock_unsign, _T_4600) @[el2_lsu_bus_buffer.scala 562:26] + node _T_4602 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 562:95] + node _T_4603 = cat(UInt<16>("h00"), _T_4602) @[Cat.scala 29:58] + node _T_4604 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:6] + node _T_4605 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 563:45] + node _T_4606 = and(_T_4604, _T_4605) @[el2_lsu_bus_buffer.scala 563:27] + node _T_4607 = bits(lsu_nonblock_data_unalgn, 7, 7) @[el2_lsu_bus_buffer.scala 563:93] + node _T_4608 = bits(_T_4607, 0, 0) @[Bitwise.scala 72:15] + node _T_4609 = mux(_T_4608, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4610 = bits(lsu_nonblock_data_unalgn, 7, 0) @[el2_lsu_bus_buffer.scala 563:123] + node _T_4611 = cat(_T_4609, _T_4610) @[Cat.scala 29:58] + node _T_4612 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 564:6] + node _T_4613 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 564:45] + node _T_4614 = and(_T_4612, _T_4613) @[el2_lsu_bus_buffer.scala 564:27] + node _T_4615 = bits(lsu_nonblock_data_unalgn, 15, 15) @[el2_lsu_bus_buffer.scala 564:93] + node _T_4616 = bits(_T_4615, 0, 0) @[Bitwise.scala 72:15] + node _T_4617 = mux(_T_4616, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4618 = bits(lsu_nonblock_data_unalgn, 15, 0) @[el2_lsu_bus_buffer.scala 564:124] + node _T_4619 = cat(_T_4617, _T_4618) @[Cat.scala 29:58] + node _T_4620 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 565:21] + node _T_4621 = mux(_T_4597, _T_4599, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4622 = mux(_T_4601, _T_4603, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4623 = mux(_T_4606, _T_4611, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4624 = mux(_T_4614, _T_4619, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4625 = mux(_T_4620, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4626 = or(_T_4621, _T_4622) @[Mux.scala 27:72] + node _T_4627 = or(_T_4626, _T_4623) @[Mux.scala 27:72] + node _T_4628 = or(_T_4627, _T_4624) @[Mux.scala 27:72] + node _T_4629 = or(_T_4628, _T_4625) @[Mux.scala 27:72] + wire _T_4630 : UInt<64> @[Mux.scala 27:72] + _T_4630 <= _T_4629 @[Mux.scala 27:72] + io.lsu_nonblock_load_data <= _T_4630 @[el2_lsu_bus_buffer.scala 561:29] + node _T_4631 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:68] + node _T_4632 = bits(buf_sideeffect, 0, 0) @[el2_lsu_bus_buffer.scala 566:95] + node _T_4633 = and(_T_4632, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:99] + node _T_4634 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:68] + node _T_4635 = bits(buf_sideeffect, 1, 1) @[el2_lsu_bus_buffer.scala 566:95] + node _T_4636 = and(_T_4635, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:99] + node _T_4637 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:68] + node _T_4638 = bits(buf_sideeffect, 2, 2) @[el2_lsu_bus_buffer.scala 566:95] + node _T_4639 = and(_T_4638, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:99] + node _T_4640 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 566:68] + node _T_4641 = bits(buf_sideeffect, 3, 3) @[el2_lsu_bus_buffer.scala 566:95] + node _T_4642 = and(_T_4641, io.dec_tlu_sideeffect_posted_disable) @[el2_lsu_bus_buffer.scala 566:99] + node _T_4643 = mux(_T_4631, _T_4633, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4644 = mux(_T_4634, _T_4636, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4645 = mux(_T_4637, _T_4639, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4646 = mux(_T_4640, _T_4642, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4647 = or(_T_4643, _T_4644) @[Mux.scala 27:72] + node _T_4648 = or(_T_4647, _T_4645) @[Mux.scala 27:72] + node _T_4649 = or(_T_4648, _T_4646) @[Mux.scala 27:72] + wire _T_4650 : UInt<1> @[Mux.scala 27:72] + _T_4650 <= _T_4649 @[Mux.scala 27:72] + bus_sideeffect_pend <= _T_4650 @[el2_lsu_bus_buffer.scala 566:23] + node _T_4651 = eq(buf_state[0], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] + node _T_4652 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 568:25] + node _T_4653 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 568:50] + node _T_4654 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] + node _T_4655 = eq(_T_4653, _T_4654) @[el2_lsu_bus_buffer.scala 568:56] + node _T_4656 = and(_T_4652, _T_4655) @[el2_lsu_bus_buffer.scala 568:38] + node _T_4657 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:92] + node _T_4658 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:126] + node _T_4659 = and(obuf_merge, _T_4658) @[el2_lsu_bus_buffer.scala 568:114] + node _T_4660 = or(_T_4657, _T_4659) @[el2_lsu_bus_buffer.scala 568:100] + node _T_4661 = eq(_T_4660, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:80] + node _T_4662 = and(_T_4656, _T_4661) @[el2_lsu_bus_buffer.scala 568:78] + node _T_4663 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] + node _T_4664 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 568:25] + node _T_4665 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 568:50] + node _T_4666 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] + node _T_4667 = eq(_T_4665, _T_4666) @[el2_lsu_bus_buffer.scala 568:56] + node _T_4668 = and(_T_4664, _T_4667) @[el2_lsu_bus_buffer.scala 568:38] + node _T_4669 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 568:92] + node _T_4670 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 568:126] + node _T_4671 = and(obuf_merge, _T_4670) @[el2_lsu_bus_buffer.scala 568:114] + node _T_4672 = or(_T_4669, _T_4671) @[el2_lsu_bus_buffer.scala 568:100] + node _T_4673 = eq(_T_4672, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:80] + node _T_4674 = and(_T_4668, _T_4673) @[el2_lsu_bus_buffer.scala 568:78] + node _T_4675 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] node _T_4676 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 568:25] node _T_4677 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 568:50] - node _T_4678 = bits(buf_addr[0], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] + node _T_4678 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] node _T_4679 = eq(_T_4677, _T_4678) @[el2_lsu_bus_buffer.scala 568:56] node _T_4680 = and(_T_4676, _T_4679) @[el2_lsu_bus_buffer.scala 568:38] - node _T_4681 = eq(obuf_tag0, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:92] - node _T_4682 = eq(obuf_tag1, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:126] + node _T_4681 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 568:92] + node _T_4682 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 568:126] node _T_4683 = and(obuf_merge, _T_4682) @[el2_lsu_bus_buffer.scala 568:114] node _T_4684 = or(_T_4681, _T_4683) @[el2_lsu_bus_buffer.scala 568:100] node _T_4685 = eq(_T_4684, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:80] node _T_4686 = and(_T_4680, _T_4685) @[el2_lsu_bus_buffer.scala 568:78] - node _T_4687 = eq(buf_state[1], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] + node _T_4687 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] node _T_4688 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 568:25] node _T_4689 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 568:50] - node _T_4690 = bits(buf_addr[1], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] + node _T_4690 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] node _T_4691 = eq(_T_4689, _T_4690) @[el2_lsu_bus_buffer.scala 568:56] node _T_4692 = and(_T_4688, _T_4691) @[el2_lsu_bus_buffer.scala 568:38] - node _T_4693 = eq(obuf_tag0, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 568:92] - node _T_4694 = eq(obuf_tag1, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 568:126] + node _T_4693 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 568:92] + node _T_4694 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 568:126] node _T_4695 = and(obuf_merge, _T_4694) @[el2_lsu_bus_buffer.scala 568:114] node _T_4696 = or(_T_4693, _T_4695) @[el2_lsu_bus_buffer.scala 568:100] node _T_4697 = eq(_T_4696, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:80] node _T_4698 = and(_T_4692, _T_4697) @[el2_lsu_bus_buffer.scala 568:78] - node _T_4699 = eq(buf_state[2], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] - node _T_4700 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 568:25] - node _T_4701 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 568:50] - node _T_4702 = bits(buf_addr[2], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] - node _T_4703 = eq(_T_4701, _T_4702) @[el2_lsu_bus_buffer.scala 568:56] - node _T_4704 = and(_T_4700, _T_4703) @[el2_lsu_bus_buffer.scala 568:38] - node _T_4705 = eq(obuf_tag0, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 568:92] - node _T_4706 = eq(obuf_tag1, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 568:126] - node _T_4707 = and(obuf_merge, _T_4706) @[el2_lsu_bus_buffer.scala 568:114] - node _T_4708 = or(_T_4705, _T_4707) @[el2_lsu_bus_buffer.scala 568:100] - node _T_4709 = eq(_T_4708, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:80] - node _T_4710 = and(_T_4704, _T_4709) @[el2_lsu_bus_buffer.scala 568:78] - node _T_4711 = eq(buf_state[3], UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 567:71] - node _T_4712 = and(UInt<1>("h01"), obuf_valid) @[el2_lsu_bus_buffer.scala 568:25] - node _T_4713 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 568:50] - node _T_4714 = bits(buf_addr[3], 31, 3) @[el2_lsu_bus_buffer.scala 568:70] - node _T_4715 = eq(_T_4713, _T_4714) @[el2_lsu_bus_buffer.scala 568:56] - node _T_4716 = and(_T_4712, _T_4715) @[el2_lsu_bus_buffer.scala 568:38] - node _T_4717 = eq(obuf_tag0, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 568:92] - node _T_4718 = eq(obuf_tag1, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 568:126] - node _T_4719 = and(obuf_merge, _T_4718) @[el2_lsu_bus_buffer.scala 568:114] - node _T_4720 = or(_T_4717, _T_4719) @[el2_lsu_bus_buffer.scala 568:100] - node _T_4721 = eq(_T_4720, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 568:80] - node _T_4722 = and(_T_4716, _T_4721) @[el2_lsu_bus_buffer.scala 568:78] - node _T_4723 = mux(_T_4675, _T_4686, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4724 = mux(_T_4687, _T_4698, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4725 = mux(_T_4699, _T_4710, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4726 = mux(_T_4711, _T_4722, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4727 = or(_T_4723, _T_4724) @[Mux.scala 27:72] - node _T_4728 = or(_T_4727, _T_4725) @[Mux.scala 27:72] - node _T_4729 = or(_T_4728, _T_4726) @[Mux.scala 27:72] - wire _T_4730 : UInt<1> @[Mux.scala 27:72] - _T_4730 <= _T_4729 @[Mux.scala 27:72] - bus_addr_match_pending <= _T_4730 @[el2_lsu_bus_buffer.scala 567:26] - node _T_4731 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 570:54] - node _T_4732 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 570:75] - node _T_4733 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 570:150] - node _T_4734 = mux(_T_4731, _T_4732, _T_4733) @[el2_lsu_bus_buffer.scala 570:39] - node _T_4735 = mux(obuf_write, _T_4734, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 570:23] - bus_cmd_ready <= _T_4735 @[el2_lsu_bus_buffer.scala 570:17] - node _T_4736 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 571:39] - bus_wcmd_sent <= _T_4736 @[el2_lsu_bus_buffer.scala 571:17] - node _T_4737 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 572:39] - bus_wdata_sent <= _T_4737 @[el2_lsu_bus_buffer.scala 572:18] - node _T_4738 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 573:35] - node _T_4739 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 573:70] - node _T_4740 = and(_T_4738, _T_4739) @[el2_lsu_bus_buffer.scala 573:52] - node _T_4741 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 573:111] - node _T_4742 = or(_T_4740, _T_4741) @[el2_lsu_bus_buffer.scala 573:89] - bus_cmd_sent <= _T_4742 @[el2_lsu_bus_buffer.scala 573:16] - node _T_4743 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 574:37] - bus_rsp_read <= _T_4743 @[el2_lsu_bus_buffer.scala 574:16] - node _T_4744 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 575:38] - bus_rsp_write <= _T_4744 @[el2_lsu_bus_buffer.scala 575:17] + node _T_4699 = mux(_T_4651, _T_4662, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4700 = mux(_T_4663, _T_4674, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4701 = mux(_T_4675, _T_4686, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4702 = mux(_T_4687, _T_4698, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4703 = or(_T_4699, _T_4700) @[Mux.scala 27:72] + node _T_4704 = or(_T_4703, _T_4701) @[Mux.scala 27:72] + node _T_4705 = or(_T_4704, _T_4702) @[Mux.scala 27:72] + wire _T_4706 : UInt<1> @[Mux.scala 27:72] + _T_4706 <= _T_4705 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4706 @[el2_lsu_bus_buffer.scala 567:26] + node _T_4707 = or(obuf_cmd_done, obuf_data_done) @[el2_lsu_bus_buffer.scala 570:54] + node _T_4708 = mux(obuf_cmd_done, io.lsu_axi_wready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 570:75] + node _T_4709 = and(io.lsu_axi_awready, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 570:150] + node _T_4710 = mux(_T_4707, _T_4708, _T_4709) @[el2_lsu_bus_buffer.scala 570:39] + node _T_4711 = mux(obuf_write, _T_4710, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 570:23] + bus_cmd_ready <= _T_4711 @[el2_lsu_bus_buffer.scala 570:17] + node _T_4712 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 571:39] + bus_wcmd_sent <= _T_4712 @[el2_lsu_bus_buffer.scala 571:17] + node _T_4713 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 572:39] + bus_wdata_sent <= _T_4713 @[el2_lsu_bus_buffer.scala 572:18] + node _T_4714 = or(obuf_cmd_done, bus_wcmd_sent) @[el2_lsu_bus_buffer.scala 573:35] + node _T_4715 = or(obuf_data_done, bus_wdata_sent) @[el2_lsu_bus_buffer.scala 573:70] + node _T_4716 = and(_T_4714, _T_4715) @[el2_lsu_bus_buffer.scala 573:52] + node _T_4717 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 573:111] + node _T_4718 = or(_T_4716, _T_4717) @[el2_lsu_bus_buffer.scala 573:89] + bus_cmd_sent <= _T_4718 @[el2_lsu_bus_buffer.scala 573:16] + node _T_4719 = and(io.lsu_axi_rvalid, io.lsu_axi_rready) @[el2_lsu_bus_buffer.scala 574:37] + bus_rsp_read <= _T_4719 @[el2_lsu_bus_buffer.scala 574:16] + node _T_4720 = and(io.lsu_axi_bvalid, io.lsu_axi_bready) @[el2_lsu_bus_buffer.scala 575:38] + bus_rsp_write <= _T_4720 @[el2_lsu_bus_buffer.scala 575:17] bus_rsp_read_tag <= io.lsu_axi_rid @[el2_lsu_bus_buffer.scala 576:20] bus_rsp_write_tag <= io.lsu_axi_bid @[el2_lsu_bus_buffer.scala 577:21] - node _T_4745 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:60] - node _T_4746 = and(bus_rsp_write, _T_4745) @[el2_lsu_bus_buffer.scala 578:40] - bus_rsp_write_error <= _T_4746 @[el2_lsu_bus_buffer.scala 578:23] - node _T_4747 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:58] - node _T_4748 = and(bus_rsp_read, _T_4747) @[el2_lsu_bus_buffer.scala 579:38] - bus_rsp_read_error <= _T_4748 @[el2_lsu_bus_buffer.scala 579:22] + node _T_4721 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 578:60] + node _T_4722 = and(bus_rsp_write, _T_4721) @[el2_lsu_bus_buffer.scala 578:40] + bus_rsp_write_error <= _T_4722 @[el2_lsu_bus_buffer.scala 578:23] + node _T_4723 = neq(io.lsu_axi_bresp, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 579:58] + node _T_4724 = and(bus_rsp_read, _T_4723) @[el2_lsu_bus_buffer.scala 579:38] + bus_rsp_read_error <= _T_4724 @[el2_lsu_bus_buffer.scala 579:22] bus_rsp_rdata <= io.lsu_axi_rdata @[el2_lsu_bus_buffer.scala 580:17] - node _T_4749 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 583:36] - node _T_4750 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:51] - node _T_4751 = and(_T_4749, _T_4750) @[el2_lsu_bus_buffer.scala 583:49] - node _T_4752 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:68] - node _T_4753 = and(_T_4751, _T_4752) @[el2_lsu_bus_buffer.scala 583:66] - io.lsu_axi_awvalid <= _T_4753 @[el2_lsu_bus_buffer.scala 583:22] + node _T_4725 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 583:36] + node _T_4726 = eq(obuf_cmd_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:51] + node _T_4727 = and(_T_4725, _T_4726) @[el2_lsu_bus_buffer.scala 583:49] + node _T_4728 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 583:68] + node _T_4729 = and(_T_4727, _T_4728) @[el2_lsu_bus_buffer.scala 583:66] + io.lsu_axi_awvalid <= _T_4729 @[el2_lsu_bus_buffer.scala 583:22] io.lsu_axi_awid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 584:19] - node _T_4754 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 585:69] - node _T_4755 = cat(_T_4754, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4756 = mux(obuf_sideeffect, obuf_addr, _T_4755) @[el2_lsu_bus_buffer.scala 585:27] - io.lsu_axi_awaddr <= _T_4756 @[el2_lsu_bus_buffer.scala 585:21] - node _T_4757 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4758 = mux(obuf_sideeffect, _T_4757, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 586:27] - io.lsu_axi_awsize <= _T_4758 @[el2_lsu_bus_buffer.scala 586:21] + node _T_4730 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 585:69] + node _T_4731 = cat(_T_4730, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4732 = mux(obuf_sideeffect, obuf_addr, _T_4731) @[el2_lsu_bus_buffer.scala 585:27] + io.lsu_axi_awaddr <= _T_4732 @[el2_lsu_bus_buffer.scala 585:21] + node _T_4733 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4734 = mux(obuf_sideeffect, _T_4733, UInt<3>("h02")) @[el2_lsu_bus_buffer.scala 586:27] + io.lsu_axi_awsize <= _T_4734 @[el2_lsu_bus_buffer.scala 586:21] io.lsu_axi_awprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 587:21] - node _T_4759 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 588:28] - io.lsu_axi_awcache <= _T_4759 @[el2_lsu_bus_buffer.scala 588:22] - node _T_4760 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 589:35] - io.lsu_axi_awregion <= _T_4760 @[el2_lsu_bus_buffer.scala 589:23] + node _T_4735 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 588:28] + io.lsu_axi_awcache <= _T_4735 @[el2_lsu_bus_buffer.scala 588:22] + node _T_4736 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 589:35] + io.lsu_axi_awregion <= _T_4736 @[el2_lsu_bus_buffer.scala 589:23] io.lsu_axi_awlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 590:20] io.lsu_axi_awburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 591:22] io.lsu_axi_awqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 592:20] io.lsu_axi_awlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 593:21] - node _T_4761 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 595:35] - node _T_4762 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:50] - node _T_4763 = and(_T_4761, _T_4762) @[el2_lsu_bus_buffer.scala 595:48] - node _T_4764 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:68] - node _T_4765 = and(_T_4763, _T_4764) @[el2_lsu_bus_buffer.scala 595:66] - io.lsu_axi_wvalid <= _T_4765 @[el2_lsu_bus_buffer.scala 595:21] - node _T_4766 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] - node _T_4767 = mux(_T_4766, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_4768 = and(obuf_byteen, _T_4767) @[el2_lsu_bus_buffer.scala 596:35] - io.lsu_axi_wstrb <= _T_4768 @[el2_lsu_bus_buffer.scala 596:20] + node _T_4737 = and(obuf_valid, obuf_write) @[el2_lsu_bus_buffer.scala 595:35] + node _T_4738 = eq(obuf_data_done, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:50] + node _T_4739 = and(_T_4737, _T_4738) @[el2_lsu_bus_buffer.scala 595:48] + node _T_4740 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 595:68] + node _T_4741 = and(_T_4739, _T_4740) @[el2_lsu_bus_buffer.scala 595:66] + io.lsu_axi_wvalid <= _T_4741 @[el2_lsu_bus_buffer.scala 595:21] + node _T_4742 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4743 = mux(_T_4742, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4744 = and(obuf_byteen, _T_4743) @[el2_lsu_bus_buffer.scala 596:35] + io.lsu_axi_wstrb <= _T_4744 @[el2_lsu_bus_buffer.scala 596:20] io.lsu_axi_wdata <= obuf_data @[el2_lsu_bus_buffer.scala 597:20] io.lsu_axi_wlast <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 598:20] - node _T_4769 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:38] - node _T_4770 = and(obuf_valid, _T_4769) @[el2_lsu_bus_buffer.scala 600:36] - node _T_4771 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:52] - node _T_4772 = and(_T_4770, _T_4771) @[el2_lsu_bus_buffer.scala 600:50] - node _T_4773 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:67] - node _T_4774 = and(_T_4772, _T_4773) @[el2_lsu_bus_buffer.scala 600:65] - io.lsu_axi_arvalid <= _T_4774 @[el2_lsu_bus_buffer.scala 600:22] + node _T_4745 = eq(obuf_write, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:38] + node _T_4746 = and(obuf_valid, _T_4745) @[el2_lsu_bus_buffer.scala 600:36] + node _T_4747 = eq(obuf_nosend, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:52] + node _T_4748 = and(_T_4746, _T_4747) @[el2_lsu_bus_buffer.scala 600:50] + node _T_4749 = eq(bus_addr_match_pending, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 600:67] + node _T_4750 = and(_T_4748, _T_4749) @[el2_lsu_bus_buffer.scala 600:65] + io.lsu_axi_arvalid <= _T_4750 @[el2_lsu_bus_buffer.scala 600:22] io.lsu_axi_arid <= obuf_tag0 @[el2_lsu_bus_buffer.scala 601:19] - node _T_4775 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 602:69] - node _T_4776 = cat(_T_4775, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_4777 = mux(obuf_sideeffect, obuf_addr, _T_4776) @[el2_lsu_bus_buffer.scala 602:27] - io.lsu_axi_araddr <= _T_4777 @[el2_lsu_bus_buffer.scala 602:21] - node _T_4778 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] - node _T_4779 = mux(obuf_sideeffect, _T_4778, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:27] - io.lsu_axi_arsize <= _T_4779 @[el2_lsu_bus_buffer.scala 603:21] + node _T_4751 = bits(obuf_addr, 31, 3) @[el2_lsu_bus_buffer.scala 602:69] + node _T_4752 = cat(_T_4751, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4753 = mux(obuf_sideeffect, obuf_addr, _T_4752) @[el2_lsu_bus_buffer.scala 602:27] + io.lsu_axi_araddr <= _T_4753 @[el2_lsu_bus_buffer.scala 602:21] + node _T_4754 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4755 = mux(obuf_sideeffect, _T_4754, UInt<3>("h03")) @[el2_lsu_bus_buffer.scala 603:27] + io.lsu_axi_arsize <= _T_4755 @[el2_lsu_bus_buffer.scala 603:21] io.lsu_axi_arprot <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 604:21] - node _T_4780 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 605:28] - io.lsu_axi_arcache <= _T_4780 @[el2_lsu_bus_buffer.scala 605:22] - node _T_4781 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 606:35] - io.lsu_axi_arregion <= _T_4781 @[el2_lsu_bus_buffer.scala 606:23] + node _T_4756 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[el2_lsu_bus_buffer.scala 605:28] + io.lsu_axi_arcache <= _T_4756 @[el2_lsu_bus_buffer.scala 605:22] + node _T_4757 = bits(obuf_addr, 31, 28) @[el2_lsu_bus_buffer.scala 606:35] + io.lsu_axi_arregion <= _T_4757 @[el2_lsu_bus_buffer.scala 606:23] io.lsu_axi_arlen <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 607:20] io.lsu_axi_arburst <= UInt<2>("h01") @[el2_lsu_bus_buffer.scala 608:22] io.lsu_axi_arqos <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 609:20] io.lsu_axi_arlock <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 610:21] io.lsu_axi_bready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 611:21] io.lsu_axi_rready <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 612:21] - node _T_4782 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] - node _T_4783 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 613:125] - node _T_4784 = and(io.lsu_bus_clk_en_q, _T_4783) @[el2_lsu_bus_buffer.scala 613:114] - node _T_4785 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 613:140] - node _T_4786 = and(_T_4784, _T_4785) @[el2_lsu_bus_buffer.scala 613:129] - node _T_4787 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] - node _T_4788 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 613:125] - node _T_4789 = and(io.lsu_bus_clk_en_q, _T_4788) @[el2_lsu_bus_buffer.scala 613:114] - node _T_4790 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 613:140] - node _T_4791 = and(_T_4789, _T_4790) @[el2_lsu_bus_buffer.scala 613:129] - node _T_4792 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] - node _T_4793 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 613:125] - node _T_4794 = and(io.lsu_bus_clk_en_q, _T_4793) @[el2_lsu_bus_buffer.scala 613:114] - node _T_4795 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 613:140] - node _T_4796 = and(_T_4794, _T_4795) @[el2_lsu_bus_buffer.scala 613:129] - node _T_4797 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] - node _T_4798 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 613:125] - node _T_4799 = and(io.lsu_bus_clk_en_q, _T_4798) @[el2_lsu_bus_buffer.scala 613:114] - node _T_4800 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 613:140] - node _T_4801 = and(_T_4799, _T_4800) @[el2_lsu_bus_buffer.scala 613:129] - node _T_4802 = mux(_T_4782, _T_4786, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4803 = mux(_T_4787, _T_4791, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4804 = mux(_T_4792, _T_4796, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4805 = mux(_T_4797, _T_4801, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4806 = or(_T_4802, _T_4803) @[Mux.scala 27:72] - node _T_4807 = or(_T_4806, _T_4804) @[Mux.scala 27:72] - node _T_4808 = or(_T_4807, _T_4805) @[Mux.scala 27:72] - wire _T_4809 : UInt<1> @[Mux.scala 27:72] - _T_4809 <= _T_4808 @[Mux.scala 27:72] - io.lsu_imprecise_error_store_any <= _T_4809 @[el2_lsu_bus_buffer.scala 613:36] - node _T_4810 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:87] - node _T_4811 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 614:109] - node _T_4812 = and(_T_4810, _T_4811) @[el2_lsu_bus_buffer.scala 614:98] - node _T_4813 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 614:124] - node _T_4814 = and(_T_4812, _T_4813) @[el2_lsu_bus_buffer.scala 614:113] - node _T_4815 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:87] - node _T_4816 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 614:109] - node _T_4817 = and(_T_4815, _T_4816) @[el2_lsu_bus_buffer.scala 614:98] - node _T_4818 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 614:124] - node _T_4819 = and(_T_4817, _T_4818) @[el2_lsu_bus_buffer.scala 614:113] - node _T_4820 = mux(_T_4814, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4821 = mux(_T_4819, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4822 = or(_T_4820, _T_4821) @[Mux.scala 27:72] + node _T_4758 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] + node _T_4759 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 613:125] + node _T_4760 = and(io.lsu_bus_clk_en_q, _T_4759) @[el2_lsu_bus_buffer.scala 613:114] + node _T_4761 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 613:140] + node _T_4762 = and(_T_4760, _T_4761) @[el2_lsu_bus_buffer.scala 613:129] + node _T_4763 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] + node _T_4764 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 613:125] + node _T_4765 = and(io.lsu_bus_clk_en_q, _T_4764) @[el2_lsu_bus_buffer.scala 613:114] + node _T_4766 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 613:140] + node _T_4767 = and(_T_4765, _T_4766) @[el2_lsu_bus_buffer.scala 613:129] + node _T_4768 = eq(buf_state[2], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] + node _T_4769 = bits(buf_error, 2, 2) @[el2_lsu_bus_buffer.scala 613:125] + node _T_4770 = and(io.lsu_bus_clk_en_q, _T_4769) @[el2_lsu_bus_buffer.scala 613:114] + node _T_4771 = bits(buf_write, 2, 2) @[el2_lsu_bus_buffer.scala 613:140] + node _T_4772 = and(_T_4770, _T_4771) @[el2_lsu_bus_buffer.scala 613:129] + node _T_4773 = eq(buf_state[3], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 613:81] + node _T_4774 = bits(buf_error, 3, 3) @[el2_lsu_bus_buffer.scala 613:125] + node _T_4775 = and(io.lsu_bus_clk_en_q, _T_4774) @[el2_lsu_bus_buffer.scala 613:114] + node _T_4776 = bits(buf_write, 3, 3) @[el2_lsu_bus_buffer.scala 613:140] + node _T_4777 = and(_T_4775, _T_4776) @[el2_lsu_bus_buffer.scala 613:129] + node _T_4778 = mux(_T_4758, _T_4762, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4779 = mux(_T_4763, _T_4767, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4780 = mux(_T_4768, _T_4772, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4781 = mux(_T_4773, _T_4777, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4782 = or(_T_4778, _T_4779) @[Mux.scala 27:72] + node _T_4783 = or(_T_4782, _T_4780) @[Mux.scala 27:72] + node _T_4784 = or(_T_4783, _T_4781) @[Mux.scala 27:72] + wire _T_4785 : UInt<1> @[Mux.scala 27:72] + _T_4785 <= _T_4784 @[Mux.scala 27:72] + io.lsu_imprecise_error_store_any <= _T_4785 @[el2_lsu_bus_buffer.scala 613:36] + node _T_4786 = eq(buf_state[0], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:87] + node _T_4787 = bits(buf_error, 0, 0) @[el2_lsu_bus_buffer.scala 614:109] + node _T_4788 = and(_T_4786, _T_4787) @[el2_lsu_bus_buffer.scala 614:98] + node _T_4789 = bits(buf_write, 0, 0) @[el2_lsu_bus_buffer.scala 614:124] + node _T_4790 = and(_T_4788, _T_4789) @[el2_lsu_bus_buffer.scala 614:113] + node _T_4791 = eq(buf_state[1], UInt<3>("h06")) @[el2_lsu_bus_buffer.scala 614:87] + node _T_4792 = bits(buf_error, 1, 1) @[el2_lsu_bus_buffer.scala 614:109] + node _T_4793 = and(_T_4791, _T_4792) @[el2_lsu_bus_buffer.scala 614:98] + node _T_4794 = bits(buf_write, 1, 1) @[el2_lsu_bus_buffer.scala 614:124] + node _T_4795 = and(_T_4793, _T_4794) @[el2_lsu_bus_buffer.scala 614:113] + node _T_4796 = mux(_T_4790, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4797 = mux(_T_4795, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4798 = or(_T_4796, _T_4797) @[Mux.scala 27:72] wire lsu_imprecise_error_store_tag : UInt<1> @[Mux.scala 27:72] - lsu_imprecise_error_store_tag <= _T_4822 @[Mux.scala 27:72] - node _T_4823 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:72] - node _T_4824 = and(io.lsu_nonblock_load_data_error, _T_4823) @[el2_lsu_bus_buffer.scala 616:70] - io.lsu_imprecise_error_load_any <= _T_4824 @[el2_lsu_bus_buffer.scala 616:35] - node _T_4825 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4826 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4827 = mux(_T_4825, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4828 = mux(_T_4826, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4829 = or(_T_4827, _T_4828) @[Mux.scala 27:72] - wire _T_4830 : UInt<32> @[Mux.scala 27:72] - _T_4830 <= _T_4829 @[Mux.scala 27:72] - node _T_4831 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4832 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4833 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4834 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] - node _T_4835 = mux(_T_4831, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4836 = mux(_T_4832, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4837 = mux(_T_4833, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4838 = mux(_T_4834, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_4839 = or(_T_4835, _T_4836) @[Mux.scala 27:72] - node _T_4840 = or(_T_4839, _T_4837) @[Mux.scala 27:72] - node _T_4841 = or(_T_4840, _T_4838) @[Mux.scala 27:72] - wire _T_4842 : UInt<32> @[Mux.scala 27:72] - _T_4842 <= _T_4841 @[Mux.scala 27:72] - node _T_4843 = mux(io.lsu_imprecise_error_store_any, _T_4830, _T_4842) @[el2_lsu_bus_buffer.scala 617:41] - io.lsu_imprecise_error_addr_any <= _T_4843 @[el2_lsu_bus_buffer.scala 617:35] + lsu_imprecise_error_store_tag <= _T_4798 @[Mux.scala 27:72] + node _T_4799 = eq(io.lsu_imprecise_error_store_any, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 616:72] + node _T_4800 = and(io.lsu_nonblock_load_data_error, _T_4799) @[el2_lsu_bus_buffer.scala 616:70] + io.lsu_imprecise_error_load_any <= _T_4800 @[el2_lsu_bus_buffer.scala 616:35] + node _T_4801 = eq(lsu_imprecise_error_store_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4802 = eq(lsu_imprecise_error_store_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4803 = mux(_T_4801, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4804 = mux(_T_4802, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4805 = or(_T_4803, _T_4804) @[Mux.scala 27:72] + wire _T_4806 : UInt<32> @[Mux.scala 27:72] + _T_4806 <= _T_4805 @[Mux.scala 27:72] + node _T_4807 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4808 = eq(io.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4809 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4810 = eq(io.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[el2_lsu_bus_buffer.scala 111:123] + node _T_4811 = mux(_T_4807, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4812 = mux(_T_4808, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4813 = mux(_T_4809, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4814 = mux(_T_4810, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4815 = or(_T_4811, _T_4812) @[Mux.scala 27:72] + node _T_4816 = or(_T_4815, _T_4813) @[Mux.scala 27:72] + node _T_4817 = or(_T_4816, _T_4814) @[Mux.scala 27:72] + wire _T_4818 : UInt<32> @[Mux.scala 27:72] + _T_4818 <= _T_4817 @[Mux.scala 27:72] + node _T_4819 = mux(io.lsu_imprecise_error_store_any, _T_4806, _T_4818) @[el2_lsu_bus_buffer.scala 617:41] + io.lsu_imprecise_error_addr_any <= _T_4819 @[el2_lsu_bus_buffer.scala 617:35] lsu_bus_cntr_overflow <= UInt<1>("h00") @[el2_lsu_bus_buffer.scala 618:25] io.lsu_bus_idle_any <= UInt<1>("h01") @[el2_lsu_bus_buffer.scala 620:23] - node _T_4844 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 623:46] - node _T_4845 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 623:89] - node _T_4846 = or(_T_4844, _T_4845) @[el2_lsu_bus_buffer.scala 623:68] - node _T_4847 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 623:132] - node _T_4848 = or(_T_4846, _T_4847) @[el2_lsu_bus_buffer.scala 623:110] - io.lsu_pmu_bus_trxn <= _T_4848 @[el2_lsu_bus_buffer.scala 623:23] - node _T_4849 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 624:48] - node _T_4850 = and(_T_4849, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 624:65] - io.lsu_pmu_bus_misaligned <= _T_4850 @[el2_lsu_bus_buffer.scala 624:29] - node _T_4851 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 625:59] - io.lsu_pmu_bus_error <= _T_4851 @[el2_lsu_bus_buffer.scala 625:24] - node _T_4852 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:48] - node _T_4853 = and(io.lsu_axi_awvalid, _T_4852) @[el2_lsu_bus_buffer.scala 627:46] - node _T_4854 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:92] - node _T_4855 = and(io.lsu_axi_wvalid, _T_4854) @[el2_lsu_bus_buffer.scala 627:90] - node _T_4856 = or(_T_4853, _T_4855) @[el2_lsu_bus_buffer.scala 627:69] - node _T_4857 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:136] - node _T_4858 = and(io.lsu_axi_arvalid, _T_4857) @[el2_lsu_bus_buffer.scala 627:134] - node _T_4859 = or(_T_4856, _T_4858) @[el2_lsu_bus_buffer.scala 627:112] - io.lsu_pmu_bus_busy <= _T_4859 @[el2_lsu_bus_buffer.scala 627:23] - reg _T_4860 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 629:49] - _T_4860 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 629:49] - WrPtr0_r <= _T_4860 @[el2_lsu_bus_buffer.scala 629:12] - reg _T_4861 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 630:49] - _T_4861 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 630:49] - WrPtr1_r <= _T_4861 @[el2_lsu_bus_buffer.scala 630:12] - node _T_4862 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:75] - node _T_4863 = and(io.lsu_busreq_m, _T_4862) @[el2_lsu_bus_buffer.scala 631:73] - node _T_4864 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:89] - node _T_4865 = and(_T_4863, _T_4864) @[el2_lsu_bus_buffer.scala 631:87] - reg _T_4866 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 631:56] - _T_4866 <= _T_4865 @[el2_lsu_bus_buffer.scala 631:56] - io.lsu_busreq_r <= _T_4866 @[el2_lsu_bus_buffer.scala 631:19] - reg _T_4867 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 632:66] - _T_4867 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 632:66] - lsu_nonblock_load_valid_r <= _T_4867 @[el2_lsu_bus_buffer.scala 632:29] + node _T_4820 = and(io.lsu_axi_awvalid, io.lsu_axi_awready) @[el2_lsu_bus_buffer.scala 623:46] + node _T_4821 = and(io.lsu_axi_wvalid, io.lsu_axi_wready) @[el2_lsu_bus_buffer.scala 623:89] + node _T_4822 = or(_T_4820, _T_4821) @[el2_lsu_bus_buffer.scala 623:68] + node _T_4823 = and(io.lsu_axi_arvalid, io.lsu_axi_arready) @[el2_lsu_bus_buffer.scala 623:132] + node _T_4824 = or(_T_4822, _T_4823) @[el2_lsu_bus_buffer.scala 623:110] + io.lsu_pmu_bus_trxn <= _T_4824 @[el2_lsu_bus_buffer.scala 623:23] + node _T_4825 = and(io.lsu_busreq_r, io.ldst_dual_r) @[el2_lsu_bus_buffer.scala 624:48] + node _T_4826 = and(_T_4825, io.lsu_commit_r) @[el2_lsu_bus_buffer.scala 624:65] + io.lsu_pmu_bus_misaligned <= _T_4826 @[el2_lsu_bus_buffer.scala 624:29] + node _T_4827 = or(io.lsu_imprecise_error_load_any, io.lsu_imprecise_error_store_any) @[el2_lsu_bus_buffer.scala 625:59] + io.lsu_pmu_bus_error <= _T_4827 @[el2_lsu_bus_buffer.scala 625:24] + node _T_4828 = eq(io.lsu_axi_awready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:48] + node _T_4829 = and(io.lsu_axi_awvalid, _T_4828) @[el2_lsu_bus_buffer.scala 627:46] + node _T_4830 = eq(io.lsu_axi_wready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:92] + node _T_4831 = and(io.lsu_axi_wvalid, _T_4830) @[el2_lsu_bus_buffer.scala 627:90] + node _T_4832 = or(_T_4829, _T_4831) @[el2_lsu_bus_buffer.scala 627:69] + node _T_4833 = eq(io.lsu_axi_arready, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 627:136] + node _T_4834 = and(io.lsu_axi_arvalid, _T_4833) @[el2_lsu_bus_buffer.scala 627:134] + node _T_4835 = or(_T_4832, _T_4834) @[el2_lsu_bus_buffer.scala 627:112] + io.lsu_pmu_bus_busy <= _T_4835 @[el2_lsu_bus_buffer.scala 627:23] + reg _T_4836 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 629:49] + _T_4836 <= WrPtr0_m @[el2_lsu_bus_buffer.scala 629:49] + WrPtr0_r <= _T_4836 @[el2_lsu_bus_buffer.scala 629:12] + reg _T_4837 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 630:49] + _T_4837 <= WrPtr1_m @[el2_lsu_bus_buffer.scala 630:49] + WrPtr1_r <= _T_4837 @[el2_lsu_bus_buffer.scala 630:12] + node _T_4838 = eq(io.flush_r, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:75] + node _T_4839 = and(io.lsu_busreq_m, _T_4838) @[el2_lsu_bus_buffer.scala 631:73] + node _T_4840 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[el2_lsu_bus_buffer.scala 631:89] + node _T_4841 = and(_T_4839, _T_4840) @[el2_lsu_bus_buffer.scala 631:87] + reg _T_4842 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 631:56] + _T_4842 <= _T_4841 @[el2_lsu_bus_buffer.scala 631:56] + io.lsu_busreq_r <= _T_4842 @[el2_lsu_bus_buffer.scala 631:19] + reg _T_4843 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[el2_lsu_bus_buffer.scala 632:66] + _T_4843 <= io.lsu_nonblock_load_valid_m @[el2_lsu_bus_buffer.scala 632:66] + lsu_nonblock_load_valid_r <= _T_4843 @[el2_lsu_bus_buffer.scala 632:29] diff --git a/el2_lsu_bus_buffer.v b/el2_lsu_bus_buffer.v index 5affe376..a93ffbbd 100644 --- a/el2_lsu_bus_buffer.v +++ b/el2_lsu_bus_buffer.v @@ -301,11 +301,11 @@ module el2_lsu_bus_buffer( wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[el2_lsu_bus_buffer.scala 126:46] reg [31:0] buf_addr_0; // @[el2_lib.scala 478:16] wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[el2_lsu_bus_buffer.scala 128:74] - reg _T_4234; // @[Reg.scala 27:20] - reg _T_4231; // @[Reg.scala 27:20] - reg _T_4228; // @[Reg.scala 27:20] - reg _T_4225; // @[Reg.scala 27:20] - wire [3:0] buf_write = {_T_4234,_T_4231,_T_4228,_T_4225}; // @[Cat.scala 29:58] + reg _T_4210; // @[Reg.scala 27:20] + reg _T_4207; // @[Reg.scala 27:20] + reg _T_4204; // @[Reg.scala 27:20] + reg _T_4201; // @[Reg.scala 27:20] + wire [3:0] buf_write = {_T_4210,_T_4207,_T_4204,_T_4201}; // @[Cat.scala 29:58] wire _T_4 = _T_2 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 128:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] wire _T_5 = buf_state_0 != 3'h0; // @[el2_lsu_bus_buffer.scala 128:129] @@ -348,99 +348,99 @@ module el2_lsu_bus_buffer( wire _T_53 = _T_51 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 129:98] wire _T_55 = _T_53 & _T_26; // @[el2_lsu_bus_buffer.scala 129:113] wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[el2_lsu_bus_buffer.scala 129:141] - reg [2:0] _T_4261; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_3 = {{1'd0}, _T_4261}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] + reg [2:0] _T_4237; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_3 = {{1'd0}, _T_4237}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] - reg [2:0] _T_4259; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_2 = {{1'd0}, _T_4259}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] + reg [2:0] _T_4235; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_2 = {{1'd0}, _T_4235}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] - reg [2:0] _T_4257; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_1 = {{1'd0}, _T_4257}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] + reg [2:0] _T_4233; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_1 = {{1'd0}, _T_4233}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] - reg [2:0] _T_4255; // @[Reg.scala 27:20] - wire [3:0] buf_byteen_0 = {{1'd0}, _T_4255}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] + reg [2:0] _T_4231; // @[Reg.scala 27:20] + wire [3:0] buf_byteen_0 = {{1'd0}, _T_4231}; // @[el2_lsu_bus_buffer.scala 134:24 el2_lsu_bus_buffer.scala 135:14 el2_lsu_bus_buffer.scala 532:16] wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[el2_lsu_bus_buffer.scala 191:95] wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[el2_lsu_bus_buffer.scala 191:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] reg [3:0] buf_ageQ_3; // @[el2_lsu_bus_buffer.scala 516:60] - wire _T_2496 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] - wire _T_3981 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4004 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4008 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_2472 = buf_state_3 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] + wire _T_3957 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_3980 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_3984 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] reg [1:0] _T_1772; // @[Reg.scala 27:20] wire [2:0] obuf_tag0 = {{1'd0}, _T_1772}; // @[el2_lsu_bus_buffer.scala 381:13] - wire _T_4015 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 471:48] + wire _T_3991 = obuf_tag0 == 3'h3; // @[el2_lsu_bus_buffer.scala 471:48] reg obuf_merge; // @[Reg.scala 27:20] reg [1:0] obuf_tag1; // @[Reg.scala 27:20] wire [2:0] _GEN_350 = {{1'd0}, obuf_tag1}; // @[el2_lsu_bus_buffer.scala 471:104] - wire _T_4016 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 471:104] - wire _T_4017 = obuf_merge & _T_4016; // @[el2_lsu_bus_buffer.scala 471:91] - wire _T_4018 = _T_4015 | _T_4017; // @[el2_lsu_bus_buffer.scala 471:77] + wire _T_3992 = _GEN_350 == 3'h3; // @[el2_lsu_bus_buffer.scala 471:104] + wire _T_3993 = obuf_merge & _T_3992; // @[el2_lsu_bus_buffer.scala 471:91] + wire _T_3994 = _T_3991 | _T_3993; // @[el2_lsu_bus_buffer.scala 471:77] reg obuf_valid; // @[el2_lsu_bus_buffer.scala 375:51] - wire _T_4019 = _T_4018 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] + wire _T_3995 = _T_3994 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] reg obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 374:55] - wire _T_4020 = _T_4019 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] - wire _GEN_280 = _T_4008 & _T_4020; // @[Conditional.scala 39:67] - wire _GEN_293 = _T_4004 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_3 = _T_3981 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] - wire _T_2497 = _T_2496 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 429:104] - wire _T_2498 = buf_ageQ_3[3] & _T_2497; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2492 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] - wire _T_3788 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3811 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3815 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3822 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:48] - wire _T_3823 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:104] - wire _T_3824 = obuf_merge & _T_3823; // @[el2_lsu_bus_buffer.scala 471:91] - wire _T_3825 = _T_3822 | _T_3824; // @[el2_lsu_bus_buffer.scala 471:77] - wire _T_3826 = _T_3825 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] - wire _T_3827 = _T_3826 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] - wire _GEN_204 = _T_3815 & _T_3827; // @[Conditional.scala 39:67] - wire _GEN_217 = _T_3811 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_2 = _T_3788 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] - wire _T_2493 = _T_2492 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 429:104] - wire _T_2494 = buf_ageQ_3[2] & _T_2493; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2488 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] - wire _T_3595 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3618 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3622 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3629 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 471:48] - wire _T_3630 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 471:104] - wire _T_3631 = obuf_merge & _T_3630; // @[el2_lsu_bus_buffer.scala 471:91] - wire _T_3632 = _T_3629 | _T_3631; // @[el2_lsu_bus_buffer.scala 471:77] - wire _T_3633 = _T_3632 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] - wire _T_3634 = _T_3633 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] - wire _GEN_128 = _T_3622 & _T_3634; // @[Conditional.scala 39:67] - wire _GEN_141 = _T_3618 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_1 = _T_3595 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] - wire _T_2489 = _T_2488 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 429:104] - wire _T_2490 = buf_ageQ_3[1] & _T_2489; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2484 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] - wire _T_3402 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3425 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3429 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3436 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 471:48] - wire _T_3437 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 471:104] - wire _T_3438 = obuf_merge & _T_3437; // @[el2_lsu_bus_buffer.scala 471:91] - wire _T_3439 = _T_3436 | _T_3438; // @[el2_lsu_bus_buffer.scala 471:77] - wire _T_3440 = _T_3439 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] - wire _T_3441 = _T_3440 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] - wire _GEN_52 = _T_3429 & _T_3441; // @[Conditional.scala 39:67] - wire _GEN_65 = _T_3425 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] - wire buf_cmd_state_bus_en_0 = _T_3402 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] - wire _T_2485 = _T_2484 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 429:104] - wire _T_2486 = buf_ageQ_3[0] & _T_2485; // @[el2_lsu_bus_buffer.scala 429:78] - wire [3:0] buf_age_3 = {_T_2498,_T_2494,_T_2490,_T_2486}; // @[Cat.scala 29:58] - wire _T_2597 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2599 = _T_2597 & _T_19; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2591 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2593 = _T_2591 & _T_12; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2585 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2587 = _T_2585 & _T_5; // @[el2_lsu_bus_buffer.scala 430:106] - wire [3:0] buf_age_younger_3 = {1'h0,_T_2599,_T_2593,_T_2587}; // @[Cat.scala 29:58] + wire _T_3996 = _T_3995 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] + wire _GEN_280 = _T_3984 & _T_3996; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_3980 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_3957 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] + wire _T_2473 = _T_2472 & buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 429:104] + wire _T_2474 = buf_ageQ_3[3] & _T_2473; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2468 = buf_state_2 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] + wire _T_3764 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3787 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3791 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3798 = obuf_tag0 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:48] + wire _T_3799 = _GEN_350 == 3'h2; // @[el2_lsu_bus_buffer.scala 471:104] + wire _T_3800 = obuf_merge & _T_3799; // @[el2_lsu_bus_buffer.scala 471:91] + wire _T_3801 = _T_3798 | _T_3800; // @[el2_lsu_bus_buffer.scala 471:77] + wire _T_3802 = _T_3801 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] + wire _T_3803 = _T_3802 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] + wire _GEN_204 = _T_3791 & _T_3803; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3787 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3764 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] + wire _T_2469 = _T_2468 & buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 429:104] + wire _T_2470 = buf_ageQ_3[2] & _T_2469; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2464 = buf_state_1 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] + wire _T_3571 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3594 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3598 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3605 = obuf_tag0 == 3'h1; // @[el2_lsu_bus_buffer.scala 471:48] + wire _T_3606 = _GEN_350 == 3'h1; // @[el2_lsu_bus_buffer.scala 471:104] + wire _T_3607 = obuf_merge & _T_3606; // @[el2_lsu_bus_buffer.scala 471:91] + wire _T_3608 = _T_3605 | _T_3607; // @[el2_lsu_bus_buffer.scala 471:77] + wire _T_3609 = _T_3608 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] + wire _T_3610 = _T_3609 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] + wire _GEN_128 = _T_3598 & _T_3610; // @[Conditional.scala 39:67] + wire _GEN_141 = _T_3594 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3571 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] + wire _T_2465 = _T_2464 & buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 429:104] + wire _T_2466 = buf_ageQ_3[1] & _T_2465; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2460 = buf_state_0 == 3'h2; // @[el2_lsu_bus_buffer.scala 429:94] + wire _T_3378 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3401 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3405 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3412 = obuf_tag0 == 3'h0; // @[el2_lsu_bus_buffer.scala 471:48] + wire _T_3413 = _GEN_350 == 3'h0; // @[el2_lsu_bus_buffer.scala 471:104] + wire _T_3414 = obuf_merge & _T_3413; // @[el2_lsu_bus_buffer.scala 471:91] + wire _T_3415 = _T_3412 | _T_3414; // @[el2_lsu_bus_buffer.scala 471:77] + wire _T_3416 = _T_3415 & obuf_valid; // @[el2_lsu_bus_buffer.scala 471:135] + wire _T_3417 = _T_3416 & obuf_wr_enQ; // @[el2_lsu_bus_buffer.scala 471:148] + wire _GEN_52 = _T_3405 & _T_3417; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3401 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3378 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2461 = _T_2460 & buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 429:104] + wire _T_2462 = buf_ageQ_3[0] & _T_2461; // @[el2_lsu_bus_buffer.scala 429:78] + wire [3:0] buf_age_3 = {_T_2474,_T_2470,_T_2466,_T_2462}; // @[Cat.scala 29:58] + wire _T_2573 = ~buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2575 = _T_2573 & _T_19; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2567 = ~buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2569 = _T_2567 & _T_12; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2561 = ~buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2563 = _T_2561 & _T_5; // @[el2_lsu_bus_buffer.scala 430:106] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2575,_T_2569,_T_2563}; // @[Cat.scala 29:58] wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_256 = |_T_255; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_257 = ~_T_256; // @[el2_lsu_bus_buffer.scala 196:99] @@ -459,54 +459,54 @@ module el2_lsu_bus_buffer( wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[el2_lsu_bus_buffer.scala 196:150] wire _T_261 = _T_258 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] reg [3:0] buf_ageQ_2; // @[el2_lsu_bus_buffer.scala 516:60] - wire _T_2479 = buf_ageQ_2[3] & _T_2497; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2475 = buf_ageQ_2[2] & _T_2493; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2471 = buf_ageQ_2[1] & _T_2489; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2467 = buf_ageQ_2[0] & _T_2485; // @[el2_lsu_bus_buffer.scala 429:78] - wire [3:0] buf_age_2 = {_T_2479,_T_2475,_T_2471,_T_2467}; // @[Cat.scala 29:58] - wire _T_2576 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2578 = _T_2576 & _T_26; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2564 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2566 = _T_2564 & _T_12; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2558 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2560 = _T_2558 & _T_5; // @[el2_lsu_bus_buffer.scala 430:106] - wire [3:0] buf_age_younger_2 = {_T_2578,1'h0,_T_2566,_T_2560}; // @[Cat.scala 29:58] + wire _T_2455 = buf_ageQ_2[3] & _T_2473; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2451 = buf_ageQ_2[2] & _T_2469; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2447 = buf_ageQ_2[1] & _T_2465; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2443 = buf_ageQ_2[0] & _T_2461; // @[el2_lsu_bus_buffer.scala 429:78] + wire [3:0] buf_age_2 = {_T_2455,_T_2451,_T_2447,_T_2443}; // @[Cat.scala 29:58] + wire _T_2552 = ~buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2554 = _T_2552 & _T_26; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2540 = ~buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2542 = _T_2540 & _T_12; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2534 = ~buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2536 = _T_2534 & _T_5; // @[el2_lsu_bus_buffer.scala 430:106] + wire [3:0] buf_age_younger_2 = {_T_2554,1'h0,_T_2542,_T_2536}; // @[Cat.scala 29:58] wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_248 = |_T_247; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_249 = ~_T_248; // @[el2_lsu_bus_buffer.scala 196:99] wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[el2_lsu_bus_buffer.scala 196:97] wire _T_253 = _T_250 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] reg [3:0] buf_ageQ_1; // @[el2_lsu_bus_buffer.scala 516:60] - wire _T_2460 = buf_ageQ_1[3] & _T_2497; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2456 = buf_ageQ_1[2] & _T_2493; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2452 = buf_ageQ_1[1] & _T_2489; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2448 = buf_ageQ_1[0] & _T_2485; // @[el2_lsu_bus_buffer.scala 429:78] - wire [3:0] buf_age_1 = {_T_2460,_T_2456,_T_2452,_T_2448}; // @[Cat.scala 29:58] - wire _T_2549 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2551 = _T_2549 & _T_26; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2543 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2545 = _T_2543 & _T_19; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2531 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2533 = _T_2531 & _T_5; // @[el2_lsu_bus_buffer.scala 430:106] - wire [3:0] buf_age_younger_1 = {_T_2551,_T_2545,1'h0,_T_2533}; // @[Cat.scala 29:58] + wire _T_2436 = buf_ageQ_1[3] & _T_2473; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2432 = buf_ageQ_1[2] & _T_2469; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2428 = buf_ageQ_1[1] & _T_2465; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2424 = buf_ageQ_1[0] & _T_2461; // @[el2_lsu_bus_buffer.scala 429:78] + wire [3:0] buf_age_1 = {_T_2436,_T_2432,_T_2428,_T_2424}; // @[Cat.scala 29:58] + wire _T_2525 = ~buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2527 = _T_2525 & _T_26; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2519 = ~buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2521 = _T_2519 & _T_19; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2507 = ~buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2509 = _T_2507 & _T_5; // @[el2_lsu_bus_buffer.scala 430:106] + wire [3:0] buf_age_younger_1 = {_T_2527,_T_2521,1'h0,_T_2509}; // @[Cat.scala 29:58] wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_240 = |_T_239; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_241 = ~_T_240; // @[el2_lsu_bus_buffer.scala 196:99] wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[el2_lsu_bus_buffer.scala 196:97] wire _T_245 = _T_242 & _T_260; // @[el2_lsu_bus_buffer.scala 196:148] reg [3:0] buf_ageQ_0; // @[el2_lsu_bus_buffer.scala 516:60] - wire _T_2441 = buf_ageQ_0[3] & _T_2497; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2437 = buf_ageQ_0[2] & _T_2493; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2433 = buf_ageQ_0[1] & _T_2489; // @[el2_lsu_bus_buffer.scala 429:78] - wire _T_2429 = buf_ageQ_0[0] & _T_2485; // @[el2_lsu_bus_buffer.scala 429:78] - wire [3:0] buf_age_0 = {_T_2441,_T_2437,_T_2433,_T_2429}; // @[Cat.scala 29:58] - wire _T_2522 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2524 = _T_2522 & _T_26; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2516 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2518 = _T_2516 & _T_19; // @[el2_lsu_bus_buffer.scala 430:106] - wire _T_2510 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 430:91] - wire _T_2512 = _T_2510 & _T_12; // @[el2_lsu_bus_buffer.scala 430:106] - wire [3:0] buf_age_younger_0 = {_T_2524,_T_2518,_T_2512,1'h0}; // @[Cat.scala 29:58] + wire _T_2417 = buf_ageQ_0[3] & _T_2473; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2413 = buf_ageQ_0[2] & _T_2469; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2409 = buf_ageQ_0[1] & _T_2465; // @[el2_lsu_bus_buffer.scala 429:78] + wire _T_2405 = buf_ageQ_0[0] & _T_2461; // @[el2_lsu_bus_buffer.scala 429:78] + wire [3:0] buf_age_0 = {_T_2417,_T_2413,_T_2409,_T_2405}; // @[Cat.scala 29:58] + wire _T_2498 = ~buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2500 = _T_2498 & _T_26; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2492 = ~buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2494 = _T_2492 & _T_19; // @[el2_lsu_bus_buffer.scala 430:106] + wire _T_2486 = ~buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 430:91] + wire _T_2488 = _T_2486 & _T_12; // @[el2_lsu_bus_buffer.scala 430:106] + wire [3:0] buf_age_younger_0 = {_T_2500,_T_2494,_T_2488,1'h0}; // @[Cat.scala 29:58] wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[el2_lsu_bus_buffer.scala 196:122] wire _T_232 = |_T_231; // @[el2_lsu_bus_buffer.scala 196:144] wire _T_233 = ~_T_232; // @[el2_lsu_bus_buffer.scala 196:99] @@ -756,23 +756,23 @@ module el2_lsu_bus_buffer( wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[el2_lsu_bus_buffer.scala 189:77] wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] wire [7:0] _T_554 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4262; // @[el2_lib.scala 478:16] - wire [31:0] buf_data_0 = {{28'd0}, _T_4262}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] + reg [3:0] _T_4238; // @[el2_lib.scala 478:16] + wire [31:0] buf_data_0 = {{28'd0}, _T_4238}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] wire [8:0] _GEN_354 = {{1'd0}, _T_554}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_556 = _GEN_354 & buf_data_0[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_559 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4263; // @[el2_lib.scala 478:16] - wire [31:0] buf_data_1 = {{28'd0}, _T_4263}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] + reg [3:0] _T_4239; // @[el2_lib.scala 478:16] + wire [31:0] buf_data_1 = {{28'd0}, _T_4239}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] wire [8:0] _GEN_355 = {{1'd0}, _T_559}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_561 = _GEN_355 & buf_data_1[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_564 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4264; // @[el2_lib.scala 478:16] - wire [31:0] buf_data_2 = {{28'd0}, _T_4264}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] + reg [3:0] _T_4240; // @[el2_lib.scala 478:16] + wire [31:0] buf_data_2 = {{28'd0}, _T_4240}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] wire [8:0] _GEN_356 = {{1'd0}, _T_564}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_566 = _GEN_356 & buf_data_2[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [7:0] _T_569 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - reg [3:0] _T_4265; // @[el2_lib.scala 478:16] - wire [31:0] buf_data_3 = {{28'd0}, _T_4265}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] + reg [3:0] _T_4241; // @[el2_lib.scala 478:16] + wire [31:0] buf_data_3 = {{28'd0}, _T_4241}; // @[el2_lsu_bus_buffer.scala 210:22 el2_lsu_bus_buffer.scala 211:12 el2_lsu_bus_buffer.scala 533:14] wire [8:0] _GEN_357 = {{1'd0}, _T_569}; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_571 = _GEN_357 & buf_data_3[31:23]; // @[el2_lsu_bus_buffer.scala 214:91] wire [8:0] _T_572 = _T_556 | _T_561; // @[el2_lsu_bus_buffer.scala 214:123] @@ -967,40 +967,40 @@ module el2_lsu_bus_buffer( reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] wire [2:0] _GEN_365 = {{2'd0}, buf_write[3]}; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4311 = _GEN_365 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4312 = buf_write[3] & _T_4311; // @[el2_lsu_bus_buffer.scala 537:64] - wire _T_4313 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_4314 = _T_4312 & _T_4313; // @[el2_lsu_bus_buffer.scala 537:89] + wire _T_4287 = _GEN_365 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] + wire _T_4288 = buf_write[3] & _T_4287; // @[el2_lsu_bus_buffer.scala 537:64] + wire _T_4289 = ~buf_cmd_state_bus_en_3; // @[el2_lsu_bus_buffer.scala 537:91] + wire _T_4290 = _T_4288 & _T_4289; // @[el2_lsu_bus_buffer.scala 537:89] wire [2:0] _GEN_366 = {{2'd0}, buf_write[2]}; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4305 = _GEN_366 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4306 = buf_write[2] & _T_4305; // @[el2_lsu_bus_buffer.scala 537:64] - wire _T_4307 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_4308 = _T_4306 & _T_4307; // @[el2_lsu_bus_buffer.scala 537:89] - wire [1:0] _T_4315 = _T_4314 + _T_4308; // @[el2_lsu_bus_buffer.scala 537:142] + wire _T_4281 = _GEN_366 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] + wire _T_4282 = buf_write[2] & _T_4281; // @[el2_lsu_bus_buffer.scala 537:64] + wire _T_4283 = ~buf_cmd_state_bus_en_2; // @[el2_lsu_bus_buffer.scala 537:91] + wire _T_4284 = _T_4282 & _T_4283; // @[el2_lsu_bus_buffer.scala 537:89] + wire [1:0] _T_4291 = _T_4290 + _T_4284; // @[el2_lsu_bus_buffer.scala 537:142] wire [2:0] _GEN_367 = {{2'd0}, buf_write[1]}; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4299 = _GEN_367 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4300 = buf_write[1] & _T_4299; // @[el2_lsu_bus_buffer.scala 537:64] - wire _T_4301 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_4302 = _T_4300 & _T_4301; // @[el2_lsu_bus_buffer.scala 537:89] - wire [1:0] _GEN_368 = {{1'd0}, _T_4302}; // @[el2_lsu_bus_buffer.scala 537:142] - wire [2:0] _T_4316 = _T_4315 + _GEN_368; // @[el2_lsu_bus_buffer.scala 537:142] + wire _T_4275 = _GEN_367 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] + wire _T_4276 = buf_write[1] & _T_4275; // @[el2_lsu_bus_buffer.scala 537:64] + wire _T_4277 = ~buf_cmd_state_bus_en_1; // @[el2_lsu_bus_buffer.scala 537:91] + wire _T_4278 = _T_4276 & _T_4277; // @[el2_lsu_bus_buffer.scala 537:89] + wire [1:0] _GEN_368 = {{1'd0}, _T_4278}; // @[el2_lsu_bus_buffer.scala 537:142] + wire [2:0] _T_4292 = _T_4291 + _GEN_368; // @[el2_lsu_bus_buffer.scala 537:142] wire [2:0] _GEN_369 = {{2'd0}, buf_write[0]}; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4293 = _GEN_369 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] - wire _T_4294 = buf_write[0] & _T_4293; // @[el2_lsu_bus_buffer.scala 537:64] - wire _T_4295 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 537:91] - wire _T_4296 = _T_4294 & _T_4295; // @[el2_lsu_bus_buffer.scala 537:89] - wire [2:0] _GEN_370 = {{2'd0}, _T_4296}; // @[el2_lsu_bus_buffer.scala 537:142] - wire [3:0] buf_numvld_wrcmd_any = _T_4316 + _GEN_370; // @[el2_lsu_bus_buffer.scala 537:142] + wire _T_4269 = _GEN_369 == 3'h2; // @[el2_lsu_bus_buffer.scala 537:79] + wire _T_4270 = buf_write[0] & _T_4269; // @[el2_lsu_bus_buffer.scala 537:64] + wire _T_4271 = ~buf_cmd_state_bus_en_0; // @[el2_lsu_bus_buffer.scala 537:91] + wire _T_4272 = _T_4270 & _T_4271; // @[el2_lsu_bus_buffer.scala 537:89] + wire [2:0] _GEN_370 = {{2'd0}, _T_4272}; // @[el2_lsu_bus_buffer.scala 537:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4292 + _GEN_370; // @[el2_lsu_bus_buffer.scala 537:142] wire _T_941 = buf_numvld_wrcmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:43] - wire _T_4333 = _T_4311 & _T_4313; // @[el2_lsu_bus_buffer.scala 538:73] - wire _T_4329 = _T_4305 & _T_4307; // @[el2_lsu_bus_buffer.scala 538:73] - wire [1:0] _T_4334 = _T_4333 + _T_4329; // @[el2_lsu_bus_buffer.scala 538:126] - wire _T_4325 = _T_4299 & _T_4301; // @[el2_lsu_bus_buffer.scala 538:73] - wire [1:0] _GEN_374 = {{1'd0}, _T_4325}; // @[el2_lsu_bus_buffer.scala 538:126] - wire [2:0] _T_4335 = _T_4334 + _GEN_374; // @[el2_lsu_bus_buffer.scala 538:126] - wire _T_4321 = _T_4293 & _T_4295; // @[el2_lsu_bus_buffer.scala 538:73] - wire [2:0] _GEN_376 = {{2'd0}, _T_4321}; // @[el2_lsu_bus_buffer.scala 538:126] - wire [3:0] buf_numvld_cmd_any = _T_4335 + _GEN_376; // @[el2_lsu_bus_buffer.scala 538:126] + wire _T_4309 = _T_4287 & _T_4289; // @[el2_lsu_bus_buffer.scala 538:73] + wire _T_4305 = _T_4281 & _T_4283; // @[el2_lsu_bus_buffer.scala 538:73] + wire [1:0] _T_4310 = _T_4309 + _T_4305; // @[el2_lsu_bus_buffer.scala 538:126] + wire _T_4301 = _T_4275 & _T_4277; // @[el2_lsu_bus_buffer.scala 538:73] + wire [1:0] _GEN_374 = {{1'd0}, _T_4301}; // @[el2_lsu_bus_buffer.scala 538:126] + wire [2:0] _T_4311 = _T_4310 + _GEN_374; // @[el2_lsu_bus_buffer.scala 538:126] + wire _T_4297 = _T_4269 & _T_4271; // @[el2_lsu_bus_buffer.scala 538:73] + wire [2:0] _GEN_376 = {{2'd0}, _T_4297}; // @[el2_lsu_bus_buffer.scala 538:126] + wire [3:0] buf_numvld_cmd_any = _T_4311 + _GEN_376; // @[el2_lsu_bus_buffer.scala 538:126] wire _T_942 = buf_numvld_cmd_any == 4'h1; // @[el2_lsu_bus_buffer.scala 293:72] wire _T_943 = _T_941 & _T_942; // @[el2_lsu_bus_buffer.scala 293:51] reg [2:0] obuf_wr_timer; // @[el2_lsu_bus_buffer.scala 390:54] @@ -1010,11 +1010,11 @@ module el2_lsu_bus_buffer( reg buf_nomerge_0; // @[Reg.scala 27:20] wire _T_960 = ~buf_nomerge_0; // @[el2_lsu_bus_buffer.scala 294:31] wire _T_961 = _T_947 & _T_960; // @[el2_lsu_bus_buffer.scala 294:29] - reg _T_4204; // @[Reg.scala 27:20] - reg _T_4201; // @[Reg.scala 27:20] - reg _T_4198; // @[Reg.scala 27:20] - reg _T_4195; // @[Reg.scala 27:20] - wire [3:0] buf_sideeffect = {_T_4204,_T_4201,_T_4198,_T_4195}; // @[Cat.scala 29:58] + reg _T_4180; // @[Reg.scala 27:20] + reg _T_4177; // @[Reg.scala 27:20] + reg _T_4174; // @[Reg.scala 27:20] + reg _T_4171; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4180,_T_4177,_T_4174,_T_4171}; // @[Cat.scala 29:58] wire _T_978 = ~buf_sideeffect[0]; // @[el2_lsu_bus_buffer.scala 295:5] wire _T_979 = _T_961 & _T_978; // @[el2_lsu_bus_buffer.scala 294:140] wire _T_990 = _T_771 & _T_765; // @[el2_lsu_bus_buffer.scala 297:58] @@ -1027,44 +1027,44 @@ module el2_lsu_bus_buffer( wire _T_982 = obuf_wr_timer < 3'h7; // @[el2_lsu_bus_buffer.scala 296:95] wire _T_983 = _T_981 & _T_982; // @[el2_lsu_bus_buffer.scala 296:79] wire [2:0] _T_985 = obuf_wr_timer + 3'h1; // @[el2_lsu_bus_buffer.scala 296:121] - wire _T_4359 = _GEN_365 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] - wire _T_4362 = _T_4359 | _T_4311; // @[el2_lsu_bus_buffer.scala 539:74] - wire _T_4364 = _T_4362 & _T_4313; // @[el2_lsu_bus_buffer.scala 539:100] - wire _T_4352 = _GEN_366 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] - wire _T_4355 = _T_4352 | _T_4305; // @[el2_lsu_bus_buffer.scala 539:74] - wire _T_4357 = _T_4355 & _T_4307; // @[el2_lsu_bus_buffer.scala 539:100] - wire [1:0] _T_4365 = _T_4364 + _T_4357; // @[el2_lsu_bus_buffer.scala 539:153] - wire _T_4345 = _GEN_367 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] - wire _T_4348 = _T_4345 | _T_4299; // @[el2_lsu_bus_buffer.scala 539:74] - wire _T_4350 = _T_4348 & _T_4301; // @[el2_lsu_bus_buffer.scala 539:100] - wire [1:0] _GEN_383 = {{1'd0}, _T_4350}; // @[el2_lsu_bus_buffer.scala 539:153] - wire [2:0] _T_4366 = _T_4365 + _GEN_383; // @[el2_lsu_bus_buffer.scala 539:153] - wire _T_4338 = _GEN_369 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] - wire _T_4341 = _T_4338 | _T_4293; // @[el2_lsu_bus_buffer.scala 539:74] - wire _T_4343 = _T_4341 & _T_4295; // @[el2_lsu_bus_buffer.scala 539:100] - wire [2:0] _GEN_386 = {{2'd0}, _T_4343}; // @[el2_lsu_bus_buffer.scala 539:153] - wire [3:0] buf_numvld_pend_any = _T_4366 + _GEN_386; // @[el2_lsu_bus_buffer.scala 539:153] + wire _T_4335 = _GEN_365 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] + wire _T_4338 = _T_4335 | _T_4287; // @[el2_lsu_bus_buffer.scala 539:74] + wire _T_4340 = _T_4338 & _T_4289; // @[el2_lsu_bus_buffer.scala 539:100] + wire _T_4328 = _GEN_366 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] + wire _T_4331 = _T_4328 | _T_4281; // @[el2_lsu_bus_buffer.scala 539:74] + wire _T_4333 = _T_4331 & _T_4283; // @[el2_lsu_bus_buffer.scala 539:100] + wire [1:0] _T_4341 = _T_4340 + _T_4333; // @[el2_lsu_bus_buffer.scala 539:153] + wire _T_4321 = _GEN_367 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] + wire _T_4324 = _T_4321 | _T_4275; // @[el2_lsu_bus_buffer.scala 539:74] + wire _T_4326 = _T_4324 & _T_4277; // @[el2_lsu_bus_buffer.scala 539:100] + wire [1:0] _GEN_383 = {{1'd0}, _T_4326}; // @[el2_lsu_bus_buffer.scala 539:153] + wire [2:0] _T_4342 = _T_4341 + _GEN_383; // @[el2_lsu_bus_buffer.scala 539:153] + wire _T_4314 = _GEN_369 == 3'h1; // @[el2_lsu_bus_buffer.scala 539:64] + wire _T_4317 = _T_4314 | _T_4269; // @[el2_lsu_bus_buffer.scala 539:74] + wire _T_4319 = _T_4317 & _T_4271; // @[el2_lsu_bus_buffer.scala 539:100] + wire [2:0] _GEN_386 = {{2'd0}, _T_4319}; // @[el2_lsu_bus_buffer.scala 539:153] + wire [3:0] buf_numvld_pend_any = _T_4342 + _GEN_386; // @[el2_lsu_bus_buffer.scala 539:153] wire _T_1012 = buf_numvld_pend_any == 4'h0; // @[el2_lsu_bus_buffer.scala 299:53] wire _T_1013 = ibuf_byp & _T_1012; // @[el2_lsu_bus_buffer.scala 299:31] wire _T_1014 = ~io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 299:64] wire _T_1015 = _T_1014 | io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 299:84] wire ibuf_buf_byp = _T_1013 & _T_1015; // @[el2_lsu_bus_buffer.scala 299:61] wire _T_1016 = ibuf_buf_byp & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 314:32] - wire _T_4655 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:68] - wire _T_4657 = buf_sideeffect[0] & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:99] - wire _T_4667 = _T_4655 & _T_4657; // @[Mux.scala 27:72] - wire _T_4658 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:68] - wire _T_4660 = buf_sideeffect[1] & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:99] - wire _T_4668 = _T_4658 & _T_4660; // @[Mux.scala 27:72] - wire _T_4671 = _T_4667 | _T_4668; // @[Mux.scala 27:72] - wire _T_4661 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:68] - wire _T_4663 = buf_sideeffect[2] & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:99] - wire _T_4669 = _T_4661 & _T_4663; // @[Mux.scala 27:72] - wire _T_4672 = _T_4671 | _T_4669; // @[Mux.scala 27:72] - wire _T_4664 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:68] - wire _T_4666 = buf_sideeffect[3] & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:99] - wire _T_4670 = _T_4664 & _T_4666; // @[Mux.scala 27:72] - wire bus_sideeffect_pend = _T_4672 | _T_4670; // @[Mux.scala 27:72] + wire _T_4631 = buf_state_0 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:68] + wire _T_4633 = buf_sideeffect[0] & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:99] + wire _T_4643 = _T_4631 & _T_4633; // @[Mux.scala 27:72] + wire _T_4634 = buf_state_1 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:68] + wire _T_4636 = buf_sideeffect[1] & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:99] + wire _T_4644 = _T_4634 & _T_4636; // @[Mux.scala 27:72] + wire _T_4647 = _T_4643 | _T_4644; // @[Mux.scala 27:72] + wire _T_4637 = buf_state_2 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:68] + wire _T_4639 = buf_sideeffect[2] & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:99] + wire _T_4645 = _T_4637 & _T_4639; // @[Mux.scala 27:72] + wire _T_4648 = _T_4647 | _T_4645; // @[Mux.scala 27:72] + wire _T_4640 = buf_state_3 == 3'h3; // @[el2_lsu_bus_buffer.scala 566:68] + wire _T_4642 = buf_sideeffect[3] & io_dec_tlu_sideeffect_posted_disable; // @[el2_lsu_bus_buffer.scala 566:99] + wire _T_4646 = _T_4640 & _T_4642; // @[Mux.scala 27:72] + wire bus_sideeffect_pend = _T_4648 | _T_4646; // @[Mux.scala 27:72] wire _T_1017 = io_is_sideeffects_r & bus_sideeffect_pend; // @[el2_lsu_bus_buffer.scala 314:74] wire _T_1018 = ~_T_1017; // @[el2_lsu_bus_buffer.scala 314:52] wire _T_1019 = _T_1016 & _T_1018; // @[el2_lsu_bus_buffer.scala 314:50] @@ -1080,10 +1080,10 @@ module el2_lsu_bus_buffer( reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 377:54] reg obuf_data_done; // @[el2_lsu_bus_buffer.scala 378:55] - wire _T_4731 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 570:54] - wire _T_4732 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 570:75] - wire _T_4734 = _T_4731 ? _T_4732 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 570:39] - wire bus_cmd_ready = obuf_write ? _T_4734 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 570:23] + wire _T_4707 = obuf_cmd_done | obuf_data_done; // @[el2_lsu_bus_buffer.scala 570:54] + wire _T_4708 = obuf_cmd_done ? io_lsu_axi_wready : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 570:75] + wire _T_4710 = _T_4707 ? _T_4708 : io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 570:39] + wire bus_cmd_ready = obuf_write ? _T_4710 : io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 570:23] wire _T_1156 = ~obuf_valid; // @[el2_lsu_bus_buffer.scala 318:48] wire _T_1157 = bus_cmd_ready | _T_1156; // @[el2_lsu_bus_buffer.scala 318:46] reg obuf_nosend; // @[Reg.scala 27:20] @@ -1092,52 +1092,52 @@ module el2_lsu_bus_buffer( wire _T_1160 = ~obuf_wr_wait; // @[el2_lsu_bus_buffer.scala 318:77] wire _T_1161 = _T_1159 & _T_1160; // @[el2_lsu_bus_buffer.scala 318:75] reg [31:0] obuf_addr; // @[el2_lib.scala 478:16] - wire _T_4679 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] + wire _T_4655 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] + wire _T_4656 = obuf_valid & _T_4655; // @[el2_lsu_bus_buffer.scala 568:38] + wire _T_4658 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 568:126] + wire _T_4659 = obuf_merge & _T_4658; // @[el2_lsu_bus_buffer.scala 568:114] + wire _T_4660 = _T_3412 | _T_4659; // @[el2_lsu_bus_buffer.scala 568:100] + wire _T_4661 = ~_T_4660; // @[el2_lsu_bus_buffer.scala 568:80] + wire _T_4662 = _T_4656 & _T_4661; // @[el2_lsu_bus_buffer.scala 568:78] + wire _T_4699 = _T_4631 & _T_4662; // @[Mux.scala 27:72] + wire _T_4667 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] + wire _T_4668 = obuf_valid & _T_4667; // @[el2_lsu_bus_buffer.scala 568:38] + wire _T_4670 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 568:126] + wire _T_4671 = obuf_merge & _T_4670; // @[el2_lsu_bus_buffer.scala 568:114] + wire _T_4672 = _T_3605 | _T_4671; // @[el2_lsu_bus_buffer.scala 568:100] + wire _T_4673 = ~_T_4672; // @[el2_lsu_bus_buffer.scala 568:80] + wire _T_4674 = _T_4668 & _T_4673; // @[el2_lsu_bus_buffer.scala 568:78] + wire _T_4700 = _T_4634 & _T_4674; // @[Mux.scala 27:72] + wire _T_4703 = _T_4699 | _T_4700; // @[Mux.scala 27:72] + wire _T_4679 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] wire _T_4680 = obuf_valid & _T_4679; // @[el2_lsu_bus_buffer.scala 568:38] - wire _T_4682 = obuf_tag1 == 2'h0; // @[el2_lsu_bus_buffer.scala 568:126] + wire _T_4682 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 568:126] wire _T_4683 = obuf_merge & _T_4682; // @[el2_lsu_bus_buffer.scala 568:114] - wire _T_4684 = _T_3436 | _T_4683; // @[el2_lsu_bus_buffer.scala 568:100] + wire _T_4684 = _T_3798 | _T_4683; // @[el2_lsu_bus_buffer.scala 568:100] wire _T_4685 = ~_T_4684; // @[el2_lsu_bus_buffer.scala 568:80] wire _T_4686 = _T_4680 & _T_4685; // @[el2_lsu_bus_buffer.scala 568:78] - wire _T_4723 = _T_4655 & _T_4686; // @[Mux.scala 27:72] - wire _T_4691 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] + wire _T_4701 = _T_4637 & _T_4686; // @[Mux.scala 27:72] + wire _T_4704 = _T_4703 | _T_4701; // @[Mux.scala 27:72] + wire _T_4691 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] wire _T_4692 = obuf_valid & _T_4691; // @[el2_lsu_bus_buffer.scala 568:38] - wire _T_4694 = obuf_tag1 == 2'h1; // @[el2_lsu_bus_buffer.scala 568:126] + wire _T_4694 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 568:126] wire _T_4695 = obuf_merge & _T_4694; // @[el2_lsu_bus_buffer.scala 568:114] - wire _T_4696 = _T_3629 | _T_4695; // @[el2_lsu_bus_buffer.scala 568:100] + wire _T_4696 = _T_3991 | _T_4695; // @[el2_lsu_bus_buffer.scala 568:100] wire _T_4697 = ~_T_4696; // @[el2_lsu_bus_buffer.scala 568:80] wire _T_4698 = _T_4692 & _T_4697; // @[el2_lsu_bus_buffer.scala 568:78] - wire _T_4724 = _T_4658 & _T_4698; // @[Mux.scala 27:72] - wire _T_4727 = _T_4723 | _T_4724; // @[Mux.scala 27:72] - wire _T_4703 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] - wire _T_4704 = obuf_valid & _T_4703; // @[el2_lsu_bus_buffer.scala 568:38] - wire _T_4706 = obuf_tag1 == 2'h2; // @[el2_lsu_bus_buffer.scala 568:126] - wire _T_4707 = obuf_merge & _T_4706; // @[el2_lsu_bus_buffer.scala 568:114] - wire _T_4708 = _T_3822 | _T_4707; // @[el2_lsu_bus_buffer.scala 568:100] - wire _T_4709 = ~_T_4708; // @[el2_lsu_bus_buffer.scala 568:80] - wire _T_4710 = _T_4704 & _T_4709; // @[el2_lsu_bus_buffer.scala 568:78] - wire _T_4725 = _T_4661 & _T_4710; // @[Mux.scala 27:72] - wire _T_4728 = _T_4727 | _T_4725; // @[Mux.scala 27:72] - wire _T_4715 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[el2_lsu_bus_buffer.scala 568:56] - wire _T_4716 = obuf_valid & _T_4715; // @[el2_lsu_bus_buffer.scala 568:38] - wire _T_4718 = obuf_tag1 == 2'h3; // @[el2_lsu_bus_buffer.scala 568:126] - wire _T_4719 = obuf_merge & _T_4718; // @[el2_lsu_bus_buffer.scala 568:114] - wire _T_4720 = _T_4015 | _T_4719; // @[el2_lsu_bus_buffer.scala 568:100] - wire _T_4721 = ~_T_4720; // @[el2_lsu_bus_buffer.scala 568:80] - wire _T_4722 = _T_4716 & _T_4721; // @[el2_lsu_bus_buffer.scala 568:78] - wire _T_4726 = _T_4664 & _T_4722; // @[Mux.scala 27:72] - wire bus_addr_match_pending = _T_4728 | _T_4726; // @[Mux.scala 27:72] + wire _T_4702 = _T_4640 & _T_4698; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4704 | _T_4702; // @[Mux.scala 27:72] wire _T_1164 = ~bus_addr_match_pending; // @[el2_lsu_bus_buffer.scala 318:118] wire _T_1165 = _T_1161 & _T_1164; // @[el2_lsu_bus_buffer.scala 318:116] wire obuf_wr_en = _T_1165 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 318:142] wire _T_1167 = obuf_valid & obuf_nosend; // @[el2_lsu_bus_buffer.scala 320:47] wire bus_wcmd_sent = io_lsu_axi_awvalid & io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 571:39] - wire _T_4738 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 573:35] + wire _T_4714 = obuf_cmd_done | bus_wcmd_sent; // @[el2_lsu_bus_buffer.scala 573:35] wire bus_wdata_sent = io_lsu_axi_wvalid & io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 572:39] - wire _T_4739 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 573:70] - wire _T_4740 = _T_4738 & _T_4739; // @[el2_lsu_bus_buffer.scala 573:52] - wire _T_4741 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 573:111] - wire bus_cmd_sent = _T_4740 | _T_4741; // @[el2_lsu_bus_buffer.scala 573:89] + wire _T_4715 = obuf_data_done | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 573:70] + wire _T_4716 = _T_4714 & _T_4715; // @[el2_lsu_bus_buffer.scala 573:52] + wire _T_4717 = io_lsu_axi_arvalid & io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 573:111] + wire bus_cmd_sent = _T_4716 | _T_4717; // @[el2_lsu_bus_buffer.scala 573:89] wire _T_1168 = bus_cmd_sent | _T_1167; // @[el2_lsu_bus_buffer.scala 320:33] wire _T_1169 = ~obuf_wr_en; // @[el2_lsu_bus_buffer.scala 320:65] wire _T_1170 = _T_1168 & _T_1169; // @[el2_lsu_bus_buffer.scala 320:63] @@ -1247,1216 +1247,1189 @@ module el2_lsu_bus_buffer( reg [7:0] obuf_byteen; // @[Reg.scala 27:20] reg [63:0] obuf_data; // @[el2_lib.scala 478:16] wire _T_1777 = buf_state_0 == 3'h0; // @[el2_lsu_bus_buffer.scala 392:59] - wire [1:0] _T_1779 = ibuf_valid ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_1780 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 392:114] - wire [1:0] _GEN_387 = {{1'd0}, _T_1780}; // @[el2_lsu_bus_buffer.scala 392:103] - wire [1:0] _T_1781 = _T_1779 & _GEN_387; // @[el2_lsu_bus_buffer.scala 392:103] - wire [1:0] _T_1783 = io_lsu_busreq_m ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_1784 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 393:51] - wire [1:0] _GEN_388 = {{1'd0}, _T_1784}; // @[el2_lsu_bus_buffer.scala 393:40] - wire [1:0] _T_1785 = _T_1783 & _GEN_388; // @[el2_lsu_bus_buffer.scala 393:40] - wire [1:0] _T_1786 = _T_1781 | _T_1785; // @[el2_lsu_bus_buffer.scala 392:123] - wire [1:0] _T_1788 = io_ldst_dual_r ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_1789 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 393:108] - wire [1:0] _GEN_389 = {{1'd0}, _T_1789}; // @[el2_lsu_bus_buffer.scala 393:96] - wire [1:0] _T_1790 = _T_1788 & _GEN_389; // @[el2_lsu_bus_buffer.scala 393:96] - wire [1:0] _T_1791 = _T_1786 | _T_1790; // @[el2_lsu_bus_buffer.scala 393:60] - wire _T_1792 = _T_1791 == 2'h0; // @[el2_lsu_bus_buffer.scala 392:72] - wire _T_1793 = _T_1777 & _T_1792; // @[el2_lsu_bus_buffer.scala 392:70] - wire _T_1794 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 392:59] - wire _T_1797 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 392:114] - wire [1:0] _GEN_390 = {{1'd0}, _T_1797}; // @[el2_lsu_bus_buffer.scala 392:103] - wire [1:0] _T_1798 = _T_1779 & _GEN_390; // @[el2_lsu_bus_buffer.scala 392:103] - wire _T_1801 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 393:51] - wire [1:0] _GEN_391 = {{1'd0}, _T_1801}; // @[el2_lsu_bus_buffer.scala 393:40] - wire [1:0] _T_1802 = _T_1783 & _GEN_391; // @[el2_lsu_bus_buffer.scala 393:40] - wire [1:0] _T_1803 = _T_1798 | _T_1802; // @[el2_lsu_bus_buffer.scala 392:123] - wire _T_1806 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 393:108] - wire [1:0] _GEN_392 = {{1'd0}, _T_1806}; // @[el2_lsu_bus_buffer.scala 393:96] - wire [1:0] _T_1807 = _T_1788 & _GEN_392; // @[el2_lsu_bus_buffer.scala 393:96] - wire [1:0] _T_1808 = _T_1803 | _T_1807; // @[el2_lsu_bus_buffer.scala 393:60] - wire _T_1809 = _T_1808 == 2'h0; // @[el2_lsu_bus_buffer.scala 392:72] - wire _T_1810 = _T_1794 & _T_1809; // @[el2_lsu_bus_buffer.scala 392:70] - wire _T_1811 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 392:59] - wire _T_1814 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 392:114] - wire [1:0] _GEN_393 = {{1'd0}, _T_1814}; // @[el2_lsu_bus_buffer.scala 392:103] - wire [1:0] _T_1815 = _T_1779 & _GEN_393; // @[el2_lsu_bus_buffer.scala 392:103] - wire _T_1818 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 393:51] - wire [1:0] _GEN_394 = {{1'd0}, _T_1818}; // @[el2_lsu_bus_buffer.scala 393:40] - wire [1:0] _T_1819 = _T_1783 & _GEN_394; // @[el2_lsu_bus_buffer.scala 393:40] - wire [1:0] _T_1820 = _T_1815 | _T_1819; // @[el2_lsu_bus_buffer.scala 392:123] - wire _T_1823 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 393:108] - wire [1:0] _GEN_395 = {{1'd0}, _T_1823}; // @[el2_lsu_bus_buffer.scala 393:96] - wire [1:0] _T_1824 = _T_1788 & _GEN_395; // @[el2_lsu_bus_buffer.scala 393:96] - wire [1:0] _T_1825 = _T_1820 | _T_1824; // @[el2_lsu_bus_buffer.scala 393:60] - wire _T_1826 = _T_1825 == 2'h0; // @[el2_lsu_bus_buffer.scala 392:72] - wire _T_1827 = _T_1811 & _T_1826; // @[el2_lsu_bus_buffer.scala 392:70] - wire _T_1828 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 392:59] - wire _T_1831 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 392:114] - wire [1:0] _GEN_396 = {{1'd0}, _T_1831}; // @[el2_lsu_bus_buffer.scala 392:103] - wire [1:0] _T_1832 = _T_1779 & _GEN_396; // @[el2_lsu_bus_buffer.scala 392:103] - wire _T_1835 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 393:51] - wire [1:0] _GEN_397 = {{1'd0}, _T_1835}; // @[el2_lsu_bus_buffer.scala 393:40] - wire [1:0] _T_1836 = _T_1783 & _GEN_397; // @[el2_lsu_bus_buffer.scala 393:40] - wire [1:0] _T_1837 = _T_1832 | _T_1836; // @[el2_lsu_bus_buffer.scala 392:123] - wire _T_1840 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 393:108] - wire [1:0] _GEN_398 = {{1'd0}, _T_1840}; // @[el2_lsu_bus_buffer.scala 393:96] - wire [1:0] _T_1841 = _T_1788 & _GEN_398; // @[el2_lsu_bus_buffer.scala 393:96] - wire [1:0] _T_1842 = _T_1837 | _T_1841; // @[el2_lsu_bus_buffer.scala 393:60] - wire _T_1843 = _T_1842 == 2'h0; // @[el2_lsu_bus_buffer.scala 392:72] - wire _T_1844 = _T_1828 & _T_1843; // @[el2_lsu_bus_buffer.scala 392:70] - wire [1:0] _T_1845 = _T_1844 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] - wire [1:0] _T_1846 = _T_1827 ? 2'h2 : _T_1845; // @[Mux.scala 98:16] - wire [1:0] _T_1847 = _T_1810 ? 2'h1 : _T_1846; // @[Mux.scala 98:16] - wire [1:0] WrPtr0_m = _T_1793 ? 2'h0 : _T_1847; // @[Mux.scala 98:16] - wire _T_1851 = ibuf_valid & _T_1780; // @[el2_lsu_bus_buffer.scala 395:86] - wire _T_1852 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 396:33] - wire _T_1853 = io_lsu_busreq_m & _T_1852; // @[el2_lsu_bus_buffer.scala 396:22] - wire _T_1854 = _T_1851 | _T_1853; // @[el2_lsu_bus_buffer.scala 395:106] - wire _T_1856 = io_lsu_busreq_r & _T_1784; // @[el2_lsu_bus_buffer.scala 396:61] - wire _T_1857 = _T_1854 | _T_1856; // @[el2_lsu_bus_buffer.scala 396:42] - wire _T_1859 = io_ldst_dual_r & _T_1789; // @[el2_lsu_bus_buffer.scala 396:101] - wire _T_1860 = _T_1857 | _T_1859; // @[el2_lsu_bus_buffer.scala 396:83] - wire _T_1861 = ~_T_1860; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1862 = _T_1777 & _T_1861; // @[el2_lsu_bus_buffer.scala 395:70] - wire _T_1865 = ibuf_valid & _T_1797; // @[el2_lsu_bus_buffer.scala 395:86] - wire _T_1866 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 396:33] - wire _T_1867 = io_lsu_busreq_m & _T_1866; // @[el2_lsu_bus_buffer.scala 396:22] - wire _T_1868 = _T_1865 | _T_1867; // @[el2_lsu_bus_buffer.scala 395:106] - wire _T_1870 = io_lsu_busreq_r & _T_1801; // @[el2_lsu_bus_buffer.scala 396:61] - wire _T_1871 = _T_1868 | _T_1870; // @[el2_lsu_bus_buffer.scala 396:42] - wire _T_1873 = io_ldst_dual_r & _T_1806; // @[el2_lsu_bus_buffer.scala 396:101] - wire _T_1874 = _T_1871 | _T_1873; // @[el2_lsu_bus_buffer.scala 396:83] - wire _T_1875 = ~_T_1874; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1876 = _T_1794 & _T_1875; // @[el2_lsu_bus_buffer.scala 395:70] - wire _T_1879 = ibuf_valid & _T_1814; // @[el2_lsu_bus_buffer.scala 395:86] - wire _T_1880 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 396:33] - wire _T_1881 = io_lsu_busreq_m & _T_1880; // @[el2_lsu_bus_buffer.scala 396:22] - wire _T_1882 = _T_1879 | _T_1881; // @[el2_lsu_bus_buffer.scala 395:106] - wire _T_1884 = io_lsu_busreq_r & _T_1818; // @[el2_lsu_bus_buffer.scala 396:61] - wire _T_1885 = _T_1882 | _T_1884; // @[el2_lsu_bus_buffer.scala 396:42] - wire _T_1887 = io_ldst_dual_r & _T_1823; // @[el2_lsu_bus_buffer.scala 396:101] - wire _T_1888 = _T_1885 | _T_1887; // @[el2_lsu_bus_buffer.scala 396:83] - wire _T_1889 = ~_T_1888; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1890 = _T_1811 & _T_1889; // @[el2_lsu_bus_buffer.scala 395:70] - wire _T_1893 = ibuf_valid & _T_1831; // @[el2_lsu_bus_buffer.scala 395:86] - wire _T_1894 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 396:33] - wire _T_1895 = io_lsu_busreq_m & _T_1894; // @[el2_lsu_bus_buffer.scala 396:22] - wire _T_1896 = _T_1893 | _T_1895; // @[el2_lsu_bus_buffer.scala 395:106] - wire _T_1898 = io_lsu_busreq_r & _T_1835; // @[el2_lsu_bus_buffer.scala 396:61] - wire _T_1899 = _T_1896 | _T_1898; // @[el2_lsu_bus_buffer.scala 396:42] - wire _T_1901 = io_ldst_dual_r & _T_1840; // @[el2_lsu_bus_buffer.scala 396:101] - wire _T_1902 = _T_1899 | _T_1901; // @[el2_lsu_bus_buffer.scala 396:83] - wire _T_1903 = ~_T_1902; // @[el2_lsu_bus_buffer.scala 395:72] - wire _T_1904 = _T_1828 & _T_1903; // @[el2_lsu_bus_buffer.scala 395:70] - wire [1:0] _T_1905 = _T_1904 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] - wire [1:0] _T_1906 = _T_1890 ? 2'h2 : _T_1905; // @[Mux.scala 98:16] - wire [1:0] _T_1907 = _T_1876 ? 2'h1 : _T_1906; // @[Mux.scala 98:16] + wire _T_1778 = ibuf_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 392:97] + wire _T_1779 = ibuf_valid & _T_1778; // @[el2_lsu_bus_buffer.scala 392:86] + wire _T_1780 = WrPtr0_r == 2'h0; // @[el2_lsu_bus_buffer.scala 393:33] + wire _T_1781 = io_lsu_busreq_r & _T_1780; // @[el2_lsu_bus_buffer.scala 393:22] + wire _T_1782 = _T_1779 | _T_1781; // @[el2_lsu_bus_buffer.scala 392:106] + wire _T_1783 = WrPtr1_r == 2'h0; // @[el2_lsu_bus_buffer.scala 393:72] + wire _T_1784 = io_ldst_dual_r & _T_1783; // @[el2_lsu_bus_buffer.scala 393:60] + wire _T_1785 = _T_1782 | _T_1784; // @[el2_lsu_bus_buffer.scala 393:42] + wire _T_1786 = ~_T_1785; // @[el2_lsu_bus_buffer.scala 392:72] + wire _T_1787 = _T_1777 & _T_1786; // @[el2_lsu_bus_buffer.scala 392:70] + wire _T_1788 = buf_state_1 == 3'h0; // @[el2_lsu_bus_buffer.scala 392:59] + wire _T_1789 = ibuf_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 392:97] + wire _T_1790 = ibuf_valid & _T_1789; // @[el2_lsu_bus_buffer.scala 392:86] + wire _T_1791 = WrPtr0_r == 2'h1; // @[el2_lsu_bus_buffer.scala 393:33] + wire _T_1792 = io_lsu_busreq_r & _T_1791; // @[el2_lsu_bus_buffer.scala 393:22] + wire _T_1793 = _T_1790 | _T_1792; // @[el2_lsu_bus_buffer.scala 392:106] + wire _T_1794 = WrPtr1_r == 2'h1; // @[el2_lsu_bus_buffer.scala 393:72] + wire _T_1795 = io_ldst_dual_r & _T_1794; // @[el2_lsu_bus_buffer.scala 393:60] + wire _T_1796 = _T_1793 | _T_1795; // @[el2_lsu_bus_buffer.scala 393:42] + wire _T_1797 = ~_T_1796; // @[el2_lsu_bus_buffer.scala 392:72] + wire _T_1798 = _T_1788 & _T_1797; // @[el2_lsu_bus_buffer.scala 392:70] + wire _T_1799 = buf_state_2 == 3'h0; // @[el2_lsu_bus_buffer.scala 392:59] + wire _T_1800 = ibuf_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 392:97] + wire _T_1801 = ibuf_valid & _T_1800; // @[el2_lsu_bus_buffer.scala 392:86] + wire _T_1802 = WrPtr0_r == 2'h2; // @[el2_lsu_bus_buffer.scala 393:33] + wire _T_1803 = io_lsu_busreq_r & _T_1802; // @[el2_lsu_bus_buffer.scala 393:22] + wire _T_1804 = _T_1801 | _T_1803; // @[el2_lsu_bus_buffer.scala 392:106] + wire _T_1805 = WrPtr1_r == 2'h2; // @[el2_lsu_bus_buffer.scala 393:72] + wire _T_1806 = io_ldst_dual_r & _T_1805; // @[el2_lsu_bus_buffer.scala 393:60] + wire _T_1807 = _T_1804 | _T_1806; // @[el2_lsu_bus_buffer.scala 393:42] + wire _T_1808 = ~_T_1807; // @[el2_lsu_bus_buffer.scala 392:72] + wire _T_1809 = _T_1799 & _T_1808; // @[el2_lsu_bus_buffer.scala 392:70] + wire _T_1810 = buf_state_3 == 3'h0; // @[el2_lsu_bus_buffer.scala 392:59] + wire _T_1811 = ibuf_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 392:97] + wire _T_1812 = ibuf_valid & _T_1811; // @[el2_lsu_bus_buffer.scala 392:86] + wire _T_1813 = WrPtr0_r == 2'h3; // @[el2_lsu_bus_buffer.scala 393:33] + wire _T_1814 = io_lsu_busreq_r & _T_1813; // @[el2_lsu_bus_buffer.scala 393:22] + wire _T_1815 = _T_1812 | _T_1814; // @[el2_lsu_bus_buffer.scala 392:106] + wire _T_1816 = WrPtr1_r == 2'h3; // @[el2_lsu_bus_buffer.scala 393:72] + wire _T_1817 = io_ldst_dual_r & _T_1816; // @[el2_lsu_bus_buffer.scala 393:60] + wire _T_1818 = _T_1815 | _T_1817; // @[el2_lsu_bus_buffer.scala 393:42] + wire _T_1819 = ~_T_1818; // @[el2_lsu_bus_buffer.scala 392:72] + wire _T_1820 = _T_1810 & _T_1819; // @[el2_lsu_bus_buffer.scala 392:70] + wire [1:0] _T_1821 = _T_1820 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] + wire [1:0] _T_1822 = _T_1809 ? 2'h2 : _T_1821; // @[Mux.scala 98:16] + wire [1:0] _T_1823 = _T_1798 ? 2'h1 : _T_1822; // @[Mux.scala 98:16] + wire [1:0] WrPtr0_m = _T_1787 ? 2'h0 : _T_1823; // @[Mux.scala 98:16] + wire _T_1828 = WrPtr0_m == 2'h0; // @[el2_lsu_bus_buffer.scala 396:33] + wire _T_1829 = io_lsu_busreq_m & _T_1828; // @[el2_lsu_bus_buffer.scala 396:22] + wire _T_1830 = _T_1779 | _T_1829; // @[el2_lsu_bus_buffer.scala 395:106] + wire _T_1833 = _T_1830 | _T_1781; // @[el2_lsu_bus_buffer.scala 396:42] + wire _T_1836 = _T_1833 | _T_1784; // @[el2_lsu_bus_buffer.scala 396:83] + wire _T_1837 = ~_T_1836; // @[el2_lsu_bus_buffer.scala 395:72] + wire _T_1838 = _T_1777 & _T_1837; // @[el2_lsu_bus_buffer.scala 395:70] + wire _T_1842 = WrPtr0_m == 2'h1; // @[el2_lsu_bus_buffer.scala 396:33] + wire _T_1843 = io_lsu_busreq_m & _T_1842; // @[el2_lsu_bus_buffer.scala 396:22] + wire _T_1844 = _T_1790 | _T_1843; // @[el2_lsu_bus_buffer.scala 395:106] + wire _T_1847 = _T_1844 | _T_1792; // @[el2_lsu_bus_buffer.scala 396:42] + wire _T_1850 = _T_1847 | _T_1795; // @[el2_lsu_bus_buffer.scala 396:83] + wire _T_1851 = ~_T_1850; // @[el2_lsu_bus_buffer.scala 395:72] + wire _T_1852 = _T_1788 & _T_1851; // @[el2_lsu_bus_buffer.scala 395:70] + wire _T_1856 = WrPtr0_m == 2'h2; // @[el2_lsu_bus_buffer.scala 396:33] + wire _T_1857 = io_lsu_busreq_m & _T_1856; // @[el2_lsu_bus_buffer.scala 396:22] + wire _T_1858 = _T_1801 | _T_1857; // @[el2_lsu_bus_buffer.scala 395:106] + wire _T_1861 = _T_1858 | _T_1803; // @[el2_lsu_bus_buffer.scala 396:42] + wire _T_1864 = _T_1861 | _T_1806; // @[el2_lsu_bus_buffer.scala 396:83] + wire _T_1865 = ~_T_1864; // @[el2_lsu_bus_buffer.scala 395:72] + wire _T_1866 = _T_1799 & _T_1865; // @[el2_lsu_bus_buffer.scala 395:70] + wire _T_1870 = WrPtr0_m == 2'h3; // @[el2_lsu_bus_buffer.scala 396:33] + wire _T_1871 = io_lsu_busreq_m & _T_1870; // @[el2_lsu_bus_buffer.scala 396:22] + wire _T_1872 = _T_1812 | _T_1871; // @[el2_lsu_bus_buffer.scala 395:106] + wire _T_1875 = _T_1872 | _T_1814; // @[el2_lsu_bus_buffer.scala 396:42] + wire _T_1878 = _T_1875 | _T_1817; // @[el2_lsu_bus_buffer.scala 396:83] + wire _T_1879 = ~_T_1878; // @[el2_lsu_bus_buffer.scala 395:72] + wire _T_1880 = _T_1810 & _T_1879; // @[el2_lsu_bus_buffer.scala 395:70] + wire [1:0] _T_1881 = _T_1880 ? 2'h3 : 2'h0; // @[Mux.scala 98:16] + wire [1:0] _T_1882 = _T_1866 ? 2'h2 : _T_1881; // @[Mux.scala 98:16] + wire [1:0] _T_1883 = _T_1852 ? 2'h1 : _T_1882; // @[Mux.scala 98:16] reg [3:0] buf_rspageQ_0; // @[el2_lsu_bus_buffer.scala 517:63] - wire _T_2620 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] - wire _T_2621 = buf_rspageQ_0[3] & _T_2620; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2617 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] - wire _T_2618 = buf_rspageQ_0[2] & _T_2617; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2614 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] - wire _T_2615 = buf_rspageQ_0[1] & _T_2614; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2611 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] - wire _T_2612 = buf_rspageQ_0[0] & _T_2611; // @[el2_lsu_bus_buffer.scala 431:89] - wire [3:0] buf_rsp_pickage_0 = {_T_2621,_T_2618,_T_2615,_T_2612}; // @[Cat.scala 29:58] - wire _T_1980 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 405:65] - wire _T_1981 = ~_T_1980; // @[el2_lsu_bus_buffer.scala 405:44] - wire _T_1983 = _T_1981 & _T_2611; // @[el2_lsu_bus_buffer.scala 405:70] + wire _T_2596 = buf_state_3 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] + wire _T_2597 = buf_rspageQ_0[3] & _T_2596; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2593 = buf_state_2 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] + wire _T_2594 = buf_rspageQ_0[2] & _T_2593; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2590 = buf_state_1 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] + wire _T_2591 = buf_rspageQ_0[1] & _T_2590; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2587 = buf_state_0 == 3'h5; // @[el2_lsu_bus_buffer.scala 431:104] + wire _T_2588 = buf_rspageQ_0[0] & _T_2587; // @[el2_lsu_bus_buffer.scala 431:89] + wire [3:0] buf_rsp_pickage_0 = {_T_2597,_T_2594,_T_2591,_T_2588}; // @[Cat.scala 29:58] + wire _T_1956 = |buf_rsp_pickage_0; // @[el2_lsu_bus_buffer.scala 405:65] + wire _T_1957 = ~_T_1956; // @[el2_lsu_bus_buffer.scala 405:44] + wire _T_1959 = _T_1957 & _T_2587; // @[el2_lsu_bus_buffer.scala 405:70] reg [3:0] buf_rspageQ_1; // @[el2_lsu_bus_buffer.scala 517:63] - wire _T_2636 = buf_rspageQ_1[3] & _T_2620; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2633 = buf_rspageQ_1[2] & _T_2617; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2630 = buf_rspageQ_1[1] & _T_2614; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2627 = buf_rspageQ_1[0] & _T_2611; // @[el2_lsu_bus_buffer.scala 431:89] - wire [3:0] buf_rsp_pickage_1 = {_T_2636,_T_2633,_T_2630,_T_2627}; // @[Cat.scala 29:58] - wire _T_1984 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 405:65] - wire _T_1985 = ~_T_1984; // @[el2_lsu_bus_buffer.scala 405:44] - wire _T_1987 = _T_1985 & _T_2614; // @[el2_lsu_bus_buffer.scala 405:70] + wire _T_2612 = buf_rspageQ_1[3] & _T_2596; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2609 = buf_rspageQ_1[2] & _T_2593; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2606 = buf_rspageQ_1[1] & _T_2590; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2603 = buf_rspageQ_1[0] & _T_2587; // @[el2_lsu_bus_buffer.scala 431:89] + wire [3:0] buf_rsp_pickage_1 = {_T_2612,_T_2609,_T_2606,_T_2603}; // @[Cat.scala 29:58] + wire _T_1960 = |buf_rsp_pickage_1; // @[el2_lsu_bus_buffer.scala 405:65] + wire _T_1961 = ~_T_1960; // @[el2_lsu_bus_buffer.scala 405:44] + wire _T_1963 = _T_1961 & _T_2590; // @[el2_lsu_bus_buffer.scala 405:70] reg [3:0] buf_rspageQ_2; // @[el2_lsu_bus_buffer.scala 517:63] - wire _T_2651 = buf_rspageQ_2[3] & _T_2620; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2648 = buf_rspageQ_2[2] & _T_2617; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2645 = buf_rspageQ_2[1] & _T_2614; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2642 = buf_rspageQ_2[0] & _T_2611; // @[el2_lsu_bus_buffer.scala 431:89] - wire [3:0] buf_rsp_pickage_2 = {_T_2651,_T_2648,_T_2645,_T_2642}; // @[Cat.scala 29:58] - wire _T_1988 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 405:65] - wire _T_1989 = ~_T_1988; // @[el2_lsu_bus_buffer.scala 405:44] - wire _T_1991 = _T_1989 & _T_2617; // @[el2_lsu_bus_buffer.scala 405:70] + wire _T_2627 = buf_rspageQ_2[3] & _T_2596; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2624 = buf_rspageQ_2[2] & _T_2593; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2621 = buf_rspageQ_2[1] & _T_2590; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2618 = buf_rspageQ_2[0] & _T_2587; // @[el2_lsu_bus_buffer.scala 431:89] + wire [3:0] buf_rsp_pickage_2 = {_T_2627,_T_2624,_T_2621,_T_2618}; // @[Cat.scala 29:58] + wire _T_1964 = |buf_rsp_pickage_2; // @[el2_lsu_bus_buffer.scala 405:65] + wire _T_1965 = ~_T_1964; // @[el2_lsu_bus_buffer.scala 405:44] + wire _T_1967 = _T_1965 & _T_2593; // @[el2_lsu_bus_buffer.scala 405:70] reg [3:0] buf_rspageQ_3; // @[el2_lsu_bus_buffer.scala 517:63] - wire _T_2666 = buf_rspageQ_3[3] & _T_2620; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2663 = buf_rspageQ_3[2] & _T_2617; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2660 = buf_rspageQ_3[1] & _T_2614; // @[el2_lsu_bus_buffer.scala 431:89] - wire _T_2657 = buf_rspageQ_3[0] & _T_2611; // @[el2_lsu_bus_buffer.scala 431:89] - wire [3:0] buf_rsp_pickage_3 = {_T_2666,_T_2663,_T_2660,_T_2657}; // @[Cat.scala 29:58] - wire _T_1992 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 405:65] - wire _T_1993 = ~_T_1992; // @[el2_lsu_bus_buffer.scala 405:44] - wire _T_1995 = _T_1993 & _T_2620; // @[el2_lsu_bus_buffer.scala 405:70] - wire [3:0] RspPtrDec = {_T_1995,_T_1991,_T_1987,_T_1983}; // @[Cat.scala 29:58] - wire [1:0] _T_2016 = RspPtrDec[2] ? 2'h2 : 2'h3; // @[Mux.scala 47:69] - wire [1:0] _T_2017 = RspPtrDec[1] ? 2'h1 : _T_2016; // @[Mux.scala 47:69] - wire [1:0] RspPtr = RspPtrDec[0] ? 2'h0 : _T_2017; // @[Mux.scala 47:69] - wire _T_3406 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 460:77] - wire _T_3407 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 460:97] - wire _T_3408 = _T_3406 & _T_3407; // @[el2_lsu_bus_buffer.scala 460:95] - wire _T_3409 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] - wire _T_3410 = _T_3408 & _T_3409; // @[el2_lsu_bus_buffer.scala 460:112] - wire _T_3411 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 460:144] - wire _T_3412 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] - wire _T_3413 = _T_3411 & _T_3412; // @[el2_lsu_bus_buffer.scala 460:161] - wire _T_3414 = _T_3410 | _T_3413; // @[el2_lsu_bus_buffer.scala 460:132] - wire _T_3415 = _T_766 & _T_3414; // @[el2_lsu_bus_buffer.scala 460:63] - wire _T_3416 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] - wire _T_3417 = ibuf_drain_vld & _T_3416; // @[el2_lsu_bus_buffer.scala 460:201] - wire _T_3418 = _T_3415 | _T_3417; // @[el2_lsu_bus_buffer.scala 460:183] - wire _T_3428 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 467:46] - wire _T_3463 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_2642 = buf_rspageQ_3[3] & _T_2596; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2639 = buf_rspageQ_3[2] & _T_2593; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2636 = buf_rspageQ_3[1] & _T_2590; // @[el2_lsu_bus_buffer.scala 431:89] + wire _T_2633 = buf_rspageQ_3[0] & _T_2587; // @[el2_lsu_bus_buffer.scala 431:89] + wire [3:0] buf_rsp_pickage_3 = {_T_2642,_T_2639,_T_2636,_T_2633}; // @[Cat.scala 29:58] + wire _T_1968 = |buf_rsp_pickage_3; // @[el2_lsu_bus_buffer.scala 405:65] + wire _T_1969 = ~_T_1968; // @[el2_lsu_bus_buffer.scala 405:44] + wire _T_1971 = _T_1969 & _T_2596; // @[el2_lsu_bus_buffer.scala 405:70] + wire [3:0] RspPtrDec = {_T_1971,_T_1967,_T_1963,_T_1959}; // @[Cat.scala 29:58] + wire [1:0] _T_1992 = RspPtrDec[2] ? 2'h2 : 2'h3; // @[Mux.scala 47:69] + wire [1:0] _T_1993 = RspPtrDec[1] ? 2'h1 : _T_1992; // @[Mux.scala 47:69] + wire [1:0] RspPtr = RspPtrDec[0] ? 2'h0 : _T_1993; // @[Mux.scala 47:69] + wire _T_3382 = ibuf_byp | io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 460:77] + wire _T_3383 = ~ibuf_merge_en; // @[el2_lsu_bus_buffer.scala 460:97] + wire _T_3384 = _T_3382 & _T_3383; // @[el2_lsu_bus_buffer.scala 460:95] + wire _T_3385 = 2'h0 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] + wire _T_3386 = _T_3384 & _T_3385; // @[el2_lsu_bus_buffer.scala 460:112] + wire _T_3387 = ibuf_byp & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 460:144] + wire _T_3388 = 2'h0 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] + wire _T_3389 = _T_3387 & _T_3388; // @[el2_lsu_bus_buffer.scala 460:161] + wire _T_3390 = _T_3386 | _T_3389; // @[el2_lsu_bus_buffer.scala 460:132] + wire _T_3391 = _T_766 & _T_3390; // @[el2_lsu_bus_buffer.scala 460:63] + wire _T_3392 = 2'h0 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] + wire _T_3393 = ibuf_drain_vld & _T_3392; // @[el2_lsu_bus_buffer.scala 460:201] + wire _T_3394 = _T_3391 | _T_3393; // @[el2_lsu_bus_buffer.scala 460:183] + wire _T_3404 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 467:46] + wire _T_3439 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] wire bus_rsp_write = io_lsu_axi_bvalid & io_lsu_axi_bready; // @[el2_lsu_bus_buffer.scala 575:38] - wire _T_3508 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 485:73] - wire _T_3509 = bus_rsp_write & _T_3508; // @[el2_lsu_bus_buffer.scala 485:52] - wire _T_3510 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 486:46] - reg _T_4181; // @[Reg.scala 27:20] - reg _T_4179; // @[Reg.scala 27:20] - reg _T_4177; // @[Reg.scala 27:20] - reg _T_4175; // @[Reg.scala 27:20] - wire [3:0] buf_ldfwd = {_T_4181,_T_4179,_T_4177,_T_4175}; // @[Cat.scala 29:58] + wire _T_3484 = io_lsu_axi_bid == 3'h0; // @[el2_lsu_bus_buffer.scala 485:73] + wire _T_3485 = bus_rsp_write & _T_3484; // @[el2_lsu_bus_buffer.scala 485:52] + wire _T_3486 = io_lsu_axi_rid == 3'h0; // @[el2_lsu_bus_buffer.scala 486:46] + reg _T_4157; // @[Reg.scala 27:20] + reg _T_4155; // @[Reg.scala 27:20] + reg _T_4153; // @[Reg.scala 27:20] + reg _T_4151; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4157,_T_4155,_T_4153,_T_4151}; // @[Cat.scala 29:58] reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_399 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_3512 = io_lsu_axi_rid == _GEN_399; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_3513 = buf_ldfwd[0] & _T_3512; // @[el2_lsu_bus_buffer.scala 487:27] - wire _T_3514 = _T_3510 | _T_3513; // @[el2_lsu_bus_buffer.scala 486:77] - wire _T_3515 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 488:26] - wire _T_3518 = _T_3515 & _T_1129; // @[el2_lsu_bus_buffer.scala 488:42] - wire _T_3519 = _T_3518 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 488:58] + wire [2:0] _GEN_387 = {{1'd0}, buf_ldfwdtag_0}; // @[el2_lsu_bus_buffer.scala 487:47] + wire _T_3488 = io_lsu_axi_rid == _GEN_387; // @[el2_lsu_bus_buffer.scala 487:47] + wire _T_3489 = buf_ldfwd[0] & _T_3488; // @[el2_lsu_bus_buffer.scala 487:27] + wire _T_3490 = _T_3486 | _T_3489; // @[el2_lsu_bus_buffer.scala 486:77] + wire _T_3491 = buf_dual_0 & buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 488:26] + wire _T_3494 = _T_3491 & _T_1129; // @[el2_lsu_bus_buffer.scala 488:42] + wire _T_3495 = _T_3494 & buf_samedw_0; // @[el2_lsu_bus_buffer.scala 488:58] reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] - wire [2:0] _GEN_400 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_3520 = io_lsu_axi_rid == _GEN_400; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_3521 = _T_3519 & _T_3520; // @[el2_lsu_bus_buffer.scala 488:74] - wire _T_3522 = _T_3514 | _T_3521; // @[el2_lsu_bus_buffer.scala 487:71] - wire _T_3523 = bus_rsp_read & _T_3522; // @[el2_lsu_bus_buffer.scala 486:25] - wire _T_3524 = _T_3509 | _T_3523; // @[el2_lsu_bus_buffer.scala 485:105] - wire _GEN_42 = _T_3463 & _T_3524; // @[Conditional.scala 39:67] - wire _GEN_61 = _T_3429 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_3425 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_0 = _T_3402 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] - wire _T_3550 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] - wire [3:0] _T_3560 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 500:21] + wire [2:0] _GEN_388 = {{1'd0}, buf_dualtag_0}; // @[el2_lsu_bus_buffer.scala 488:94] + wire _T_3496 = io_lsu_axi_rid == _GEN_388; // @[el2_lsu_bus_buffer.scala 488:94] + wire _T_3497 = _T_3495 & _T_3496; // @[el2_lsu_bus_buffer.scala 488:74] + wire _T_3498 = _T_3490 | _T_3497; // @[el2_lsu_bus_buffer.scala 487:71] + wire _T_3499 = bus_rsp_read & _T_3498; // @[el2_lsu_bus_buffer.scala 486:25] + wire _T_3500 = _T_3485 | _T_3499; // @[el2_lsu_bus_buffer.scala 485:105] + wire _GEN_42 = _T_3439 & _T_3500; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_3405 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_3401 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3378 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] + wire _T_3526 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire [3:0] _T_3536 = buf_ldfwd >> buf_dualtag_0; // @[el2_lsu_bus_buffer.scala 500:21] reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 500:58] wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[el2_lsu_bus_buffer.scala 500:58] wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[el2_lsu_bus_buffer.scala 500:58] - wire [2:0] _GEN_402 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_3562 = io_lsu_axi_rid == _GEN_402; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_3563 = _T_3560[0] & _T_3562; // @[el2_lsu_bus_buffer.scala 500:38] - wire _T_3564 = _T_3520 | _T_3563; // @[el2_lsu_bus_buffer.scala 499:95] - wire _T_3565 = bus_rsp_read & _T_3564; // @[el2_lsu_bus_buffer.scala 499:45] - wire _GEN_36 = _T_3550 & _T_3565; // @[Conditional.scala 39:67] - wire _GEN_43 = _T_3463 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_3429 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_3425 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] - wire buf_state_bus_en_0 = _T_3402 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] - wire _T_3442 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] - wire _T_3443 = _T_3442 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] - wire _T_3568 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] - wire _T_3571 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 505:37] - wire _T_3572 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] - wire _T_3573 = buf_dual_0 & _T_3572; // @[el2_lsu_bus_buffer.scala 505:80] - wire _T_3574 = _T_3571 | _T_3573; // @[el2_lsu_bus_buffer.scala 505:65] - wire _T_3575 = _T_3574 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] - wire _T_3576 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] - wire _GEN_31 = _T_3568 ? _T_3575 : _T_3576; // @[Conditional.scala 39:67] - wire _GEN_37 = _T_3550 ? _T_3443 : _GEN_31; // @[Conditional.scala 39:67] - wire _GEN_44 = _T_3463 ? _T_3443 : _GEN_37; // @[Conditional.scala 39:67] - wire _GEN_54 = _T_3429 ? _T_3443 : _GEN_44; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_3425 ? _T_3428 : _GEN_54; // @[Conditional.scala 39:67] - wire buf_state_en_0 = _T_3402 ? _T_3418 : _GEN_64; // @[Conditional.scala 40:58] - wire _T_2019 = _T_1777 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 423:94] - wire _T_2020 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] - wire _T_2023 = _T_2484 & _T_4295; // @[el2_lsu_bus_buffer.scala 424:57] - wire _T_2024 = _T_2020 | _T_2023; // @[el2_lsu_bus_buffer.scala 424:31] - wire _T_2025 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 425:23] - wire _T_2027 = _T_2025 & _T_3406; // @[el2_lsu_bus_buffer.scala 425:41] - wire _T_2029 = _T_2027 & _T_1784; // @[el2_lsu_bus_buffer.scala 425:71] - wire _T_2031 = _T_2029 & _T_1780; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2032 = _T_2024 | _T_2031; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2033 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 426:17] - wire _T_2034 = _T_2033 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 426:35] - wire _T_2036 = _T_2034 & _T_1789; // @[el2_lsu_bus_buffer.scala 426:52] - wire _T_2038 = _T_2036 & _T_1784; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2039 = _T_2032 | _T_2038; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2040 = _T_2019 & _T_2039; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2042 = _T_2040 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2045 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] - wire _T_2048 = _T_2488 & _T_4301; // @[el2_lsu_bus_buffer.scala 424:57] - wire _T_2049 = _T_2045 | _T_2048; // @[el2_lsu_bus_buffer.scala 424:31] - wire _T_2056 = _T_2029 & _T_1797; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2057 = _T_2049 | _T_2056; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2063 = _T_2036 & _T_1801; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2064 = _T_2057 | _T_2063; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2065 = _T_2019 & _T_2064; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2067 = _T_2065 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2070 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] - wire _T_2073 = _T_2492 & _T_4307; // @[el2_lsu_bus_buffer.scala 424:57] - wire _T_2074 = _T_2070 | _T_2073; // @[el2_lsu_bus_buffer.scala 424:31] - wire _T_2081 = _T_2029 & _T_1814; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2082 = _T_2074 | _T_2081; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2088 = _T_2036 & _T_1818; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2089 = _T_2082 | _T_2088; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2090 = _T_2019 & _T_2089; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2092 = _T_2090 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2095 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] - wire _T_2098 = _T_2496 & _T_4313; // @[el2_lsu_bus_buffer.scala 424:57] - wire _T_2099 = _T_2095 | _T_2098; // @[el2_lsu_bus_buffer.scala 424:31] - wire _T_2106 = _T_2029 & _T_1831; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2107 = _T_2099 | _T_2106; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2113 = _T_2036 & _T_1835; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2114 = _T_2107 | _T_2113; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2115 = _T_2019 & _T_2114; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2117 = _T_2115 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 426:97] - wire [2:0] _T_2119 = {_T_2117,_T_2092,_T_2067}; // @[Cat.scala 29:58] - wire _T_3602 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] - wire _T_3603 = _T_3408 & _T_3602; // @[el2_lsu_bus_buffer.scala 460:112] - wire _T_3605 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] - wire _T_3606 = _T_3411 & _T_3605; // @[el2_lsu_bus_buffer.scala 460:161] - wire _T_3607 = _T_3603 | _T_3606; // @[el2_lsu_bus_buffer.scala 460:132] - wire _T_3608 = _T_766 & _T_3607; // @[el2_lsu_bus_buffer.scala 460:63] - wire _T_3609 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] - wire _T_3610 = ibuf_drain_vld & _T_3609; // @[el2_lsu_bus_buffer.scala 460:201] - wire _T_3611 = _T_3608 | _T_3610; // @[el2_lsu_bus_buffer.scala 460:183] - wire _T_3656 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3701 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 485:73] - wire _T_3702 = bus_rsp_write & _T_3701; // @[el2_lsu_bus_buffer.scala 485:52] - wire _T_3703 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 486:46] - wire [2:0] _GEN_403 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_3705 = io_lsu_axi_rid == _GEN_403; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_3706 = buf_ldfwd[1] & _T_3705; // @[el2_lsu_bus_buffer.scala 487:27] - wire _T_3707 = _T_3703 | _T_3706; // @[el2_lsu_bus_buffer.scala 486:77] - wire _T_3708 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 488:26] - wire _T_3710 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 488:44] - wire _T_3711 = _T_3708 & _T_3710; // @[el2_lsu_bus_buffer.scala 488:42] - wire _T_3712 = _T_3711 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 488:58] + wire [2:0] _GEN_390 = {{1'd0}, _GEN_25}; // @[el2_lsu_bus_buffer.scala 500:58] + wire _T_3538 = io_lsu_axi_rid == _GEN_390; // @[el2_lsu_bus_buffer.scala 500:58] + wire _T_3539 = _T_3536[0] & _T_3538; // @[el2_lsu_bus_buffer.scala 500:38] + wire _T_3540 = _T_3496 | _T_3539; // @[el2_lsu_bus_buffer.scala 499:95] + wire _T_3541 = bus_rsp_read & _T_3540; // @[el2_lsu_bus_buffer.scala 499:45] + wire _GEN_36 = _T_3526 & _T_3541; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3439 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_3405 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3401 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3378 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_3418 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] + wire _T_3419 = _T_3418 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] + wire _T_3544 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3547 = RspPtr == 2'h0; // @[el2_lsu_bus_buffer.scala 505:37] + wire _T_3548 = buf_dualtag_0 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] + wire _T_3549 = buf_dual_0 & _T_3548; // @[el2_lsu_bus_buffer.scala 505:80] + wire _T_3550 = _T_3547 | _T_3549; // @[el2_lsu_bus_buffer.scala 505:65] + wire _T_3551 = _T_3550 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] + wire _T_3552 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_31 = _T_3544 ? _T_3551 : _T_3552; // @[Conditional.scala 39:67] + wire _GEN_37 = _T_3526 ? _T_3419 : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3439 ? _T_3419 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3405 ? _T_3419 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_3401 ? _T_3404 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3378 ? _T_3394 : _GEN_64; // @[Conditional.scala 40:58] + wire _T_1995 = _T_1777 & buf_state_en_0; // @[el2_lsu_bus_buffer.scala 423:94] + wire _T_1996 = buf_state_0 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] + wire _T_1999 = _T_2460 & _T_4271; // @[el2_lsu_bus_buffer.scala 424:57] + wire _T_2000 = _T_1996 | _T_1999; // @[el2_lsu_bus_buffer.scala 424:31] + wire _T_2001 = ibuf_drain_vld & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 425:23] + wire _T_2003 = _T_2001 & _T_3382; // @[el2_lsu_bus_buffer.scala 425:41] + wire _T_2005 = _T_2003 & _T_1780; // @[el2_lsu_bus_buffer.scala 425:71] + wire _T_2007 = _T_2005 & _T_1778; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2008 = _T_2000 | _T_2007; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2009 = ibuf_byp & io_lsu_busreq_r; // @[el2_lsu_bus_buffer.scala 426:17] + wire _T_2010 = _T_2009 & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 426:35] + wire _T_2012 = _T_2010 & _T_1783; // @[el2_lsu_bus_buffer.scala 426:52] + wire _T_2014 = _T_2012 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2015 = _T_2008 | _T_2014; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2016 = _T_1995 & _T_2015; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2018 = _T_2016 | buf_age_0[0]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2021 = buf_state_1 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] + wire _T_2024 = _T_2464 & _T_4277; // @[el2_lsu_bus_buffer.scala 424:57] + wire _T_2025 = _T_2021 | _T_2024; // @[el2_lsu_bus_buffer.scala 424:31] + wire _T_2032 = _T_2005 & _T_1789; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2033 = _T_2025 | _T_2032; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2039 = _T_2012 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2040 = _T_2033 | _T_2039; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2041 = _T_1995 & _T_2040; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2043 = _T_2041 | buf_age_0[1]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2046 = buf_state_2 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] + wire _T_2049 = _T_2468 & _T_4283; // @[el2_lsu_bus_buffer.scala 424:57] + wire _T_2050 = _T_2046 | _T_2049; // @[el2_lsu_bus_buffer.scala 424:31] + wire _T_2057 = _T_2005 & _T_1800; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2058 = _T_2050 | _T_2057; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2064 = _T_2012 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2065 = _T_2058 | _T_2064; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2066 = _T_1995 & _T_2065; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2068 = _T_2066 | buf_age_0[2]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2071 = buf_state_3 == 3'h1; // @[el2_lsu_bus_buffer.scala 424:20] + wire _T_2074 = _T_2472 & _T_4289; // @[el2_lsu_bus_buffer.scala 424:57] + wire _T_2075 = _T_2071 | _T_2074; // @[el2_lsu_bus_buffer.scala 424:31] + wire _T_2082 = _T_2005 & _T_1811; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2083 = _T_2075 | _T_2082; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2089 = _T_2012 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2090 = _T_2083 | _T_2089; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2091 = _T_1995 & _T_2090; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2093 = _T_2091 | buf_age_0[3]; // @[el2_lsu_bus_buffer.scala 426:97] + wire [2:0] _T_2095 = {_T_2093,_T_2068,_T_2043}; // @[Cat.scala 29:58] + wire _T_3578 = 2'h1 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] + wire _T_3579 = _T_3384 & _T_3578; // @[el2_lsu_bus_buffer.scala 460:112] + wire _T_3581 = 2'h1 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] + wire _T_3582 = _T_3387 & _T_3581; // @[el2_lsu_bus_buffer.scala 460:161] + wire _T_3583 = _T_3579 | _T_3582; // @[el2_lsu_bus_buffer.scala 460:132] + wire _T_3584 = _T_766 & _T_3583; // @[el2_lsu_bus_buffer.scala 460:63] + wire _T_3585 = 2'h1 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] + wire _T_3586 = ibuf_drain_vld & _T_3585; // @[el2_lsu_bus_buffer.scala 460:201] + wire _T_3587 = _T_3584 | _T_3586; // @[el2_lsu_bus_buffer.scala 460:183] + wire _T_3632 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3677 = io_lsu_axi_bid == 3'h1; // @[el2_lsu_bus_buffer.scala 485:73] + wire _T_3678 = bus_rsp_write & _T_3677; // @[el2_lsu_bus_buffer.scala 485:52] + wire _T_3679 = io_lsu_axi_rid == 3'h1; // @[el2_lsu_bus_buffer.scala 486:46] + wire [2:0] _GEN_391 = {{1'd0}, buf_ldfwdtag_1}; // @[el2_lsu_bus_buffer.scala 487:47] + wire _T_3681 = io_lsu_axi_rid == _GEN_391; // @[el2_lsu_bus_buffer.scala 487:47] + wire _T_3682 = buf_ldfwd[1] & _T_3681; // @[el2_lsu_bus_buffer.scala 487:27] + wire _T_3683 = _T_3679 | _T_3682; // @[el2_lsu_bus_buffer.scala 486:77] + wire _T_3684 = buf_dual_1 & buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 488:26] + wire _T_3686 = ~buf_write[1]; // @[el2_lsu_bus_buffer.scala 488:44] + wire _T_3687 = _T_3684 & _T_3686; // @[el2_lsu_bus_buffer.scala 488:42] + wire _T_3688 = _T_3687 & buf_samedw_1; // @[el2_lsu_bus_buffer.scala 488:58] reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] - wire [2:0] _GEN_404 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_3713 = io_lsu_axi_rid == _GEN_404; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_3714 = _T_3712 & _T_3713; // @[el2_lsu_bus_buffer.scala 488:74] - wire _T_3715 = _T_3707 | _T_3714; // @[el2_lsu_bus_buffer.scala 487:71] - wire _T_3716 = bus_rsp_read & _T_3715; // @[el2_lsu_bus_buffer.scala 486:25] - wire _T_3717 = _T_3702 | _T_3716; // @[el2_lsu_bus_buffer.scala 485:105] - wire _GEN_118 = _T_3656 & _T_3717; // @[Conditional.scala 39:67] - wire _GEN_137 = _T_3622 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] - wire _GEN_149 = _T_3618 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_1 = _T_3595 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] - wire _T_3743 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] - wire [3:0] _T_3753 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 500:21] + wire [2:0] _GEN_392 = {{1'd0}, buf_dualtag_1}; // @[el2_lsu_bus_buffer.scala 488:94] + wire _T_3689 = io_lsu_axi_rid == _GEN_392; // @[el2_lsu_bus_buffer.scala 488:94] + wire _T_3690 = _T_3688 & _T_3689; // @[el2_lsu_bus_buffer.scala 488:74] + wire _T_3691 = _T_3683 | _T_3690; // @[el2_lsu_bus_buffer.scala 487:71] + wire _T_3692 = bus_rsp_read & _T_3691; // @[el2_lsu_bus_buffer.scala 486:25] + wire _T_3693 = _T_3678 | _T_3692; // @[el2_lsu_bus_buffer.scala 485:105] + wire _GEN_118 = _T_3632 & _T_3693; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3598 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3594 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3571 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3719 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire [3:0] _T_3729 = buf_ldfwd >> buf_dualtag_1; // @[el2_lsu_bus_buffer.scala 500:21] wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 500:58] wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[el2_lsu_bus_buffer.scala 500:58] wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[el2_lsu_bus_buffer.scala 500:58] - wire [2:0] _GEN_406 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_3755 = io_lsu_axi_rid == _GEN_406; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_3756 = _T_3753[0] & _T_3755; // @[el2_lsu_bus_buffer.scala 500:38] - wire _T_3757 = _T_3713 | _T_3756; // @[el2_lsu_bus_buffer.scala 499:95] - wire _T_3758 = bus_rsp_read & _T_3757; // @[el2_lsu_bus_buffer.scala 499:45] - wire _GEN_112 = _T_3743 & _T_3758; // @[Conditional.scala 39:67] - wire _GEN_119 = _T_3656 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] - wire _GEN_129 = _T_3622 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] - wire _GEN_142 = _T_3618 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] - wire buf_state_bus_en_1 = _T_3595 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] - wire _T_3635 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] - wire _T_3636 = _T_3635 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] - wire _T_3761 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] - wire _T_3764 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 505:37] - wire _T_3765 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] - wire _T_3766 = buf_dual_1 & _T_3765; // @[el2_lsu_bus_buffer.scala 505:80] - wire _T_3767 = _T_3764 | _T_3766; // @[el2_lsu_bus_buffer.scala 505:65] - wire _T_3768 = _T_3767 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] - wire _T_3769 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] - wire _GEN_107 = _T_3761 ? _T_3768 : _T_3769; // @[Conditional.scala 39:67] - wire _GEN_113 = _T_3743 ? _T_3636 : _GEN_107; // @[Conditional.scala 39:67] - wire _GEN_120 = _T_3656 ? _T_3636 : _GEN_113; // @[Conditional.scala 39:67] - wire _GEN_130 = _T_3622 ? _T_3636 : _GEN_120; // @[Conditional.scala 39:67] - wire _GEN_140 = _T_3618 ? _T_3428 : _GEN_130; // @[Conditional.scala 39:67] - wire buf_state_en_1 = _T_3595 ? _T_3611 : _GEN_140; // @[Conditional.scala 40:58] - wire _T_2121 = _T_1794 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 423:94] - wire _T_2131 = _T_2027 & _T_1801; // @[el2_lsu_bus_buffer.scala 425:71] - wire _T_2133 = _T_2131 & _T_1780; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2134 = _T_2024 | _T_2133; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2138 = _T_2034 & _T_1806; // @[el2_lsu_bus_buffer.scala 426:52] - wire _T_2140 = _T_2138 & _T_1784; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2141 = _T_2134 | _T_2140; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2142 = _T_2121 & _T_2141; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2144 = _T_2142 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2158 = _T_2131 & _T_1797; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2159 = _T_2049 | _T_2158; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2165 = _T_2138 & _T_1801; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2166 = _T_2159 | _T_2165; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2167 = _T_2121 & _T_2166; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2169 = _T_2167 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2183 = _T_2131 & _T_1814; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2184 = _T_2074 | _T_2183; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2190 = _T_2138 & _T_1818; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2191 = _T_2184 | _T_2190; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2192 = _T_2121 & _T_2191; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2194 = _T_2192 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2208 = _T_2131 & _T_1831; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2209 = _T_2099 | _T_2208; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2215 = _T_2138 & _T_1835; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2216 = _T_2209 | _T_2215; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2217 = _T_2121 & _T_2216; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2219 = _T_2217 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 426:97] - wire [2:0] _T_2221 = {_T_2219,_T_2194,_T_2169}; // @[Cat.scala 29:58] - wire _T_3795 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] - wire _T_3796 = _T_3408 & _T_3795; // @[el2_lsu_bus_buffer.scala 460:112] - wire _T_3798 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] - wire _T_3799 = _T_3411 & _T_3798; // @[el2_lsu_bus_buffer.scala 460:161] - wire _T_3800 = _T_3796 | _T_3799; // @[el2_lsu_bus_buffer.scala 460:132] - wire _T_3801 = _T_766 & _T_3800; // @[el2_lsu_bus_buffer.scala 460:63] - wire _T_3802 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] - wire _T_3803 = ibuf_drain_vld & _T_3802; // @[el2_lsu_bus_buffer.scala 460:201] - wire _T_3804 = _T_3801 | _T_3803; // @[el2_lsu_bus_buffer.scala 460:183] - wire _T_3849 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3894 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 485:73] - wire _T_3895 = bus_rsp_write & _T_3894; // @[el2_lsu_bus_buffer.scala 485:52] - wire _T_3896 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 486:46] - wire [2:0] _GEN_407 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_3898 = io_lsu_axi_rid == _GEN_407; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_3899 = buf_ldfwd[2] & _T_3898; // @[el2_lsu_bus_buffer.scala 487:27] - wire _T_3900 = _T_3896 | _T_3899; // @[el2_lsu_bus_buffer.scala 486:77] - wire _T_3901 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 488:26] - wire _T_3903 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 488:44] - wire _T_3904 = _T_3901 & _T_3903; // @[el2_lsu_bus_buffer.scala 488:42] - wire _T_3905 = _T_3904 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 488:58] + wire [2:0] _GEN_394 = {{1'd0}, _GEN_101}; // @[el2_lsu_bus_buffer.scala 500:58] + wire _T_3731 = io_lsu_axi_rid == _GEN_394; // @[el2_lsu_bus_buffer.scala 500:58] + wire _T_3732 = _T_3729[0] & _T_3731; // @[el2_lsu_bus_buffer.scala 500:38] + wire _T_3733 = _T_3689 | _T_3732; // @[el2_lsu_bus_buffer.scala 499:95] + wire _T_3734 = bus_rsp_read & _T_3733; // @[el2_lsu_bus_buffer.scala 499:45] + wire _GEN_112 = _T_3719 & _T_3734; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3632 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_129 = _T_3598 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3594 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3571 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_3611 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] + wire _T_3612 = _T_3611 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] + wire _T_3737 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3740 = RspPtr == 2'h1; // @[el2_lsu_bus_buffer.scala 505:37] + wire _T_3741 = buf_dualtag_1 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] + wire _T_3742 = buf_dual_1 & _T_3741; // @[el2_lsu_bus_buffer.scala 505:80] + wire _T_3743 = _T_3740 | _T_3742; // @[el2_lsu_bus_buffer.scala 505:65] + wire _T_3744 = _T_3743 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] + wire _T_3745 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_107 = _T_3737 ? _T_3744 : _T_3745; // @[Conditional.scala 39:67] + wire _GEN_113 = _T_3719 ? _T_3612 : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_120 = _T_3632 ? _T_3612 : _GEN_113; // @[Conditional.scala 39:67] + wire _GEN_130 = _T_3598 ? _T_3612 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3594 ? _T_3404 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3571 ? _T_3587 : _GEN_140; // @[Conditional.scala 40:58] + wire _T_2097 = _T_1788 & buf_state_en_1; // @[el2_lsu_bus_buffer.scala 423:94] + wire _T_2107 = _T_2003 & _T_1791; // @[el2_lsu_bus_buffer.scala 425:71] + wire _T_2109 = _T_2107 & _T_1778; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2110 = _T_2000 | _T_2109; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2114 = _T_2010 & _T_1794; // @[el2_lsu_bus_buffer.scala 426:52] + wire _T_2116 = _T_2114 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2117 = _T_2110 | _T_2116; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2118 = _T_2097 & _T_2117; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2120 = _T_2118 | buf_age_1[0]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2134 = _T_2107 & _T_1789; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2135 = _T_2025 | _T_2134; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2141 = _T_2114 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2142 = _T_2135 | _T_2141; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2143 = _T_2097 & _T_2142; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2145 = _T_2143 | buf_age_1[1]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2159 = _T_2107 & _T_1800; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2160 = _T_2050 | _T_2159; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2166 = _T_2114 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2167 = _T_2160 | _T_2166; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2168 = _T_2097 & _T_2167; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2170 = _T_2168 | buf_age_1[2]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2184 = _T_2107 & _T_1811; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2185 = _T_2075 | _T_2184; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2191 = _T_2114 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2192 = _T_2185 | _T_2191; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2193 = _T_2097 & _T_2192; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2195 = _T_2193 | buf_age_1[3]; // @[el2_lsu_bus_buffer.scala 426:97] + wire [2:0] _T_2197 = {_T_2195,_T_2170,_T_2145}; // @[Cat.scala 29:58] + wire _T_3771 = 2'h2 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] + wire _T_3772 = _T_3384 & _T_3771; // @[el2_lsu_bus_buffer.scala 460:112] + wire _T_3774 = 2'h2 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] + wire _T_3775 = _T_3387 & _T_3774; // @[el2_lsu_bus_buffer.scala 460:161] + wire _T_3776 = _T_3772 | _T_3775; // @[el2_lsu_bus_buffer.scala 460:132] + wire _T_3777 = _T_766 & _T_3776; // @[el2_lsu_bus_buffer.scala 460:63] + wire _T_3778 = 2'h2 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] + wire _T_3779 = ibuf_drain_vld & _T_3778; // @[el2_lsu_bus_buffer.scala 460:201] + wire _T_3780 = _T_3777 | _T_3779; // @[el2_lsu_bus_buffer.scala 460:183] + wire _T_3825 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3870 = io_lsu_axi_bid == 3'h2; // @[el2_lsu_bus_buffer.scala 485:73] + wire _T_3871 = bus_rsp_write & _T_3870; // @[el2_lsu_bus_buffer.scala 485:52] + wire _T_3872 = io_lsu_axi_rid == 3'h2; // @[el2_lsu_bus_buffer.scala 486:46] + wire [2:0] _GEN_395 = {{1'd0}, buf_ldfwdtag_2}; // @[el2_lsu_bus_buffer.scala 487:47] + wire _T_3874 = io_lsu_axi_rid == _GEN_395; // @[el2_lsu_bus_buffer.scala 487:47] + wire _T_3875 = buf_ldfwd[2] & _T_3874; // @[el2_lsu_bus_buffer.scala 487:27] + wire _T_3876 = _T_3872 | _T_3875; // @[el2_lsu_bus_buffer.scala 486:77] + wire _T_3877 = buf_dual_2 & buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 488:26] + wire _T_3879 = ~buf_write[2]; // @[el2_lsu_bus_buffer.scala 488:44] + wire _T_3880 = _T_3877 & _T_3879; // @[el2_lsu_bus_buffer.scala 488:42] + wire _T_3881 = _T_3880 & buf_samedw_2; // @[el2_lsu_bus_buffer.scala 488:58] reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] - wire [2:0] _GEN_408 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_3906 = io_lsu_axi_rid == _GEN_408; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_3907 = _T_3905 & _T_3906; // @[el2_lsu_bus_buffer.scala 488:74] - wire _T_3908 = _T_3900 | _T_3907; // @[el2_lsu_bus_buffer.scala 487:71] - wire _T_3909 = bus_rsp_read & _T_3908; // @[el2_lsu_bus_buffer.scala 486:25] - wire _T_3910 = _T_3895 | _T_3909; // @[el2_lsu_bus_buffer.scala 485:105] - wire _GEN_194 = _T_3849 & _T_3910; // @[Conditional.scala 39:67] - wire _GEN_213 = _T_3815 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] - wire _GEN_225 = _T_3811 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_2 = _T_3788 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] - wire _T_3936 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] - wire [3:0] _T_3946 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 500:21] + wire [2:0] _GEN_396 = {{1'd0}, buf_dualtag_2}; // @[el2_lsu_bus_buffer.scala 488:94] + wire _T_3882 = io_lsu_axi_rid == _GEN_396; // @[el2_lsu_bus_buffer.scala 488:94] + wire _T_3883 = _T_3881 & _T_3882; // @[el2_lsu_bus_buffer.scala 488:74] + wire _T_3884 = _T_3876 | _T_3883; // @[el2_lsu_bus_buffer.scala 487:71] + wire _T_3885 = bus_rsp_read & _T_3884; // @[el2_lsu_bus_buffer.scala 486:25] + wire _T_3886 = _T_3871 | _T_3885; // @[el2_lsu_bus_buffer.scala 485:105] + wire _GEN_194 = _T_3825 & _T_3886; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3791 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3787 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3764 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] + wire _T_3912 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire [3:0] _T_3922 = buf_ldfwd >> buf_dualtag_2; // @[el2_lsu_bus_buffer.scala 500:21] wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 500:58] wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[el2_lsu_bus_buffer.scala 500:58] wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[el2_lsu_bus_buffer.scala 500:58] - wire [2:0] _GEN_410 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_3948 = io_lsu_axi_rid == _GEN_410; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_3949 = _T_3946[0] & _T_3948; // @[el2_lsu_bus_buffer.scala 500:38] - wire _T_3950 = _T_3906 | _T_3949; // @[el2_lsu_bus_buffer.scala 499:95] - wire _T_3951 = bus_rsp_read & _T_3950; // @[el2_lsu_bus_buffer.scala 499:45] - wire _GEN_188 = _T_3936 & _T_3951; // @[Conditional.scala 39:67] - wire _GEN_195 = _T_3849 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] - wire _GEN_205 = _T_3815 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] - wire _GEN_218 = _T_3811 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] - wire buf_state_bus_en_2 = _T_3788 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] - wire _T_3828 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] - wire _T_3829 = _T_3828 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] - wire _T_3954 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] - wire _T_3957 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 505:37] - wire _T_3958 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] - wire _T_3959 = buf_dual_2 & _T_3958; // @[el2_lsu_bus_buffer.scala 505:80] - wire _T_3960 = _T_3957 | _T_3959; // @[el2_lsu_bus_buffer.scala 505:65] - wire _T_3961 = _T_3960 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] - wire _T_3962 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] - wire _GEN_183 = _T_3954 ? _T_3961 : _T_3962; // @[Conditional.scala 39:67] - wire _GEN_189 = _T_3936 ? _T_3829 : _GEN_183; // @[Conditional.scala 39:67] - wire _GEN_196 = _T_3849 ? _T_3829 : _GEN_189; // @[Conditional.scala 39:67] - wire _GEN_206 = _T_3815 ? _T_3829 : _GEN_196; // @[Conditional.scala 39:67] - wire _GEN_216 = _T_3811 ? _T_3428 : _GEN_206; // @[Conditional.scala 39:67] - wire buf_state_en_2 = _T_3788 ? _T_3804 : _GEN_216; // @[Conditional.scala 40:58] - wire _T_2223 = _T_1811 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 423:94] - wire _T_2233 = _T_2027 & _T_1818; // @[el2_lsu_bus_buffer.scala 425:71] - wire _T_2235 = _T_2233 & _T_1780; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2236 = _T_2024 | _T_2235; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2240 = _T_2034 & _T_1823; // @[el2_lsu_bus_buffer.scala 426:52] - wire _T_2242 = _T_2240 & _T_1784; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2243 = _T_2236 | _T_2242; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2244 = _T_2223 & _T_2243; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2246 = _T_2244 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2260 = _T_2233 & _T_1797; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2261 = _T_2049 | _T_2260; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2267 = _T_2240 & _T_1801; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2268 = _T_2261 | _T_2267; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2269 = _T_2223 & _T_2268; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2271 = _T_2269 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2285 = _T_2233 & _T_1814; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2286 = _T_2074 | _T_2285; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2292 = _T_2240 & _T_1818; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2293 = _T_2286 | _T_2292; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2294 = _T_2223 & _T_2293; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2296 = _T_2294 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2310 = _T_2233 & _T_1831; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2311 = _T_2099 | _T_2310; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2317 = _T_2240 & _T_1835; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2318 = _T_2311 | _T_2317; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2319 = _T_2223 & _T_2318; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2321 = _T_2319 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 426:97] - wire [2:0] _T_2323 = {_T_2321,_T_2296,_T_2271}; // @[Cat.scala 29:58] - wire _T_3988 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] - wire _T_3989 = _T_3408 & _T_3988; // @[el2_lsu_bus_buffer.scala 460:112] - wire _T_3991 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] - wire _T_3992 = _T_3411 & _T_3991; // @[el2_lsu_bus_buffer.scala 460:161] - wire _T_3993 = _T_3989 | _T_3992; // @[el2_lsu_bus_buffer.scala 460:132] - wire _T_3994 = _T_766 & _T_3993; // @[el2_lsu_bus_buffer.scala 460:63] - wire _T_3995 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] - wire _T_3996 = ibuf_drain_vld & _T_3995; // @[el2_lsu_bus_buffer.scala 460:201] - wire _T_3997 = _T_3994 | _T_3996; // @[el2_lsu_bus_buffer.scala 460:183] - wire _T_4042 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4087 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 485:73] - wire _T_4088 = bus_rsp_write & _T_4087; // @[el2_lsu_bus_buffer.scala 485:52] - wire _T_4089 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 486:46] - wire [2:0] _GEN_411 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_4091 = io_lsu_axi_rid == _GEN_411; // @[el2_lsu_bus_buffer.scala 487:47] - wire _T_4092 = buf_ldfwd[3] & _T_4091; // @[el2_lsu_bus_buffer.scala 487:27] - wire _T_4093 = _T_4089 | _T_4092; // @[el2_lsu_bus_buffer.scala 486:77] - wire _T_4094 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 488:26] - wire _T_4096 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 488:44] - wire _T_4097 = _T_4094 & _T_4096; // @[el2_lsu_bus_buffer.scala 488:42] - wire _T_4098 = _T_4097 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 488:58] + wire [2:0] _GEN_398 = {{1'd0}, _GEN_177}; // @[el2_lsu_bus_buffer.scala 500:58] + wire _T_3924 = io_lsu_axi_rid == _GEN_398; // @[el2_lsu_bus_buffer.scala 500:58] + wire _T_3925 = _T_3922[0] & _T_3924; // @[el2_lsu_bus_buffer.scala 500:38] + wire _T_3926 = _T_3882 | _T_3925; // @[el2_lsu_bus_buffer.scala 499:95] + wire _T_3927 = bus_rsp_read & _T_3926; // @[el2_lsu_bus_buffer.scala 499:45] + wire _GEN_188 = _T_3912 & _T_3927; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_3825 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3791 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_218 = _T_3787 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3764 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] + wire _T_3804 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] + wire _T_3805 = _T_3804 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] + wire _T_3930 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3933 = RspPtr == 2'h2; // @[el2_lsu_bus_buffer.scala 505:37] + wire _T_3934 = buf_dualtag_2 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] + wire _T_3935 = buf_dual_2 & _T_3934; // @[el2_lsu_bus_buffer.scala 505:80] + wire _T_3936 = _T_3933 | _T_3935; // @[el2_lsu_bus_buffer.scala 505:65] + wire _T_3937 = _T_3936 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] + wire _T_3938 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_183 = _T_3930 ? _T_3937 : _T_3938; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_3912 ? _T_3805 : _GEN_183; // @[Conditional.scala 39:67] + wire _GEN_196 = _T_3825 ? _T_3805 : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_206 = _T_3791 ? _T_3805 : _GEN_196; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3787 ? _T_3404 : _GEN_206; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3764 ? _T_3780 : _GEN_216; // @[Conditional.scala 40:58] + wire _T_2199 = _T_1799 & buf_state_en_2; // @[el2_lsu_bus_buffer.scala 423:94] + wire _T_2209 = _T_2003 & _T_1802; // @[el2_lsu_bus_buffer.scala 425:71] + wire _T_2211 = _T_2209 & _T_1778; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2212 = _T_2000 | _T_2211; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2216 = _T_2010 & _T_1805; // @[el2_lsu_bus_buffer.scala 426:52] + wire _T_2218 = _T_2216 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2219 = _T_2212 | _T_2218; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2220 = _T_2199 & _T_2219; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2222 = _T_2220 | buf_age_2[0]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2236 = _T_2209 & _T_1789; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2237 = _T_2025 | _T_2236; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2243 = _T_2216 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2244 = _T_2237 | _T_2243; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2245 = _T_2199 & _T_2244; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2247 = _T_2245 | buf_age_2[1]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2261 = _T_2209 & _T_1800; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2262 = _T_2050 | _T_2261; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2268 = _T_2216 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2269 = _T_2262 | _T_2268; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2270 = _T_2199 & _T_2269; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2272 = _T_2270 | buf_age_2[2]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2286 = _T_2209 & _T_1811; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2287 = _T_2075 | _T_2286; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2293 = _T_2216 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2294 = _T_2287 | _T_2293; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2295 = _T_2199 & _T_2294; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2297 = _T_2295 | buf_age_2[3]; // @[el2_lsu_bus_buffer.scala 426:97] + wire [2:0] _T_2299 = {_T_2297,_T_2272,_T_2247}; // @[Cat.scala 29:58] + wire _T_3964 = 2'h3 == WrPtr0_r; // @[el2_lsu_bus_buffer.scala 460:117] + wire _T_3965 = _T_3384 & _T_3964; // @[el2_lsu_bus_buffer.scala 460:112] + wire _T_3967 = 2'h3 == WrPtr1_r; // @[el2_lsu_bus_buffer.scala 460:166] + wire _T_3968 = _T_3387 & _T_3967; // @[el2_lsu_bus_buffer.scala 460:161] + wire _T_3969 = _T_3965 | _T_3968; // @[el2_lsu_bus_buffer.scala 460:132] + wire _T_3970 = _T_766 & _T_3969; // @[el2_lsu_bus_buffer.scala 460:63] + wire _T_3971 = 2'h3 == ibuf_tag; // @[el2_lsu_bus_buffer.scala 460:206] + wire _T_3972 = ibuf_drain_vld & _T_3971; // @[el2_lsu_bus_buffer.scala 460:201] + wire _T_3973 = _T_3970 | _T_3972; // @[el2_lsu_bus_buffer.scala 460:183] + wire _T_4018 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4063 = io_lsu_axi_bid == 3'h3; // @[el2_lsu_bus_buffer.scala 485:73] + wire _T_4064 = bus_rsp_write & _T_4063; // @[el2_lsu_bus_buffer.scala 485:52] + wire _T_4065 = io_lsu_axi_rid == 3'h3; // @[el2_lsu_bus_buffer.scala 486:46] + wire [2:0] _GEN_399 = {{1'd0}, buf_ldfwdtag_3}; // @[el2_lsu_bus_buffer.scala 487:47] + wire _T_4067 = io_lsu_axi_rid == _GEN_399; // @[el2_lsu_bus_buffer.scala 487:47] + wire _T_4068 = buf_ldfwd[3] & _T_4067; // @[el2_lsu_bus_buffer.scala 487:27] + wire _T_4069 = _T_4065 | _T_4068; // @[el2_lsu_bus_buffer.scala 486:77] + wire _T_4070 = buf_dual_3 & buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 488:26] + wire _T_4072 = ~buf_write[3]; // @[el2_lsu_bus_buffer.scala 488:44] + wire _T_4073 = _T_4070 & _T_4072; // @[el2_lsu_bus_buffer.scala 488:42] + wire _T_4074 = _T_4073 & buf_samedw_3; // @[el2_lsu_bus_buffer.scala 488:58] reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] - wire [2:0] _GEN_412 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_4099 = io_lsu_axi_rid == _GEN_412; // @[el2_lsu_bus_buffer.scala 488:94] - wire _T_4100 = _T_4098 & _T_4099; // @[el2_lsu_bus_buffer.scala 488:74] - wire _T_4101 = _T_4093 | _T_4100; // @[el2_lsu_bus_buffer.scala 487:71] - wire _T_4102 = bus_rsp_read & _T_4101; // @[el2_lsu_bus_buffer.scala 486:25] - wire _T_4103 = _T_4088 | _T_4102; // @[el2_lsu_bus_buffer.scala 485:105] - wire _GEN_270 = _T_4042 & _T_4103; // @[Conditional.scala 39:67] - wire _GEN_289 = _T_4008 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] - wire _GEN_301 = _T_4004 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] - wire buf_resp_state_bus_en_3 = _T_3981 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] - wire _T_4129 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] - wire [3:0] _T_4139 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 500:21] + wire [2:0] _GEN_400 = {{1'd0}, buf_dualtag_3}; // @[el2_lsu_bus_buffer.scala 488:94] + wire _T_4075 = io_lsu_axi_rid == _GEN_400; // @[el2_lsu_bus_buffer.scala 488:94] + wire _T_4076 = _T_4074 & _T_4075; // @[el2_lsu_bus_buffer.scala 488:74] + wire _T_4077 = _T_4069 | _T_4076; // @[el2_lsu_bus_buffer.scala 487:71] + wire _T_4078 = bus_rsp_read & _T_4077; // @[el2_lsu_bus_buffer.scala 486:25] + wire _T_4079 = _T_4064 | _T_4078; // @[el2_lsu_bus_buffer.scala 485:105] + wire _GEN_270 = _T_4018 & _T_4079; // @[Conditional.scala 39:67] + wire _GEN_289 = _T_3984 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] + wire _GEN_301 = _T_3980 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_3957 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] + wire _T_4105 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire [3:0] _T_4115 = buf_ldfwd >> buf_dualtag_3; // @[el2_lsu_bus_buffer.scala 500:21] wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[el2_lsu_bus_buffer.scala 500:58] wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[el2_lsu_bus_buffer.scala 500:58] wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[el2_lsu_bus_buffer.scala 500:58] - wire [2:0] _GEN_414 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_4141 = io_lsu_axi_rid == _GEN_414; // @[el2_lsu_bus_buffer.scala 500:58] - wire _T_4142 = _T_4139[0] & _T_4141; // @[el2_lsu_bus_buffer.scala 500:38] - wire _T_4143 = _T_4099 | _T_4142; // @[el2_lsu_bus_buffer.scala 499:95] - wire _T_4144 = bus_rsp_read & _T_4143; // @[el2_lsu_bus_buffer.scala 499:45] - wire _GEN_264 = _T_4129 & _T_4144; // @[Conditional.scala 39:67] - wire _GEN_271 = _T_4042 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] - wire _GEN_281 = _T_4008 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] - wire _GEN_294 = _T_4004 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] - wire buf_state_bus_en_3 = _T_3981 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] - wire _T_4021 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] - wire _T_4022 = _T_4021 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] - wire _T_4147 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] - wire _T_4150 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 505:37] - wire _T_4151 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] - wire _T_4152 = buf_dual_3 & _T_4151; // @[el2_lsu_bus_buffer.scala 505:80] - wire _T_4153 = _T_4150 | _T_4152; // @[el2_lsu_bus_buffer.scala 505:65] - wire _T_4154 = _T_4153 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] - wire _T_4155 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] - wire _GEN_259 = _T_4147 ? _T_4154 : _T_4155; // @[Conditional.scala 39:67] - wire _GEN_265 = _T_4129 ? _T_4022 : _GEN_259; // @[Conditional.scala 39:67] - wire _GEN_272 = _T_4042 ? _T_4022 : _GEN_265; // @[Conditional.scala 39:67] - wire _GEN_282 = _T_4008 ? _T_4022 : _GEN_272; // @[Conditional.scala 39:67] - wire _GEN_292 = _T_4004 ? _T_3428 : _GEN_282; // @[Conditional.scala 39:67] - wire buf_state_en_3 = _T_3981 ? _T_3997 : _GEN_292; // @[Conditional.scala 40:58] - wire _T_2325 = _T_1828 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 423:94] - wire _T_2335 = _T_2027 & _T_1835; // @[el2_lsu_bus_buffer.scala 425:71] - wire _T_2337 = _T_2335 & _T_1780; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2338 = _T_2024 | _T_2337; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2342 = _T_2034 & _T_1840; // @[el2_lsu_bus_buffer.scala 426:52] - wire _T_2344 = _T_2342 & _T_1784; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2345 = _T_2338 | _T_2344; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2346 = _T_2325 & _T_2345; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2348 = _T_2346 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2362 = _T_2335 & _T_1797; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2363 = _T_2049 | _T_2362; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2369 = _T_2342 & _T_1801; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2370 = _T_2363 | _T_2369; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2371 = _T_2325 & _T_2370; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2373 = _T_2371 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2387 = _T_2335 & _T_1814; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2388 = _T_2074 | _T_2387; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2394 = _T_2342 & _T_1818; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2395 = _T_2388 | _T_2394; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2396 = _T_2325 & _T_2395; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2398 = _T_2396 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 426:97] - wire _T_2412 = _T_2335 & _T_1831; // @[el2_lsu_bus_buffer.scala 425:92] - wire _T_2413 = _T_2099 | _T_2412; // @[el2_lsu_bus_buffer.scala 424:86] - wire _T_2419 = _T_2342 & _T_1835; // @[el2_lsu_bus_buffer.scala 426:73] - wire _T_2420 = _T_2413 | _T_2419; // @[el2_lsu_bus_buffer.scala 425:114] - wire _T_2421 = _T_2325 & _T_2420; // @[el2_lsu_bus_buffer.scala 423:113] - wire _T_2423 = _T_2421 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 426:97] - wire [2:0] _T_2425 = {_T_2423,_T_2398,_T_2373}; // @[Cat.scala 29:58] - wire _T_2673 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] - wire _T_2674 = _T_1777 | _T_2673; // @[el2_lsu_bus_buffer.scala 434:34] - wire _T_2675 = ~_T_2674; // @[el2_lsu_bus_buffer.scala 434:8] - wire _T_2683 = _T_2675 | _T_2031; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2690 = _T_2683 | _T_2038; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2691 = _T_2019 & _T_2690; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2695 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] - wire _T_2696 = _T_1794 | _T_2695; // @[el2_lsu_bus_buffer.scala 434:34] - wire _T_2697 = ~_T_2696; // @[el2_lsu_bus_buffer.scala 434:8] - wire _T_2705 = _T_2697 | _T_2056; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2712 = _T_2705 | _T_2063; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2713 = _T_2019 & _T_2712; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2717 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] - wire _T_2718 = _T_1811 | _T_2717; // @[el2_lsu_bus_buffer.scala 434:34] - wire _T_2719 = ~_T_2718; // @[el2_lsu_bus_buffer.scala 434:8] - wire _T_2727 = _T_2719 | _T_2081; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2734 = _T_2727 | _T_2088; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2735 = _T_2019 & _T_2734; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2739 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] - wire _T_2740 = _T_1828 | _T_2739; // @[el2_lsu_bus_buffer.scala 434:34] - wire _T_2741 = ~_T_2740; // @[el2_lsu_bus_buffer.scala 434:8] - wire _T_2749 = _T_2741 | _T_2106; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2756 = _T_2749 | _T_2113; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2757 = _T_2019 & _T_2756; // @[el2_lsu_bus_buffer.scala 433:114] - wire [3:0] buf_rspage_set_0 = {_T_2757,_T_2735,_T_2713,_T_2691}; // @[Cat.scala 29:58] - wire _T_2774 = _T_2675 | _T_2133; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2781 = _T_2774 | _T_2140; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2782 = _T_2121 & _T_2781; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2796 = _T_2697 | _T_2158; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2803 = _T_2796 | _T_2165; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2804 = _T_2121 & _T_2803; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2818 = _T_2719 | _T_2183; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2825 = _T_2818 | _T_2190; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2826 = _T_2121 & _T_2825; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2840 = _T_2741 | _T_2208; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2847 = _T_2840 | _T_2215; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2848 = _T_2121 & _T_2847; // @[el2_lsu_bus_buffer.scala 433:114] - wire [3:0] buf_rspage_set_1 = {_T_2848,_T_2826,_T_2804,_T_2782}; // @[Cat.scala 29:58] - wire _T_2865 = _T_2675 | _T_2235; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2872 = _T_2865 | _T_2242; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2873 = _T_2223 & _T_2872; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2887 = _T_2697 | _T_2260; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2894 = _T_2887 | _T_2267; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2895 = _T_2223 & _T_2894; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2909 = _T_2719 | _T_2285; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2916 = _T_2909 | _T_2292; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2917 = _T_2223 & _T_2916; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2931 = _T_2741 | _T_2310; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2938 = _T_2931 | _T_2317; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2939 = _T_2223 & _T_2938; // @[el2_lsu_bus_buffer.scala 433:114] - wire [3:0] buf_rspage_set_2 = {_T_2939,_T_2917,_T_2895,_T_2873}; // @[Cat.scala 29:58] - wire _T_2956 = _T_2675 | _T_2337; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2963 = _T_2956 | _T_2344; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2964 = _T_2325 & _T_2963; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_2978 = _T_2697 | _T_2362; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_2985 = _T_2978 | _T_2369; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_2986 = _T_2325 & _T_2985; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_3000 = _T_2719 | _T_2387; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_3007 = _T_3000 | _T_2394; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_3008 = _T_2325 & _T_3007; // @[el2_lsu_bus_buffer.scala 433:114] - wire _T_3022 = _T_2741 | _T_2412; // @[el2_lsu_bus_buffer.scala 434:61] - wire _T_3029 = _T_3022 | _T_2419; // @[el2_lsu_bus_buffer.scala 435:112] - wire _T_3030 = _T_2325 & _T_3029; // @[el2_lsu_bus_buffer.scala 433:114] - wire [3:0] buf_rspage_set_3 = {_T_3030,_T_3008,_T_2986,_T_2964}; // @[Cat.scala 29:58] - wire _T_3115 = _T_2739 | _T_1828; // @[el2_lsu_bus_buffer.scala 438:112] - wire _T_3116 = ~_T_3115; // @[el2_lsu_bus_buffer.scala 438:86] - wire _T_3117 = buf_rspageQ_0[3] & _T_3116; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3109 = _T_2717 | _T_1811; // @[el2_lsu_bus_buffer.scala 438:112] - wire _T_3110 = ~_T_3109; // @[el2_lsu_bus_buffer.scala 438:86] - wire _T_3111 = buf_rspageQ_0[2] & _T_3110; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3103 = _T_2695 | _T_1794; // @[el2_lsu_bus_buffer.scala 438:112] - wire _T_3104 = ~_T_3103; // @[el2_lsu_bus_buffer.scala 438:86] - wire _T_3105 = buf_rspageQ_0[1] & _T_3104; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3097 = _T_2673 | _T_1777; // @[el2_lsu_bus_buffer.scala 438:112] - wire _T_3098 = ~_T_3097; // @[el2_lsu_bus_buffer.scala 438:86] - wire _T_3099 = buf_rspageQ_0[0] & _T_3098; // @[el2_lsu_bus_buffer.scala 438:84] - wire [3:0] buf_rspage_0 = {_T_3117,_T_3111,_T_3105,_T_3099}; // @[Cat.scala 29:58] - wire _T_3036 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3039 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3042 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3045 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 437:90] - wire [2:0] _T_3047 = {_T_3045,_T_3042,_T_3039}; // @[Cat.scala 29:58] - wire _T_3144 = buf_rspageQ_1[3] & _T_3116; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3138 = buf_rspageQ_1[2] & _T_3110; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3132 = buf_rspageQ_1[1] & _T_3104; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3126 = buf_rspageQ_1[0] & _T_3098; // @[el2_lsu_bus_buffer.scala 438:84] - wire [3:0] buf_rspage_1 = {_T_3144,_T_3138,_T_3132,_T_3126}; // @[Cat.scala 29:58] - wire _T_3051 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3054 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3057 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3060 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 437:90] - wire [2:0] _T_3062 = {_T_3060,_T_3057,_T_3054}; // @[Cat.scala 29:58] - wire _T_3171 = buf_rspageQ_2[3] & _T_3116; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3165 = buf_rspageQ_2[2] & _T_3110; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3159 = buf_rspageQ_2[1] & _T_3104; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3153 = buf_rspageQ_2[0] & _T_3098; // @[el2_lsu_bus_buffer.scala 438:84] - wire [3:0] buf_rspage_2 = {_T_3171,_T_3165,_T_3159,_T_3153}; // @[Cat.scala 29:58] - wire _T_3066 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3069 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3072 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3075 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 437:90] - wire [2:0] _T_3077 = {_T_3075,_T_3072,_T_3069}; // @[Cat.scala 29:58] - wire _T_3198 = buf_rspageQ_3[3] & _T_3116; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3192 = buf_rspageQ_3[2] & _T_3110; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3186 = buf_rspageQ_3[1] & _T_3104; // @[el2_lsu_bus_buffer.scala 438:84] - wire _T_3180 = buf_rspageQ_3[0] & _T_3098; // @[el2_lsu_bus_buffer.scala 438:84] - wire [3:0] buf_rspage_3 = {_T_3198,_T_3192,_T_3186,_T_3180}; // @[Cat.scala 29:58] - wire _T_3081 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3084 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3087 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 437:90] - wire _T_3090 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 437:90] - wire [2:0] _T_3092 = {_T_3090,_T_3087,_T_3084}; // @[Cat.scala 29:58] - wire _T_3203 = ibuf_drain_vld & _T_1780; // @[el2_lsu_bus_buffer.scala 443:65] - wire _T_3205 = ibuf_drain_vld & _T_1797; // @[el2_lsu_bus_buffer.scala 443:65] - wire _T_3207 = ibuf_drain_vld & _T_1814; // @[el2_lsu_bus_buffer.scala 443:65] - wire _T_3209 = ibuf_drain_vld & _T_1831; // @[el2_lsu_bus_buffer.scala 443:65] - wire [3:0] ibuf_drainvec_vld = {_T_3209,_T_3207,_T_3205,_T_3203}; // @[Cat.scala 29:58] - wire _T_3217 = _T_3411 & _T_1789; // @[el2_lsu_bus_buffer.scala 444:123] - wire [3:0] _T_3220 = _T_3217 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] - wire [3:0] _T_3221 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3220; // @[el2_lsu_bus_buffer.scala 444:48] - wire _T_3226 = _T_3411 & _T_1806; // @[el2_lsu_bus_buffer.scala 444:123] - wire [3:0] _T_3229 = _T_3226 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] - wire [3:0] _T_3230 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3229; // @[el2_lsu_bus_buffer.scala 444:48] - wire _T_3235 = _T_3411 & _T_1823; // @[el2_lsu_bus_buffer.scala 444:123] - wire [3:0] _T_3238 = _T_3235 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] - wire [3:0] _T_3239 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3238; // @[el2_lsu_bus_buffer.scala 444:48] - wire _T_3244 = _T_3411 & _T_1840; // @[el2_lsu_bus_buffer.scala 444:123] - wire [3:0] _T_3247 = _T_3244 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] - wire [3:0] _T_3248 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3247; // @[el2_lsu_bus_buffer.scala 444:48] - wire _T_3274 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] - wire _T_3276 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] - wire _T_3278 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] - wire _T_3280 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] - wire [3:0] buf_dual_in = {_T_3280,_T_3278,_T_3276,_T_3274}; // @[Cat.scala 29:58] - wire _T_3285 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] - wire _T_3287 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] - wire _T_3289 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] - wire _T_3291 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] - wire [3:0] buf_samedw_in = {_T_3291,_T_3289,_T_3287,_T_3285}; // @[Cat.scala 29:58] - wire _T_3296 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 448:86] - wire _T_3297 = ibuf_drainvec_vld[0] ? _T_3296 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] - wire _T_3300 = ibuf_drainvec_vld[1] ? _T_3296 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] - wire _T_3303 = ibuf_drainvec_vld[2] ? _T_3296 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] - wire _T_3306 = ibuf_drainvec_vld[3] ? _T_3296 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] - wire [3:0] buf_nomerge_in = {_T_3306,_T_3303,_T_3300,_T_3297}; // @[Cat.scala 29:58] - wire _T_3314 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3217; // @[el2_lsu_bus_buffer.scala 449:49] - wire _T_3319 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3226; // @[el2_lsu_bus_buffer.scala 449:49] - wire _T_3324 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3235; // @[el2_lsu_bus_buffer.scala 449:49] - wire _T_3329 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3244; // @[el2_lsu_bus_buffer.scala 449:49] - wire [3:0] buf_dualhi_in = {_T_3329,_T_3324,_T_3319,_T_3314}; // @[Cat.scala 29:58] - wire _T_3358 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] - wire _T_3360 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] - wire _T_3362 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] - wire _T_3364 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] - wire [3:0] buf_sideeffect_in = {_T_3364,_T_3362,_T_3360,_T_3358}; // @[Cat.scala 29:58] - wire _T_3369 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] - wire _T_3371 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] - wire _T_3373 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] - wire _T_3375 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] - wire [3:0] buf_unsign_in = {_T_3375,_T_3373,_T_3371,_T_3369}; // @[Cat.scala 29:58] - wire _T_3392 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] - wire _T_3394 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] - wire _T_3396 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] - wire _T_3398 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] - wire [3:0] buf_write_in = {_T_3398,_T_3396,_T_3394,_T_3392}; // @[Cat.scala 29:58] - wire [31:0] _T_3424 = _T_3417 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] - wire _T_3431 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 470:89] - wire _T_3433 = _T_3431 & _T_1276; // @[el2_lsu_bus_buffer.scala 470:104] - wire _T_3446 = buf_state_en_0 & _T_1129; // @[el2_lsu_bus_buffer.scala 475:44] - wire _T_3447 = _T_3446 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] - wire _T_3449 = _T_3447 & _T_1258; // @[el2_lsu_bus_buffer.scala 475:74] - wire _T_3452 = _T_3442 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] - wire _T_3453 = _T_3452 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] - wire _T_4747 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 579:58] - wire bus_rsp_read_error = bus_rsp_read & _T_4747; // @[el2_lsu_bus_buffer.scala 579:38] - wire _T_3456 = _T_3452 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] - wire [31:0] _T_3461 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] - wire _T_3531 = bus_rsp_read_error & _T_3510; // @[el2_lsu_bus_buffer.scala 492:91] - wire _T_3533 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 493:31] - wire _T_3535 = _T_3533 & _T_3512; // @[el2_lsu_bus_buffer.scala 493:46] - wire _T_3536 = _T_3531 | _T_3535; // @[el2_lsu_bus_buffer.scala 492:143] - wire bus_rsp_write_error = bus_rsp_write & _T_4747; // @[el2_lsu_bus_buffer.scala 578:40] - wire _T_3539 = bus_rsp_write_error & _T_3508; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_3540 = _T_3536 | _T_3539; // @[el2_lsu_bus_buffer.scala 493:88] - wire _T_3541 = _T_3442 & _T_3540; // @[el2_lsu_bus_buffer.scala 492:68] - wire _GEN_46 = _T_3463 & _T_3541; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_3429 ? _T_3456 : _GEN_46; // @[Conditional.scala 39:67] - wire _GEN_71 = _T_3425 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] - wire buf_error_en_0 = _T_3402 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] - wire [31:0] _T_3462 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3461; // @[el2_lsu_bus_buffer.scala 479:30] - wire _T_3466 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 482:73] - wire _T_3467 = buf_write[0] & _T_3466; // @[el2_lsu_bus_buffer.scala 482:71] - wire _T_3468 = io_dec_tlu_force_halt | _T_3467; // @[el2_lsu_bus_buffer.scala 482:55] - wire _T_3470 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_3471 = buf_dual_0 & _T_3470; // @[el2_lsu_bus_buffer.scala 483:28] - wire _T_3474 = _T_3471 & _T_1129; // @[el2_lsu_bus_buffer.scala 483:45] + wire [2:0] _GEN_402 = {{1'd0}, _GEN_253}; // @[el2_lsu_bus_buffer.scala 500:58] + wire _T_4117 = io_lsu_axi_rid == _GEN_402; // @[el2_lsu_bus_buffer.scala 500:58] + wire _T_4118 = _T_4115[0] & _T_4117; // @[el2_lsu_bus_buffer.scala 500:38] + wire _T_4119 = _T_4075 | _T_4118; // @[el2_lsu_bus_buffer.scala 499:95] + wire _T_4120 = bus_rsp_read & _T_4119; // @[el2_lsu_bus_buffer.scala 499:45] + wire _GEN_264 = _T_4105 & _T_4120; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4018 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_3984 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_3980 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_3957 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] + wire _T_3997 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 473:49] + wire _T_3998 = _T_3997 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 473:70] + wire _T_4123 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4126 = RspPtr == 2'h3; // @[el2_lsu_bus_buffer.scala 505:37] + wire _T_4127 = buf_dualtag_3 == RspPtr; // @[el2_lsu_bus_buffer.scala 505:98] + wire _T_4128 = buf_dual_3 & _T_4127; // @[el2_lsu_bus_buffer.scala 505:80] + wire _T_4129 = _T_4126 | _T_4128; // @[el2_lsu_bus_buffer.scala 505:65] + wire _T_4130 = _T_4129 | io_dec_tlu_force_halt; // @[el2_lsu_bus_buffer.scala 505:112] + wire _T_4131 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_259 = _T_4123 ? _T_4130 : _T_4131; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4105 ? _T_3998 : _GEN_259; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4018 ? _T_3998 : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_3984 ? _T_3998 : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_292 = _T_3980 ? _T_3404 : _GEN_282; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_3957 ? _T_3973 : _GEN_292; // @[Conditional.scala 40:58] + wire _T_2301 = _T_1810 & buf_state_en_3; // @[el2_lsu_bus_buffer.scala 423:94] + wire _T_2311 = _T_2003 & _T_1813; // @[el2_lsu_bus_buffer.scala 425:71] + wire _T_2313 = _T_2311 & _T_1778; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2314 = _T_2000 | _T_2313; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2318 = _T_2010 & _T_1816; // @[el2_lsu_bus_buffer.scala 426:52] + wire _T_2320 = _T_2318 & _T_1780; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2321 = _T_2314 | _T_2320; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2322 = _T_2301 & _T_2321; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2324 = _T_2322 | buf_age_3[0]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2338 = _T_2311 & _T_1789; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2339 = _T_2025 | _T_2338; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2345 = _T_2318 & _T_1791; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2346 = _T_2339 | _T_2345; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2347 = _T_2301 & _T_2346; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2349 = _T_2347 | buf_age_3[1]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2363 = _T_2311 & _T_1800; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2364 = _T_2050 | _T_2363; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2370 = _T_2318 & _T_1802; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2371 = _T_2364 | _T_2370; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2372 = _T_2301 & _T_2371; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2374 = _T_2372 | buf_age_3[2]; // @[el2_lsu_bus_buffer.scala 426:97] + wire _T_2388 = _T_2311 & _T_1811; // @[el2_lsu_bus_buffer.scala 425:92] + wire _T_2389 = _T_2075 | _T_2388; // @[el2_lsu_bus_buffer.scala 424:86] + wire _T_2395 = _T_2318 & _T_1813; // @[el2_lsu_bus_buffer.scala 426:73] + wire _T_2396 = _T_2389 | _T_2395; // @[el2_lsu_bus_buffer.scala 425:114] + wire _T_2397 = _T_2301 & _T_2396; // @[el2_lsu_bus_buffer.scala 423:113] + wire _T_2399 = _T_2397 | buf_age_3[3]; // @[el2_lsu_bus_buffer.scala 426:97] + wire [2:0] _T_2401 = {_T_2399,_T_2374,_T_2349}; // @[Cat.scala 29:58] + wire _T_2649 = buf_state_0 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] + wire _T_2650 = _T_1777 | _T_2649; // @[el2_lsu_bus_buffer.scala 434:34] + wire _T_2651 = ~_T_2650; // @[el2_lsu_bus_buffer.scala 434:8] + wire _T_2659 = _T_2651 | _T_2007; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2666 = _T_2659 | _T_2014; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2667 = _T_1995 & _T_2666; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2671 = buf_state_1 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] + wire _T_2672 = _T_1788 | _T_2671; // @[el2_lsu_bus_buffer.scala 434:34] + wire _T_2673 = ~_T_2672; // @[el2_lsu_bus_buffer.scala 434:8] + wire _T_2681 = _T_2673 | _T_2032; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2688 = _T_2681 | _T_2039; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2689 = _T_1995 & _T_2688; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2693 = buf_state_2 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] + wire _T_2694 = _T_1799 | _T_2693; // @[el2_lsu_bus_buffer.scala 434:34] + wire _T_2695 = ~_T_2694; // @[el2_lsu_bus_buffer.scala 434:8] + wire _T_2703 = _T_2695 | _T_2057; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2710 = _T_2703 | _T_2064; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2711 = _T_1995 & _T_2710; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2715 = buf_state_3 == 3'h6; // @[el2_lsu_bus_buffer.scala 434:49] + wire _T_2716 = _T_1810 | _T_2715; // @[el2_lsu_bus_buffer.scala 434:34] + wire _T_2717 = ~_T_2716; // @[el2_lsu_bus_buffer.scala 434:8] + wire _T_2725 = _T_2717 | _T_2082; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2732 = _T_2725 | _T_2089; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2733 = _T_1995 & _T_2732; // @[el2_lsu_bus_buffer.scala 433:114] + wire [3:0] buf_rspage_set_0 = {_T_2733,_T_2711,_T_2689,_T_2667}; // @[Cat.scala 29:58] + wire _T_2750 = _T_2651 | _T_2109; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2757 = _T_2750 | _T_2116; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2758 = _T_2097 & _T_2757; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2772 = _T_2673 | _T_2134; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2779 = _T_2772 | _T_2141; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2780 = _T_2097 & _T_2779; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2794 = _T_2695 | _T_2159; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2801 = _T_2794 | _T_2166; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2802 = _T_2097 & _T_2801; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2816 = _T_2717 | _T_2184; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2823 = _T_2816 | _T_2191; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2824 = _T_2097 & _T_2823; // @[el2_lsu_bus_buffer.scala 433:114] + wire [3:0] buf_rspage_set_1 = {_T_2824,_T_2802,_T_2780,_T_2758}; // @[Cat.scala 29:58] + wire _T_2841 = _T_2651 | _T_2211; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2848 = _T_2841 | _T_2218; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2849 = _T_2199 & _T_2848; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2863 = _T_2673 | _T_2236; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2870 = _T_2863 | _T_2243; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2871 = _T_2199 & _T_2870; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2885 = _T_2695 | _T_2261; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2892 = _T_2885 | _T_2268; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2893 = _T_2199 & _T_2892; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2907 = _T_2717 | _T_2286; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2914 = _T_2907 | _T_2293; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2915 = _T_2199 & _T_2914; // @[el2_lsu_bus_buffer.scala 433:114] + wire [3:0] buf_rspage_set_2 = {_T_2915,_T_2893,_T_2871,_T_2849}; // @[Cat.scala 29:58] + wire _T_2932 = _T_2651 | _T_2313; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2939 = _T_2932 | _T_2320; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2940 = _T_2301 & _T_2939; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2954 = _T_2673 | _T_2338; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2961 = _T_2954 | _T_2345; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2962 = _T_2301 & _T_2961; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2976 = _T_2695 | _T_2363; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_2983 = _T_2976 | _T_2370; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_2984 = _T_2301 & _T_2983; // @[el2_lsu_bus_buffer.scala 433:114] + wire _T_2998 = _T_2717 | _T_2388; // @[el2_lsu_bus_buffer.scala 434:61] + wire _T_3005 = _T_2998 | _T_2395; // @[el2_lsu_bus_buffer.scala 435:112] + wire _T_3006 = _T_2301 & _T_3005; // @[el2_lsu_bus_buffer.scala 433:114] + wire [3:0] buf_rspage_set_3 = {_T_3006,_T_2984,_T_2962,_T_2940}; // @[Cat.scala 29:58] + wire _T_3091 = _T_2715 | _T_1810; // @[el2_lsu_bus_buffer.scala 438:112] + wire _T_3092 = ~_T_3091; // @[el2_lsu_bus_buffer.scala 438:86] + wire _T_3093 = buf_rspageQ_0[3] & _T_3092; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3085 = _T_2693 | _T_1799; // @[el2_lsu_bus_buffer.scala 438:112] + wire _T_3086 = ~_T_3085; // @[el2_lsu_bus_buffer.scala 438:86] + wire _T_3087 = buf_rspageQ_0[2] & _T_3086; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3079 = _T_2671 | _T_1788; // @[el2_lsu_bus_buffer.scala 438:112] + wire _T_3080 = ~_T_3079; // @[el2_lsu_bus_buffer.scala 438:86] + wire _T_3081 = buf_rspageQ_0[1] & _T_3080; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3073 = _T_2649 | _T_1777; // @[el2_lsu_bus_buffer.scala 438:112] + wire _T_3074 = ~_T_3073; // @[el2_lsu_bus_buffer.scala 438:86] + wire _T_3075 = buf_rspageQ_0[0] & _T_3074; // @[el2_lsu_bus_buffer.scala 438:84] + wire [3:0] buf_rspage_0 = {_T_3093,_T_3087,_T_3081,_T_3075}; // @[Cat.scala 29:58] + wire _T_3012 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3015 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3018 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3021 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[el2_lsu_bus_buffer.scala 437:90] + wire [2:0] _T_3023 = {_T_3021,_T_3018,_T_3015}; // @[Cat.scala 29:58] + wire _T_3120 = buf_rspageQ_1[3] & _T_3092; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3114 = buf_rspageQ_1[2] & _T_3086; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3108 = buf_rspageQ_1[1] & _T_3080; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3102 = buf_rspageQ_1[0] & _T_3074; // @[el2_lsu_bus_buffer.scala 438:84] + wire [3:0] buf_rspage_1 = {_T_3120,_T_3114,_T_3108,_T_3102}; // @[Cat.scala 29:58] + wire _T_3027 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3030 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3033 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3036 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[el2_lsu_bus_buffer.scala 437:90] + wire [2:0] _T_3038 = {_T_3036,_T_3033,_T_3030}; // @[Cat.scala 29:58] + wire _T_3147 = buf_rspageQ_2[3] & _T_3092; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3141 = buf_rspageQ_2[2] & _T_3086; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3135 = buf_rspageQ_2[1] & _T_3080; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3129 = buf_rspageQ_2[0] & _T_3074; // @[el2_lsu_bus_buffer.scala 438:84] + wire [3:0] buf_rspage_2 = {_T_3147,_T_3141,_T_3135,_T_3129}; // @[Cat.scala 29:58] + wire _T_3042 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3045 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3048 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3051 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[el2_lsu_bus_buffer.scala 437:90] + wire [2:0] _T_3053 = {_T_3051,_T_3048,_T_3045}; // @[Cat.scala 29:58] + wire _T_3174 = buf_rspageQ_3[3] & _T_3092; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3168 = buf_rspageQ_3[2] & _T_3086; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3162 = buf_rspageQ_3[1] & _T_3080; // @[el2_lsu_bus_buffer.scala 438:84] + wire _T_3156 = buf_rspageQ_3[0] & _T_3074; // @[el2_lsu_bus_buffer.scala 438:84] + wire [3:0] buf_rspage_3 = {_T_3174,_T_3168,_T_3162,_T_3156}; // @[Cat.scala 29:58] + wire _T_3057 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3060 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3063 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[el2_lsu_bus_buffer.scala 437:90] + wire _T_3066 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[el2_lsu_bus_buffer.scala 437:90] + wire [2:0] _T_3068 = {_T_3066,_T_3063,_T_3060}; // @[Cat.scala 29:58] + wire _T_3179 = ibuf_drain_vld & _T_1778; // @[el2_lsu_bus_buffer.scala 443:65] + wire _T_3181 = ibuf_drain_vld & _T_1789; // @[el2_lsu_bus_buffer.scala 443:65] + wire _T_3183 = ibuf_drain_vld & _T_1800; // @[el2_lsu_bus_buffer.scala 443:65] + wire _T_3185 = ibuf_drain_vld & _T_1811; // @[el2_lsu_bus_buffer.scala 443:65] + wire [3:0] ibuf_drainvec_vld = {_T_3185,_T_3183,_T_3181,_T_3179}; // @[Cat.scala 29:58] + wire _T_3193 = _T_3387 & _T_1783; // @[el2_lsu_bus_buffer.scala 444:123] + wire [3:0] _T_3196 = _T_3193 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] + wire [3:0] _T_3197 = ibuf_drainvec_vld[0] ? ibuf_byteen_out : _T_3196; // @[el2_lsu_bus_buffer.scala 444:48] + wire _T_3202 = _T_3387 & _T_1794; // @[el2_lsu_bus_buffer.scala 444:123] + wire [3:0] _T_3205 = _T_3202 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] + wire [3:0] _T_3206 = ibuf_drainvec_vld[1] ? ibuf_byteen_out : _T_3205; // @[el2_lsu_bus_buffer.scala 444:48] + wire _T_3211 = _T_3387 & _T_1805; // @[el2_lsu_bus_buffer.scala 444:123] + wire [3:0] _T_3214 = _T_3211 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] + wire [3:0] _T_3215 = ibuf_drainvec_vld[2] ? ibuf_byteen_out : _T_3214; // @[el2_lsu_bus_buffer.scala 444:48] + wire _T_3220 = _T_3387 & _T_1816; // @[el2_lsu_bus_buffer.scala 444:123] + wire [3:0] _T_3223 = _T_3220 ? ldst_byteen_hi_r : ldst_byteen_lo_r; // @[el2_lsu_bus_buffer.scala 444:96] + wire [3:0] _T_3224 = ibuf_drainvec_vld[3] ? ibuf_byteen_out : _T_3223; // @[el2_lsu_bus_buffer.scala 444:48] + wire _T_3250 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] + wire _T_3252 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] + wire _T_3254 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] + wire _T_3256 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 446:47] + wire [3:0] buf_dual_in = {_T_3256,_T_3254,_T_3252,_T_3250}; // @[Cat.scala 29:58] + wire _T_3261 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] + wire _T_3263 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] + wire _T_3265 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] + wire _T_3267 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[el2_lsu_bus_buffer.scala 447:49] + wire [3:0] buf_samedw_in = {_T_3267,_T_3265,_T_3263,_T_3261}; // @[Cat.scala 29:58] + wire _T_3272 = ibuf_nomerge | ibuf_force_drain; // @[el2_lsu_bus_buffer.scala 448:86] + wire _T_3273 = ibuf_drainvec_vld[0] ? _T_3272 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] + wire _T_3276 = ibuf_drainvec_vld[1] ? _T_3272 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] + wire _T_3279 = ibuf_drainvec_vld[2] ? _T_3272 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] + wire _T_3282 = ibuf_drainvec_vld[3] ? _T_3272 : io_no_dword_merge_r; // @[el2_lsu_bus_buffer.scala 448:50] + wire [3:0] buf_nomerge_in = {_T_3282,_T_3279,_T_3276,_T_3273}; // @[Cat.scala 29:58] + wire _T_3290 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3193; // @[el2_lsu_bus_buffer.scala 449:49] + wire _T_3295 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3202; // @[el2_lsu_bus_buffer.scala 449:49] + wire _T_3300 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3211; // @[el2_lsu_bus_buffer.scala 449:49] + wire _T_3305 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3220; // @[el2_lsu_bus_buffer.scala 449:49] + wire [3:0] buf_dualhi_in = {_T_3305,_T_3300,_T_3295,_T_3290}; // @[Cat.scala 29:58] + wire _T_3334 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] + wire _T_3336 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] + wire _T_3338 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] + wire _T_3340 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[el2_lsu_bus_buffer.scala 451:53] + wire [3:0] buf_sideeffect_in = {_T_3340,_T_3338,_T_3336,_T_3334}; // @[Cat.scala 29:58] + wire _T_3345 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] + wire _T_3347 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] + wire _T_3349 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] + wire _T_3351 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_unsign; // @[el2_lsu_bus_buffer.scala 452:49] + wire [3:0] buf_unsign_in = {_T_3351,_T_3349,_T_3347,_T_3345}; // @[Cat.scala 29:58] + wire _T_3368 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] + wire _T_3370 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] + wire _T_3372 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] + wire _T_3374 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_store; // @[el2_lsu_bus_buffer.scala 454:48] + wire [3:0] buf_write_in = {_T_3374,_T_3372,_T_3370,_T_3368}; // @[Cat.scala 29:58] + wire [31:0] _T_3400 = _T_3393 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] + wire _T_3407 = obuf_nosend & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 470:89] + wire _T_3409 = _T_3407 & _T_1276; // @[el2_lsu_bus_buffer.scala 470:104] + wire _T_3422 = buf_state_en_0 & _T_1129; // @[el2_lsu_bus_buffer.scala 475:44] + wire _T_3423 = _T_3422 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] + wire _T_3425 = _T_3423 & _T_1258; // @[el2_lsu_bus_buffer.scala 475:74] + wire _T_3428 = _T_3418 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] + wire _T_3429 = _T_3428 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] + wire _T_4723 = io_lsu_axi_bresp != 2'h0; // @[el2_lsu_bus_buffer.scala 579:58] + wire bus_rsp_read_error = bus_rsp_read & _T_4723; // @[el2_lsu_bus_buffer.scala 579:38] + wire _T_3432 = _T_3428 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] + wire [31:0] _T_3437 = buf_addr_0[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] + wire _T_3507 = bus_rsp_read_error & _T_3486; // @[el2_lsu_bus_buffer.scala 492:91] + wire _T_3509 = bus_rsp_read_error & buf_ldfwd[0]; // @[el2_lsu_bus_buffer.scala 493:31] + wire _T_3511 = _T_3509 & _T_3488; // @[el2_lsu_bus_buffer.scala 493:46] + wire _T_3512 = _T_3507 | _T_3511; // @[el2_lsu_bus_buffer.scala 492:143] + wire bus_rsp_write_error = bus_rsp_write & _T_4723; // @[el2_lsu_bus_buffer.scala 578:40] + wire _T_3515 = bus_rsp_write_error & _T_3484; // @[el2_lsu_bus_buffer.scala 494:53] + wire _T_3516 = _T_3512 | _T_3515; // @[el2_lsu_bus_buffer.scala 493:88] + wire _T_3517 = _T_3418 & _T_3516; // @[el2_lsu_bus_buffer.scala 492:68] + wire _GEN_46 = _T_3439 & _T_3517; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3405 ? _T_3432 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3401 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3378 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] + wire [31:0] _T_3438 = buf_error_en_0 ? io_lsu_axi_rdata[31:0] : _T_3437; // @[el2_lsu_bus_buffer.scala 479:30] + wire _T_3442 = ~bus_rsp_write_error; // @[el2_lsu_bus_buffer.scala 482:73] + wire _T_3443 = buf_write[0] & _T_3442; // @[el2_lsu_bus_buffer.scala 482:71] + wire _T_3444 = io_dec_tlu_force_halt | _T_3443; // @[el2_lsu_bus_buffer.scala 482:55] + wire _T_3446 = ~buf_samedw_0; // @[el2_lsu_bus_buffer.scala 483:30] + wire _T_3447 = buf_dual_0 & _T_3446; // @[el2_lsu_bus_buffer.scala 483:28] + wire _T_3450 = _T_3447 & _T_1129; // @[el2_lsu_bus_buffer.scala 483:45] wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 483:90] wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[el2_lsu_bus_buffer.scala 483:90] wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_3475 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_3476 = _T_3474 & _T_3475; // @[el2_lsu_bus_buffer.scala 483:61] - wire _T_4372 = _T_2620 | _T_2617; // @[el2_lsu_bus_buffer.scala 540:93] - wire _T_4373 = _T_4372 | _T_2614; // @[el2_lsu_bus_buffer.scala 540:93] - wire any_done_wait_state = _T_4373 | _T_2611; // @[el2_lsu_bus_buffer.scala 540:93] - wire _T_3478 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] - wire _T_3484 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3486 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3488 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3490 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3492 = _T_3484 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3493 = _T_3486 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3494 = _T_3488 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3495 = _T_3490 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3496 = _T_3492 | _T_3493; // @[Mux.scala 27:72] - wire _T_3497 = _T_3496 | _T_3494; // @[Mux.scala 27:72] - wire _T_3498 = _T_3497 | _T_3495; // @[Mux.scala 27:72] - wire _T_3500 = _T_3474 & _T_3498; // @[el2_lsu_bus_buffer.scala 484:101] - wire _T_3501 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] - wire _T_3502 = _T_3500 & _T_3501; // @[el2_lsu_bus_buffer.scala 484:138] - wire _T_3503 = _T_3502 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] - wire _T_3504 = _T_3478 | _T_3503; // @[el2_lsu_bus_buffer.scala 484:53] - wire _T_3527 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3528 = _T_3527 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] - wire _T_3542 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 495:50] - wire _T_3543 = buf_state_en_0 & _T_3542; // @[el2_lsu_bus_buffer.scala 495:48] - wire [31:0] _T_3549 = _T_3543 ? _T_3461 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] - wire _T_3555 = buf_ldfwd[0] | _T_3560[0]; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_3556 = _T_3555 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] - wire _GEN_29 = _T_3576 & buf_state_en_0; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_3568 ? 1'h0 : _T_3576; // @[Conditional.scala 39:67] - wire _GEN_34 = _T_3568 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_3550 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_40 = _T_3550 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] - wire _GEN_45 = _T_3463 & _T_3528; // @[Conditional.scala 39:67] - wire [31:0] _GEN_47 = _T_3463 ? _T_3549 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_48 = _T_3463 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] - wire _GEN_50 = _T_3463 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] - wire _GEN_56 = _T_3429 ? _T_3449 : _GEN_50; // @[Conditional.scala 39:67] - wire _GEN_58 = _T_3429 ? _T_3453 : _GEN_45; // @[Conditional.scala 39:67] - wire [31:0] _GEN_60 = _T_3429 ? _T_3462 : _GEN_47; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_3429 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] - wire _GEN_68 = _T_3425 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_3425 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] - wire [31:0] _GEN_72 = _T_3425 ? 32'h0 : _GEN_60; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_3425 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] - wire buf_wr_en_0 = _T_3402 & buf_state_en_0; // @[Conditional.scala 40:58] - wire [31:0] _GEN_79 = _T_3402 ? _T_3424 : _GEN_72; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_0 = _T_3402 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] - wire buf_rst_0 = _T_3402 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] - wire [31:0] _T_3617 = _T_3610 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] - wire _T_3639 = buf_state_en_1 & _T_3710; // @[el2_lsu_bus_buffer.scala 475:44] - wire _T_3640 = _T_3639 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] - wire _T_3642 = _T_3640 & _T_1258; // @[el2_lsu_bus_buffer.scala 475:74] - wire _T_3645 = _T_3635 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] - wire _T_3646 = _T_3645 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] - wire _T_3649 = _T_3645 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] - wire [31:0] _T_3654 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] - wire _T_3724 = bus_rsp_read_error & _T_3703; // @[el2_lsu_bus_buffer.scala 492:91] - wire _T_3726 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 493:31] - wire _T_3728 = _T_3726 & _T_3705; // @[el2_lsu_bus_buffer.scala 493:46] - wire _T_3729 = _T_3724 | _T_3728; // @[el2_lsu_bus_buffer.scala 492:143] - wire _T_3732 = bus_rsp_write_error & _T_3701; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_3733 = _T_3729 | _T_3732; // @[el2_lsu_bus_buffer.scala 493:88] - wire _T_3734 = _T_3635 & _T_3733; // @[el2_lsu_bus_buffer.scala 492:68] - wire _GEN_122 = _T_3656 & _T_3734; // @[Conditional.scala 39:67] - wire _GEN_135 = _T_3622 ? _T_3649 : _GEN_122; // @[Conditional.scala 39:67] - wire _GEN_147 = _T_3618 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] - wire buf_error_en_1 = _T_3595 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] - wire [31:0] _T_3655 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3654; // @[el2_lsu_bus_buffer.scala 479:30] - wire _T_3660 = buf_write[1] & _T_3466; // @[el2_lsu_bus_buffer.scala 482:71] - wire _T_3661 = io_dec_tlu_force_halt | _T_3660; // @[el2_lsu_bus_buffer.scala 482:55] - wire _T_3663 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_3664 = buf_dual_1 & _T_3663; // @[el2_lsu_bus_buffer.scala 483:28] - wire _T_3667 = _T_3664 & _T_3710; // @[el2_lsu_bus_buffer.scala 483:45] + wire _T_3451 = _GEN_21 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] + wire _T_3452 = _T_3450 & _T_3451; // @[el2_lsu_bus_buffer.scala 483:61] + wire _T_4348 = _T_2596 | _T_2593; // @[el2_lsu_bus_buffer.scala 540:93] + wire _T_4349 = _T_4348 | _T_2590; // @[el2_lsu_bus_buffer.scala 540:93] + wire any_done_wait_state = _T_4349 | _T_2587; // @[el2_lsu_bus_buffer.scala 540:93] + wire _T_3454 = buf_ldfwd[0] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] + wire _T_3460 = buf_dualtag_0 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3462 = buf_dualtag_0 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3464 = buf_dualtag_0 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3466 = buf_dualtag_0 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3468 = _T_3460 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3469 = _T_3462 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3470 = _T_3464 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3471 = _T_3466 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3472 = _T_3468 | _T_3469; // @[Mux.scala 27:72] + wire _T_3473 = _T_3472 | _T_3470; // @[Mux.scala 27:72] + wire _T_3474 = _T_3473 | _T_3471; // @[Mux.scala 27:72] + wire _T_3476 = _T_3450 & _T_3474; // @[el2_lsu_bus_buffer.scala 484:101] + wire _T_3477 = _GEN_21 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] + wire _T_3478 = _T_3476 & _T_3477; // @[el2_lsu_bus_buffer.scala 484:138] + wire _T_3479 = _T_3478 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] + wire _T_3480 = _T_3454 | _T_3479; // @[el2_lsu_bus_buffer.scala 484:53] + wire _T_3503 = buf_state_bus_en_0 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] + wire _T_3504 = _T_3503 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] + wire _T_3518 = ~buf_error_en_0; // @[el2_lsu_bus_buffer.scala 495:50] + wire _T_3519 = buf_state_en_0 & _T_3518; // @[el2_lsu_bus_buffer.scala 495:48] + wire [31:0] _T_3525 = _T_3519 ? _T_3437 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] + wire _T_3531 = buf_ldfwd[0] | _T_3536[0]; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_3532 = _T_3531 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] + wire _GEN_29 = _T_3552 & buf_state_en_0; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_3544 ? 1'h0 : _T_3552; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3544 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3526 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3526 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3439 & _T_3504; // @[Conditional.scala 39:67] + wire [31:0] _GEN_47 = _T_3439 ? _T_3525 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_3439 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3439 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_3405 ? _T_3425 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_3405 ? _T_3429 : _GEN_45; // @[Conditional.scala 39:67] + wire [31:0] _GEN_60 = _T_3405 ? _T_3438 : _GEN_47; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3405 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_68 = _T_3401 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_3401 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] + wire [31:0] _GEN_72 = _T_3401 ? 32'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3401 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3378 & buf_state_en_0; // @[Conditional.scala 40:58] + wire [31:0] _GEN_79 = _T_3378 ? _T_3400 : _GEN_72; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3378 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3378 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire [31:0] _T_3593 = _T_3586 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] + wire _T_3615 = buf_state_en_1 & _T_3686; // @[el2_lsu_bus_buffer.scala 475:44] + wire _T_3616 = _T_3615 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] + wire _T_3618 = _T_3616 & _T_1258; // @[el2_lsu_bus_buffer.scala 475:74] + wire _T_3621 = _T_3611 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] + wire _T_3622 = _T_3621 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] + wire _T_3625 = _T_3621 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] + wire [31:0] _T_3630 = buf_addr_1[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] + wire _T_3700 = bus_rsp_read_error & _T_3679; // @[el2_lsu_bus_buffer.scala 492:91] + wire _T_3702 = bus_rsp_read_error & buf_ldfwd[1]; // @[el2_lsu_bus_buffer.scala 493:31] + wire _T_3704 = _T_3702 & _T_3681; // @[el2_lsu_bus_buffer.scala 493:46] + wire _T_3705 = _T_3700 | _T_3704; // @[el2_lsu_bus_buffer.scala 492:143] + wire _T_3708 = bus_rsp_write_error & _T_3677; // @[el2_lsu_bus_buffer.scala 494:53] + wire _T_3709 = _T_3705 | _T_3708; // @[el2_lsu_bus_buffer.scala 493:88] + wire _T_3710 = _T_3611 & _T_3709; // @[el2_lsu_bus_buffer.scala 492:68] + wire _GEN_122 = _T_3632 & _T_3710; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3598 ? _T_3625 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3594 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3571 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] + wire [31:0] _T_3631 = buf_error_en_1 ? io_lsu_axi_rdata[31:0] : _T_3630; // @[el2_lsu_bus_buffer.scala 479:30] + wire _T_3636 = buf_write[1] & _T_3442; // @[el2_lsu_bus_buffer.scala 482:71] + wire _T_3637 = io_dec_tlu_force_halt | _T_3636; // @[el2_lsu_bus_buffer.scala 482:55] + wire _T_3639 = ~buf_samedw_1; // @[el2_lsu_bus_buffer.scala 483:30] + wire _T_3640 = buf_dual_1 & _T_3639; // @[el2_lsu_bus_buffer.scala 483:28] + wire _T_3643 = _T_3640 & _T_3686; // @[el2_lsu_bus_buffer.scala 483:45] wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 483:90] wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[el2_lsu_bus_buffer.scala 483:90] wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_3668 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_3669 = _T_3667 & _T_3668; // @[el2_lsu_bus_buffer.scala 483:61] - wire _T_3671 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] - wire _T_3677 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3679 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3681 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3683 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3685 = _T_3677 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3686 = _T_3679 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3687 = _T_3681 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3688 = _T_3683 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3689 = _T_3685 | _T_3686; // @[Mux.scala 27:72] - wire _T_3690 = _T_3689 | _T_3687; // @[Mux.scala 27:72] - wire _T_3691 = _T_3690 | _T_3688; // @[Mux.scala 27:72] - wire _T_3693 = _T_3667 & _T_3691; // @[el2_lsu_bus_buffer.scala 484:101] - wire _T_3694 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] - wire _T_3695 = _T_3693 & _T_3694; // @[el2_lsu_bus_buffer.scala 484:138] - wire _T_3696 = _T_3695 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] - wire _T_3697 = _T_3671 | _T_3696; // @[el2_lsu_bus_buffer.scala 484:53] - wire _T_3720 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3721 = _T_3720 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] - wire _T_3735 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 495:50] - wire _T_3736 = buf_state_en_1 & _T_3735; // @[el2_lsu_bus_buffer.scala 495:48] - wire [31:0] _T_3742 = _T_3736 ? _T_3654 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] - wire _T_3748 = buf_ldfwd[1] | _T_3753[0]; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_3749 = _T_3748 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] - wire _GEN_105 = _T_3769 & buf_state_en_1; // @[Conditional.scala 39:67] - wire _GEN_108 = _T_3761 ? 1'h0 : _T_3769; // @[Conditional.scala 39:67] - wire _GEN_110 = _T_3761 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] - wire _GEN_114 = _T_3743 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] - wire _GEN_116 = _T_3743 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] - wire _GEN_121 = _T_3656 & _T_3721; // @[Conditional.scala 39:67] - wire [31:0] _GEN_123 = _T_3656 ? _T_3742 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_124 = _T_3656 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] - wire _GEN_126 = _T_3656 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] - wire _GEN_132 = _T_3622 ? _T_3642 : _GEN_126; // @[Conditional.scala 39:67] - wire _GEN_134 = _T_3622 ? _T_3646 : _GEN_121; // @[Conditional.scala 39:67] - wire [31:0] _GEN_136 = _T_3622 ? _T_3655 : _GEN_123; // @[Conditional.scala 39:67] - wire _GEN_138 = _T_3622 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] - wire _GEN_144 = _T_3618 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] - wire _GEN_146 = _T_3618 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] - wire [31:0] _GEN_148 = _T_3618 ? 32'h0 : _GEN_136; // @[Conditional.scala 39:67] - wire _GEN_150 = _T_3618 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] - wire buf_wr_en_1 = _T_3595 & buf_state_en_1; // @[Conditional.scala 40:58] - wire [31:0] _GEN_155 = _T_3595 ? _T_3617 : _GEN_148; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_1 = _T_3595 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] - wire buf_rst_1 = _T_3595 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] - wire [31:0] _T_3810 = _T_3803 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] - wire _T_3832 = buf_state_en_2 & _T_3903; // @[el2_lsu_bus_buffer.scala 475:44] - wire _T_3833 = _T_3832 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] - wire _T_3835 = _T_3833 & _T_1258; // @[el2_lsu_bus_buffer.scala 475:74] - wire _T_3838 = _T_3828 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] - wire _T_3839 = _T_3838 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] - wire _T_3842 = _T_3838 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] - wire [31:0] _T_3847 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] - wire _T_3917 = bus_rsp_read_error & _T_3896; // @[el2_lsu_bus_buffer.scala 492:91] - wire _T_3919 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 493:31] - wire _T_3921 = _T_3919 & _T_3898; // @[el2_lsu_bus_buffer.scala 493:46] - wire _T_3922 = _T_3917 | _T_3921; // @[el2_lsu_bus_buffer.scala 492:143] - wire _T_3925 = bus_rsp_write_error & _T_3894; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_3926 = _T_3922 | _T_3925; // @[el2_lsu_bus_buffer.scala 493:88] - wire _T_3927 = _T_3828 & _T_3926; // @[el2_lsu_bus_buffer.scala 492:68] - wire _GEN_198 = _T_3849 & _T_3927; // @[Conditional.scala 39:67] - wire _GEN_211 = _T_3815 ? _T_3842 : _GEN_198; // @[Conditional.scala 39:67] - wire _GEN_223 = _T_3811 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] - wire buf_error_en_2 = _T_3788 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] - wire [31:0] _T_3848 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3847; // @[el2_lsu_bus_buffer.scala 479:30] - wire _T_3853 = buf_write[2] & _T_3466; // @[el2_lsu_bus_buffer.scala 482:71] - wire _T_3854 = io_dec_tlu_force_halt | _T_3853; // @[el2_lsu_bus_buffer.scala 482:55] - wire _T_3856 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_3857 = buf_dual_2 & _T_3856; // @[el2_lsu_bus_buffer.scala 483:28] - wire _T_3860 = _T_3857 & _T_3903; // @[el2_lsu_bus_buffer.scala 483:45] + wire _T_3644 = _GEN_97 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] + wire _T_3645 = _T_3643 & _T_3644; // @[el2_lsu_bus_buffer.scala 483:61] + wire _T_3647 = buf_ldfwd[1] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] + wire _T_3653 = buf_dualtag_1 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3655 = buf_dualtag_1 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3657 = buf_dualtag_1 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3659 = buf_dualtag_1 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3661 = _T_3653 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3662 = _T_3655 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3663 = _T_3657 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3664 = _T_3659 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3665 = _T_3661 | _T_3662; // @[Mux.scala 27:72] + wire _T_3666 = _T_3665 | _T_3663; // @[Mux.scala 27:72] + wire _T_3667 = _T_3666 | _T_3664; // @[Mux.scala 27:72] + wire _T_3669 = _T_3643 & _T_3667; // @[el2_lsu_bus_buffer.scala 484:101] + wire _T_3670 = _GEN_97 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] + wire _T_3671 = _T_3669 & _T_3670; // @[el2_lsu_bus_buffer.scala 484:138] + wire _T_3672 = _T_3671 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] + wire _T_3673 = _T_3647 | _T_3672; // @[el2_lsu_bus_buffer.scala 484:53] + wire _T_3696 = buf_state_bus_en_1 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] + wire _T_3697 = _T_3696 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] + wire _T_3711 = ~buf_error_en_1; // @[el2_lsu_bus_buffer.scala 495:50] + wire _T_3712 = buf_state_en_1 & _T_3711; // @[el2_lsu_bus_buffer.scala 495:48] + wire [31:0] _T_3718 = _T_3712 ? _T_3630 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] + wire _T_3724 = buf_ldfwd[1] | _T_3729[0]; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_3725 = _T_3724 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] + wire _GEN_105 = _T_3745 & buf_state_en_1; // @[Conditional.scala 39:67] + wire _GEN_108 = _T_3737 ? 1'h0 : _T_3745; // @[Conditional.scala 39:67] + wire _GEN_110 = _T_3737 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] + wire _GEN_114 = _T_3719 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] + wire _GEN_116 = _T_3719 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3632 & _T_3697; // @[Conditional.scala 39:67] + wire [31:0] _GEN_123 = _T_3632 ? _T_3718 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_124 = _T_3632 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_126 = _T_3632 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3598 ? _T_3618 : _GEN_126; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3598 ? _T_3622 : _GEN_121; // @[Conditional.scala 39:67] + wire [31:0] _GEN_136 = _T_3598 ? _T_3631 : _GEN_123; // @[Conditional.scala 39:67] + wire _GEN_138 = _T_3598 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3594 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3594 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] + wire [31:0] _GEN_148 = _T_3594 ? 32'h0 : _GEN_136; // @[Conditional.scala 39:67] + wire _GEN_150 = _T_3594 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3571 & buf_state_en_1; // @[Conditional.scala 40:58] + wire [31:0] _GEN_155 = _T_3571 ? _T_3593 : _GEN_148; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3571 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3571 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] + wire [31:0] _T_3786 = _T_3779 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] + wire _T_3808 = buf_state_en_2 & _T_3879; // @[el2_lsu_bus_buffer.scala 475:44] + wire _T_3809 = _T_3808 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] + wire _T_3811 = _T_3809 & _T_1258; // @[el2_lsu_bus_buffer.scala 475:74] + wire _T_3814 = _T_3804 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] + wire _T_3815 = _T_3814 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] + wire _T_3818 = _T_3814 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] + wire [31:0] _T_3823 = buf_addr_2[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] + wire _T_3893 = bus_rsp_read_error & _T_3872; // @[el2_lsu_bus_buffer.scala 492:91] + wire _T_3895 = bus_rsp_read_error & buf_ldfwd[2]; // @[el2_lsu_bus_buffer.scala 493:31] + wire _T_3897 = _T_3895 & _T_3874; // @[el2_lsu_bus_buffer.scala 493:46] + wire _T_3898 = _T_3893 | _T_3897; // @[el2_lsu_bus_buffer.scala 492:143] + wire _T_3901 = bus_rsp_write_error & _T_3870; // @[el2_lsu_bus_buffer.scala 494:53] + wire _T_3902 = _T_3898 | _T_3901; // @[el2_lsu_bus_buffer.scala 493:88] + wire _T_3903 = _T_3804 & _T_3902; // @[el2_lsu_bus_buffer.scala 492:68] + wire _GEN_198 = _T_3825 & _T_3903; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3791 ? _T_3818 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3787 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3764 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] + wire [31:0] _T_3824 = buf_error_en_2 ? io_lsu_axi_rdata[31:0] : _T_3823; // @[el2_lsu_bus_buffer.scala 479:30] + wire _T_3829 = buf_write[2] & _T_3442; // @[el2_lsu_bus_buffer.scala 482:71] + wire _T_3830 = io_dec_tlu_force_halt | _T_3829; // @[el2_lsu_bus_buffer.scala 482:55] + wire _T_3832 = ~buf_samedw_2; // @[el2_lsu_bus_buffer.scala 483:30] + wire _T_3833 = buf_dual_2 & _T_3832; // @[el2_lsu_bus_buffer.scala 483:28] + wire _T_3836 = _T_3833 & _T_3879; // @[el2_lsu_bus_buffer.scala 483:45] wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 483:90] wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[el2_lsu_bus_buffer.scala 483:90] wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_3861 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_3862 = _T_3860 & _T_3861; // @[el2_lsu_bus_buffer.scala 483:61] - wire _T_3864 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] - wire _T_3870 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3872 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3874 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3876 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_3878 = _T_3870 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_3879 = _T_3872 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_3880 = _T_3874 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_3881 = _T_3876 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_3882 = _T_3878 | _T_3879; // @[Mux.scala 27:72] - wire _T_3883 = _T_3882 | _T_3880; // @[Mux.scala 27:72] - wire _T_3884 = _T_3883 | _T_3881; // @[Mux.scala 27:72] - wire _T_3886 = _T_3860 & _T_3884; // @[el2_lsu_bus_buffer.scala 484:101] - wire _T_3887 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] - wire _T_3888 = _T_3886 & _T_3887; // @[el2_lsu_bus_buffer.scala 484:138] - wire _T_3889 = _T_3888 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] - wire _T_3890 = _T_3864 | _T_3889; // @[el2_lsu_bus_buffer.scala 484:53] - wire _T_3913 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_3914 = _T_3913 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] - wire _T_3928 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 495:50] - wire _T_3929 = buf_state_en_2 & _T_3928; // @[el2_lsu_bus_buffer.scala 495:48] - wire [31:0] _T_3935 = _T_3929 ? _T_3847 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] - wire _T_3941 = buf_ldfwd[2] | _T_3946[0]; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_3942 = _T_3941 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] - wire _GEN_181 = _T_3962 & buf_state_en_2; // @[Conditional.scala 39:67] - wire _GEN_184 = _T_3954 ? 1'h0 : _T_3962; // @[Conditional.scala 39:67] - wire _GEN_186 = _T_3954 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] - wire _GEN_190 = _T_3936 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] - wire _GEN_192 = _T_3936 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] - wire _GEN_197 = _T_3849 & _T_3914; // @[Conditional.scala 39:67] - wire [31:0] _GEN_199 = _T_3849 ? _T_3935 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_200 = _T_3849 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] - wire _GEN_202 = _T_3849 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] - wire _GEN_208 = _T_3815 ? _T_3835 : _GEN_202; // @[Conditional.scala 39:67] - wire _GEN_210 = _T_3815 ? _T_3839 : _GEN_197; // @[Conditional.scala 39:67] - wire [31:0] _GEN_212 = _T_3815 ? _T_3848 : _GEN_199; // @[Conditional.scala 39:67] - wire _GEN_214 = _T_3815 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] - wire _GEN_220 = _T_3811 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] - wire _GEN_222 = _T_3811 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] - wire [31:0] _GEN_224 = _T_3811 ? 32'h0 : _GEN_212; // @[Conditional.scala 39:67] - wire _GEN_226 = _T_3811 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] - wire buf_wr_en_2 = _T_3788 & buf_state_en_2; // @[Conditional.scala 40:58] - wire [31:0] _GEN_231 = _T_3788 ? _T_3810 : _GEN_224; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_2 = _T_3788 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] - wire buf_rst_2 = _T_3788 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] - wire [31:0] _T_4003 = _T_3996 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] - wire _T_4025 = buf_state_en_3 & _T_4096; // @[el2_lsu_bus_buffer.scala 475:44] - wire _T_4026 = _T_4025 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] - wire _T_4028 = _T_4026 & _T_1258; // @[el2_lsu_bus_buffer.scala 475:74] - wire _T_4031 = _T_4021 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] - wire _T_4032 = _T_4031 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] - wire _T_4035 = _T_4031 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] - wire [31:0] _T_4040 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] - wire _T_4110 = bus_rsp_read_error & _T_4089; // @[el2_lsu_bus_buffer.scala 492:91] - wire _T_4112 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 493:31] - wire _T_4114 = _T_4112 & _T_4091; // @[el2_lsu_bus_buffer.scala 493:46] - wire _T_4115 = _T_4110 | _T_4114; // @[el2_lsu_bus_buffer.scala 492:143] - wire _T_4118 = bus_rsp_write_error & _T_4087; // @[el2_lsu_bus_buffer.scala 494:53] - wire _T_4119 = _T_4115 | _T_4118; // @[el2_lsu_bus_buffer.scala 493:88] - wire _T_4120 = _T_4021 & _T_4119; // @[el2_lsu_bus_buffer.scala 492:68] - wire _GEN_274 = _T_4042 & _T_4120; // @[Conditional.scala 39:67] - wire _GEN_287 = _T_4008 ? _T_4035 : _GEN_274; // @[Conditional.scala 39:67] - wire _GEN_299 = _T_4004 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] - wire buf_error_en_3 = _T_3981 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] - wire [31:0] _T_4041 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4040; // @[el2_lsu_bus_buffer.scala 479:30] - wire _T_4046 = buf_write[3] & _T_3466; // @[el2_lsu_bus_buffer.scala 482:71] - wire _T_4047 = io_dec_tlu_force_halt | _T_4046; // @[el2_lsu_bus_buffer.scala 482:55] - wire _T_4049 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 483:30] - wire _T_4050 = buf_dual_3 & _T_4049; // @[el2_lsu_bus_buffer.scala 483:28] - wire _T_4053 = _T_4050 & _T_4096; // @[el2_lsu_bus_buffer.scala 483:45] + wire _T_3837 = _GEN_173 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] + wire _T_3838 = _T_3836 & _T_3837; // @[el2_lsu_bus_buffer.scala 483:61] + wire _T_3840 = buf_ldfwd[2] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] + wire _T_3846 = buf_dualtag_2 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3848 = buf_dualtag_2 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3850 = buf_dualtag_2 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3852 = buf_dualtag_2 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_3854 = _T_3846 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3855 = _T_3848 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3856 = _T_3850 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3857 = _T_3852 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3858 = _T_3854 | _T_3855; // @[Mux.scala 27:72] + wire _T_3859 = _T_3858 | _T_3856; // @[Mux.scala 27:72] + wire _T_3860 = _T_3859 | _T_3857; // @[Mux.scala 27:72] + wire _T_3862 = _T_3836 & _T_3860; // @[el2_lsu_bus_buffer.scala 484:101] + wire _T_3863 = _GEN_173 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] + wire _T_3864 = _T_3862 & _T_3863; // @[el2_lsu_bus_buffer.scala 484:138] + wire _T_3865 = _T_3864 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] + wire _T_3866 = _T_3840 | _T_3865; // @[el2_lsu_bus_buffer.scala 484:53] + wire _T_3889 = buf_state_bus_en_2 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] + wire _T_3890 = _T_3889 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] + wire _T_3904 = ~buf_error_en_2; // @[el2_lsu_bus_buffer.scala 495:50] + wire _T_3905 = buf_state_en_2 & _T_3904; // @[el2_lsu_bus_buffer.scala 495:48] + wire [31:0] _T_3911 = _T_3905 ? _T_3823 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] + wire _T_3917 = buf_ldfwd[2] | _T_3922[0]; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_3918 = _T_3917 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] + wire _GEN_181 = _T_3938 & buf_state_en_2; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_3930 ? 1'h0 : _T_3938; // @[Conditional.scala 39:67] + wire _GEN_186 = _T_3930 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_190 = _T_3912 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_3912 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_197 = _T_3825 & _T_3890; // @[Conditional.scala 39:67] + wire [31:0] _GEN_199 = _T_3825 ? _T_3911 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3825 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] + wire _GEN_202 = _T_3825 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3791 ? _T_3811 : _GEN_202; // @[Conditional.scala 39:67] + wire _GEN_210 = _T_3791 ? _T_3815 : _GEN_197; // @[Conditional.scala 39:67] + wire [31:0] _GEN_212 = _T_3791 ? _T_3824 : _GEN_199; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3791 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3787 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire _GEN_222 = _T_3787 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] + wire [31:0] _GEN_224 = _T_3787 ? 32'h0 : _GEN_212; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3787 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3764 & buf_state_en_2; // @[Conditional.scala 40:58] + wire [31:0] _GEN_231 = _T_3764 ? _T_3786 : _GEN_224; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3764 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3764 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire [31:0] _T_3979 = _T_3972 ? ibuf_data_out : store_data_lo_r; // @[el2_lsu_bus_buffer.scala 463:30] + wire _T_4001 = buf_state_en_3 & _T_4072; // @[el2_lsu_bus_buffer.scala 475:44] + wire _T_4002 = _T_4001 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 475:60] + wire _T_4004 = _T_4002 & _T_1258; // @[el2_lsu_bus_buffer.scala 475:74] + wire _T_4007 = _T_3997 & obuf_nosend; // @[el2_lsu_bus_buffer.scala 477:67] + wire _T_4008 = _T_4007 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 477:81] + wire _T_4011 = _T_4007 & bus_rsp_read_error; // @[el2_lsu_bus_buffer.scala 478:82] + wire [31:0] _T_4016 = buf_addr_3[2] ? io_lsu_axi_rdata[63:32] : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 479:73] + wire _T_4086 = bus_rsp_read_error & _T_4065; // @[el2_lsu_bus_buffer.scala 492:91] + wire _T_4088 = bus_rsp_read_error & buf_ldfwd[3]; // @[el2_lsu_bus_buffer.scala 493:31] + wire _T_4090 = _T_4088 & _T_4067; // @[el2_lsu_bus_buffer.scala 493:46] + wire _T_4091 = _T_4086 | _T_4090; // @[el2_lsu_bus_buffer.scala 492:143] + wire _T_4094 = bus_rsp_write_error & _T_4063; // @[el2_lsu_bus_buffer.scala 494:53] + wire _T_4095 = _T_4091 | _T_4094; // @[el2_lsu_bus_buffer.scala 493:88] + wire _T_4096 = _T_3997 & _T_4095; // @[el2_lsu_bus_buffer.scala 492:68] + wire _GEN_274 = _T_4018 & _T_4096; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_3984 ? _T_4011 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_3980 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_3957 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] + wire [31:0] _T_4017 = buf_error_en_3 ? io_lsu_axi_rdata[31:0] : _T_4016; // @[el2_lsu_bus_buffer.scala 479:30] + wire _T_4022 = buf_write[3] & _T_3442; // @[el2_lsu_bus_buffer.scala 482:71] + wire _T_4023 = io_dec_tlu_force_halt | _T_4022; // @[el2_lsu_bus_buffer.scala 482:55] + wire _T_4025 = ~buf_samedw_3; // @[el2_lsu_bus_buffer.scala 483:30] + wire _T_4026 = buf_dual_3 & _T_4025; // @[el2_lsu_bus_buffer.scala 483:28] + wire _T_4029 = _T_4026 & _T_4072; // @[el2_lsu_bus_buffer.scala 483:45] wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[el2_lsu_bus_buffer.scala 483:90] wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[el2_lsu_bus_buffer.scala 483:90] wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_4054 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] - wire _T_4055 = _T_4053 & _T_4054; // @[el2_lsu_bus_buffer.scala 483:61] - wire _T_4057 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] - wire _T_4063 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4065 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4067 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4069 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] - wire _T_4071 = _T_4063 & buf_ldfwd[0]; // @[Mux.scala 27:72] - wire _T_4072 = _T_4065 & buf_ldfwd[1]; // @[Mux.scala 27:72] - wire _T_4073 = _T_4067 & buf_ldfwd[2]; // @[Mux.scala 27:72] - wire _T_4074 = _T_4069 & buf_ldfwd[3]; // @[Mux.scala 27:72] - wire _T_4075 = _T_4071 | _T_4072; // @[Mux.scala 27:72] - wire _T_4076 = _T_4075 | _T_4073; // @[Mux.scala 27:72] - wire _T_4077 = _T_4076 | _T_4074; // @[Mux.scala 27:72] - wire _T_4079 = _T_4053 & _T_4077; // @[el2_lsu_bus_buffer.scala 484:101] - wire _T_4080 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] - wire _T_4081 = _T_4079 & _T_4080; // @[el2_lsu_bus_buffer.scala 484:138] - wire _T_4082 = _T_4081 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] - wire _T_4083 = _T_4057 | _T_4082; // @[el2_lsu_bus_buffer.scala 484:53] - wire _T_4106 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] - wire _T_4107 = _T_4106 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] - wire _T_4121 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 495:50] - wire _T_4122 = buf_state_en_3 & _T_4121; // @[el2_lsu_bus_buffer.scala 495:48] - wire [31:0] _T_4128 = _T_4122 ? _T_4040 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] - wire _T_4134 = buf_ldfwd[3] | _T_4139[0]; // @[el2_lsu_bus_buffer.scala 498:90] - wire _T_4135 = _T_4134 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] - wire _GEN_257 = _T_4155 & buf_state_en_3; // @[Conditional.scala 39:67] - wire _GEN_260 = _T_4147 ? 1'h0 : _T_4155; // @[Conditional.scala 39:67] - wire _GEN_262 = _T_4147 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] - wire _GEN_266 = _T_4129 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] - wire _GEN_268 = _T_4129 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] - wire _GEN_273 = _T_4042 & _T_4107; // @[Conditional.scala 39:67] - wire [31:0] _GEN_275 = _T_4042 ? _T_4128 : 32'h0; // @[Conditional.scala 39:67] - wire _GEN_276 = _T_4042 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] - wire _GEN_278 = _T_4042 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] - wire _GEN_284 = _T_4008 ? _T_4028 : _GEN_278; // @[Conditional.scala 39:67] - wire _GEN_286 = _T_4008 ? _T_4032 : _GEN_273; // @[Conditional.scala 39:67] - wire [31:0] _GEN_288 = _T_4008 ? _T_4041 : _GEN_275; // @[Conditional.scala 39:67] - wire _GEN_290 = _T_4008 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] - wire _GEN_296 = _T_4004 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] - wire _GEN_298 = _T_4004 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] - wire [31:0] _GEN_300 = _T_4004 ? 32'h0 : _GEN_288; // @[Conditional.scala 39:67] - wire _GEN_302 = _T_4004 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] - wire buf_wr_en_3 = _T_3981 & buf_state_en_3; // @[Conditional.scala 40:58] - wire [31:0] _GEN_307 = _T_3981 ? _T_4003 : _GEN_300; // @[Conditional.scala 40:58] - wire buf_ldfwd_en_3 = _T_3981 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] - wire buf_rst_3 = _T_3981 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] - reg _T_4210; // @[Reg.scala 27:20] - reg _T_4213; // @[Reg.scala 27:20] - reg _T_4216; // @[Reg.scala 27:20] - reg _T_4219; // @[Reg.scala 27:20] - wire [3:0] buf_unsign = {_T_4219,_T_4216,_T_4213,_T_4210}; // @[Cat.scala 29:58] - wire [2:0] buf_byteen_in_0 = _T_3221[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] - wire [2:0] buf_byteen_in_1 = _T_3230[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] - wire [2:0] buf_byteen_in_2 = _T_3239[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] - wire [2:0] buf_byteen_in_3 = _T_3248[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] - reg _T_4281; // @[el2_lsu_bus_buffer.scala 534:82] - reg _T_4277; // @[el2_lsu_bus_buffer.scala 534:82] - reg _T_4273; // @[el2_lsu_bus_buffer.scala 534:82] - reg _T_4269; // @[el2_lsu_bus_buffer.scala 534:82] - wire [3:0] buf_error = {_T_4281,_T_4277,_T_4273,_T_4269}; // @[Cat.scala 29:58] - wire _T_4267 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 534:86] - wire _T_4271 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 534:86] - wire _T_4275 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 534:86] - wire _T_4279 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 534:86] - wire [1:0] _T_4289 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 536:96] - wire [1:0] _GEN_419 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 536:96] - wire [2:0] _T_4290 = _T_4289 + _GEN_419; // @[el2_lsu_bus_buffer.scala 536:96] - wire [2:0] _GEN_420 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 536:96] - wire [3:0] buf_numvld_any = _T_4290 + _GEN_420; // @[el2_lsu_bus_buffer.scala 536:96] - wire _T_4376 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 542:52] - wire _T_4377 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 542:92] - wire _T_4378 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 542:119] - wire _T_4380 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 543:52] - wire _T_4381 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 543:52] - wire _T_4382 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 543:52] - wire _T_4383 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 543:52] - wire _T_4384 = _T_4380 | _T_4381; // @[el2_lsu_bus_buffer.scala 543:65] - wire _T_4385 = _T_4384 | _T_4382; // @[el2_lsu_bus_buffer.scala 543:65] - wire _T_4386 = _T_4385 | _T_4383; // @[el2_lsu_bus_buffer.scala 543:65] - wire _T_4387 = ~_T_4386; // @[el2_lsu_bus_buffer.scala 543:34] - wire _T_4389 = _T_4387 & _T_765; // @[el2_lsu_bus_buffer.scala 543:70] - wire _T_4392 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 545:51] - wire _T_4393 = _T_4392 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 545:72] - wire _T_4394 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 545:94] - wire _T_4395 = _T_4393 & _T_4394; // @[el2_lsu_bus_buffer.scala 545:92] - wire _T_4396 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 545:111] - wire _T_4398 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 548:61] + wire _T_4030 = _GEN_249 != 3'h4; // @[el2_lsu_bus_buffer.scala 483:90] + wire _T_4031 = _T_4029 & _T_4030; // @[el2_lsu_bus_buffer.scala 483:61] + wire _T_4033 = buf_ldfwd[3] | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:31] + wire _T_4039 = buf_dualtag_3 == 2'h0; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4041 = buf_dualtag_3 == 2'h1; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4043 = buf_dualtag_3 == 2'h2; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4045 = buf_dualtag_3 == 2'h3; // @[el2_lsu_bus_buffer.scala 110:118] + wire _T_4047 = _T_4039 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4048 = _T_4041 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4049 = _T_4043 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4050 = _T_4045 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4051 = _T_4047 | _T_4048; // @[Mux.scala 27:72] + wire _T_4052 = _T_4051 | _T_4049; // @[Mux.scala 27:72] + wire _T_4053 = _T_4052 | _T_4050; // @[Mux.scala 27:72] + wire _T_4055 = _T_4029 & _T_4053; // @[el2_lsu_bus_buffer.scala 484:101] + wire _T_4056 = _GEN_249 == 3'h4; // @[el2_lsu_bus_buffer.scala 484:167] + wire _T_4057 = _T_4055 & _T_4056; // @[el2_lsu_bus_buffer.scala 484:138] + wire _T_4058 = _T_4057 & any_done_wait_state; // @[el2_lsu_bus_buffer.scala 484:187] + wire _T_4059 = _T_4033 | _T_4058; // @[el2_lsu_bus_buffer.scala 484:53] + wire _T_4082 = buf_state_bus_en_3 & bus_rsp_read; // @[el2_lsu_bus_buffer.scala 491:47] + wire _T_4083 = _T_4082 & io_lsu_bus_clk_en; // @[el2_lsu_bus_buffer.scala 491:62] + wire _T_4097 = ~buf_error_en_3; // @[el2_lsu_bus_buffer.scala 495:50] + wire _T_4098 = buf_state_en_3 & _T_4097; // @[el2_lsu_bus_buffer.scala 495:48] + wire [31:0] _T_4104 = _T_4098 ? _T_4016 : io_lsu_axi_rdata[31:0]; // @[el2_lsu_bus_buffer.scala 495:30] + wire _T_4110 = buf_ldfwd[3] | _T_4115[0]; // @[el2_lsu_bus_buffer.scala 498:90] + wire _T_4111 = _T_4110 | any_done_wait_state; // @[el2_lsu_bus_buffer.scala 498:118] + wire _GEN_257 = _T_4131 & buf_state_en_3; // @[Conditional.scala 39:67] + wire _GEN_260 = _T_4123 ? 1'h0 : _T_4131; // @[Conditional.scala 39:67] + wire _GEN_262 = _T_4123 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4105 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] + wire _GEN_268 = _T_4105 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] + wire _GEN_273 = _T_4018 & _T_4083; // @[Conditional.scala 39:67] + wire [31:0] _GEN_275 = _T_4018 ? _T_4104 : 32'h0; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4018 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_278 = _T_4018 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_284 = _T_3984 ? _T_4004 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_3984 ? _T_4008 : _GEN_273; // @[Conditional.scala 39:67] + wire [31:0] _GEN_288 = _T_3984 ? _T_4017 : _GEN_275; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_3984 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_3980 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_3980 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] + wire [31:0] _GEN_300 = _T_3980 ? 32'h0 : _GEN_288; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_3980 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_3957 & buf_state_en_3; // @[Conditional.scala 40:58] + wire [31:0] _GEN_307 = _T_3957 ? _T_3979 : _GEN_300; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_3957 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_3957 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] + reg _T_4186; // @[Reg.scala 27:20] + reg _T_4189; // @[Reg.scala 27:20] + reg _T_4192; // @[Reg.scala 27:20] + reg _T_4195; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4195,_T_4192,_T_4189,_T_4186}; // @[Cat.scala 29:58] + wire [2:0] buf_byteen_in_0 = _T_3197[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] + wire [2:0] buf_byteen_in_1 = _T_3206[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] + wire [2:0] buf_byteen_in_2 = _T_3215[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] + wire [2:0] buf_byteen_in_3 = _T_3224[2:0]; // @[el2_lsu_bus_buffer.scala 170:27 el2_lsu_bus_buffer.scala 171:17 el2_lsu_bus_buffer.scala 444:19] + reg _T_4257; // @[el2_lsu_bus_buffer.scala 534:82] + reg _T_4253; // @[el2_lsu_bus_buffer.scala 534:82] + reg _T_4249; // @[el2_lsu_bus_buffer.scala 534:82] + reg _T_4245; // @[el2_lsu_bus_buffer.scala 534:82] + wire [3:0] buf_error = {_T_4257,_T_4253,_T_4249,_T_4245}; // @[Cat.scala 29:58] + wire _T_4243 = buf_error_en_0 | buf_error[0]; // @[el2_lsu_bus_buffer.scala 534:86] + wire _T_4247 = buf_error_en_1 | buf_error[1]; // @[el2_lsu_bus_buffer.scala 534:86] + wire _T_4251 = buf_error_en_2 | buf_error[2]; // @[el2_lsu_bus_buffer.scala 534:86] + wire _T_4255 = buf_error_en_3 | buf_error[3]; // @[el2_lsu_bus_buffer.scala 534:86] + wire [1:0] _T_4265 = _T_26 + _T_19; // @[el2_lsu_bus_buffer.scala 536:96] + wire [1:0] _GEN_407 = {{1'd0}, _T_12}; // @[el2_lsu_bus_buffer.scala 536:96] + wire [2:0] _T_4266 = _T_4265 + _GEN_407; // @[el2_lsu_bus_buffer.scala 536:96] + wire [2:0] _GEN_408 = {{2'd0}, _T_5}; // @[el2_lsu_bus_buffer.scala 536:96] + wire [3:0] buf_numvld_any = _T_4266 + _GEN_408; // @[el2_lsu_bus_buffer.scala 536:96] + wire _T_4352 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[el2_lsu_bus_buffer.scala 542:52] + wire _T_4353 = buf_numvld_any >= 4'h3; // @[el2_lsu_bus_buffer.scala 542:92] + wire _T_4354 = buf_numvld_any == 4'h3; // @[el2_lsu_bus_buffer.scala 542:119] + wire _T_4356 = |buf_state_0; // @[el2_lsu_bus_buffer.scala 543:52] + wire _T_4357 = |buf_state_1; // @[el2_lsu_bus_buffer.scala 543:52] + wire _T_4358 = |buf_state_2; // @[el2_lsu_bus_buffer.scala 543:52] + wire _T_4359 = |buf_state_3; // @[el2_lsu_bus_buffer.scala 543:52] + wire _T_4360 = _T_4356 | _T_4357; // @[el2_lsu_bus_buffer.scala 543:65] + wire _T_4361 = _T_4360 | _T_4358; // @[el2_lsu_bus_buffer.scala 543:65] + wire _T_4362 = _T_4361 | _T_4359; // @[el2_lsu_bus_buffer.scala 543:65] + wire _T_4363 = ~_T_4362; // @[el2_lsu_bus_buffer.scala 543:34] + wire _T_4365 = _T_4363 & _T_765; // @[el2_lsu_bus_buffer.scala 543:70] + wire _T_4368 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[el2_lsu_bus_buffer.scala 545:51] + wire _T_4369 = _T_4368 & io_lsu_pkt_m_load; // @[el2_lsu_bus_buffer.scala 545:72] + wire _T_4370 = ~io_flush_m_up; // @[el2_lsu_bus_buffer.scala 545:94] + wire _T_4371 = _T_4369 & _T_4370; // @[el2_lsu_bus_buffer.scala 545:92] + wire _T_4372 = ~io_ld_full_hit_m; // @[el2_lsu_bus_buffer.scala 545:111] + wire _T_4374 = ~io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 548:61] reg lsu_nonblock_load_valid_r; // @[el2_lsu_bus_buffer.scala 632:66] - wire _T_4416 = _T_2673 & _T_1129; // @[Mux.scala 27:72] - wire _T_4417 = _T_2695 & _T_3710; // @[Mux.scala 27:72] - wire _T_4418 = _T_2717 & _T_3903; // @[Mux.scala 27:72] - wire _T_4419 = _T_2739 & _T_4096; // @[Mux.scala 27:72] - wire _T_4420 = _T_4416 | _T_4417; // @[Mux.scala 27:72] - wire _T_4421 = _T_4420 | _T_4418; // @[Mux.scala 27:72] - wire lsu_nonblock_load_data_ready = _T_4421 | _T_4419; // @[Mux.scala 27:72] - wire _T_4427 = buf_error[0] & _T_1129; // @[el2_lsu_bus_buffer.scala 551:108] - wire _T_4432 = buf_error[1] & _T_3710; // @[el2_lsu_bus_buffer.scala 551:108] - wire _T_4437 = buf_error[2] & _T_3903; // @[el2_lsu_bus_buffer.scala 551:108] - wire _T_4442 = buf_error[3] & _T_4096; // @[el2_lsu_bus_buffer.scala 551:108] - wire _T_4443 = _T_2673 & _T_4427; // @[Mux.scala 27:72] - wire _T_4444 = _T_2695 & _T_4432; // @[Mux.scala 27:72] - wire _T_4445 = _T_2717 & _T_4437; // @[Mux.scala 27:72] - wire _T_4446 = _T_2739 & _T_4442; // @[Mux.scala 27:72] - wire _T_4447 = _T_4443 | _T_4444; // @[Mux.scala 27:72] - wire _T_4448 = _T_4447 | _T_4445; // @[Mux.scala 27:72] - wire _T_4455 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 552:109] - wire _T_4456 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 552:124] + wire _T_4392 = _T_2649 & _T_1129; // @[Mux.scala 27:72] + wire _T_4393 = _T_2671 & _T_3686; // @[Mux.scala 27:72] + wire _T_4394 = _T_2693 & _T_3879; // @[Mux.scala 27:72] + wire _T_4395 = _T_2715 & _T_4072; // @[Mux.scala 27:72] + wire _T_4396 = _T_4392 | _T_4393; // @[Mux.scala 27:72] + wire _T_4397 = _T_4396 | _T_4394; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4397 | _T_4395; // @[Mux.scala 27:72] + wire _T_4403 = buf_error[0] & _T_1129; // @[el2_lsu_bus_buffer.scala 551:108] + wire _T_4408 = buf_error[1] & _T_3686; // @[el2_lsu_bus_buffer.scala 551:108] + wire _T_4413 = buf_error[2] & _T_3879; // @[el2_lsu_bus_buffer.scala 551:108] + wire _T_4418 = buf_error[3] & _T_4072; // @[el2_lsu_bus_buffer.scala 551:108] + wire _T_4419 = _T_2649 & _T_4403; // @[Mux.scala 27:72] + wire _T_4420 = _T_2671 & _T_4408; // @[Mux.scala 27:72] + wire _T_4421 = _T_2693 & _T_4413; // @[Mux.scala 27:72] + wire _T_4422 = _T_2715 & _T_4418; // @[Mux.scala 27:72] + wire _T_4423 = _T_4419 | _T_4420; // @[Mux.scala 27:72] + wire _T_4424 = _T_4423 | _T_4421; // @[Mux.scala 27:72] + wire _T_4431 = ~buf_dual_0; // @[el2_lsu_bus_buffer.scala 552:109] + wire _T_4432 = ~buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 552:124] + wire _T_4433 = _T_4431 | _T_4432; // @[el2_lsu_bus_buffer.scala 552:122] + wire _T_4434 = _T_4392 & _T_4433; // @[el2_lsu_bus_buffer.scala 552:106] + wire _T_4439 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 552:109] + wire _T_4440 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 552:124] + wire _T_4441 = _T_4439 | _T_4440; // @[el2_lsu_bus_buffer.scala 552:122] + wire _T_4442 = _T_4393 & _T_4441; // @[el2_lsu_bus_buffer.scala 552:106] + wire _T_4447 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 552:109] + wire _T_4448 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 552:124] + wire _T_4449 = _T_4447 | _T_4448; // @[el2_lsu_bus_buffer.scala 552:122] + wire _T_4450 = _T_4394 & _T_4449; // @[el2_lsu_bus_buffer.scala 552:106] + wire _T_4455 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 552:109] + wire _T_4456 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 552:124] wire _T_4457 = _T_4455 | _T_4456; // @[el2_lsu_bus_buffer.scala 552:122] - wire _T_4458 = _T_4416 & _T_4457; // @[el2_lsu_bus_buffer.scala 552:106] - wire _T_4463 = ~buf_dual_1; // @[el2_lsu_bus_buffer.scala 552:109] - wire _T_4464 = ~buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 552:124] - wire _T_4465 = _T_4463 | _T_4464; // @[el2_lsu_bus_buffer.scala 552:122] - wire _T_4466 = _T_4417 & _T_4465; // @[el2_lsu_bus_buffer.scala 552:106] - wire _T_4471 = ~buf_dual_2; // @[el2_lsu_bus_buffer.scala 552:109] - wire _T_4472 = ~buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 552:124] - wire _T_4473 = _T_4471 | _T_4472; // @[el2_lsu_bus_buffer.scala 552:122] - wire _T_4474 = _T_4418 & _T_4473; // @[el2_lsu_bus_buffer.scala 552:106] - wire _T_4479 = ~buf_dual_3; // @[el2_lsu_bus_buffer.scala 552:109] - wire _T_4480 = ~buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 552:124] - wire _T_4481 = _T_4479 | _T_4480; // @[el2_lsu_bus_buffer.scala 552:122] - wire _T_4482 = _T_4419 & _T_4481; // @[el2_lsu_bus_buffer.scala 552:106] - wire [1:0] _T_4485 = _T_4474 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4486 = _T_4482 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _GEN_421 = {{1'd0}, _T_4466}; // @[Mux.scala 27:72] - wire [1:0] _T_4488 = _GEN_421 | _T_4485; // @[Mux.scala 27:72] - wire [31:0] _T_4523 = _T_4458 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4524 = _T_4466 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4525 = _T_4474 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4526 = _T_4482 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4527 = _T_4523 | _T_4524; // @[Mux.scala 27:72] - wire [31:0] _T_4528 = _T_4527 | _T_4525; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_lo = _T_4528 | _T_4526; // @[Mux.scala 27:72] - wire _T_4534 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 554:120] - wire _T_4535 = _T_4416 & _T_4534; // @[el2_lsu_bus_buffer.scala 554:105] - wire _T_4540 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 554:120] - wire _T_4541 = _T_4417 & _T_4540; // @[el2_lsu_bus_buffer.scala 554:105] - wire _T_4546 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 554:120] - wire _T_4547 = _T_4418 & _T_4546; // @[el2_lsu_bus_buffer.scala 554:105] - wire _T_4552 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 554:120] - wire _T_4553 = _T_4419 & _T_4552; // @[el2_lsu_bus_buffer.scala 554:105] - wire [31:0] _T_4554 = _T_4535 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4555 = _T_4541 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4556 = _T_4547 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4557 = _T_4553 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4558 = _T_4554 | _T_4555; // @[Mux.scala 27:72] - wire [31:0] _T_4559 = _T_4558 | _T_4556; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_load_data_hi = _T_4559 | _T_4557; // @[Mux.scala 27:72] - wire _T_4561 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4562 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4563 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 111:123] - wire _T_4564 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 111:123] - wire [31:0] _T_4565 = _T_4561 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4566 = _T_4562 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4567 = _T_4563 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4568 = _T_4564 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4569 = _T_4565 | _T_4566; // @[Mux.scala 27:72] - wire [31:0] _T_4570 = _T_4569 | _T_4567; // @[Mux.scala 27:72] - wire [31:0] lsu_nonblock_addr_offset = _T_4570 | _T_4568; // @[Mux.scala 27:72] - wire [1:0] _T_4576 = _T_4561 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4577 = _T_4562 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4578 = _T_4563 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4579 = _T_4564 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] - wire [1:0] _T_4580 = _T_4576 | _T_4577; // @[Mux.scala 27:72] - wire [1:0] _T_4581 = _T_4580 | _T_4578; // @[Mux.scala 27:72] - wire [1:0] lsu_nonblock_sz = _T_4581 | _T_4579; // @[Mux.scala 27:72] - wire _T_4591 = _T_4561 & buf_unsign[0]; // @[Mux.scala 27:72] - wire _T_4592 = _T_4562 & buf_unsign[1]; // @[Mux.scala 27:72] - wire _T_4593 = _T_4563 & buf_unsign[2]; // @[Mux.scala 27:72] - wire _T_4594 = _T_4564 & buf_unsign[3]; // @[Mux.scala 27:72] - wire _T_4595 = _T_4591 | _T_4592; // @[Mux.scala 27:72] - wire _T_4596 = _T_4595 | _T_4593; // @[Mux.scala 27:72] - wire lsu_nonblock_unsign = _T_4596 | _T_4594; // @[Mux.scala 27:72] - wire [63:0] _T_4616 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] - wire [35:0] _T_4617 = lsu_nonblock_addr_offset * 32'h8; // @[el2_lsu_bus_buffer.scala 559:121] - wire [63:0] lsu_nonblock_data_unalgn = _T_4616 >> _T_4617; // @[el2_lsu_bus_buffer.scala 559:92] - wire _T_4618 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 560:69] - wire _T_4620 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 561:81] - wire _T_4621 = lsu_nonblock_unsign & _T_4620; // @[el2_lsu_bus_buffer.scala 561:63] - wire [31:0] _T_4623 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4624 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 562:45] - wire _T_4625 = lsu_nonblock_unsign & _T_4624; // @[el2_lsu_bus_buffer.scala 562:26] - wire [31:0] _T_4627 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4628 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 563:6] - wire _T_4630 = _T_4628 & _T_4620; // @[el2_lsu_bus_buffer.scala 563:27] - wire [23:0] _T_4633 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4635 = {_T_4633,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] - wire _T_4638 = _T_4628 & _T_4624; // @[el2_lsu_bus_buffer.scala 564:27] - wire [15:0] _T_4641 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_4643 = {_T_4641,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] - wire _T_4644 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 565:21] - wire [31:0] _T_4645 = _T_4621 ? _T_4623 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4646 = _T_4625 ? _T_4627 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4647 = _T_4630 ? _T_4635 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4648 = _T_4638 ? _T_4643 : 32'h0; // @[Mux.scala 27:72] - wire [63:0] _T_4649 = _T_4644 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4650 = _T_4645 | _T_4646; // @[Mux.scala 27:72] - wire [31:0] _T_4651 = _T_4650 | _T_4647; // @[Mux.scala 27:72] - wire [31:0] _T_4652 = _T_4651 | _T_4648; // @[Mux.scala 27:72] - wire [63:0] _GEN_422 = {{32'd0}, _T_4652}; // @[Mux.scala 27:72] - wire [63:0] _T_4653 = _GEN_422 | _T_4649; // @[Mux.scala 27:72] - wire _T_4749 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 583:36] - wire _T_4750 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 583:51] - wire _T_4751 = _T_4749 & _T_4750; // @[el2_lsu_bus_buffer.scala 583:49] - wire [31:0] _T_4755 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] - wire [2:0] _T_4757 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] - wire _T_4762 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 595:50] - wire _T_4763 = _T_4749 & _T_4762; // @[el2_lsu_bus_buffer.scala 595:48] - wire [7:0] _T_4767 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire _T_4770 = obuf_valid & _T_1268; // @[el2_lsu_bus_buffer.scala 600:36] - wire _T_4772 = _T_4770 & _T_1274; // @[el2_lsu_bus_buffer.scala 600:50] - wire _T_4784 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 613:114] - wire _T_4786 = _T_4784 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 613:129] - wire _T_4789 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 613:114] - wire _T_4791 = _T_4789 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 613:129] - wire _T_4794 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 613:114] - wire _T_4796 = _T_4794 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 613:129] - wire _T_4799 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 613:114] - wire _T_4801 = _T_4799 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 613:129] - wire _T_4802 = _T_2673 & _T_4786; // @[Mux.scala 27:72] - wire _T_4803 = _T_2695 & _T_4791; // @[Mux.scala 27:72] - wire _T_4804 = _T_2717 & _T_4796; // @[Mux.scala 27:72] - wire _T_4805 = _T_2739 & _T_4801; // @[Mux.scala 27:72] - wire _T_4806 = _T_4802 | _T_4803; // @[Mux.scala 27:72] - wire _T_4807 = _T_4806 | _T_4804; // @[Mux.scala 27:72] - wire _T_4817 = _T_2695 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 614:98] - wire lsu_imprecise_error_store_tag = _T_4817 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 614:113] - wire _T_4823 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 616:72] - wire _T_4825 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 111:123] - wire [31:0] _T_4827 = _T_4825 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4828 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_4829 = _T_4827 | _T_4828; // @[Mux.scala 27:72] - wire _T_4846 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 623:68] - wire _T_4849 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 624:48] - wire _T_4852 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 627:48] - wire _T_4853 = io_lsu_axi_awvalid & _T_4852; // @[el2_lsu_bus_buffer.scala 627:46] - wire _T_4854 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 627:92] - wire _T_4855 = io_lsu_axi_wvalid & _T_4854; // @[el2_lsu_bus_buffer.scala 627:90] - wire _T_4856 = _T_4853 | _T_4855; // @[el2_lsu_bus_buffer.scala 627:69] - wire _T_4857 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 627:136] - wire _T_4858 = io_lsu_axi_arvalid & _T_4857; // @[el2_lsu_bus_buffer.scala 627:134] - wire _T_4862 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 631:75] - wire _T_4863 = io_lsu_busreq_m & _T_4862; // @[el2_lsu_bus_buffer.scala 631:73] - reg _T_4866; // @[el2_lsu_bus_buffer.scala 631:56] + wire _T_4458 = _T_4395 & _T_4457; // @[el2_lsu_bus_buffer.scala 552:106] + wire [1:0] _T_4461 = _T_4450 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4462 = _T_4458 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_409 = {{1'd0}, _T_4442}; // @[Mux.scala 27:72] + wire [1:0] _T_4464 = _GEN_409 | _T_4461; // @[Mux.scala 27:72] + wire [31:0] _T_4499 = _T_4434 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4500 = _T_4442 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4501 = _T_4450 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4502 = _T_4458 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4503 = _T_4499 | _T_4500; // @[Mux.scala 27:72] + wire [31:0] _T_4504 = _T_4503 | _T_4501; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4504 | _T_4502; // @[Mux.scala 27:72] + wire _T_4510 = buf_dual_0 | buf_dualhi_0; // @[el2_lsu_bus_buffer.scala 554:120] + wire _T_4511 = _T_4392 & _T_4510; // @[el2_lsu_bus_buffer.scala 554:105] + wire _T_4516 = buf_dual_1 | buf_dualhi_1; // @[el2_lsu_bus_buffer.scala 554:120] + wire _T_4517 = _T_4393 & _T_4516; // @[el2_lsu_bus_buffer.scala 554:105] + wire _T_4522 = buf_dual_2 | buf_dualhi_2; // @[el2_lsu_bus_buffer.scala 554:120] + wire _T_4523 = _T_4394 & _T_4522; // @[el2_lsu_bus_buffer.scala 554:105] + wire _T_4528 = buf_dual_3 | buf_dualhi_3; // @[el2_lsu_bus_buffer.scala 554:120] + wire _T_4529 = _T_4395 & _T_4528; // @[el2_lsu_bus_buffer.scala 554:105] + wire [31:0] _T_4530 = _T_4511 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4531 = _T_4517 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4532 = _T_4523 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4533 = _T_4529 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4534 = _T_4530 | _T_4531; // @[Mux.scala 27:72] + wire [31:0] _T_4535 = _T_4534 | _T_4532; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4535 | _T_4533; // @[Mux.scala 27:72] + wire _T_4537 = io_lsu_nonblock_load_data_tag == 2'h0; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4538 = io_lsu_nonblock_load_data_tag == 2'h1; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4539 = io_lsu_nonblock_load_data_tag == 2'h2; // @[el2_lsu_bus_buffer.scala 111:123] + wire _T_4540 = io_lsu_nonblock_load_data_tag == 2'h3; // @[el2_lsu_bus_buffer.scala 111:123] + wire [31:0] _T_4541 = _T_4537 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4542 = _T_4538 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4543 = _T_4539 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4544 = _T_4540 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4545 = _T_4541 | _T_4542; // @[Mux.scala 27:72] + wire [31:0] _T_4546 = _T_4545 | _T_4543; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_addr_offset = _T_4546 | _T_4544; // @[Mux.scala 27:72] + wire [1:0] _T_4552 = _T_4537 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4553 = _T_4538 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4554 = _T_4539 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4555 = _T_4540 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4556 = _T_4552 | _T_4553; // @[Mux.scala 27:72] + wire [1:0] _T_4557 = _T_4556 | _T_4554; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4557 | _T_4555; // @[Mux.scala 27:72] + wire _T_4567 = _T_4537 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4568 = _T_4538 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4569 = _T_4539 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4570 = _T_4540 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4571 = _T_4567 | _T_4568; // @[Mux.scala 27:72] + wire _T_4572 = _T_4571 | _T_4569; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4572 | _T_4570; // @[Mux.scala 27:72] + wire [63:0] _T_4592 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [35:0] _T_4593 = lsu_nonblock_addr_offset * 32'h8; // @[el2_lsu_bus_buffer.scala 559:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4592 >> _T_4593; // @[el2_lsu_bus_buffer.scala 559:92] + wire _T_4594 = ~io_lsu_nonblock_load_data_error; // @[el2_lsu_bus_buffer.scala 560:69] + wire _T_4596 = lsu_nonblock_sz == 2'h0; // @[el2_lsu_bus_buffer.scala 561:81] + wire _T_4597 = lsu_nonblock_unsign & _T_4596; // @[el2_lsu_bus_buffer.scala 561:63] + wire [31:0] _T_4599 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4600 = lsu_nonblock_sz == 2'h1; // @[el2_lsu_bus_buffer.scala 562:45] + wire _T_4601 = lsu_nonblock_unsign & _T_4600; // @[el2_lsu_bus_buffer.scala 562:26] + wire [31:0] _T_4603 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4604 = ~lsu_nonblock_unsign; // @[el2_lsu_bus_buffer.scala 563:6] + wire _T_4606 = _T_4604 & _T_4596; // @[el2_lsu_bus_buffer.scala 563:27] + wire [23:0] _T_4609 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4611 = {_T_4609,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4614 = _T_4604 & _T_4600; // @[el2_lsu_bus_buffer.scala 564:27] + wire [15:0] _T_4617 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4619 = {_T_4617,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4620 = lsu_nonblock_sz == 2'h2; // @[el2_lsu_bus_buffer.scala 565:21] + wire [31:0] _T_4621 = _T_4597 ? _T_4599 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4622 = _T_4601 ? _T_4603 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4623 = _T_4606 ? _T_4611 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4624 = _T_4614 ? _T_4619 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4625 = _T_4620 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4626 = _T_4621 | _T_4622; // @[Mux.scala 27:72] + wire [31:0] _T_4627 = _T_4626 | _T_4623; // @[Mux.scala 27:72] + wire [31:0] _T_4628 = _T_4627 | _T_4624; // @[Mux.scala 27:72] + wire [63:0] _GEN_410 = {{32'd0}, _T_4628}; // @[Mux.scala 27:72] + wire [63:0] _T_4629 = _GEN_410 | _T_4625; // @[Mux.scala 27:72] + wire _T_4725 = obuf_valid & obuf_write; // @[el2_lsu_bus_buffer.scala 583:36] + wire _T_4726 = ~obuf_cmd_done; // @[el2_lsu_bus_buffer.scala 583:51] + wire _T_4727 = _T_4725 & _T_4726; // @[el2_lsu_bus_buffer.scala 583:49] + wire [31:0] _T_4731 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4733 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4738 = ~obuf_data_done; // @[el2_lsu_bus_buffer.scala 595:50] + wire _T_4739 = _T_4725 & _T_4738; // @[el2_lsu_bus_buffer.scala 595:48] + wire [7:0] _T_4743 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4746 = obuf_valid & _T_1268; // @[el2_lsu_bus_buffer.scala 600:36] + wire _T_4748 = _T_4746 & _T_1274; // @[el2_lsu_bus_buffer.scala 600:50] + wire _T_4760 = io_lsu_bus_clk_en_q & buf_error[0]; // @[el2_lsu_bus_buffer.scala 613:114] + wire _T_4762 = _T_4760 & buf_write[0]; // @[el2_lsu_bus_buffer.scala 613:129] + wire _T_4765 = io_lsu_bus_clk_en_q & buf_error[1]; // @[el2_lsu_bus_buffer.scala 613:114] + wire _T_4767 = _T_4765 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 613:129] + wire _T_4770 = io_lsu_bus_clk_en_q & buf_error[2]; // @[el2_lsu_bus_buffer.scala 613:114] + wire _T_4772 = _T_4770 & buf_write[2]; // @[el2_lsu_bus_buffer.scala 613:129] + wire _T_4775 = io_lsu_bus_clk_en_q & buf_error[3]; // @[el2_lsu_bus_buffer.scala 613:114] + wire _T_4777 = _T_4775 & buf_write[3]; // @[el2_lsu_bus_buffer.scala 613:129] + wire _T_4778 = _T_2649 & _T_4762; // @[Mux.scala 27:72] + wire _T_4779 = _T_2671 & _T_4767; // @[Mux.scala 27:72] + wire _T_4780 = _T_2693 & _T_4772; // @[Mux.scala 27:72] + wire _T_4781 = _T_2715 & _T_4777; // @[Mux.scala 27:72] + wire _T_4782 = _T_4778 | _T_4779; // @[Mux.scala 27:72] + wire _T_4783 = _T_4782 | _T_4780; // @[Mux.scala 27:72] + wire _T_4793 = _T_2671 & buf_error[1]; // @[el2_lsu_bus_buffer.scala 614:98] + wire lsu_imprecise_error_store_tag = _T_4793 & buf_write[1]; // @[el2_lsu_bus_buffer.scala 614:113] + wire _T_4799 = ~io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 616:72] + wire _T_4801 = ~lsu_imprecise_error_store_tag; // @[el2_lsu_bus_buffer.scala 111:123] + wire [31:0] _T_4803 = _T_4801 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4804 = lsu_imprecise_error_store_tag ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4805 = _T_4803 | _T_4804; // @[Mux.scala 27:72] + wire _T_4822 = bus_wcmd_sent | bus_wdata_sent; // @[el2_lsu_bus_buffer.scala 623:68] + wire _T_4825 = io_lsu_busreq_r & io_ldst_dual_r; // @[el2_lsu_bus_buffer.scala 624:48] + wire _T_4828 = ~io_lsu_axi_awready; // @[el2_lsu_bus_buffer.scala 627:48] + wire _T_4829 = io_lsu_axi_awvalid & _T_4828; // @[el2_lsu_bus_buffer.scala 627:46] + wire _T_4830 = ~io_lsu_axi_wready; // @[el2_lsu_bus_buffer.scala 627:92] + wire _T_4831 = io_lsu_axi_wvalid & _T_4830; // @[el2_lsu_bus_buffer.scala 627:90] + wire _T_4832 = _T_4829 | _T_4831; // @[el2_lsu_bus_buffer.scala 627:69] + wire _T_4833 = ~io_lsu_axi_arready; // @[el2_lsu_bus_buffer.scala 627:136] + wire _T_4834 = io_lsu_axi_arvalid & _T_4833; // @[el2_lsu_bus_buffer.scala 627:134] + wire _T_4838 = ~io_flush_r; // @[el2_lsu_bus_buffer.scala 631:75] + wire _T_4839 = io_lsu_busreq_m & _T_4838; // @[el2_lsu_bus_buffer.scala 631:73] + reg _T_4842; // @[el2_lsu_bus_buffer.scala 631:56] rvclkhdr rvclkhdr ( // @[el2_lib.scala 472:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -2529,59 +2502,59 @@ module el2_lsu_bus_buffer( .io_en(rvclkhdr_11_io_en), .io_scan_mode(rvclkhdr_11_io_scan_mode) ); - assign io_lsu_busreq_r = _T_4866; // @[el2_lsu_bus_buffer.scala 631:19] + assign io_lsu_busreq_r = _T_4842; // @[el2_lsu_bus_buffer.scala 631:19] assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[el2_lsu_bus_buffer.scala 541:30] - assign io_lsu_bus_buffer_full_any = _T_4376 ? _T_4377 : _T_4378; // @[el2_lsu_bus_buffer.scala 542:30] - assign io_lsu_bus_buffer_empty_any = _T_4389 & _T_1156; // @[el2_lsu_bus_buffer.scala 543:31] + assign io_lsu_bus_buffer_full_any = _T_4352 ? _T_4353 : _T_4354; // @[el2_lsu_bus_buffer.scala 542:30] + assign io_lsu_bus_buffer_empty_any = _T_4365 & _T_1156; // @[el2_lsu_bus_buffer.scala 543:31] assign io_lsu_bus_idle_any = 1'h1; // @[el2_lsu_bus_buffer.scala 620:23] assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[el2_lsu_bus_buffer.scala 188:25] assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[el2_lsu_bus_buffer.scala 189:25] assign io_ld_fwddata_buf_lo = _T_646[31:0]; // @[el2_lsu_bus_buffer.scala 214:24] assign io_ld_fwddata_buf_hi = _T_741[31:0]; // @[el2_lsu_bus_buffer.scala 219:24] - assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4823; // @[el2_lsu_bus_buffer.scala 616:35] - assign io_lsu_imprecise_error_store_any = _T_4807 | _T_4805; // @[el2_lsu_bus_buffer.scala 613:36] - assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4829 : lsu_nonblock_addr_offset; // @[el2_lsu_bus_buffer.scala 617:35] - assign io_lsu_nonblock_load_valid_m = _T_4395 & _T_4396; // @[el2_lsu_bus_buffer.scala 545:32] - assign io_lsu_nonblock_load_tag_m = _T_1793 ? 2'h0 : _T_1847; // @[el2_lsu_bus_buffer.scala 546:30] - assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4398; // @[el2_lsu_bus_buffer.scala 548:30] + assign io_lsu_imprecise_error_load_any = io_lsu_nonblock_load_data_error & _T_4799; // @[el2_lsu_bus_buffer.scala 616:35] + assign io_lsu_imprecise_error_store_any = _T_4783 | _T_4781; // @[el2_lsu_bus_buffer.scala 613:36] + assign io_lsu_imprecise_error_addr_any = io_lsu_imprecise_error_store_any ? _T_4805 : lsu_nonblock_addr_offset; // @[el2_lsu_bus_buffer.scala 617:35] + assign io_lsu_nonblock_load_valid_m = _T_4371 & _T_4372; // @[el2_lsu_bus_buffer.scala 545:32] + assign io_lsu_nonblock_load_tag_m = _T_1787 ? 2'h0 : _T_1823; // @[el2_lsu_bus_buffer.scala 546:30] + assign io_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4374; // @[el2_lsu_bus_buffer.scala 548:30] assign io_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[el2_lsu_bus_buffer.scala 549:34] - assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4618; // @[el2_lsu_bus_buffer.scala 560:35] - assign io_lsu_nonblock_load_data_error = _T_4448 | _T_4446; // @[el2_lsu_bus_buffer.scala 551:35] - assign io_lsu_nonblock_load_data_tag = _T_4488 | _T_4486; // @[el2_lsu_bus_buffer.scala 552:33] - assign io_lsu_nonblock_load_data = _T_4653[31:0]; // @[el2_lsu_bus_buffer.scala 561:29] - assign io_lsu_pmu_bus_trxn = _T_4846 | _T_4741; // @[el2_lsu_bus_buffer.scala 623:23] - assign io_lsu_pmu_bus_misaligned = _T_4849 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 624:29] + assign io_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4594; // @[el2_lsu_bus_buffer.scala 560:35] + assign io_lsu_nonblock_load_data_error = _T_4424 | _T_4422; // @[el2_lsu_bus_buffer.scala 551:35] + assign io_lsu_nonblock_load_data_tag = _T_4464 | _T_4462; // @[el2_lsu_bus_buffer.scala 552:33] + assign io_lsu_nonblock_load_data = _T_4629[31:0]; // @[el2_lsu_bus_buffer.scala 561:29] + assign io_lsu_pmu_bus_trxn = _T_4822 | _T_4717; // @[el2_lsu_bus_buffer.scala 623:23] + assign io_lsu_pmu_bus_misaligned = _T_4825 & io_lsu_commit_r; // @[el2_lsu_bus_buffer.scala 624:29] assign io_lsu_pmu_bus_error = io_lsu_imprecise_error_load_any | io_lsu_imprecise_error_store_any; // @[el2_lsu_bus_buffer.scala 625:24] - assign io_lsu_pmu_bus_busy = _T_4856 | _T_4858; // @[el2_lsu_bus_buffer.scala 627:23] - assign io_lsu_axi_awvalid = _T_4751 & _T_1164; // @[el2_lsu_bus_buffer.scala 583:22] + assign io_lsu_pmu_bus_busy = _T_4832 | _T_4834; // @[el2_lsu_bus_buffer.scala 627:23] + assign io_lsu_axi_awvalid = _T_4727 & _T_1164; // @[el2_lsu_bus_buffer.scala 583:22] assign io_lsu_axi_awid = {{1'd0}, _T_1772}; // @[el2_lsu_bus_buffer.scala 584:19] - assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4755; // @[el2_lsu_bus_buffer.scala 585:21] + assign io_lsu_axi_awaddr = obuf_sideeffect ? obuf_addr : _T_4731; // @[el2_lsu_bus_buffer.scala 585:21] assign io_lsu_axi_awregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 589:23] assign io_lsu_axi_awlen = 8'h0; // @[el2_lsu_bus_buffer.scala 590:20] - assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4757 : 3'h2; // @[el2_lsu_bus_buffer.scala 586:21] + assign io_lsu_axi_awsize = obuf_sideeffect ? _T_4733 : 3'h2; // @[el2_lsu_bus_buffer.scala 586:21] assign io_lsu_axi_awburst = 2'h1; // @[el2_lsu_bus_buffer.scala 591:22] assign io_lsu_axi_awlock = 1'h0; // @[el2_lsu_bus_buffer.scala 593:21] assign io_lsu_axi_awcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 588:22] assign io_lsu_axi_awprot = 3'h0; // @[el2_lsu_bus_buffer.scala 587:21] assign io_lsu_axi_awqos = 4'h0; // @[el2_lsu_bus_buffer.scala 592:20] - assign io_lsu_axi_wvalid = _T_4763 & _T_1164; // @[el2_lsu_bus_buffer.scala 595:21] + assign io_lsu_axi_wvalid = _T_4739 & _T_1164; // @[el2_lsu_bus_buffer.scala 595:21] assign io_lsu_axi_wdata = obuf_data; // @[el2_lsu_bus_buffer.scala 597:20] - assign io_lsu_axi_wstrb = obuf_byteen & _T_4767; // @[el2_lsu_bus_buffer.scala 596:20] + assign io_lsu_axi_wstrb = obuf_byteen & _T_4743; // @[el2_lsu_bus_buffer.scala 596:20] assign io_lsu_axi_wlast = 1'h1; // @[el2_lsu_bus_buffer.scala 598:20] assign io_lsu_axi_bready = 1'h1; // @[el2_lsu_bus_buffer.scala 611:21] - assign io_lsu_axi_arvalid = _T_4772 & _T_1164; // @[el2_lsu_bus_buffer.scala 600:22] + assign io_lsu_axi_arvalid = _T_4748 & _T_1164; // @[el2_lsu_bus_buffer.scala 600:22] assign io_lsu_axi_arid = {{1'd0}, _T_1772}; // @[el2_lsu_bus_buffer.scala 601:19] - assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4755; // @[el2_lsu_bus_buffer.scala 602:21] + assign io_lsu_axi_araddr = obuf_sideeffect ? obuf_addr : _T_4731; // @[el2_lsu_bus_buffer.scala 602:21] assign io_lsu_axi_arregion = obuf_addr[31:28]; // @[el2_lsu_bus_buffer.scala 606:23] assign io_lsu_axi_arlen = 8'h0; // @[el2_lsu_bus_buffer.scala 607:20] - assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4757 : 3'h3; // @[el2_lsu_bus_buffer.scala 603:21] + assign io_lsu_axi_arsize = obuf_sideeffect ? _T_4733 : 3'h3; // @[el2_lsu_bus_buffer.scala 603:21] assign io_lsu_axi_arburst = 2'h1; // @[el2_lsu_bus_buffer.scala 608:22] assign io_lsu_axi_arlock = 1'h0; // @[el2_lsu_bus_buffer.scala 610:21] assign io_lsu_axi_arcache = obuf_sideeffect ? 4'h0 : 4'hf; // @[el2_lsu_bus_buffer.scala 605:22] assign io_lsu_axi_arprot = 3'h0; // @[el2_lsu_bus_buffer.scala 604:21] assign io_lsu_axi_arqos = 4'h0; // @[el2_lsu_bus_buffer.scala 609:20] assign io_lsu_axi_rready = 1'h1; // @[el2_lsu_bus_buffer.scala 612:21] - assign io_test = _T_1862 ? 2'h0 : _T_1907; // @[el2_lsu_bus_buffer.scala 398:11] + assign io_test = _T_1838 ? 2'h0 : _T_1883; // @[el2_lsu_bus_buffer.scala 398:11] assign rvclkhdr_io_clk = clock; // @[el2_lib.scala 474:18] assign rvclkhdr_io_en = _T_766 & _T_767; // @[el2_lib.scala 475:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[el2_lib.scala 476:24] @@ -2595,28 +2568,28 @@ module el2_lsu_bus_buffer( assign rvclkhdr_3_io_en = _T_1165 & io_lsu_bus_clk_en; // @[el2_lib.scala 475:17] assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[el2_lib.scala 476:24] assign rvclkhdr_4_io_clk = clock; // @[el2_lib.scala 474:18] - assign rvclkhdr_4_io_en = _T_3402 & buf_state_en_0; // @[el2_lib.scala 475:17] + assign rvclkhdr_4_io_en = _T_3378 & buf_state_en_0; // @[el2_lib.scala 475:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[el2_lib.scala 476:24] assign rvclkhdr_5_io_clk = clock; // @[el2_lib.scala 474:18] - assign rvclkhdr_5_io_en = _T_3595 & buf_state_en_1; // @[el2_lib.scala 475:17] + assign rvclkhdr_5_io_en = _T_3571 & buf_state_en_1; // @[el2_lib.scala 475:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[el2_lib.scala 476:24] assign rvclkhdr_6_io_clk = clock; // @[el2_lib.scala 474:18] - assign rvclkhdr_6_io_en = _T_3788 & buf_state_en_2; // @[el2_lib.scala 475:17] + assign rvclkhdr_6_io_en = _T_3764 & buf_state_en_2; // @[el2_lib.scala 475:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[el2_lib.scala 476:24] assign rvclkhdr_7_io_clk = clock; // @[el2_lib.scala 474:18] - assign rvclkhdr_7_io_en = _T_3981 & buf_state_en_3; // @[el2_lib.scala 475:17] + assign rvclkhdr_7_io_en = _T_3957 & buf_state_en_3; // @[el2_lib.scala 475:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[el2_lib.scala 476:24] assign rvclkhdr_8_io_clk = clock; // @[el2_lib.scala 474:18] - assign rvclkhdr_8_io_en = _T_3402 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 475:17] + assign rvclkhdr_8_io_en = _T_3378 ? buf_state_en_0 : _GEN_70; // @[el2_lib.scala 475:17] assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[el2_lib.scala 476:24] assign rvclkhdr_9_io_clk = clock; // @[el2_lib.scala 474:18] - assign rvclkhdr_9_io_en = _T_3595 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 475:17] + assign rvclkhdr_9_io_en = _T_3571 ? buf_state_en_1 : _GEN_146; // @[el2_lib.scala 475:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[el2_lib.scala 476:24] assign rvclkhdr_10_io_clk = clock; // @[el2_lib.scala 474:18] - assign rvclkhdr_10_io_en = _T_3788 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 475:17] + assign rvclkhdr_10_io_en = _T_3764 ? buf_state_en_2 : _GEN_222; // @[el2_lib.scala 475:17] assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[el2_lib.scala 476:24] assign rvclkhdr_11_io_clk = clock; // @[el2_lib.scala 474:18] - assign rvclkhdr_11_io_en = _T_3981 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 475:17] + assign rvclkhdr_11_io_en = _T_3957 ? buf_state_en_3 : _GEN_298; // @[el2_lib.scala 475:17] assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[el2_lib.scala 476:24] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE @@ -2656,13 +2629,13 @@ initial begin _RAND_0 = {1{`RANDOM}}; buf_addr_0 = _RAND_0[31:0]; _RAND_1 = {1{`RANDOM}}; - _T_4234 = _RAND_1[0:0]; + _T_4210 = _RAND_1[0:0]; _RAND_2 = {1{`RANDOM}}; - _T_4231 = _RAND_2[0:0]; + _T_4207 = _RAND_2[0:0]; _RAND_3 = {1{`RANDOM}}; - _T_4228 = _RAND_3[0:0]; + _T_4204 = _RAND_3[0:0]; _RAND_4 = {1{`RANDOM}}; - _T_4225 = _RAND_4[0:0]; + _T_4201 = _RAND_4[0:0]; _RAND_5 = {1{`RANDOM}}; buf_state_0 = _RAND_5[2:0]; _RAND_6 = {1{`RANDOM}}; @@ -2678,13 +2651,13 @@ initial begin _RAND_11 = {1{`RANDOM}}; buf_state_3 = _RAND_11[2:0]; _RAND_12 = {1{`RANDOM}}; - _T_4261 = _RAND_12[2:0]; + _T_4237 = _RAND_12[2:0]; _RAND_13 = {1{`RANDOM}}; - _T_4259 = _RAND_13[2:0]; + _T_4235 = _RAND_13[2:0]; _RAND_14 = {1{`RANDOM}}; - _T_4257 = _RAND_14[2:0]; + _T_4233 = _RAND_14[2:0]; _RAND_15 = {1{`RANDOM}}; - _T_4255 = _RAND_15[2:0]; + _T_4231 = _RAND_15[2:0]; _RAND_16 = {1{`RANDOM}}; buf_ageQ_3 = _RAND_16[3:0]; _RAND_17 = {1{`RANDOM}}; @@ -2712,13 +2685,13 @@ initial begin _RAND_28 = {1{`RANDOM}}; buf_ageQ_0 = _RAND_28[3:0]; _RAND_29 = {1{`RANDOM}}; - _T_4262 = _RAND_29[3:0]; + _T_4238 = _RAND_29[3:0]; _RAND_30 = {1{`RANDOM}}; - _T_4263 = _RAND_30[3:0]; + _T_4239 = _RAND_30[3:0]; _RAND_31 = {1{`RANDOM}}; - _T_4264 = _RAND_31[3:0]; + _T_4240 = _RAND_31[3:0]; _RAND_32 = {1{`RANDOM}}; - _T_4265 = _RAND_32[3:0]; + _T_4241 = _RAND_32[3:0]; _RAND_33 = {1{`RANDOM}}; ibuf_timer = _RAND_33[2:0]; _RAND_34 = {1{`RANDOM}}; @@ -2748,13 +2721,13 @@ initial begin _RAND_46 = {1{`RANDOM}}; buf_nomerge_0 = _RAND_46[0:0]; _RAND_47 = {1{`RANDOM}}; - _T_4204 = _RAND_47[0:0]; + _T_4180 = _RAND_47[0:0]; _RAND_48 = {1{`RANDOM}}; - _T_4201 = _RAND_48[0:0]; + _T_4177 = _RAND_48[0:0]; _RAND_49 = {1{`RANDOM}}; - _T_4198 = _RAND_49[0:0]; + _T_4174 = _RAND_49[0:0]; _RAND_50 = {1{`RANDOM}}; - _T_4195 = _RAND_50[0:0]; + _T_4171 = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; buf_dual_3 = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; @@ -2818,13 +2791,13 @@ initial begin _RAND_81 = {1{`RANDOM}}; buf_rspageQ_3 = _RAND_81[3:0]; _RAND_82 = {1{`RANDOM}}; - _T_4181 = _RAND_82[0:0]; + _T_4157 = _RAND_82[0:0]; _RAND_83 = {1{`RANDOM}}; - _T_4179 = _RAND_83[0:0]; + _T_4155 = _RAND_83[0:0]; _RAND_84 = {1{`RANDOM}}; - _T_4177 = _RAND_84[0:0]; + _T_4153 = _RAND_84[0:0]; _RAND_85 = {1{`RANDOM}}; - _T_4175 = _RAND_85[0:0]; + _T_4151 = _RAND_85[0:0]; _RAND_86 = {1{`RANDOM}}; buf_ldfwdtag_0 = _RAND_86[1:0]; _RAND_87 = {1{`RANDOM}}; @@ -2842,40 +2815,40 @@ initial begin _RAND_93 = {1{`RANDOM}}; buf_dualtag_3 = _RAND_93[1:0]; _RAND_94 = {1{`RANDOM}}; - _T_4210 = _RAND_94[0:0]; + _T_4186 = _RAND_94[0:0]; _RAND_95 = {1{`RANDOM}}; - _T_4213 = _RAND_95[0:0]; + _T_4189 = _RAND_95[0:0]; _RAND_96 = {1{`RANDOM}}; - _T_4216 = _RAND_96[0:0]; + _T_4192 = _RAND_96[0:0]; _RAND_97 = {1{`RANDOM}}; - _T_4219 = _RAND_97[0:0]; + _T_4195 = _RAND_97[0:0]; _RAND_98 = {1{`RANDOM}}; - _T_4281 = _RAND_98[0:0]; + _T_4257 = _RAND_98[0:0]; _RAND_99 = {1{`RANDOM}}; - _T_4277 = _RAND_99[0:0]; + _T_4253 = _RAND_99[0:0]; _RAND_100 = {1{`RANDOM}}; - _T_4273 = _RAND_100[0:0]; + _T_4249 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4269 = _RAND_101[0:0]; + _T_4245 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; lsu_nonblock_load_valid_r = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - _T_4866 = _RAND_103[0:0]; + _T_4842 = _RAND_103[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; end if (reset) begin - _T_4234 = 1'h0; + _T_4210 = 1'h0; end if (reset) begin - _T_4231 = 1'h0; + _T_4207 = 1'h0; end if (reset) begin - _T_4228 = 1'h0; + _T_4204 = 1'h0; end if (reset) begin - _T_4225 = 1'h0; + _T_4201 = 1'h0; end if (reset) begin buf_state_0 = 3'h0; @@ -2899,16 +2872,16 @@ initial begin buf_state_3 = 3'h0; end if (reset) begin - _T_4261 = 3'h0; + _T_4237 = 3'h0; end if (reset) begin - _T_4259 = 3'h0; + _T_4235 = 3'h0; end if (reset) begin - _T_4257 = 3'h0; + _T_4233 = 3'h0; end if (reset) begin - _T_4255 = 3'h0; + _T_4231 = 3'h0; end if (reset) begin buf_ageQ_3 = 4'h0; @@ -2950,16 +2923,16 @@ initial begin buf_ageQ_0 = 4'h0; end if (reset) begin - _T_4262 = 4'h0; + _T_4238 = 4'h0; end if (reset) begin - _T_4263 = 4'h0; + _T_4239 = 4'h0; end if (reset) begin - _T_4264 = 4'h0; + _T_4240 = 4'h0; end if (reset) begin - _T_4265 = 4'h0; + _T_4241 = 4'h0; end if (reset) begin ibuf_timer = 3'h0; @@ -3004,16 +2977,16 @@ initial begin buf_nomerge_0 = 1'h0; end if (reset) begin - _T_4204 = 1'h0; + _T_4180 = 1'h0; end if (reset) begin - _T_4201 = 1'h0; + _T_4177 = 1'h0; end if (reset) begin - _T_4198 = 1'h0; + _T_4174 = 1'h0; end if (reset) begin - _T_4195 = 1'h0; + _T_4171 = 1'h0; end if (reset) begin buf_dual_3 = 1'h0; @@ -3109,16 +3082,16 @@ initial begin buf_rspageQ_3 = 4'h0; end if (reset) begin - _T_4181 = 1'h0; + _T_4157 = 1'h0; end if (reset) begin - _T_4179 = 1'h0; + _T_4155 = 1'h0; end if (reset) begin - _T_4177 = 1'h0; + _T_4153 = 1'h0; end if (reset) begin - _T_4175 = 1'h0; + _T_4151 = 1'h0; end if (reset) begin buf_ldfwdtag_0 = 2'h0; @@ -3145,34 +3118,34 @@ initial begin buf_dualtag_3 = 2'h0; end if (reset) begin - _T_4210 = 1'h0; + _T_4186 = 1'h0; end if (reset) begin - _T_4213 = 1'h0; + _T_4189 = 1'h0; end if (reset) begin - _T_4216 = 1'h0; + _T_4192 = 1'h0; end if (reset) begin - _T_4219 = 1'h0; + _T_4195 = 1'h0; end if (reset) begin - _T_4281 = 1'h0; + _T_4257 = 1'h0; end if (reset) begin - _T_4277 = 1'h0; + _T_4253 = 1'h0; end if (reset) begin - _T_4273 = 1'h0; + _T_4249 = 1'h0; end if (reset) begin - _T_4269 = 1'h0; + _T_4245 = 1'h0; end if (reset) begin lsu_nonblock_load_valid_r = 1'h0; end if (reset) begin - _T_4866 = 1'h0; + _T_4842 = 1'h0; end `endif // RANDOMIZE end // initial @@ -3185,7 +3158,7 @@ end // initial buf_addr_0 <= 32'h0; end else if (ibuf_drainvec_vld[0]) begin buf_addr_0 <= ibuf_addr; - end else if (_T_3217) begin + end else if (_T_3193) begin buf_addr_0 <= io_end_addr_r; end else begin buf_addr_0 <= io_lsu_addr_r; @@ -3193,75 +3166,75 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4234 <= 1'h0; + _T_4210 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4234 <= buf_write_in[3]; + _T_4210 <= buf_write_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4231 <= 1'h0; + _T_4207 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4231 <= buf_write_in[2]; + _T_4207 <= buf_write_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4228 <= 1'h0; + _T_4204 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4228 <= buf_write_in[1]; + _T_4204 <= buf_write_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4225 <= 1'h0; + _T_4201 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4225 <= buf_write_in[0]; + _T_4201 <= buf_write_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_state_0 <= 3'h0; end else if (buf_state_en_0) begin - if (_T_3402) begin + if (_T_3378) begin if (io_lsu_bus_clk_en) begin buf_state_0 <= 3'h2; end else begin buf_state_0 <= 3'h1; end - end else if (_T_3425) begin + end else if (_T_3401) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin buf_state_0 <= 3'h2; end - end else if (_T_3429) begin + end else if (_T_3405) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3433) begin + end else if (_T_3409) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h3; end - end else if (_T_3463) begin - if (_T_3468) begin + end else if (_T_3439) begin + if (_T_3444) begin buf_state_0 <= 3'h0; - end else if (_T_3476) begin + end else if (_T_3452) begin buf_state_0 <= 3'h4; - end else if (_T_3504) begin + end else if (_T_3480) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3550) begin + end else if (_T_3526) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; - end else if (_T_3556) begin + end else if (_T_3532) begin buf_state_0 <= 3'h5; end else begin buf_state_0 <= 3'h6; end - end else if (_T_3568) begin + end else if (_T_3544) begin if (io_dec_tlu_force_halt) begin buf_state_0 <= 3'h0; end else begin @@ -3277,7 +3250,7 @@ end // initial buf_addr_1 <= 32'h0; end else if (ibuf_drainvec_vld[1]) begin buf_addr_1 <= ibuf_addr; - end else if (_T_3226) begin + end else if (_T_3202) begin buf_addr_1 <= io_end_addr_r; end else begin buf_addr_1 <= io_lsu_addr_r; @@ -3287,45 +3260,45 @@ end // initial if (reset) begin buf_state_1 <= 3'h0; end else if (buf_state_en_1) begin - if (_T_3595) begin + if (_T_3571) begin if (io_lsu_bus_clk_en) begin buf_state_1 <= 3'h2; end else begin buf_state_1 <= 3'h1; end - end else if (_T_3618) begin + end else if (_T_3594) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin buf_state_1 <= 3'h2; end - end else if (_T_3622) begin + end else if (_T_3598) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3433) begin + end else if (_T_3409) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h3; end - end else if (_T_3656) begin - if (_T_3661) begin + end else if (_T_3632) begin + if (_T_3637) begin buf_state_1 <= 3'h0; - end else if (_T_3669) begin + end else if (_T_3645) begin buf_state_1 <= 3'h4; - end else if (_T_3697) begin + end else if (_T_3673) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3743) begin + end else if (_T_3719) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; - end else if (_T_3749) begin + end else if (_T_3725) begin buf_state_1 <= 3'h5; end else begin buf_state_1 <= 3'h6; end - end else if (_T_3761) begin + end else if (_T_3737) begin if (io_dec_tlu_force_halt) begin buf_state_1 <= 3'h0; end else begin @@ -3341,7 +3314,7 @@ end // initial buf_addr_2 <= 32'h0; end else if (ibuf_drainvec_vld[2]) begin buf_addr_2 <= ibuf_addr; - end else if (_T_3235) begin + end else if (_T_3211) begin buf_addr_2 <= io_end_addr_r; end else begin buf_addr_2 <= io_lsu_addr_r; @@ -3351,45 +3324,45 @@ end // initial if (reset) begin buf_state_2 <= 3'h0; end else if (buf_state_en_2) begin - if (_T_3788) begin + if (_T_3764) begin if (io_lsu_bus_clk_en) begin buf_state_2 <= 3'h2; end else begin buf_state_2 <= 3'h1; end - end else if (_T_3811) begin + end else if (_T_3787) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin buf_state_2 <= 3'h2; end - end else if (_T_3815) begin + end else if (_T_3791) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3433) begin + end else if (_T_3409) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h3; end - end else if (_T_3849) begin - if (_T_3854) begin + end else if (_T_3825) begin + if (_T_3830) begin buf_state_2 <= 3'h0; - end else if (_T_3862) begin + end else if (_T_3838) begin buf_state_2 <= 3'h4; - end else if (_T_3890) begin + end else if (_T_3866) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_3936) begin + end else if (_T_3912) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; - end else if (_T_3942) begin + end else if (_T_3918) begin buf_state_2 <= 3'h5; end else begin buf_state_2 <= 3'h6; end - end else if (_T_3954) begin + end else if (_T_3930) begin if (io_dec_tlu_force_halt) begin buf_state_2 <= 3'h0; end else begin @@ -3405,7 +3378,7 @@ end // initial buf_addr_3 <= 32'h0; end else if (ibuf_drainvec_vld[3]) begin buf_addr_3 <= ibuf_addr; - end else if (_T_3244) begin + end else if (_T_3220) begin buf_addr_3 <= io_end_addr_r; end else begin buf_addr_3 <= io_lsu_addr_r; @@ -3415,45 +3388,45 @@ end // initial if (reset) begin buf_state_3 <= 3'h0; end else if (buf_state_en_3) begin - if (_T_3981) begin + if (_T_3957) begin if (io_lsu_bus_clk_en) begin buf_state_3 <= 3'h2; end else begin buf_state_3 <= 3'h1; end - end else if (_T_4004) begin + end else if (_T_3980) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin buf_state_3 <= 3'h2; end - end else if (_T_4008) begin + end else if (_T_3984) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_3433) begin + end else if (_T_3409) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h3; end - end else if (_T_4042) begin - if (_T_4047) begin + end else if (_T_4018) begin + if (_T_4023) begin buf_state_3 <= 3'h0; - end else if (_T_4055) begin + end else if (_T_4031) begin buf_state_3 <= 3'h4; - end else if (_T_4083) begin + end else if (_T_4059) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4129) begin + end else if (_T_4105) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; - end else if (_T_4135) begin + end else if (_T_4111) begin buf_state_3 <= 3'h5; end else begin buf_state_3 <= 3'h6; end - end else if (_T_4147) begin + end else if (_T_4123) begin if (io_dec_tlu_force_halt) begin buf_state_3 <= 3'h0; end else begin @@ -3466,37 +3439,37 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4261 <= 3'h0; + _T_4237 <= 3'h0; end else if (buf_wr_en_3) begin - _T_4261 <= buf_byteen_in_3; + _T_4237 <= buf_byteen_in_3; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4259 <= 3'h0; + _T_4235 <= 3'h0; end else if (buf_wr_en_2) begin - _T_4259 <= buf_byteen_in_2; + _T_4235 <= buf_byteen_in_2; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4257 <= 3'h0; + _T_4233 <= 3'h0; end else if (buf_wr_en_1) begin - _T_4257 <= buf_byteen_in_1; + _T_4233 <= buf_byteen_in_1; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4255 <= 3'h0; + _T_4231 <= 3'h0; end else if (buf_wr_en_0) begin - _T_4255 <= buf_byteen_in_0; + _T_4231 <= buf_byteen_in_0; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_3 <= 4'h0; end else begin - buf_ageQ_3 <= {_T_2425,_T_2348}; + buf_ageQ_3 <= {_T_2401,_T_2324}; end end always @(posedge io_lsu_busm_clk or posedge reset) begin @@ -3582,49 +3555,49 @@ end // initial if (reset) begin buf_ageQ_2 <= 4'h0; end else begin - buf_ageQ_2 <= {_T_2323,_T_2246}; + buf_ageQ_2 <= {_T_2299,_T_2222}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_1 <= 4'h0; end else begin - buf_ageQ_1 <= {_T_2221,_T_2144}; + buf_ageQ_1 <= {_T_2197,_T_2120}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_ageQ_0 <= 4'h0; end else begin - buf_ageQ_0 <= {_T_2119,_T_2042}; + buf_ageQ_0 <= {_T_2095,_T_2018}; end end always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin if (reset) begin - _T_4262 <= 4'h0; + _T_4238 <= 4'h0; end else begin - _T_4262 <= _GEN_79[3:0]; + _T_4238 <= _GEN_79[3:0]; end end always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin if (reset) begin - _T_4263 <= 4'h0; + _T_4239 <= 4'h0; end else begin - _T_4263 <= _GEN_155[3:0]; + _T_4239 <= _GEN_155[3:0]; end end always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin - _T_4264 <= 4'h0; + _T_4240 <= 4'h0; end else begin - _T_4264 <= _GEN_231[3:0]; + _T_4240 <= _GEN_231[3:0]; end end always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin if (reset) begin - _T_4265 <= 4'h0; + _T_4241 <= 4'h0; end else begin - _T_4265 <= _GEN_307[3:0]; + _T_4241 <= _GEN_307[3:0]; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin @@ -3646,13 +3619,13 @@ end // initial always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin WrPtr1_r <= 2'h0; - end else if (_T_1862) begin + end else if (_T_1838) begin WrPtr1_r <= 2'h0; - end else if (_T_1876) begin + end else if (_T_1852) begin WrPtr1_r <= 2'h1; - end else if (_T_1890) begin + end else if (_T_1866) begin WrPtr1_r <= 2'h2; - end else if (_T_1904) begin + end else if (_T_1880) begin WrPtr1_r <= 2'h3; end else begin WrPtr1_r <= 2'h0; @@ -3661,13 +3634,13 @@ end // initial always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin WrPtr0_r <= 2'h0; - end else if (_T_1793) begin + end else if (_T_1787) begin WrPtr0_r <= 2'h0; - end else if (_T_1810) begin + end else if (_T_1798) begin WrPtr0_r <= 2'h1; - end else if (_T_1827) begin + end else if (_T_1809) begin WrPtr0_r <= 2'h2; - end else if (_T_1844) begin + end else if (_T_1820) begin WrPtr0_r <= 2'h3; end else begin WrPtr0_r <= 2'h0; @@ -3753,30 +3726,30 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4204 <= 1'h0; + _T_4180 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4204 <= buf_sideeffect_in[3]; + _T_4180 <= buf_sideeffect_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4201 <= 1'h0; + _T_4177 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4201 <= buf_sideeffect_in[2]; + _T_4177 <= buf_sideeffect_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4198 <= 1'h0; + _T_4174 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4198 <= buf_sideeffect_in[1]; + _T_4174 <= buf_sideeffect_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4195 <= 1'h0; + _T_4171 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4195 <= buf_sideeffect_in[0]; + _T_4171 <= buf_sideeffect_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin @@ -3850,14 +3823,14 @@ end // initial if (reset) begin obuf_cmd_done <= 1'h0; end else begin - obuf_cmd_done <= _T_1230 & _T_4738; + obuf_cmd_done <= _T_1230 & _T_4714; end end always @(posedge io_lsu_busm_clk or posedge reset) begin if (reset) begin obuf_data_done <= 1'h0; end else begin - obuf_data_done <= _T_1230 & _T_4739; + obuf_data_done <= _T_1230 & _T_4715; end end always @(posedge io_lsu_busm_clk or posedge reset) begin @@ -4002,79 +3975,79 @@ end // initial if (reset) begin buf_rspageQ_0 <= 4'h0; end else begin - buf_rspageQ_0 <= {_T_3047,_T_3036}; + buf_rspageQ_0 <= {_T_3023,_T_3012}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_1 <= 4'h0; end else begin - buf_rspageQ_1 <= {_T_3062,_T_3051}; + buf_rspageQ_1 <= {_T_3038,_T_3027}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_2 <= 4'h0; end else begin - buf_rspageQ_2 <= {_T_3077,_T_3066}; + buf_rspageQ_2 <= {_T_3053,_T_3042}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_rspageQ_3 <= 4'h0; end else begin - buf_rspageQ_3 <= {_T_3092,_T_3081}; + buf_rspageQ_3 <= {_T_3068,_T_3057}; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4181 <= 1'h0; + _T_4157 <= 1'h0; end else if (buf_ldfwd_en_3) begin - if (_T_3981) begin - _T_4181 <= 1'h0; - end else if (_T_4004) begin - _T_4181 <= 1'h0; + if (_T_3957) begin + _T_4157 <= 1'h0; + end else if (_T_3980) begin + _T_4157 <= 1'h0; end else begin - _T_4181 <= _T_4008; + _T_4157 <= _T_3984; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4179 <= 1'h0; + _T_4155 <= 1'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3788) begin - _T_4179 <= 1'h0; - end else if (_T_3811) begin - _T_4179 <= 1'h0; + if (_T_3764) begin + _T_4155 <= 1'h0; + end else if (_T_3787) begin + _T_4155 <= 1'h0; end else begin - _T_4179 <= _T_3815; + _T_4155 <= _T_3791; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4177 <= 1'h0; + _T_4153 <= 1'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3595) begin - _T_4177 <= 1'h0; - end else if (_T_3618) begin - _T_4177 <= 1'h0; + if (_T_3571) begin + _T_4153 <= 1'h0; + end else if (_T_3594) begin + _T_4153 <= 1'h0; end else begin - _T_4177 <= _T_3622; + _T_4153 <= _T_3598; end end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4175 <= 1'h0; + _T_4151 <= 1'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3402) begin - _T_4175 <= 1'h0; - end else if (_T_3425) begin - _T_4175 <= 1'h0; + if (_T_3378) begin + _T_4151 <= 1'h0; + end else if (_T_3401) begin + _T_4151 <= 1'h0; end else begin - _T_4175 <= _T_3429; + _T_4151 <= _T_3405; end end end @@ -4082,11 +4055,11 @@ end // initial if (reset) begin buf_ldfwdtag_0 <= 2'h0; end else if (buf_ldfwd_en_0) begin - if (_T_3402) begin + if (_T_3378) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3425) begin + end else if (_T_3401) begin buf_ldfwdtag_0 <= 2'h0; - end else if (_T_3429) begin + end else if (_T_3405) begin buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_0 <= 2'h0; @@ -4099,7 +4072,7 @@ end // initial end else if (buf_wr_en_0) begin if (ibuf_drainvec_vld[0]) begin buf_dualtag_0 <= ibuf_dualtag; - end else if (_T_3217) begin + end else if (_T_3193) begin buf_dualtag_0 <= WrPtr0_r; end else begin buf_dualtag_0 <= WrPtr1_r; @@ -4110,11 +4083,11 @@ end // initial if (reset) begin buf_ldfwdtag_3 <= 2'h0; end else if (buf_ldfwd_en_3) begin - if (_T_3981) begin + if (_T_3957) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4004) begin + end else if (_T_3980) begin buf_ldfwdtag_3 <= 2'h0; - end else if (_T_4008) begin + end else if (_T_3984) begin buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_3 <= 2'h0; @@ -4125,11 +4098,11 @@ end // initial if (reset) begin buf_ldfwdtag_2 <= 2'h0; end else if (buf_ldfwd_en_2) begin - if (_T_3788) begin + if (_T_3764) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3811) begin + end else if (_T_3787) begin buf_ldfwdtag_2 <= 2'h0; - end else if (_T_3815) begin + end else if (_T_3791) begin buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_2 <= 2'h0; @@ -4140,11 +4113,11 @@ end // initial if (reset) begin buf_ldfwdtag_1 <= 2'h0; end else if (buf_ldfwd_en_1) begin - if (_T_3595) begin + if (_T_3571) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3618) begin + end else if (_T_3594) begin buf_ldfwdtag_1 <= 2'h0; - end else if (_T_3622) begin + end else if (_T_3598) begin buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; end else begin buf_ldfwdtag_1 <= 2'h0; @@ -4157,7 +4130,7 @@ end // initial end else if (buf_wr_en_1) begin if (ibuf_drainvec_vld[1]) begin buf_dualtag_1 <= ibuf_dualtag; - end else if (_T_3226) begin + end else if (_T_3202) begin buf_dualtag_1 <= WrPtr0_r; end else begin buf_dualtag_1 <= WrPtr1_r; @@ -4170,7 +4143,7 @@ end // initial end else if (buf_wr_en_2) begin if (ibuf_drainvec_vld[2]) begin buf_dualtag_2 <= ibuf_dualtag; - end else if (_T_3235) begin + end else if (_T_3211) begin buf_dualtag_2 <= WrPtr0_r; end else begin buf_dualtag_2 <= WrPtr1_r; @@ -4183,7 +4156,7 @@ end // initial end else if (buf_wr_en_3) begin if (ibuf_drainvec_vld[3]) begin buf_dualtag_3 <= ibuf_dualtag; - end else if (_T_3244) begin + end else if (_T_3220) begin buf_dualtag_3 <= WrPtr0_r; end else begin buf_dualtag_3 <= WrPtr1_r; @@ -4192,58 +4165,58 @@ end // initial end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4210 <= 1'h0; + _T_4186 <= 1'h0; end else if (buf_wr_en_0) begin - _T_4210 <= buf_unsign_in[0]; + _T_4186 <= buf_unsign_in[0]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4213 <= 1'h0; + _T_4189 <= 1'h0; end else if (buf_wr_en_1) begin - _T_4213 <= buf_unsign_in[1]; + _T_4189 <= buf_unsign_in[1]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4216 <= 1'h0; + _T_4192 <= 1'h0; end else if (buf_wr_en_2) begin - _T_4216 <= buf_unsign_in[2]; + _T_4192 <= buf_unsign_in[2]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4219 <= 1'h0; + _T_4195 <= 1'h0; end else if (buf_wr_en_3) begin - _T_4219 <= buf_unsign_in[3]; + _T_4195 <= buf_unsign_in[3]; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4281 <= 1'h0; + _T_4257 <= 1'h0; end else begin - _T_4281 <= _T_4279 & buf_rst_3; + _T_4257 <= _T_4255 & buf_rst_3; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4277 <= 1'h0; + _T_4253 <= 1'h0; end else begin - _T_4277 <= _T_4275 & buf_rst_2; + _T_4253 <= _T_4251 & buf_rst_2; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4273 <= 1'h0; + _T_4249 <= 1'h0; end else begin - _T_4273 <= _T_4271 & buf_rst_1; + _T_4249 <= _T_4247 & buf_rst_1; end end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin - _T_4269 <= 1'h0; + _T_4245 <= 1'h0; end else begin - _T_4269 <= _T_4267 & buf_rst_0; + _T_4245 <= _T_4243 & buf_rst_0; end end always @(posedge io_lsu_c2_r_clk or posedge reset) begin @@ -4255,9 +4228,9 @@ end // initial end always @(posedge io_lsu_c2_r_clk or posedge reset) begin if (reset) begin - _T_4866 <= 1'h0; + _T_4842 <= 1'h0; end else begin - _T_4866 <= _T_4863 & _T_4396; + _T_4842 <= _T_4839 & _T_4372; end end endmodule diff --git a/src/main/scala/lsu/el2_lsu_bus_buffer.scala b/src/main/scala/lsu/el2_lsu_bus_buffer.scala index fe160b11..0dcfdb95 100644 --- a/src/main/scala/lsu/el2_lsu_bus_buffer.scala +++ b/src/main/scala/lsu/el2_lsu_bus_buffer.scala @@ -389,8 +389,8 @@ class el2_lsu_bus_buffer extends Module with RequireAsyncReset with el2_lib { val obuf_data = rvdffe(obuf_data_in, obuf_wr_en, io.lsu_busm_clk, io.scan_mode) obuf_wr_timer := withClock(io.lsu_busm_clk){RegNext(obuf_wr_timer_in, 0.U)} val WrPtr0_m = WireInit(UInt(DEPTH_LOG2.W), 0.U) - val found_array1 = (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((Fill(DEPTH_LOG2,ibuf_valid) & (ibuf_tag===i.U)) | - (Fill(DEPTH_LOG2, io.lsu_busreq_m) & (WrPtr0_r===i.U)) | (Fill(DEPTH_LOG2, io.ldst_dual_r) & (WrPtr1_r === i.U))))->i.U) + val found_array1 = (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & (ibuf_tag===i.U)) | + (io.lsu_busreq_r & (WrPtr0_r===i.U)) | (io.ldst_dual_r & (WrPtr1_r === i.U))))->i.U) WrPtr0_m := MuxCase(0.U, found_array1) val found_array2 = (0 until DEPTH).map(i=>((buf_state(i)===idle_C) & !((ibuf_valid & (ibuf_tag===i.U)) | (io.lsu_busreq_m & (WrPtr0_m===i.U)) | (io.lsu_busreq_r & (WrPtr0_r === i.U)) | (io.ldst_dual_r & (WrPtr1_r===i.U))))->i.U) diff --git a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class index 601ee423..853b3430 100644 Binary files a/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class and b/target/scala-2.12/classes/lsu/el2_lsu_bus_buffer.class differ