From 7d4de00f76aa617768ec61afb77355ee22c1ef11 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Wed, 16 Dec 2020 12:40:41 +0500 Subject: [PATCH] LSU Paramtere problem --- .idea/modules/chisel-module-template.iml | 2 +- ahb_to_axi4.anno.json | 34 - ahb_to_axi4.fir | 615 - ahb_to_axi4.v | 584 - axi4_to_ahb.anno.json | 113 - axi4_to_ahb.fir | 1406 - axi4_to_ahb.v | 1139 - dbg.anno.json | 89 + dbg.fir | 1280 + dbg.v | 1180 + firrtl_black_box_resource_files.f | 4 +- lsu.anno.json | 506 + lsu.fir | 15817 ++++++++++ lsu.v | 11868 ++++++++ lsu_bus_buffer.anno.json | 179 + lsu_bus_buffer.fir | 6508 +++++ lsu_bus_buffer.v | 4586 +++ .../$8f8b1ccfdfa3315fc811.class | Bin 650 -> 0 bytes ...11$.class => $c0f8b8257add6b2061c5$.class} | Bin 2559 -> 2566 bytes ...c811.cache => $c0f8b8257add6b2061c5.cache} | 0 .../$c0f8b8257add6b2061c5.class | Bin 0 -> 657 bytes .../$056ceca0fd98dd2e0179$.class | Bin 0 -> 4518 bytes ...5c2a.cache => $056ceca0fd98dd2e0179.cache} | 0 .../$056ceca0fd98dd2e0179.class | Bin 0 -> 600 bytes ...85$.class => $091aef6aa10bd84b425e$.class} | Bin 3245 -> 3252 bytes ...067e.cache => $091aef6aa10bd84b425e.cache} | 0 .../$091aef6aa10bd84b425e.class | Bin 0 -> 647 bytes ...3f$.class => $0a3c9e6c56b14134a5a9$.class} | Bin 2756 -> 2763 bytes ...b53f.cache => $0a3c9e6c56b14134a5a9.cache} | 0 .../$0a3c9e6c56b14134a5a9.class | Bin 0 -> 647 bytes .../$351b0fc6359e4bb25c2a.class | Bin 640 -> 0 bytes ...c4$.class => $74011ad20772b97aa9cf$.class} | Bin 3421 -> 3421 bytes ...7cc4.cache => $74011ad20772b97aa9cf.cache} | 0 ...7cc4.class => $74011ad20772b97aa9cf.class} | Bin 1323 -> 1323 bytes ...5d$.class => $78e71ddb0182f8a2115c$.class} | Bin 1928 -> 1935 bytes ...a315.cache => $78e71ddb0182f8a2115c.cache} | 0 .../$78e71ddb0182f8a2115c.class | Bin 0 -> 647 bytes ...c7$.class => $7b9dd5d6ea3fc0f32e12$.class} | Bin 2968 -> 2975 bytes ...c2c7.cache => $7b9dd5d6ea3fc0f32e12.cache} | 0 .../$7b9dd5d6ea3fc0f32e12.class | Bin 0 -> 647 bytes .../$7fbaa1d0448b78bb067e.class | Bin 640 -> 0 bytes .../$86aa801677e14f81b53f.class | Bin 640 -> 0 bytes .../$b98a2ce1a9f5919aa315.class | Bin 640 -> 0 bytes .../$bfe52013477a08a8c2c7.class | Bin 640 -> 0 bytes ...ab$.class => $e24278014d853ada8cdf$.class} | Bin 2362 -> 2369 bytes ...875d.cache => $e24278014d853ada8cdf.cache} | 0 .../$e24278014d853ada8cdf.class | Bin 0 -> 647 bytes ...7e$.class => $e32731c07a436da1ba9c$.class} | Bin 2355 -> 2362 bytes ...19ab.cache => $e32731c07a436da1ba9c.cache} | 0 .../$e32731c07a436da1ba9c.class | Bin 0 -> 647 bytes ...ab$.class => $ec32260bc14325e3623a$.class} | Bin 4853 -> 4860 bytes ...3685.cache => $ec32260bc14325e3623a.cache} | 0 .../$ec32260bc14325e3623a.class | Bin 0 -> 647 bytes ...2a$.class => $ecf706c0fb2c945e1085$.class} | Bin 2369 -> 2376 bytes ...79ab.cache => $ecf706c0fb2c945e1085.cache} | 0 .../$ecf706c0fb2c945e1085.class | Bin 0 -> 647 bytes .../$ed2c8e632e990429875d.class | Bin 640 -> 0 bytes .../$f3981f4865bfe1d319ab.class | Bin 640 -> 0 bytes .../$f5f8055b89665c483685.class | Bin 640 -> 0 bytes ...15$.class => $fb6933303f24ca339dcd$.class} | Bin 2970 -> 2977 bytes .../$fb6933303f24ca339dcd.cache | 1 + .../$fb6933303f24ca339dcd.class | Bin 0 -> 647 bytes .../$fe50217f076e6ce479ab.class | Bin 640 -> 0 bytes .../sbt-1.0/update/update_cache_2.12/inputs | 2 +- .../_global/update/_global/streams/out | 4 +- .../_global/_global/compileOutputs/previous | 2 +- .../compileIncremental/_global/streams/out | 2 +- .../compile/copyResources/_global/streams/out | 4 +- .../exportedProducts/_global/streams/export | 2 +- .../_global/streams/export | 2 +- .../exportedProducts/_global/streams/export | 2 +- .../fullClasspath/_global/streams/export | 2 +- .../_global/streams/export | 2 +- quasar_wrapper.anno.json | 4 - quasar_wrapper.fir | 24398 ++++++---------- quasar_wrapper.v | 13751 ++++----- src/main/scala/dbg/dbg.scala | 10 +- src/main/scala/dec/dec.scala | 6 +- src/main/scala/dec/dec_tlu_ctl.scala | 24 +- src/main/scala/dma_ctrl.scala | 4 + src/main/scala/exu/exu.scala | 6 +- src/main/scala/ifu/ifu.scala | 4 + src/main/scala/include/bundle.scala | 8 +- src/main/scala/lib/param.scala | 6 +- src/main/scala/lsu/lsu.scala | 3 + src/main/scala/lsu/lsu_bus_buffer.scala | 7 +- src/main/scala/lsu/lsu_bus_intf.scala | 1 - src/main/scala/pic_ctrl.scala | 4 + src/main/scala/quasar.scala | 13 +- .../chisel-module-template_2.12-3.3.0.jar | Bin 1738509 -> 1765467 bytes target/scala-2.12/classes/QUASAR$.class | Bin 3815 -> 3815 bytes .../classes/QUASAR$delayedInit$body.class | Bin 697 -> 697 bytes target/scala-2.12/classes/dbg/dbg.class | Bin 275716 -> 275410 bytes target/scala-2.12/classes/dbg/dbg_main$.class | Bin 0 -> 3845 bytes .../dbg/dbg_main$delayedInit$body.class | Bin 0 -> 730 bytes target/scala-2.12/classes/dbg/dbg_main.class | Bin 0 -> 773 bytes target/scala-2.12/classes/dec/CSR_IO.class | Bin 84352 -> 84352 bytes target/scala-2.12/classes/dec/CSRs.class | Bin 23325 -> 23325 bytes target/scala-2.12/classes/dec/csr_tlu.class | Bin 216564 -> 216564 bytes .../classes/dec/dec_decode_csr_read.class | Bin 17583 -> 17583 bytes .../classes/dec/dec_decode_csr_read_IO.class | Bin 2043 -> 2043 bytes target/scala-2.12/classes/dec/dec_main$.class | Bin 0 -> 3844 bytes .../dec/dec_main$delayedInit$body.class | Bin 0 -> 730 bytes target/scala-2.12/classes/dec/dec_main.class | Bin 0 -> 773 bytes .../classes/dec/dec_timer_ctl.class | Bin 62232 -> 62232 bytes .../classes/dec/dec_timer_ctl_IO.class | Bin 5563 -> 5563 bytes .../scala-2.12/classes/dec/dec_tlu_ctl.class | Bin 187386 -> 187386 bytes target/scala-2.12/classes/dma$.class | Bin 0 -> 3807 bytes .../classes/dma$delayedInit$body.class | Bin 0 -> 681 bytes target/scala-2.12/classes/dma.class | Bin 0 -> 745 bytes target/scala-2.12/classes/exu/exu_main$.class | Bin 0 -> 3844 bytes .../exu/exu_main$delayedInit$body.class | Bin 0 -> 730 bytes target/scala-2.12/classes/exu/exu_main.class | Bin 0 -> 773 bytes target/scala-2.12/classes/ifu/ifu_main$.class | Bin 0 -> 3844 bytes .../ifu/ifu_main$delayedInit$body.class | Bin 0 -> 730 bytes target/scala-2.12/classes/ifu/ifu_main.class | Bin 0 -> 773 bytes .../classes/include/axi_channels$.class | Bin 501 -> 501 bytes .../classes/include/read_addr$.class | Bin 0 -> 495 bytes .../classes/include/read_addr.class | Bin 46195 -> 46524 bytes .../classes/include/read_data$.class | Bin 0 -> 495 bytes .../classes/include/read_data.class | Bin 45292 -> 45620 bytes .../classes/include/write_addr$.class | Bin 0 -> 497 bytes .../classes/include/write_addr.class | Bin 46198 -> 46530 bytes target/scala-2.12/classes/lib/param.class | Bin 23339 -> 23339 bytes .../scala-2.12/classes/lsu/bus_buffer$.class | Bin 0 -> 3889 bytes .../lsu/bus_buffer$delayedInit$body.class | Bin 0 -> 753 bytes .../scala-2.12/classes/lsu/bus_buffer.class | Bin 0 -> 795 bytes .../classes/lsu/lsu_bus_buffer$$anon$1.class | Bin 7953 -> 7953 bytes .../classes/lsu/lsu_bus_buffer.class | Bin 549110 -> 549110 bytes .../classes/lsu/lsu_bus_intf$$anon$1.class | Bin 7108 -> 7108 bytes .../scala-2.12/classes/lsu/lsu_bus_intf.class | Bin 170992 -> 170991 bytes target/scala-2.12/classes/lsu/lsu_top$.class | Bin 0 -> 3839 bytes .../lsu/lsu_top$delayedInit$body.class | Bin 0 -> 724 bytes target/scala-2.12/classes/lsu/lsu_top.class | Bin 0 -> 768 bytes target/scala-2.12/classes/pic_gen$.class | Bin 0 -> 3828 bytes .../classes/pic_gen$delayedInit$body.class | Bin 0 -> 705 bytes target/scala-2.12/classes/pic_gen.class | Bin 0 -> 766 bytes target/scala-2.12/classes/quasar.class | Bin 156561 -> 151548 bytes .../_global/inputFileStamps/previous | 2 +- .../checkBuildSources/_global/streams/out | 2 +- .../streams/update_cache_2.12/output_dsp | 2 +- .../_global/compileBinaryFileInputs/previous | 2 +- .../_global/_global/compileOutputs/previous | 2 +- .../_global/compileSourceFileInputs/previous | 2 +- .../_global/dependencyClasspathFiles/previous | 2 +- .../_global/discoveredMainClasses/data | 2 +- .../compile/compile/_global/streams/out | 10 +- .../_global/streams/inc_compile_2.12.zip | Bin 316125 -> 321090 bytes .../compileIncremental/_global/streams/export | 2 +- .../compileIncremental/_global/streams/out | 1092 +- .../_global/streams/copy-resources | 2 +- .../compile/copyResources/_global/streams/out | 26 +- .../_global/streams/export | 2 +- .../_global/streams/export | 2 +- .../exportedProducts/_global/streams/export | 2 +- .../compile/packageBin/_global/streams/inputs | 2 +- .../compile/packageBin/_global/streams/out | 422 +- .../compile/packageBin/_global/streams/output | 2 +- .../_global/streams/export | 2 +- .../_global/streams/export | 2 +- .../_global/streams/export | 2 +- .../_global/streams/export | 2 +- 162 files changed, 57467 insertions(+), 28305 deletions(-) delete mode 100644 ahb_to_axi4.anno.json delete mode 100644 ahb_to_axi4.fir delete mode 100644 ahb_to_axi4.v delete mode 100644 axi4_to_ahb.anno.json delete mode 100644 axi4_to_ahb.fir delete mode 100644 axi4_to_ahb.v create mode 100644 dbg.anno.json create mode 100644 dbg.fir create mode 100644 dbg.v create mode 100644 lsu.anno.json create mode 100644 lsu.fir create mode 100644 lsu.v create mode 100644 lsu_bus_buffer.anno.json create mode 100644 lsu_bus_buffer.fir create mode 100644 lsu_bus_buffer.v delete mode 100644 project/project/target/config-classes/$8f8b1ccfdfa3315fc811.class rename project/project/target/config-classes/{$8f8b1ccfdfa3315fc811$.class => $c0f8b8257add6b2061c5$.class} (84%) rename project/project/target/config-classes/{$8f8b1ccfdfa3315fc811.cache => $c0f8b8257add6b2061c5.cache} (100%) create mode 100644 project/project/target/config-classes/$c0f8b8257add6b2061c5.class create mode 100644 project/target/config-classes/$056ceca0fd98dd2e0179$.class rename project/target/config-classes/{$351b0fc6359e4bb25c2a.cache => $056ceca0fd98dd2e0179.cache} (100%) create mode 100644 project/target/config-classes/$056ceca0fd98dd2e0179.class rename project/target/config-classes/{$f5f8055b89665c483685$.class => $091aef6aa10bd84b425e$.class} (89%) rename project/target/config-classes/{$7fbaa1d0448b78bb067e.cache => $091aef6aa10bd84b425e.cache} (100%) create mode 100644 project/target/config-classes/$091aef6aa10bd84b425e.class rename project/target/config-classes/{$86aa801677e14f81b53f$.class => $0a3c9e6c56b14134a5a9$.class} (86%) rename project/target/config-classes/{$86aa801677e14f81b53f.cache => $0a3c9e6c56b14134a5a9.cache} (100%) create mode 100644 project/target/config-classes/$0a3c9e6c56b14134a5a9.class delete mode 100644 project/target/config-classes/$351b0fc6359e4bb25c2a.class rename project/target/config-classes/{$54e96d97406533007cc4$.class => $74011ad20772b97aa9cf$.class} (94%) rename project/target/config-classes/{$54e96d97406533007cc4.cache => $74011ad20772b97aa9cf.cache} (100%) rename project/target/config-classes/{$54e96d97406533007cc4.class => $74011ad20772b97aa9cf.class} (67%) rename project/target/config-classes/{$ed2c8e632e990429875d$.class => $78e71ddb0182f8a2115c$.class} (68%) rename project/target/config-classes/{$b98a2ce1a9f5919aa315.cache => $78e71ddb0182f8a2115c.cache} (100%) create mode 100644 project/target/config-classes/$78e71ddb0182f8a2115c.class rename project/target/config-classes/{$bfe52013477a08a8c2c7$.class => $7b9dd5d6ea3fc0f32e12$.class} (86%) rename project/target/config-classes/{$bfe52013477a08a8c2c7.cache => $7b9dd5d6ea3fc0f32e12.cache} (100%) create mode 100644 project/target/config-classes/$7b9dd5d6ea3fc0f32e12.class delete mode 100644 project/target/config-classes/$7fbaa1d0448b78bb067e.class delete mode 100644 project/target/config-classes/$86aa801677e14f81b53f.class delete mode 100644 project/target/config-classes/$b98a2ce1a9f5919aa315.class delete mode 100644 project/target/config-classes/$bfe52013477a08a8c2c7.class rename project/target/config-classes/{$f3981f4865bfe1d319ab$.class => $e24278014d853ada8cdf$.class} (84%) rename project/target/config-classes/{$ed2c8e632e990429875d.cache => $e24278014d853ada8cdf.cache} (100%) create mode 100644 project/target/config-classes/$e24278014d853ada8cdf.class rename project/target/config-classes/{$7fbaa1d0448b78bb067e$.class => $e32731c07a436da1ba9c$.class} (84%) rename project/target/config-classes/{$f3981f4865bfe1d319ab.cache => $e32731c07a436da1ba9c.cache} (100%) create mode 100644 project/target/config-classes/$e32731c07a436da1ba9c.class rename project/target/config-classes/{$fe50217f076e6ce479ab$.class => $ec32260bc14325e3623a$.class} (91%) rename project/target/config-classes/{$f5f8055b89665c483685.cache => $ec32260bc14325e3623a.cache} (100%) create mode 100644 project/target/config-classes/$ec32260bc14325e3623a.class rename project/target/config-classes/{$351b0fc6359e4bb25c2a$.class => $ecf706c0fb2c945e1085$.class} (84%) rename project/target/config-classes/{$fe50217f076e6ce479ab.cache => $ecf706c0fb2c945e1085.cache} (100%) create mode 100644 project/target/config-classes/$ecf706c0fb2c945e1085.class delete mode 100644 project/target/config-classes/$ed2c8e632e990429875d.class delete mode 100644 project/target/config-classes/$f3981f4865bfe1d319ab.class delete mode 100644 project/target/config-classes/$f5f8055b89665c483685.class rename project/target/config-classes/{$b98a2ce1a9f5919aa315$.class => $fb6933303f24ca339dcd$.class} (86%) create mode 100644 project/target/config-classes/$fb6933303f24ca339dcd.cache create mode 100644 project/target/config-classes/$fb6933303f24ca339dcd.class delete mode 100644 project/target/config-classes/$fe50217f076e6ce479ab.class create mode 100644 target/scala-2.12/classes/dbg/dbg_main$.class create mode 100644 target/scala-2.12/classes/dbg/dbg_main$delayedInit$body.class create mode 100644 target/scala-2.12/classes/dbg/dbg_main.class create mode 100644 target/scala-2.12/classes/dec/dec_main$.class create mode 100644 target/scala-2.12/classes/dec/dec_main$delayedInit$body.class create mode 100644 target/scala-2.12/classes/dec/dec_main.class create mode 100644 target/scala-2.12/classes/dma$.class create mode 100644 target/scala-2.12/classes/dma$delayedInit$body.class create mode 100644 target/scala-2.12/classes/dma.class create mode 100644 target/scala-2.12/classes/exu/exu_main$.class create mode 100644 target/scala-2.12/classes/exu/exu_main$delayedInit$body.class create mode 100644 target/scala-2.12/classes/exu/exu_main.class create mode 100644 target/scala-2.12/classes/ifu/ifu_main$.class create mode 100644 target/scala-2.12/classes/ifu/ifu_main$delayedInit$body.class create mode 100644 target/scala-2.12/classes/ifu/ifu_main.class create mode 100644 target/scala-2.12/classes/include/read_addr$.class create mode 100644 target/scala-2.12/classes/include/read_data$.class create mode 100644 target/scala-2.12/classes/include/write_addr$.class create mode 100644 target/scala-2.12/classes/lsu/bus_buffer$.class create mode 100644 target/scala-2.12/classes/lsu/bus_buffer$delayedInit$body.class create mode 100644 target/scala-2.12/classes/lsu/bus_buffer.class create mode 100644 target/scala-2.12/classes/lsu/lsu_top$.class create mode 100644 target/scala-2.12/classes/lsu/lsu_top$delayedInit$body.class create mode 100644 target/scala-2.12/classes/lsu/lsu_top.class create mode 100644 target/scala-2.12/classes/pic_gen$.class create mode 100644 target/scala-2.12/classes/pic_gen$delayedInit$body.class create mode 100644 target/scala-2.12/classes/pic_gen.class diff --git a/.idea/modules/chisel-module-template.iml b/.idea/modules/chisel-module-template.iml index 2a9bea34..2bbc0953 100644 --- a/.idea/modules/chisel-module-template.iml +++ b/.idea/modules/chisel-module-template.iml @@ -1,5 +1,5 @@ - + diff --git a/ahb_to_axi4.anno.json b/ahb_to_axi4.anno.json deleted file mode 100644 index e256d28b..00000000 --- a/ahb_to_axi4.anno.json +++ /dev/null @@ -1,34 +0,0 @@ -[ - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~ahb_to_axi4|ahb_to_axi4>io_ahb_sig_in_hready", - "sources":[ - "~ahb_to_axi4|ahb_to_axi4>io_ahb_sig_in_hresp", - "~ahb_to_axi4|ahb_to_axi4>io_axi_aw_valid", - "~ahb_to_axi4|ahb_to_axi4>io_axi_aw_ready", - "~ahb_to_axi4|ahb_to_axi4>io_axi_ar_valid", - "~ahb_to_axi4|ahb_to_axi4>io_axi_ar_ready" - ] - }, - { - "class":"firrtl.EmitCircuitAnnotation", - "emitter":"firrtl.VerilogEmitter" - }, - { - "class":"firrtl.transforms.BlackBoxResourceAnno", - "target":"ahb_to_axi4.gated_latch", - "resourceId":"/vsrc/gated_latch.v" - }, - { - "class":"firrtl.options.TargetDirAnnotation", - "directory":"." - }, - { - "class":"firrtl.options.OutputAnnotationFileAnnotation", - "file":"ahb_to_axi4" - }, - { - "class":"firrtl.transforms.BlackBoxTargetDirAnno", - "targetDir":"." - } -] \ No newline at end of file diff --git a/ahb_to_axi4.fir b/ahb_to_axi4.fir deleted file mode 100644 index 1ae07a04..00000000 --- a/ahb_to_axi4.fir +++ /dev/null @@ -1,615 +0,0 @@ -;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 -circuit ahb_to_axi4 : - extmodule gated_latch : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_1 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_1 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_1 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_2 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_2 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_2 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_3 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_3 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_3 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_4 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_4 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_4 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_5 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_5 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_5 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - module ahb_to_axi4 : - input clock : Clock - input reset : AsyncReset - output io : {flip scan_mode : UInt<1>, flip bus_clk_en : UInt<1>, flip clk_override : UInt<1>, axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, ahb : {flip sig : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, flip hsel : UInt<1>, flip hreadyin : UInt<1>}} - - wire _T : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[ahb_to_axi4.scala 20:25] - _T.r.bits.last <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.r.bits.resp <= UInt<2>("h00") @[ahb_to_axi4.scala 20:25] - _T.r.bits.data <= UInt<64>("h00") @[ahb_to_axi4.scala 20:25] - _T.r.bits.id <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.r.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.r.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.qos <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.prot <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.cache <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.lock <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.burst <= UInt<2>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.size <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.len <= UInt<8>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.region <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.addr <= UInt<32>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.id <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.b.bits.id <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.b.bits.resp <= UInt<2>("h00") @[ahb_to_axi4.scala 20:25] - _T.b.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.b.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.w.bits.last <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.w.bits.strb <= UInt<8>("h00") @[ahb_to_axi4.scala 20:25] - _T.w.bits.data <= UInt<64>("h00") @[ahb_to_axi4.scala 20:25] - _T.w.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.w.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.qos <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.prot <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.cache <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.lock <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.burst <= UInt<2>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.size <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.len <= UInt<8>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.region <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.addr <= UInt<32>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.id <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.r.bits.last <= io.axi.r.bits.last @[ahb_to_axi4.scala 20:10] - _T.r.bits.resp <= io.axi.r.bits.resp @[ahb_to_axi4.scala 20:10] - _T.r.bits.data <= io.axi.r.bits.data @[ahb_to_axi4.scala 20:10] - _T.r.bits.id <= io.axi.r.bits.id @[ahb_to_axi4.scala 20:10] - _T.r.valid <= io.axi.r.valid @[ahb_to_axi4.scala 20:10] - io.axi.r.ready <= _T.r.ready @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.qos <= _T.ar.bits.qos @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.prot <= _T.ar.bits.prot @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.cache <= _T.ar.bits.cache @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.lock <= _T.ar.bits.lock @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.burst <= _T.ar.bits.burst @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.size <= _T.ar.bits.size @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.len <= _T.ar.bits.len @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.region <= _T.ar.bits.region @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.addr <= _T.ar.bits.addr @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.id <= _T.ar.bits.id @[ahb_to_axi4.scala 20:10] - io.axi.ar.valid <= _T.ar.valid @[ahb_to_axi4.scala 20:10] - _T.ar.ready <= io.axi.ar.ready @[ahb_to_axi4.scala 20:10] - _T.b.bits.id <= io.axi.b.bits.id @[ahb_to_axi4.scala 20:10] - _T.b.bits.resp <= io.axi.b.bits.resp @[ahb_to_axi4.scala 20:10] - _T.b.valid <= io.axi.b.valid @[ahb_to_axi4.scala 20:10] - io.axi.b.ready <= _T.b.ready @[ahb_to_axi4.scala 20:10] - io.axi.w.bits.last <= _T.w.bits.last @[ahb_to_axi4.scala 20:10] - io.axi.w.bits.strb <= _T.w.bits.strb @[ahb_to_axi4.scala 20:10] - io.axi.w.bits.data <= _T.w.bits.data @[ahb_to_axi4.scala 20:10] - io.axi.w.valid <= _T.w.valid @[ahb_to_axi4.scala 20:10] - _T.w.ready <= io.axi.w.ready @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.qos <= _T.aw.bits.qos @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.prot <= _T.aw.bits.prot @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.cache <= _T.aw.bits.cache @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.lock <= _T.aw.bits.lock @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.burst <= _T.aw.bits.burst @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.size <= _T.aw.bits.size @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.len <= _T.aw.bits.len @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.region <= _T.aw.bits.region @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.addr <= _T.aw.bits.addr @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.id <= _T.aw.bits.id @[ahb_to_axi4.scala 20:10] - io.axi.aw.valid <= _T.aw.valid @[ahb_to_axi4.scala 20:10] - _T.aw.ready <= io.axi.aw.ready @[ahb_to_axi4.scala 20:10] - wire master_wstrb : UInt<8> - master_wstrb <= UInt<8>("h00") - wire buf_state_en : UInt<1> - buf_state_en <= UInt<1>("h00") - wire buf_read_error_in : UInt<1> - buf_read_error_in <= UInt<1>("h00") - wire buf_read_error : UInt<1> - buf_read_error <= UInt<1>("h00") - wire buf_rdata : UInt<64> - buf_rdata <= UInt<64>("h00") - wire ahb_hready : UInt<1> - ahb_hready <= UInt<1>("h00") - wire ahb_hready_q : UInt<1> - ahb_hready_q <= UInt<1>("h00") - wire ahb_htrans_in : UInt<2> - ahb_htrans_in <= UInt<2>("h00") - wire ahb_htrans_q : UInt<2> - ahb_htrans_q <= UInt<2>("h00") - wire ahb_hsize_q : UInt<3> - ahb_hsize_q <= UInt<3>("h00") - wire ahb_hwrite_q : UInt<1> - ahb_hwrite_q <= UInt<1>("h00") - wire ahb_haddr_q : UInt<32> - ahb_haddr_q <= UInt<32>("h00") - wire ahb_hwdata_q : UInt<64> - ahb_hwdata_q <= UInt<64>("h00") - wire ahb_hresp_q : UInt<1> - ahb_hresp_q <= UInt<1>("h00") - wire buf_rdata_en : UInt<1> - buf_rdata_en <= UInt<1>("h00") - wire ahb_bus_addr_clk_en : UInt<1> - ahb_bus_addr_clk_en <= UInt<1>("h00") - wire buf_rdata_clk_en : UInt<1> - buf_rdata_clk_en <= UInt<1>("h00") - wire ahb_clk : Clock @[ahb_to_axi4.scala 44:33] - wire ahb_addr_clk : Clock @[ahb_to_axi4.scala 45:33] - wire buf_rdata_clk : Clock @[ahb_to_axi4.scala 46:33] - wire cmdbuf_wr_en : UInt<1> - cmdbuf_wr_en <= UInt<1>("h00") - wire cmdbuf_rst : UInt<1> - cmdbuf_rst <= UInt<1>("h00") - wire cmdbuf_full : UInt<1> - cmdbuf_full <= UInt<1>("h00") - wire cmdbuf_vld : UInt<1> - cmdbuf_vld <= UInt<1>("h00") - wire cmdbuf_write : UInt<1> - cmdbuf_write <= UInt<1>("h00") - wire cmdbuf_size : UInt<2> - cmdbuf_size <= UInt<2>("h00") - wire cmdbuf_wstrb : UInt<8> - cmdbuf_wstrb <= UInt<8>("h00") - wire cmdbuf_addr : UInt<32> - cmdbuf_addr <= UInt<32>("h00") - wire cmdbuf_wdata : UInt<64> - cmdbuf_wdata <= UInt<64>("h00") - wire bus_clk : Clock @[ahb_to_axi4.scala 58:33] - node _T_1 = bits(ahb_haddr_q, 31, 28) @[lib.scala 84:25] - node ahb_addr_in_dccm_region_nc = eq(_T_1, UInt<4>("h0f")) @[lib.scala 84:47] - node _T_2 = bits(ahb_haddr_q, 31, 16) @[lib.scala 87:14] - node ahb_addr_in_dccm = eq(_T_2, UInt<16>("h0f004")) @[lib.scala 87:29] - node _T_3 = bits(ahb_haddr_q, 31, 28) @[lib.scala 84:25] - node ahb_addr_in_iccm_region_nc = eq(_T_3, UInt<4>("h0e")) @[lib.scala 84:47] - node _T_4 = bits(ahb_haddr_q, 31, 16) @[lib.scala 87:14] - node ahb_addr_in_iccm = eq(_T_4, UInt<16>("h0ee00")) @[lib.scala 87:29] - node _T_5 = bits(ahb_haddr_q, 31, 28) @[lib.scala 84:25] - node ahb_addr_in_pic_region_nc = eq(_T_5, UInt<4>("h0f")) @[lib.scala 84:47] - node _T_6 = bits(ahb_haddr_q, 31, 15) @[lib.scala 87:14] - node ahb_addr_in_pic = eq(_T_6, UInt<17>("h01e018")) @[lib.scala 87:29] - wire buf_state : UInt<2> - buf_state <= UInt<2>("h00") - wire buf_nxtstate : UInt<2> - buf_nxtstate <= UInt<2>("h00") - buf_nxtstate <= UInt<2>("h00") @[ahb_to_axi4.scala 68:31] - buf_state_en <= UInt<1>("h00") @[ahb_to_axi4.scala 69:31] - buf_rdata_en <= UInt<1>("h00") @[ahb_to_axi4.scala 70:31] - buf_read_error_in <= UInt<1>("h00") @[ahb_to_axi4.scala 71:31] - cmdbuf_wr_en <= UInt<1>("h00") @[ahb_to_axi4.scala 72:31] - node _T_7 = eq(UInt<2>("h00"), buf_state) @[Conditional.scala 37:30] - when _T_7 : @[Conditional.scala 40:58] - node _T_8 = mux(io.ahb.sig.out.hwrite, UInt<2>("h01"), UInt<2>("h02")) @[ahb_to_axi4.scala 76:26] - buf_nxtstate <= _T_8 @[ahb_to_axi4.scala 76:20] - node _T_9 = bits(io.ahb.sig.out.htrans, 1, 1) @[ahb_to_axi4.scala 77:57] - node _T_10 = and(ahb_hready, _T_9) @[ahb_to_axi4.scala 77:34] - node _T_11 = and(_T_10, io.ahb.hsel) @[ahb_to_axi4.scala 77:61] - buf_state_en <= _T_11 @[ahb_to_axi4.scala 77:20] - skip @[Conditional.scala 40:58] - else : @[Conditional.scala 39:67] - node _T_12 = eq(UInt<2>("h01"), buf_state) @[Conditional.scala 37:30] - when _T_12 : @[Conditional.scala 39:67] - node _T_13 = bits(io.ahb.sig.out.htrans, 1, 0) @[ahb_to_axi4.scala 80:72] - node _T_14 = eq(_T_13, UInt<1>("h00")) @[ahb_to_axi4.scala 80:79] - node _T_15 = or(io.ahb.sig.in.hresp, _T_14) @[ahb_to_axi4.scala 80:48] - node _T_16 = eq(io.ahb.hsel, UInt<1>("h00")) @[ahb_to_axi4.scala 80:93] - node _T_17 = or(_T_15, _T_16) @[ahb_to_axi4.scala 80:91] - node _T_18 = bits(_T_17, 0, 0) @[ahb_to_axi4.scala 80:107] - node _T_19 = mux(io.ahb.sig.out.hwrite, UInt<2>("h01"), UInt<2>("h02")) @[ahb_to_axi4.scala 80:124] - node _T_20 = mux(_T_18, UInt<2>("h00"), _T_19) @[ahb_to_axi4.scala 80:26] - buf_nxtstate <= _T_20 @[ahb_to_axi4.scala 80:20] - node _T_21 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 81:24] - node _T_22 = or(_T_21, io.ahb.sig.in.hresp) @[ahb_to_axi4.scala 81:37] - buf_state_en <= _T_22 @[ahb_to_axi4.scala 81:20] - node _T_23 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 82:23] - node _T_24 = bits(io.ahb.sig.out.htrans, 1, 0) @[ahb_to_axi4.scala 82:85] - node _T_25 = eq(_T_24, UInt<2>("h01")) @[ahb_to_axi4.scala 82:92] - node _T_26 = and(_T_25, io.ahb.hsel) @[ahb_to_axi4.scala 82:110] - node _T_27 = or(io.ahb.sig.in.hresp, _T_26) @[ahb_to_axi4.scala 82:60] - node _T_28 = eq(_T_27, UInt<1>("h00")) @[ahb_to_axi4.scala 82:38] - node _T_29 = and(_T_23, _T_28) @[ahb_to_axi4.scala 82:36] - cmdbuf_wr_en <= _T_29 @[ahb_to_axi4.scala 82:20] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_30 = eq(UInt<2>("h02"), buf_state) @[Conditional.scala 37:30] - when _T_30 : @[Conditional.scala 39:67] - node _T_31 = mux(io.ahb.sig.in.hresp, UInt<2>("h00"), UInt<2>("h03")) @[ahb_to_axi4.scala 85:26] - buf_nxtstate <= _T_31 @[ahb_to_axi4.scala 85:20] - node _T_32 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 86:24] - node _T_33 = or(_T_32, io.ahb.sig.in.hresp) @[ahb_to_axi4.scala 86:37] - buf_state_en <= _T_33 @[ahb_to_axi4.scala 86:20] - node _T_34 = eq(io.ahb.sig.in.hresp, UInt<1>("h00")) @[ahb_to_axi4.scala 87:23] - node _T_35 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 87:46] - node _T_36 = and(_T_34, _T_35) @[ahb_to_axi4.scala 87:44] - cmdbuf_wr_en <= _T_36 @[ahb_to_axi4.scala 87:20] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_37 = eq(UInt<2>("h03"), buf_state) @[Conditional.scala 37:30] - when _T_37 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<2>("h00") @[ahb_to_axi4.scala 90:20] - node _T_38 = eq(cmdbuf_write, UInt<1>("h00")) @[ahb_to_axi4.scala 91:40] - node _T_39 = and(io.axi.r.valid, _T_38) @[ahb_to_axi4.scala 91:38] - buf_state_en <= _T_39 @[ahb_to_axi4.scala 91:20] - buf_rdata_en <= buf_state_en @[ahb_to_axi4.scala 92:20] - node _T_40 = bits(io.axi.r.bits.resp, 1, 0) @[ahb_to_axi4.scala 93:61] - node _T_41 = orr(_T_40) @[ahb_to_axi4.scala 93:68] - node _T_42 = and(buf_state_en, _T_41) @[ahb_to_axi4.scala 93:41] - buf_read_error_in <= _T_42 @[ahb_to_axi4.scala 93:25] - skip @[Conditional.scala 39:67] - node _T_43 = bits(buf_state_en, 0, 0) @[ahb_to_axi4.scala 96:99] - reg _T_44 : UInt, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_43 : @[Reg.scala 28:19] - _T_44 <= buf_nxtstate @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_state <= _T_44 @[ahb_to_axi4.scala 96:31] - node _T_45 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 98:54] - node _T_46 = eq(_T_45, UInt<1>("h00")) @[ahb_to_axi4.scala 98:60] - node _T_47 = bits(_T_46, 0, 0) @[Bitwise.scala 72:15] - node _T_48 = mux(_T_47, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_49 = bits(ahb_haddr_q, 2, 0) @[ahb_to_axi4.scala 98:92] - node _T_50 = dshl(UInt<1>("h01"), _T_49) @[ahb_to_axi4.scala 98:78] - node _T_51 = and(_T_48, _T_50) @[ahb_to_axi4.scala 98:70] - node _T_52 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 99:24] - node _T_53 = eq(_T_52, UInt<1>("h01")) @[ahb_to_axi4.scala 99:30] - node _T_54 = bits(_T_53, 0, 0) @[Bitwise.scala 72:15] - node _T_55 = mux(_T_54, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_56 = bits(ahb_haddr_q, 2, 0) @[ahb_to_axi4.scala 99:62] - node _T_57 = dshl(UInt<2>("h03"), _T_56) @[ahb_to_axi4.scala 99:48] - node _T_58 = and(_T_55, _T_57) @[ahb_to_axi4.scala 99:40] - node _T_59 = or(_T_51, _T_58) @[ahb_to_axi4.scala 98:109] - node _T_60 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 100:24] - node _T_61 = eq(_T_60, UInt<2>("h02")) @[ahb_to_axi4.scala 100:30] - node _T_62 = bits(_T_61, 0, 0) @[Bitwise.scala 72:15] - node _T_63 = mux(_T_62, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_64 = bits(ahb_haddr_q, 2, 0) @[ahb_to_axi4.scala 100:62] - node _T_65 = dshl(UInt<4>("h0f"), _T_64) @[ahb_to_axi4.scala 100:48] - node _T_66 = and(_T_63, _T_65) @[ahb_to_axi4.scala 100:40] - node _T_67 = or(_T_59, _T_66) @[ahb_to_axi4.scala 99:79] - node _T_68 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 101:24] - node _T_69 = eq(_T_68, UInt<2>("h03")) @[ahb_to_axi4.scala 101:30] - node _T_70 = bits(_T_69, 0, 0) @[Bitwise.scala 72:15] - node _T_71 = mux(_T_70, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_72 = and(_T_71, UInt<8>("h0ff")) @[ahb_to_axi4.scala 101:40] - node _T_73 = or(_T_67, _T_72) @[ahb_to_axi4.scala 100:79] - master_wstrb <= _T_73 @[ahb_to_axi4.scala 98:31] - node _T_74 = eq(ahb_hready_q, UInt<1>("h00")) @[ahb_to_axi4.scala 104:80] - node _T_75 = and(ahb_hresp_q, _T_74) @[ahb_to_axi4.scala 104:78] - node _T_76 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 104:98] - node _T_77 = eq(buf_state, UInt<2>("h00")) @[ahb_to_axi4.scala 104:124] - node _T_78 = or(_T_76, _T_77) @[ahb_to_axi4.scala 104:111] - node _T_79 = eq(buf_state, UInt<2>("h02")) @[ahb_to_axi4.scala 104:149] - node _T_80 = eq(buf_state, UInt<2>("h03")) @[ahb_to_axi4.scala 104:168] - node _T_81 = or(_T_79, _T_80) @[ahb_to_axi4.scala 104:156] - node _T_82 = eq(_T_81, UInt<1>("h00")) @[ahb_to_axi4.scala 104:137] - node _T_83 = and(_T_78, _T_82) @[ahb_to_axi4.scala 104:135] - node _T_84 = eq(buf_read_error, UInt<1>("h00")) @[ahb_to_axi4.scala 104:181] - node _T_85 = and(_T_83, _T_84) @[ahb_to_axi4.scala 104:179] - node _T_86 = mux(io.ahb.sig.in.hresp, _T_75, _T_85) @[ahb_to_axi4.scala 104:44] - io.ahb.sig.in.hready <= _T_86 @[ahb_to_axi4.scala 104:38] - node _T_87 = and(io.ahb.sig.in.hready, io.ahb.hreadyin) @[ahb_to_axi4.scala 105:55] - ahb_hready <= _T_87 @[ahb_to_axi4.scala 105:31] - node _T_88 = bits(io.ahb.hsel, 0, 0) @[Bitwise.scala 72:15] - node _T_89 = mux(_T_88, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_90 = bits(io.ahb.sig.out.htrans, 1, 0) @[ahb_to_axi4.scala 106:77] - node _T_91 = and(_T_89, _T_90) @[ahb_to_axi4.scala 106:54] - ahb_htrans_in <= _T_91 @[ahb_to_axi4.scala 106:31] - node _T_92 = bits(buf_rdata, 63, 0) @[ahb_to_axi4.scala 107:50] - io.ahb.sig.in.hrdata <= _T_92 @[ahb_to_axi4.scala 107:38] - node _T_93 = bits(ahb_htrans_q, 1, 0) @[ahb_to_axi4.scala 108:55] - node _T_94 = neq(_T_93, UInt<1>("h00")) @[ahb_to_axi4.scala 108:61] - node _T_95 = neq(buf_state, UInt<2>("h00")) @[ahb_to_axi4.scala 108:83] - node _T_96 = and(_T_94, _T_95) @[ahb_to_axi4.scala 108:70] - node _T_97 = or(ahb_addr_in_dccm, ahb_addr_in_iccm) @[ahb_to_axi4.scala 109:26] - node _T_98 = eq(_T_97, UInt<1>("h00")) @[ahb_to_axi4.scala 109:7] - node _T_99 = and(ahb_addr_in_dccm, ahb_hwrite_q) @[ahb_to_axi4.scala 110:46] - node _T_100 = or(ahb_addr_in_iccm, _T_99) @[ahb_to_axi4.scala 110:26] - node _T_101 = bits(ahb_hsize_q, 1, 0) @[ahb_to_axi4.scala 110:80] - node _T_102 = eq(_T_101, UInt<2>("h02")) @[ahb_to_axi4.scala 110:86] - node _T_103 = bits(ahb_hsize_q, 1, 0) @[ahb_to_axi4.scala 110:109] - node _T_104 = eq(_T_103, UInt<2>("h03")) @[ahb_to_axi4.scala 110:115] - node _T_105 = or(_T_102, _T_104) @[ahb_to_axi4.scala 110:95] - node _T_106 = eq(_T_105, UInt<1>("h00")) @[ahb_to_axi4.scala 110:66] - node _T_107 = and(_T_100, _T_106) @[ahb_to_axi4.scala 110:64] - node _T_108 = or(_T_98, _T_107) @[ahb_to_axi4.scala 109:47] - node _T_109 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 111:20] - node _T_110 = eq(_T_109, UInt<1>("h01")) @[ahb_to_axi4.scala 111:26] - node _T_111 = bits(ahb_haddr_q, 0, 0) @[ahb_to_axi4.scala 111:48] - node _T_112 = and(_T_110, _T_111) @[ahb_to_axi4.scala 111:35] - node _T_113 = or(_T_108, _T_112) @[ahb_to_axi4.scala 110:126] - node _T_114 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 112:20] - node _T_115 = eq(_T_114, UInt<2>("h02")) @[ahb_to_axi4.scala 112:26] - node _T_116 = bits(ahb_haddr_q, 1, 0) @[ahb_to_axi4.scala 112:49] - node _T_117 = orr(_T_116) @[ahb_to_axi4.scala 112:56] - node _T_118 = and(_T_115, _T_117) @[ahb_to_axi4.scala 112:35] - node _T_119 = or(_T_113, _T_118) @[ahb_to_axi4.scala 111:55] - node _T_120 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 113:20] - node _T_121 = eq(_T_120, UInt<2>("h03")) @[ahb_to_axi4.scala 113:26] - node _T_122 = bits(ahb_haddr_q, 2, 0) @[ahb_to_axi4.scala 113:49] - node _T_123 = orr(_T_122) @[ahb_to_axi4.scala 113:56] - node _T_124 = and(_T_121, _T_123) @[ahb_to_axi4.scala 113:35] - node _T_125 = or(_T_119, _T_124) @[ahb_to_axi4.scala 112:61] - node _T_126 = and(_T_96, _T_125) @[ahb_to_axi4.scala 108:94] - node _T_127 = or(_T_126, buf_read_error) @[ahb_to_axi4.scala 113:63] - node _T_128 = eq(ahb_hready_q, UInt<1>("h00")) @[ahb_to_axi4.scala 115:20] - node _T_129 = and(ahb_hresp_q, _T_128) @[ahb_to_axi4.scala 115:18] - node _T_130 = or(_T_127, _T_129) @[ahb_to_axi4.scala 114:20] - io.ahb.sig.in.hresp <= _T_130 @[ahb_to_axi4.scala 108:38] - reg _T_131 : UInt, buf_rdata_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 118:66] - _T_131 <= io.axi.r.bits.data @[ahb_to_axi4.scala 118:66] - buf_rdata <= _T_131 @[ahb_to_axi4.scala 118:31] - reg _T_132 : UInt<1>, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 119:60] - _T_132 <= buf_read_error_in @[ahb_to_axi4.scala 119:60] - buf_read_error <= _T_132 @[ahb_to_axi4.scala 119:31] - reg _T_133 : UInt<1>, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 122:60] - _T_133 <= io.ahb.sig.in.hresp @[ahb_to_axi4.scala 122:60] - ahb_hresp_q <= _T_133 @[ahb_to_axi4.scala 122:31] - reg _T_134 : UInt<1>, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 123:60] - _T_134 <= ahb_hready @[ahb_to_axi4.scala 123:60] - ahb_hready_q <= _T_134 @[ahb_to_axi4.scala 123:31] - reg _T_135 : UInt, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 124:60] - _T_135 <= ahb_htrans_in @[ahb_to_axi4.scala 124:60] - ahb_htrans_q <= _T_135 @[ahb_to_axi4.scala 124:31] - reg _T_136 : UInt, ahb_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 125:65] - _T_136 <= io.ahb.sig.out.hsize @[ahb_to_axi4.scala 125:65] - ahb_hsize_q <= _T_136 @[ahb_to_axi4.scala 125:31] - reg _T_137 : UInt<1>, ahb_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 126:65] - _T_137 <= io.ahb.sig.out.hwrite @[ahb_to_axi4.scala 126:65] - ahb_hwrite_q <= _T_137 @[ahb_to_axi4.scala 126:31] - reg _T_138 : UInt, ahb_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 127:65] - _T_138 <= io.ahb.sig.out.haddr @[ahb_to_axi4.scala 127:65] - ahb_haddr_q <= _T_138 @[ahb_to_axi4.scala 127:31] - node _T_139 = bits(io.ahb.sig.out.htrans, 1, 1) @[ahb_to_axi4.scala 130:85] - node _T_140 = and(ahb_hready, _T_139) @[ahb_to_axi4.scala 130:62] - node _T_141 = and(io.bus_clk_en, _T_140) @[ahb_to_axi4.scala 130:48] - ahb_bus_addr_clk_en <= _T_141 @[ahb_to_axi4.scala 130:31] - node _T_142 = and(io.bus_clk_en, buf_rdata_en) @[ahb_to_axi4.scala 131:48] - buf_rdata_clk_en <= _T_142 @[ahb_to_axi4.scala 131:31] - inst rvclkhdr of rvclkhdr @[lib.scala 343:22] - rvclkhdr.clock <= clock - rvclkhdr.reset <= reset - rvclkhdr.io.clk <= clock @[lib.scala 344:17] - rvclkhdr.io.en <= io.bus_clk_en @[lib.scala 345:16] - rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - ahb_clk <= rvclkhdr.io.l1clk @[ahb_to_axi4.scala 133:31] - inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 343:22] - rvclkhdr_1.clock <= clock - rvclkhdr_1.reset <= reset - rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_1.io.en <= ahb_bus_addr_clk_en @[lib.scala 345:16] - rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - ahb_addr_clk <= rvclkhdr_1.io.l1clk @[ahb_to_axi4.scala 134:31] - inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 343:22] - rvclkhdr_2.clock <= clock - rvclkhdr_2.reset <= reset - rvclkhdr_2.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_2.io.en <= buf_rdata_clk_en @[lib.scala 345:16] - rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - buf_rdata_clk <= rvclkhdr_2.io.l1clk @[ahb_to_axi4.scala 135:31] - node _T_143 = and(io.axi.aw.valid, io.axi.aw.ready) @[ahb_to_axi4.scala 137:53] - node _T_144 = and(io.axi.ar.valid, io.axi.ar.ready) @[ahb_to_axi4.scala 137:91] - node _T_145 = or(_T_143, _T_144) @[ahb_to_axi4.scala 137:72] - node _T_146 = eq(cmdbuf_wr_en, UInt<1>("h00")) @[ahb_to_axi4.scala 137:113] - node _T_147 = and(_T_145, _T_146) @[ahb_to_axi4.scala 137:111] - node _T_148 = eq(cmdbuf_write, UInt<1>("h00")) @[ahb_to_axi4.scala 137:153] - node _T_149 = and(io.ahb.sig.in.hresp, _T_148) @[ahb_to_axi4.scala 137:151] - node _T_150 = or(_T_147, _T_149) @[ahb_to_axi4.scala 137:128] - cmdbuf_rst <= _T_150 @[ahb_to_axi4.scala 137:31] - node _T_151 = and(io.axi.aw.valid, io.axi.aw.ready) @[ahb_to_axi4.scala 138:67] - node _T_152 = and(io.axi.ar.valid, io.axi.ar.ready) @[ahb_to_axi4.scala 138:105] - node _T_153 = or(_T_151, _T_152) @[ahb_to_axi4.scala 138:86] - node _T_154 = eq(_T_153, UInt<1>("h00")) @[ahb_to_axi4.scala 138:48] - node _T_155 = and(cmdbuf_vld, _T_154) @[ahb_to_axi4.scala 138:46] - cmdbuf_full <= _T_155 @[ahb_to_axi4.scala 138:31] - node _T_156 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 140:86] - node _T_157 = mux(_T_156, UInt<1>("h01"), cmdbuf_vld) @[ahb_to_axi4.scala 140:66] - node _T_158 = eq(cmdbuf_rst, UInt<1>("h00")) @[ahb_to_axi4.scala 140:110] - node _T_159 = and(_T_157, _T_158) @[ahb_to_axi4.scala 140:108] - reg _T_160 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 140:61] - _T_160 <= _T_159 @[ahb_to_axi4.scala 140:61] - cmdbuf_vld <= _T_160 @[ahb_to_axi4.scala 140:31] - node _T_161 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 144:53] - reg _T_162 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_161 : @[Reg.scala 28:19] - _T_162 <= ahb_hwrite_q @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - cmdbuf_write <= _T_162 @[ahb_to_axi4.scala 143:31] - node _T_163 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 147:52] - reg _T_164 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_163 : @[Reg.scala 28:19] - _T_164 <= ahb_hsize_q @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - cmdbuf_size <= _T_164 @[ahb_to_axi4.scala 146:31] - node _T_165 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 150:53] - reg _T_166 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_165 : @[Reg.scala 28:19] - _T_166 <= master_wstrb @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - cmdbuf_wstrb <= _T_166 @[ahb_to_axi4.scala 149:31] - node _T_167 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 153:57] - inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] - rvclkhdr_3.clock <= clock - rvclkhdr_3.reset <= reset - rvclkhdr_3.io.clk <= bus_clk @[lib.scala 370:18] - rvclkhdr_3.io.en <= _T_167 @[lib.scala 371:17] - rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_168 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_168 <= ahb_haddr_q @[lib.scala 374:16] - cmdbuf_addr <= _T_168 @[ahb_to_axi4.scala 153:15] - node _T_169 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 154:68] - inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 368:23] - rvclkhdr_4.clock <= clock - rvclkhdr_4.reset <= reset - rvclkhdr_4.io.clk <= bus_clk @[lib.scala 370:18] - rvclkhdr_4.io.en <= _T_169 @[lib.scala 371:17] - rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_170 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_170 <= io.ahb.sig.out.hwdata @[lib.scala 374:16] - cmdbuf_wdata <= _T_170 @[ahb_to_axi4.scala 154:16] - node _T_171 = and(cmdbuf_vld, cmdbuf_write) @[ahb_to_axi4.scala 157:42] - io.axi.aw.valid <= _T_171 @[ahb_to_axi4.scala 157:28] - io.axi.aw.bits.id <= UInt<1>("h00") @[ahb_to_axi4.scala 158:33] - io.axi.aw.bits.addr <= cmdbuf_addr @[ahb_to_axi4.scala 159:33] - node _T_172 = bits(cmdbuf_size, 1, 0) @[ahb_to_axi4.scala 160:59] - node _T_173 = cat(UInt<1>("h00"), _T_172) @[Cat.scala 29:58] - io.axi.aw.bits.size <= _T_173 @[ahb_to_axi4.scala 160:33] - node _T_174 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - io.axi.aw.bits.prot <= _T_174 @[ahb_to_axi4.scala 161:33] - node _T_175 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - io.axi.aw.bits.len <= _T_175 @[ahb_to_axi4.scala 162:33] - io.axi.aw.bits.burst <= UInt<1>("h01") @[ahb_to_axi4.scala 163:33] - node _T_176 = and(cmdbuf_vld, cmdbuf_write) @[ahb_to_axi4.scala 165:42] - io.axi.w.valid <= _T_176 @[ahb_to_axi4.scala 165:28] - io.axi.w.bits.data <= cmdbuf_wdata @[ahb_to_axi4.scala 166:33] - io.axi.w.bits.strb <= cmdbuf_wstrb @[ahb_to_axi4.scala 167:33] - io.axi.w.bits.last <= UInt<1>("h01") @[ahb_to_axi4.scala 168:33] - io.axi.b.ready <= UInt<1>("h01") @[ahb_to_axi4.scala 170:28] - node _T_177 = eq(cmdbuf_write, UInt<1>("h00")) @[ahb_to_axi4.scala 172:44] - node _T_178 = and(cmdbuf_vld, _T_177) @[ahb_to_axi4.scala 172:42] - io.axi.ar.valid <= _T_178 @[ahb_to_axi4.scala 172:28] - io.axi.ar.bits.id <= UInt<1>("h00") @[ahb_to_axi4.scala 173:33] - io.axi.ar.bits.addr <= cmdbuf_addr @[ahb_to_axi4.scala 174:33] - node _T_179 = bits(cmdbuf_size, 1, 0) @[ahb_to_axi4.scala 175:59] - node _T_180 = cat(UInt<1>("h00"), _T_179) @[Cat.scala 29:58] - io.axi.ar.bits.size <= _T_180 @[ahb_to_axi4.scala 175:33] - node _T_181 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - io.axi.ar.bits.prot <= _T_181 @[ahb_to_axi4.scala 176:33] - node _T_182 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - io.axi.ar.bits.len <= _T_182 @[ahb_to_axi4.scala 177:33] - io.axi.ar.bits.burst <= UInt<1>("h01") @[ahb_to_axi4.scala 178:33] - io.axi.r.ready <= UInt<1>("h01") @[ahb_to_axi4.scala 180:28] - inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 343:22] - rvclkhdr_5.clock <= clock - rvclkhdr_5.reset <= reset - rvclkhdr_5.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_5.io.en <= io.bus_clk_en @[lib.scala 345:16] - rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - bus_clk <= rvclkhdr_5.io.l1clk @[ahb_to_axi4.scala 181:27] - diff --git a/ahb_to_axi4.v b/ahb_to_axi4.v deleted file mode 100644 index b65746cd..00000000 --- a/ahb_to_axi4.v +++ /dev/null @@ -1,584 +0,0 @@ -module rvclkhdr( - output io_l1clk, - input io_clk, - input io_en, - input io_scan_mode -); - wire clkhdr_Q; // @[lib.scala 334:26] - wire clkhdr_CK; // @[lib.scala 334:26] - wire clkhdr_EN; // @[lib.scala 334:26] - wire clkhdr_SE; // @[lib.scala 334:26] - gated_latch clkhdr ( // @[lib.scala 334:26] - .Q(clkhdr_Q), - .CK(clkhdr_CK), - .EN(clkhdr_EN), - .SE(clkhdr_SE) - ); - assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] - assign clkhdr_CK = io_clk; // @[lib.scala 336:18] - assign clkhdr_EN = io_en; // @[lib.scala 337:18] - assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] -endmodule -module ahb_to_axi4( - input clock, - input reset, - input io_scan_mode, - input io_bus_clk_en, - input io_clk_override, - input io_axi_aw_ready, - output io_axi_aw_valid, - output io_axi_aw_bits_id, - output [31:0] io_axi_aw_bits_addr, - output [3:0] io_axi_aw_bits_region, - output [7:0] io_axi_aw_bits_len, - output [2:0] io_axi_aw_bits_size, - output [1:0] io_axi_aw_bits_burst, - output io_axi_aw_bits_lock, - output [3:0] io_axi_aw_bits_cache, - output [2:0] io_axi_aw_bits_prot, - output [3:0] io_axi_aw_bits_qos, - input io_axi_w_ready, - output io_axi_w_valid, - output [63:0] io_axi_w_bits_data, - output [7:0] io_axi_w_bits_strb, - output io_axi_w_bits_last, - output io_axi_b_ready, - input io_axi_b_valid, - input [1:0] io_axi_b_bits_resp, - input io_axi_b_bits_id, - input io_axi_ar_ready, - output io_axi_ar_valid, - output io_axi_ar_bits_id, - output [31:0] io_axi_ar_bits_addr, - output [3:0] io_axi_ar_bits_region, - output [7:0] io_axi_ar_bits_len, - output [2:0] io_axi_ar_bits_size, - output [1:0] io_axi_ar_bits_burst, - output io_axi_ar_bits_lock, - output [3:0] io_axi_ar_bits_cache, - output [2:0] io_axi_ar_bits_prot, - output [3:0] io_axi_ar_bits_qos, - output io_axi_r_ready, - input io_axi_r_valid, - input io_axi_r_bits_id, - input [63:0] io_axi_r_bits_data, - input [1:0] io_axi_r_bits_resp, - input io_axi_r_bits_last, - output [63:0] io_ahb_sig_in_hrdata, - output io_ahb_sig_in_hready, - output io_ahb_sig_in_hresp, - input [31:0] io_ahb_sig_out_haddr, - input [2:0] io_ahb_sig_out_hburst, - input io_ahb_sig_out_hmastlock, - input [3:0] io_ahb_sig_out_hprot, - input [2:0] io_ahb_sig_out_hsize, - input [1:0] io_ahb_sig_out_htrans, - input io_ahb_sig_out_hwrite, - input [63:0] io_ahb_sig_out_hwdata, - input io_ahb_hsel, - input io_ahb_hreadyin -); -`ifdef RANDOMIZE_REG_INIT - reg [31:0] _RAND_0; - reg [31:0] _RAND_1; - reg [31:0] _RAND_2; - reg [31:0] _RAND_3; - reg [31:0] _RAND_4; - reg [31:0] _RAND_5; - reg [31:0] _RAND_6; - reg [31:0] _RAND_7; - reg [63:0] _RAND_8; - reg [31:0] _RAND_9; - reg [31:0] _RAND_10; - reg [31:0] _RAND_11; - reg [31:0] _RAND_12; - reg [31:0] _RAND_13; - reg [63:0] _RAND_14; -`endif // RANDOMIZE_REG_INIT - wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_io_en; // @[lib.scala 343:22] - wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_1_io_en; // @[lib.scala 343:22] - wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_2_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_2_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_2_io_en; // @[lib.scala 343:22] - wire rvclkhdr_2_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_3_io_en; // @[lib.scala 368:23] - wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] - wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_4_io_en; // @[lib.scala 368:23] - wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] - wire rvclkhdr_5_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_5_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_5_io_en; // @[lib.scala 343:22] - wire rvclkhdr_5_io_scan_mode; // @[lib.scala 343:22] - wire ahb_addr_clk = rvclkhdr_1_io_l1clk; // @[ahb_to_axi4.scala 45:33 ahb_to_axi4.scala 134:31] - reg [31:0] ahb_haddr_q; // @[ahb_to_axi4.scala 127:65] - wire ahb_addr_in_dccm = ahb_haddr_q[31:16] == 16'hf004; // @[lib.scala 87:29] - wire ahb_addr_in_iccm = ahb_haddr_q[31:16] == 16'hee00; // @[lib.scala 87:29] - wire ahb_clk = rvclkhdr_io_l1clk; // @[ahb_to_axi4.scala 44:33 ahb_to_axi4.scala 133:31] - reg [1:0] buf_state; // @[Reg.scala 27:20] - wire _T_7 = 2'h0 == buf_state; // @[Conditional.scala 37:30] - wire ahb_hready = io_ahb_sig_in_hready & io_ahb_hreadyin; // @[ahb_to_axi4.scala 105:55] - wire _T_10 = ahb_hready & io_ahb_sig_out_htrans[1]; // @[ahb_to_axi4.scala 77:34] - wire _T_11 = _T_10 & io_ahb_hsel; // @[ahb_to_axi4.scala 77:61] - wire _T_12 = 2'h1 == buf_state; // @[Conditional.scala 37:30] - wire _T_14 = io_ahb_sig_out_htrans == 2'h0; // @[ahb_to_axi4.scala 80:79] - wire _T_15 = io_ahb_sig_in_hresp | _T_14; // @[ahb_to_axi4.scala 80:48] - wire _T_16 = ~io_ahb_hsel; // @[ahb_to_axi4.scala 80:93] - wire _T_17 = _T_15 | _T_16; // @[ahb_to_axi4.scala 80:91] - wire bus_clk = rvclkhdr_5_io_l1clk; // @[ahb_to_axi4.scala 58:33 ahb_to_axi4.scala 181:27] - reg cmdbuf_vld; // @[ahb_to_axi4.scala 140:61] - wire _T_151 = io_axi_aw_valid & io_axi_aw_ready; // @[ahb_to_axi4.scala 138:67] - wire _T_152 = io_axi_ar_valid & io_axi_ar_ready; // @[ahb_to_axi4.scala 138:105] - wire _T_153 = _T_151 | _T_152; // @[ahb_to_axi4.scala 138:86] - wire _T_154 = ~_T_153; // @[ahb_to_axi4.scala 138:48] - wire cmdbuf_full = cmdbuf_vld & _T_154; // @[ahb_to_axi4.scala 138:46] - wire _T_21 = ~cmdbuf_full; // @[ahb_to_axi4.scala 81:24] - wire _T_22 = _T_21 | io_ahb_sig_in_hresp; // @[ahb_to_axi4.scala 81:37] - wire _T_25 = io_ahb_sig_out_htrans == 2'h1; // @[ahb_to_axi4.scala 82:92] - wire _T_26 = _T_25 & io_ahb_hsel; // @[ahb_to_axi4.scala 82:110] - wire _T_27 = io_ahb_sig_in_hresp | _T_26; // @[ahb_to_axi4.scala 82:60] - wire _T_28 = ~_T_27; // @[ahb_to_axi4.scala 82:38] - wire _T_29 = _T_21 & _T_28; // @[ahb_to_axi4.scala 82:36] - wire _T_30 = 2'h2 == buf_state; // @[Conditional.scala 37:30] - wire _T_34 = ~io_ahb_sig_in_hresp; // @[ahb_to_axi4.scala 87:23] - wire _T_36 = _T_34 & _T_21; // @[ahb_to_axi4.scala 87:44] - wire _T_37 = 2'h3 == buf_state; // @[Conditional.scala 37:30] - reg cmdbuf_write; // @[Reg.scala 27:20] - wire _T_38 = ~cmdbuf_write; // @[ahb_to_axi4.scala 91:40] - wire _T_39 = io_axi_r_valid & _T_38; // @[ahb_to_axi4.scala 91:38] - wire _T_41 = |io_axi_r_bits_resp; // @[ahb_to_axi4.scala 93:68] - wire _GEN_1 = _T_37 & _T_39; // @[Conditional.scala 39:67] - wire _GEN_5 = _T_30 ? _T_22 : _GEN_1; // @[Conditional.scala 39:67] - wire _GEN_10 = _T_12 ? _T_22 : _GEN_5; // @[Conditional.scala 39:67] - wire buf_state_en = _T_7 ? _T_11 : _GEN_10; // @[Conditional.scala 40:58] - wire _T_42 = buf_state_en & _T_41; // @[ahb_to_axi4.scala 93:41] - wire _GEN_2 = _T_37 & buf_state_en; // @[Conditional.scala 39:67] - wire _GEN_3 = _T_37 & _T_42; // @[Conditional.scala 39:67] - wire _GEN_6 = _T_30 & _T_36; // @[Conditional.scala 39:67] - wire _GEN_7 = _T_30 ? 1'h0 : _GEN_2; // @[Conditional.scala 39:67] - wire _GEN_11 = _T_12 ? _T_29 : _GEN_6; // @[Conditional.scala 39:67] - wire _GEN_12 = _T_12 ? 1'h0 : _GEN_7; // @[Conditional.scala 39:67] - wire cmdbuf_wr_en = _T_7 ? 1'h0 : _GEN_11; // @[Conditional.scala 40:58] - wire buf_rdata_en = _T_7 ? 1'h0 : _GEN_12; // @[Conditional.scala 40:58] - reg [2:0] ahb_hsize_q; // @[ahb_to_axi4.scala 125:65] - wire _T_46 = ahb_hsize_q == 3'h0; // @[ahb_to_axi4.scala 98:60] - wire [7:0] _T_48 = _T_46 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_50 = 8'h1 << ahb_haddr_q[2:0]; // @[ahb_to_axi4.scala 98:78] - wire [7:0] _T_51 = _T_48 & _T_50; // @[ahb_to_axi4.scala 98:70] - wire _T_53 = ahb_hsize_q == 3'h1; // @[ahb_to_axi4.scala 99:30] - wire [7:0] _T_55 = _T_53 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _T_57 = 9'h3 << ahb_haddr_q[2:0]; // @[ahb_to_axi4.scala 99:48] - wire [8:0] _GEN_23 = {{1'd0}, _T_55}; // @[ahb_to_axi4.scala 99:40] - wire [8:0] _T_58 = _GEN_23 & _T_57; // @[ahb_to_axi4.scala 99:40] - wire [8:0] _GEN_24 = {{1'd0}, _T_51}; // @[ahb_to_axi4.scala 98:109] - wire [8:0] _T_59 = _GEN_24 | _T_58; // @[ahb_to_axi4.scala 98:109] - wire _T_61 = ahb_hsize_q == 3'h2; // @[ahb_to_axi4.scala 100:30] - wire [7:0] _T_63 = _T_61 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [10:0] _T_65 = 11'hf << ahb_haddr_q[2:0]; // @[ahb_to_axi4.scala 100:48] - wire [10:0] _GEN_25 = {{3'd0}, _T_63}; // @[ahb_to_axi4.scala 100:40] - wire [10:0] _T_66 = _GEN_25 & _T_65; // @[ahb_to_axi4.scala 100:40] - wire [10:0] _GEN_26 = {{2'd0}, _T_59}; // @[ahb_to_axi4.scala 99:79] - wire [10:0] _T_67 = _GEN_26 | _T_66; // @[ahb_to_axi4.scala 99:79] - wire _T_69 = ahb_hsize_q == 3'h3; // @[ahb_to_axi4.scala 101:30] - wire [7:0] _T_71 = _T_69 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [10:0] _GEN_27 = {{3'd0}, _T_71}; // @[ahb_to_axi4.scala 100:79] - wire [10:0] _T_73 = _T_67 | _GEN_27; // @[ahb_to_axi4.scala 100:79] - reg ahb_hready_q; // @[ahb_to_axi4.scala 123:60] - wire _T_74 = ~ahb_hready_q; // @[ahb_to_axi4.scala 104:80] - reg ahb_hresp_q; // @[ahb_to_axi4.scala 122:60] - wire _T_75 = ahb_hresp_q & _T_74; // @[ahb_to_axi4.scala 104:78] - wire _T_77 = buf_state == 2'h0; // @[ahb_to_axi4.scala 104:124] - wire _T_78 = _T_21 | _T_77; // @[ahb_to_axi4.scala 104:111] - wire _T_79 = buf_state == 2'h2; // @[ahb_to_axi4.scala 104:149] - wire _T_80 = buf_state == 2'h3; // @[ahb_to_axi4.scala 104:168] - wire _T_81 = _T_79 | _T_80; // @[ahb_to_axi4.scala 104:156] - wire _T_82 = ~_T_81; // @[ahb_to_axi4.scala 104:137] - wire _T_83 = _T_78 & _T_82; // @[ahb_to_axi4.scala 104:135] - reg buf_read_error; // @[ahb_to_axi4.scala 119:60] - wire _T_84 = ~buf_read_error; // @[ahb_to_axi4.scala 104:181] - wire _T_85 = _T_83 & _T_84; // @[ahb_to_axi4.scala 104:179] - wire [1:0] _T_89 = io_ahb_hsel ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire buf_rdata_clk = rvclkhdr_2_io_l1clk; // @[ahb_to_axi4.scala 46:33 ahb_to_axi4.scala 135:31] - reg [63:0] buf_rdata; // @[ahb_to_axi4.scala 118:66] - reg [1:0] ahb_htrans_q; // @[ahb_to_axi4.scala 124:60] - wire _T_94 = ahb_htrans_q != 2'h0; // @[ahb_to_axi4.scala 108:61] - wire _T_95 = buf_state != 2'h0; // @[ahb_to_axi4.scala 108:83] - wire _T_96 = _T_94 & _T_95; // @[ahb_to_axi4.scala 108:70] - wire _T_97 = ahb_addr_in_dccm | ahb_addr_in_iccm; // @[ahb_to_axi4.scala 109:26] - wire _T_98 = ~_T_97; // @[ahb_to_axi4.scala 109:7] - reg ahb_hwrite_q; // @[ahb_to_axi4.scala 126:65] - wire _T_99 = ahb_addr_in_dccm & ahb_hwrite_q; // @[ahb_to_axi4.scala 110:46] - wire _T_100 = ahb_addr_in_iccm | _T_99; // @[ahb_to_axi4.scala 110:26] - wire _T_102 = ahb_hsize_q[1:0] == 2'h2; // @[ahb_to_axi4.scala 110:86] - wire _T_104 = ahb_hsize_q[1:0] == 2'h3; // @[ahb_to_axi4.scala 110:115] - wire _T_105 = _T_102 | _T_104; // @[ahb_to_axi4.scala 110:95] - wire _T_106 = ~_T_105; // @[ahb_to_axi4.scala 110:66] - wire _T_107 = _T_100 & _T_106; // @[ahb_to_axi4.scala 110:64] - wire _T_108 = _T_98 | _T_107; // @[ahb_to_axi4.scala 109:47] - wire _T_112 = _T_53 & ahb_haddr_q[0]; // @[ahb_to_axi4.scala 111:35] - wire _T_113 = _T_108 | _T_112; // @[ahb_to_axi4.scala 110:126] - wire _T_117 = |ahb_haddr_q[1:0]; // @[ahb_to_axi4.scala 112:56] - wire _T_118 = _T_61 & _T_117; // @[ahb_to_axi4.scala 112:35] - wire _T_119 = _T_113 | _T_118; // @[ahb_to_axi4.scala 111:55] - wire _T_123 = |ahb_haddr_q[2:0]; // @[ahb_to_axi4.scala 113:56] - wire _T_124 = _T_69 & _T_123; // @[ahb_to_axi4.scala 113:35] - wire _T_125 = _T_119 | _T_124; // @[ahb_to_axi4.scala 112:61] - wire _T_126 = _T_96 & _T_125; // @[ahb_to_axi4.scala 108:94] - wire _T_127 = _T_126 | buf_read_error; // @[ahb_to_axi4.scala 113:63] - wire _T_146 = ~cmdbuf_wr_en; // @[ahb_to_axi4.scala 137:113] - wire _T_147 = _T_153 & _T_146; // @[ahb_to_axi4.scala 137:111] - wire _T_149 = io_ahb_sig_in_hresp & _T_38; // @[ahb_to_axi4.scala 137:151] - wire cmdbuf_rst = _T_147 | _T_149; // @[ahb_to_axi4.scala 137:128] - wire _T_157 = cmdbuf_wr_en | cmdbuf_vld; // @[ahb_to_axi4.scala 140:66] - wire _T_158 = ~cmdbuf_rst; // @[ahb_to_axi4.scala 140:110] - reg [2:0] _T_164; // @[Reg.scala 27:20] - reg [7:0] cmdbuf_wstrb; // @[Reg.scala 27:20] - wire [7:0] master_wstrb = _T_73[7:0]; // @[ahb_to_axi4.scala 98:31] - reg [31:0] cmdbuf_addr; // @[lib.scala 374:16] - reg [63:0] cmdbuf_wdata; // @[lib.scala 374:16] - wire [1:0] cmdbuf_size = _T_164[1:0]; // @[ahb_to_axi4.scala 146:31] - rvclkhdr rvclkhdr ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_io_l1clk), - .io_clk(rvclkhdr_io_clk), - .io_en(rvclkhdr_io_en), - .io_scan_mode(rvclkhdr_io_scan_mode) - ); - rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_1_io_l1clk), - .io_clk(rvclkhdr_1_io_clk), - .io_en(rvclkhdr_1_io_en), - .io_scan_mode(rvclkhdr_1_io_scan_mode) - ); - rvclkhdr rvclkhdr_2 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_2_io_l1clk), - .io_clk(rvclkhdr_2_io_clk), - .io_en(rvclkhdr_2_io_en), - .io_scan_mode(rvclkhdr_2_io_scan_mode) - ); - rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] - .io_l1clk(rvclkhdr_3_io_l1clk), - .io_clk(rvclkhdr_3_io_clk), - .io_en(rvclkhdr_3_io_en), - .io_scan_mode(rvclkhdr_3_io_scan_mode) - ); - rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] - .io_l1clk(rvclkhdr_4_io_l1clk), - .io_clk(rvclkhdr_4_io_clk), - .io_en(rvclkhdr_4_io_en), - .io_scan_mode(rvclkhdr_4_io_scan_mode) - ); - rvclkhdr rvclkhdr_5 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_5_io_l1clk), - .io_clk(rvclkhdr_5_io_clk), - .io_en(rvclkhdr_5_io_en), - .io_scan_mode(rvclkhdr_5_io_scan_mode) - ); - assign io_axi_aw_valid = cmdbuf_vld & cmdbuf_write; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 157:28] - assign io_axi_aw_bits_id = 1'h0; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 158:33] - assign io_axi_aw_bits_addr = cmdbuf_addr; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 159:33] - assign io_axi_aw_bits_region = 4'h0; // @[ahb_to_axi4.scala 20:10] - assign io_axi_aw_bits_len = 8'h0; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 162:33] - assign io_axi_aw_bits_size = {1'h0,cmdbuf_size}; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 160:33] - assign io_axi_aw_bits_burst = 2'h1; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 163:33] - assign io_axi_aw_bits_lock = 1'h0; // @[ahb_to_axi4.scala 20:10] - assign io_axi_aw_bits_cache = 4'h0; // @[ahb_to_axi4.scala 20:10] - assign io_axi_aw_bits_prot = 3'h0; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 161:33] - assign io_axi_aw_bits_qos = 4'h0; // @[ahb_to_axi4.scala 20:10] - assign io_axi_w_valid = cmdbuf_vld & cmdbuf_write; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 165:28] - assign io_axi_w_bits_data = cmdbuf_wdata; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 166:33] - assign io_axi_w_bits_strb = cmdbuf_wstrb; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 167:33] - assign io_axi_w_bits_last = 1'h1; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 168:33] - assign io_axi_b_ready = 1'h1; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 170:28] - assign io_axi_ar_valid = cmdbuf_vld & _T_38; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 172:28] - assign io_axi_ar_bits_id = 1'h0; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 173:33] - assign io_axi_ar_bits_addr = cmdbuf_addr; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 174:33] - assign io_axi_ar_bits_region = 4'h0; // @[ahb_to_axi4.scala 20:10] - assign io_axi_ar_bits_len = 8'h0; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 177:33] - assign io_axi_ar_bits_size = {1'h0,cmdbuf_size}; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 175:33] - assign io_axi_ar_bits_burst = 2'h1; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 178:33] - assign io_axi_ar_bits_lock = 1'h0; // @[ahb_to_axi4.scala 20:10] - assign io_axi_ar_bits_cache = 4'h0; // @[ahb_to_axi4.scala 20:10] - assign io_axi_ar_bits_prot = 3'h0; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 176:33] - assign io_axi_ar_bits_qos = 4'h0; // @[ahb_to_axi4.scala 20:10] - assign io_axi_r_ready = 1'h1; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 180:28] - assign io_ahb_sig_in_hrdata = buf_rdata; // @[ahb_to_axi4.scala 107:38] - assign io_ahb_sig_in_hready = io_ahb_sig_in_hresp ? _T_75 : _T_85; // @[ahb_to_axi4.scala 104:38] - assign io_ahb_sig_in_hresp = _T_127 | _T_75; // @[ahb_to_axi4.scala 108:38] - assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_io_en = io_bus_clk_en; // @[lib.scala 345:16] - assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_1_io_en = io_bus_clk_en & _T_10; // @[lib.scala 345:16] - assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_2_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_2_io_en = io_bus_clk_en & buf_rdata_en; // @[lib.scala 345:16] - assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_3_io_clk = rvclkhdr_5_io_l1clk; // @[lib.scala 370:18] - assign rvclkhdr_3_io_en = _T_7 ? 1'h0 : _GEN_11; // @[lib.scala 371:17] - assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign rvclkhdr_4_io_clk = rvclkhdr_5_io_l1clk; // @[lib.scala 370:18] - assign rvclkhdr_4_io_en = _T_7 ? 1'h0 : _GEN_11; // @[lib.scala 371:17] - assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign rvclkhdr_5_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_5_io_en = io_bus_clk_en; // @[lib.scala 345:16] - assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] -`ifdef RANDOMIZE_GARBAGE_ASSIGN -`define RANDOMIZE -`endif -`ifdef RANDOMIZE_INVALID_ASSIGN -`define RANDOMIZE -`endif -`ifdef RANDOMIZE_REG_INIT -`define RANDOMIZE -`endif -`ifdef RANDOMIZE_MEM_INIT -`define RANDOMIZE -`endif -`ifndef RANDOM -`define RANDOM $random -`endif -`ifdef RANDOMIZE_MEM_INIT - integer initvar; -`endif -`ifndef SYNTHESIS -`ifdef FIRRTL_BEFORE_INITIAL -`FIRRTL_BEFORE_INITIAL -`endif -initial begin - `ifdef RANDOMIZE - `ifdef INIT_RANDOM - `INIT_RANDOM - `endif - `ifndef VERILATOR - `ifdef RANDOMIZE_DELAY - #`RANDOMIZE_DELAY begin end - `else - #0.002 begin end - `endif - `endif -`ifdef RANDOMIZE_REG_INIT - _RAND_0 = {1{`RANDOM}}; - ahb_haddr_q = _RAND_0[31:0]; - _RAND_1 = {1{`RANDOM}}; - buf_state = _RAND_1[1:0]; - _RAND_2 = {1{`RANDOM}}; - cmdbuf_vld = _RAND_2[0:0]; - _RAND_3 = {1{`RANDOM}}; - cmdbuf_write = _RAND_3[0:0]; - _RAND_4 = {1{`RANDOM}}; - ahb_hsize_q = _RAND_4[2:0]; - _RAND_5 = {1{`RANDOM}}; - ahb_hready_q = _RAND_5[0:0]; - _RAND_6 = {1{`RANDOM}}; - ahb_hresp_q = _RAND_6[0:0]; - _RAND_7 = {1{`RANDOM}}; - buf_read_error = _RAND_7[0:0]; - _RAND_8 = {2{`RANDOM}}; - buf_rdata = _RAND_8[63:0]; - _RAND_9 = {1{`RANDOM}}; - ahb_htrans_q = _RAND_9[1:0]; - _RAND_10 = {1{`RANDOM}}; - ahb_hwrite_q = _RAND_10[0:0]; - _RAND_11 = {1{`RANDOM}}; - _T_164 = _RAND_11[2:0]; - _RAND_12 = {1{`RANDOM}}; - cmdbuf_wstrb = _RAND_12[7:0]; - _RAND_13 = {1{`RANDOM}}; - cmdbuf_addr = _RAND_13[31:0]; - _RAND_14 = {2{`RANDOM}}; - cmdbuf_wdata = _RAND_14[63:0]; -`endif // RANDOMIZE_REG_INIT - if (reset) begin - ahb_haddr_q = 32'h0; - end - if (reset) begin - buf_state = 2'h0; - end - if (reset) begin - cmdbuf_vld = 1'h0; - end - if (reset) begin - cmdbuf_write = 1'h0; - end - if (reset) begin - ahb_hsize_q = 3'h0; - end - if (reset) begin - ahb_hready_q = 1'h0; - end - if (reset) begin - ahb_hresp_q = 1'h0; - end - if (reset) begin - buf_read_error = 1'h0; - end - if (reset) begin - buf_rdata = 64'h0; - end - if (reset) begin - ahb_htrans_q = 2'h0; - end - if (reset) begin - ahb_hwrite_q = 1'h0; - end - if (reset) begin - _T_164 = 3'h0; - end - if (reset) begin - cmdbuf_wstrb = 8'h0; - end - if (reset) begin - cmdbuf_addr = 32'h0; - end - if (reset) begin - cmdbuf_wdata = 64'h0; - end - `endif // RANDOMIZE -end // initial -`ifdef FIRRTL_AFTER_INITIAL -`FIRRTL_AFTER_INITIAL -`endif -`endif // SYNTHESIS - always @(posedge ahb_addr_clk or posedge reset) begin - if (reset) begin - ahb_haddr_q <= 32'h0; - end else begin - ahb_haddr_q <= io_ahb_sig_out_haddr; - end - end - always @(posedge ahb_clk or posedge reset) begin - if (reset) begin - buf_state <= 2'h0; - end else if (buf_state_en) begin - if (_T_7) begin - if (io_ahb_sig_out_hwrite) begin - buf_state <= 2'h1; - end else begin - buf_state <= 2'h2; - end - end else if (_T_12) begin - if (_T_17) begin - buf_state <= 2'h0; - end else if (io_ahb_sig_out_hwrite) begin - buf_state <= 2'h1; - end else begin - buf_state <= 2'h2; - end - end else if (_T_30) begin - if (io_ahb_sig_in_hresp) begin - buf_state <= 2'h0; - end else begin - buf_state <= 2'h3; - end - end else begin - buf_state <= 2'h0; - end - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - cmdbuf_vld <= 1'h0; - end else begin - cmdbuf_vld <= _T_157 & _T_158; - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - cmdbuf_write <= 1'h0; - end else if (cmdbuf_wr_en) begin - cmdbuf_write <= ahb_hwrite_q; - end - end - always @(posedge ahb_addr_clk or posedge reset) begin - if (reset) begin - ahb_hsize_q <= 3'h0; - end else begin - ahb_hsize_q <= io_ahb_sig_out_hsize; - end - end - always @(posedge ahb_clk or posedge reset) begin - if (reset) begin - ahb_hready_q <= 1'h0; - end else begin - ahb_hready_q <= io_ahb_sig_in_hready & io_ahb_hreadyin; - end - end - always @(posedge ahb_clk or posedge reset) begin - if (reset) begin - ahb_hresp_q <= 1'h0; - end else begin - ahb_hresp_q <= io_ahb_sig_in_hresp; - end - end - always @(posedge ahb_clk or posedge reset) begin - if (reset) begin - buf_read_error <= 1'h0; - end else if (_T_7) begin - buf_read_error <= 1'h0; - end else if (_T_12) begin - buf_read_error <= 1'h0; - end else if (_T_30) begin - buf_read_error <= 1'h0; - end else begin - buf_read_error <= _GEN_3; - end - end - always @(posedge buf_rdata_clk or posedge reset) begin - if (reset) begin - buf_rdata <= 64'h0; - end else begin - buf_rdata <= io_axi_r_bits_data; - end - end - always @(posedge ahb_clk or posedge reset) begin - if (reset) begin - ahb_htrans_q <= 2'h0; - end else begin - ahb_htrans_q <= _T_89 & io_ahb_sig_out_htrans; - end - end - always @(posedge ahb_addr_clk or posedge reset) begin - if (reset) begin - ahb_hwrite_q <= 1'h0; - end else begin - ahb_hwrite_q <= io_ahb_sig_out_hwrite; - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - _T_164 <= 3'h0; - end else if (cmdbuf_wr_en) begin - _T_164 <= ahb_hsize_q; - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - cmdbuf_wstrb <= 8'h0; - end else if (cmdbuf_wr_en) begin - cmdbuf_wstrb <= master_wstrb; - end - end - always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin - if (reset) begin - cmdbuf_addr <= 32'h0; - end else begin - cmdbuf_addr <= ahb_haddr_q; - end - end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin - if (reset) begin - cmdbuf_wdata <= 64'h0; - end else begin - cmdbuf_wdata <= io_ahb_sig_out_hwdata; - end - end -endmodule diff --git a/axi4_to_ahb.anno.json b/axi4_to_ahb.anno.json deleted file mode 100644 index d41890d1..00000000 --- a/axi4_to_ahb.anno.json +++ /dev/null @@ -1,113 +0,0 @@ -[ - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~axi4_to_ahb|axi4_to_ahb>io_axi_w_ready", - "sources":[ - "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_valid", - "~axi4_to_ahb|axi4_to_ahb>io_axi_b_ready", - "~axi4_to_ahb|axi4_to_ahb>io_axi_r_ready" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~axi4_to_ahb|axi4_to_ahb>io_ahb_out_hprot", - "sources":[ - "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_bits_prot" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~axi4_to_ahb|axi4_to_ahb>io_axi_aw_ready", - "sources":[ - "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_valid", - "~axi4_to_ahb|axi4_to_ahb>io_axi_b_ready", - "~axi4_to_ahb|axi4_to_ahb>io_axi_r_ready" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~axi4_to_ahb|axi4_to_ahb>io_ahb_out_haddr", - "sources":[ - "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_bits_addr", - "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_valid", - "~axi4_to_ahb|axi4_to_ahb>io_axi_b_ready", - "~axi4_to_ahb|axi4_to_ahb>io_axi_r_ready" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~axi4_to_ahb|axi4_to_ahb>io_axi_b_valid", - "sources":[ - "~axi4_to_ahb|axi4_to_ahb>io_axi_b_ready", - "~axi4_to_ahb|axi4_to_ahb>io_axi_r_ready", - "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_valid" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~axi4_to_ahb|axi4_to_ahb>io_ahb_out_hsize", - "sources":[ - "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_valid", - "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_bits_size", - "~axi4_to_ahb|axi4_to_ahb>io_axi_b_ready", - "~axi4_to_ahb|axi4_to_ahb>io_axi_r_ready" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~axi4_to_ahb|axi4_to_ahb>io_ahb_out_hwrite", - "sources":[ - "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_valid", - "~axi4_to_ahb|axi4_to_ahb>io_axi_b_ready", - "~axi4_to_ahb|axi4_to_ahb>io_axi_r_ready" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~axi4_to_ahb|axi4_to_ahb>io_ahb_out_htrans", - "sources":[ - "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_valid", - "~axi4_to_ahb|axi4_to_ahb>io_axi_b_ready", - "~axi4_to_ahb|axi4_to_ahb>io_axi_r_ready" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~axi4_to_ahb|axi4_to_ahb>io_axi_r_valid", - "sources":[ - "~axi4_to_ahb|axi4_to_ahb>io_axi_b_ready", - "~axi4_to_ahb|axi4_to_ahb>io_axi_r_ready", - "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_valid" - ] - }, - { - "class":"firrtl.transforms.CombinationalPath", - "sink":"~axi4_to_ahb|axi4_to_ahb>io_axi_ar_ready", - "sources":[ - "~axi4_to_ahb|axi4_to_ahb>io_axi_ar_valid", - "~axi4_to_ahb|axi4_to_ahb>io_axi_b_ready", - "~axi4_to_ahb|axi4_to_ahb>io_axi_r_ready" - ] - }, - { - "class":"firrtl.EmitCircuitAnnotation", - "emitter":"firrtl.VerilogEmitter" - }, - { - "class":"firrtl.transforms.BlackBoxResourceAnno", - "target":"axi4_to_ahb.gated_latch", - "resourceId":"/vsrc/gated_latch.v" - }, - { - "class":"firrtl.options.TargetDirAnnotation", - "directory":"." - }, - { - "class":"firrtl.options.OutputAnnotationFileAnnotation", - "file":"axi4_to_ahb" - }, - { - "class":"firrtl.transforms.BlackBoxTargetDirAnno", - "targetDir":"." - } -] \ No newline at end of file diff --git a/axi4_to_ahb.fir b/axi4_to_ahb.fir deleted file mode 100644 index 0ecf6351..00000000 --- a/axi4_to_ahb.fir +++ /dev/null @@ -1,1406 +0,0 @@ -;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 -circuit axi4_to_ahb : - extmodule gated_latch : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_1 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_1 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_1 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_2 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_2 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_2 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_3 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_3 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_3 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_4 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_4 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_4 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_5 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_5 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_5 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_6 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_6 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_6 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_7 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_7 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_7 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_8 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_8 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_8 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_9 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_9 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_9 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - module axi4_to_ahb : - input clock : Clock - input reset : AsyncReset - output io : {flip scan_mode : UInt<1>, flip bus_clk_en : UInt<1>, flip clk_override : UInt<1>, flip axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, ahb : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}} - - wire buf_rst : UInt<1> - buf_rst <= UInt<1>("h00") - buf_rst <= UInt<1>("h00") @[axi4_to_ahb.scala 21:11] - io.ahb.out.htrans <= UInt<1>("h00") @[axi4_to_ahb.scala 22:21] - wire buf_state_en : UInt<1> - buf_state_en <= UInt<1>("h00") - wire ahbm_clk : Clock @[axi4_to_ahb.scala 24:22] - wire ahbm_addr_clk : Clock @[axi4_to_ahb.scala 25:27] - wire ahbm_data_clk : Clock @[axi4_to_ahb.scala 26:27] - wire buf_state : UInt<3> - buf_state <= UInt<3>("h00") - wire buf_nxtstate : UInt<3> - buf_nxtstate <= UInt<3>("h00") - node _T = bits(buf_state_en, 0, 0) @[axi4_to_ahb.scala 30:70] - node _T_1 = mux(_T, buf_nxtstate, buf_state) @[axi4_to_ahb.scala 30:50] - node _T_2 = eq(buf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 30:108] - node _T_3 = bits(_T_2, 0, 0) @[Bitwise.scala 72:15] - node _T_4 = mux(_T_3, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_5 = and(_T_1, _T_4) @[axi4_to_ahb.scala 30:98] - reg _T_6 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 30:45] - _T_6 <= _T_5 @[axi4_to_ahb.scala 30:45] - buf_state <= _T_6 @[axi4_to_ahb.scala 30:13] - wire slave_valid : UInt<1> - slave_valid <= UInt<1>("h00") - wire slave_ready : UInt<1> - slave_ready <= UInt<1>("h00") - wire slave_tag : UInt<3> - slave_tag <= UInt<3>("h00") - wire slave_rdata : UInt<64> - slave_rdata <= UInt<64>("h00") - wire slave_opc : UInt<4> - slave_opc <= UInt<4>("h00") - wire wrbuf_en : UInt<1> - wrbuf_en <= UInt<1>("h00") - wire wrbuf_data_en : UInt<1> - wrbuf_data_en <= UInt<1>("h00") - wire wrbuf_cmd_sent : UInt<1> - wrbuf_cmd_sent <= UInt<1>("h00") - wire wrbuf_rst : UInt<1> - wrbuf_rst <= UInt<1>("h00") - wire wrbuf_vld : UInt<1> - wrbuf_vld <= UInt<1>("h00") - wire wrbuf_data_vld : UInt<1> - wrbuf_data_vld <= UInt<1>("h00") - wire wrbuf_tag : UInt<3> - wrbuf_tag <= UInt<3>("h00") - wire wrbuf_size : UInt<3> - wrbuf_size <= UInt<3>("h00") - wire wrbuf_addr : UInt<32> - wrbuf_addr <= UInt<32>("h00") - wire wrbuf_data : UInt<64> - wrbuf_data <= UInt<64>("h00") - wire wrbuf_byteen : UInt<8> - wrbuf_byteen <= UInt<8>("h00") - wire bus_write_clk_en : UInt<1> - bus_write_clk_en <= UInt<1>("h00") - wire bus_clk : Clock @[axi4_to_ahb.scala 50:21] - wire bus_write_clk : Clock @[axi4_to_ahb.scala 51:27] - wire master_valid : UInt<1> - master_valid <= UInt<1>("h00") - wire master_ready : UInt<1> - master_ready <= UInt<1>("h00") - wire master_tag : UInt<3> - master_tag <= UInt<3>("h00") - wire master_addr : UInt<32> - master_addr <= UInt<32>("h00") - wire master_wdata : UInt<64> - master_wdata <= UInt<64>("h00") - wire master_size : UInt<3> - master_size <= UInt<3>("h00") - wire master_opc : UInt<3> - master_opc <= UInt<3>("h00") - wire master_byteen : UInt<8> - master_byteen <= UInt<8>("h00") - wire buf_addr : UInt<32> - buf_addr <= UInt<32>("h00") - wire buf_size : UInt<2> - buf_size <= UInt<2>("h00") - wire buf_write : UInt<1> - buf_write <= UInt<1>("h00") - wire buf_byteen : UInt<8> - buf_byteen <= UInt<8>("h00") - wire buf_aligned : UInt<1> - buf_aligned <= UInt<1>("h00") - wire buf_data : UInt<64> - buf_data <= UInt<64>("h00") - wire buf_tag : UInt<3> - buf_tag <= UInt<3>("h00") - wire buf_tag_in : UInt<3> - buf_tag_in <= UInt<3>("h00") - wire buf_addr_in : UInt<32> - buf_addr_in <= UInt<32>("h00") - wire buf_byteen_in : UInt<8> - buf_byteen_in <= UInt<8>("h00") - wire buf_data_in : UInt<64> - buf_data_in <= UInt<64>("h00") - wire buf_write_in : UInt<1> - buf_write_in <= UInt<1>("h00") - wire buf_aligned_in : UInt<1> - buf_aligned_in <= UInt<1>("h00") - wire buf_size_in : UInt<3> - buf_size_in <= UInt<3>("h00") - wire buf_wr_en : UInt<1> - buf_wr_en <= UInt<1>("h00") - wire buf_data_wr_en : UInt<1> - buf_data_wr_en <= UInt<1>("h00") - wire slvbuf_error_en : UInt<1> - slvbuf_error_en <= UInt<1>("h00") - wire wr_cmd_vld : UInt<1> - wr_cmd_vld <= UInt<1>("h00") - wire cmd_done_rst : UInt<1> - cmd_done_rst <= UInt<1>("h00") - wire cmd_done : UInt<1> - cmd_done <= UInt<1>("h00") - wire cmd_doneQ : UInt<1> - cmd_doneQ <= UInt<1>("h00") - wire trxn_done : UInt<1> - trxn_done <= UInt<1>("h00") - wire buf_cmd_byte_ptr : UInt<3> - buf_cmd_byte_ptr <= UInt<3>("h00") - wire buf_cmd_byte_ptrQ : UInt<3> - buf_cmd_byte_ptrQ <= UInt<3>("h00") - wire buf_cmd_nxtbyte_ptr : UInt<3> - buf_cmd_nxtbyte_ptr <= UInt<3>("h00") - wire buf_cmd_byte_ptr_en : UInt<1> - buf_cmd_byte_ptr_en <= UInt<1>("h00") - wire found : UInt<1> - found <= UInt<1>("h00") - wire slave_valid_pre : UInt<1> - slave_valid_pre <= UInt<1>("h00") - wire ahb_hready_q : UInt<1> - ahb_hready_q <= UInt<1>("h00") - wire ahb_hresp_q : UInt<1> - ahb_hresp_q <= UInt<1>("h00") - wire ahb_htrans_q : UInt<2> - ahb_htrans_q <= UInt<2>("h00") - wire ahb_hwrite_q : UInt<1> - ahb_hwrite_q <= UInt<1>("h00") - wire ahb_hrdata_q : UInt<64> - ahb_hrdata_q <= UInt<64>("h00") - wire slvbuf_write : UInt<1> - slvbuf_write <= UInt<1>("h00") - wire slvbuf_error : UInt<1> - slvbuf_error <= UInt<1>("h00") - wire slvbuf_tag : UInt<3> - slvbuf_tag <= UInt<3>("h00") - wire slvbuf_error_in : UInt<1> - slvbuf_error_in <= UInt<1>("h00") - wire slvbuf_wr_en : UInt<1> - slvbuf_wr_en <= UInt<1>("h00") - wire bypass_en : UInt<1> - bypass_en <= UInt<1>("h00") - wire rd_bypass_idle : UInt<1> - rd_bypass_idle <= UInt<1>("h00") - wire last_addr_en : UInt<1> - last_addr_en <= UInt<1>("h00") - wire last_bus_addr : UInt<32> - last_bus_addr <= UInt<32>("h00") - wire buf_clken : UInt<1> - buf_clken <= UInt<1>("h00") - wire slvbuf_clken : UInt<1> - slvbuf_clken <= UInt<1>("h00") - wire ahbm_addr_clken : UInt<1> - ahbm_addr_clken <= UInt<1>("h00") - wire ahbm_data_clken : UInt<1> - ahbm_data_clken <= UInt<1>("h00") - wire buf_clk : Clock @[axi4_to_ahb.scala 118:21] - node _T_7 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 139:27] - wr_cmd_vld <= _T_7 @[axi4_to_ahb.scala 139:14] - node _T_8 = or(wr_cmd_vld, io.axi.ar.valid) @[axi4_to_ahb.scala 140:30] - master_valid <= _T_8 @[axi4_to_ahb.scala 140:16] - node _T_9 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 141:38] - node _T_10 = bits(wrbuf_tag, 2, 0) @[axi4_to_ahb.scala 141:51] - node _T_11 = bits(io.axi.ar.bits.id, 2, 0) @[axi4_to_ahb.scala 141:82] - node _T_12 = mux(_T_9, _T_10, _T_11) @[axi4_to_ahb.scala 141:20] - master_tag <= _T_12 @[axi4_to_ahb.scala 141:14] - node _T_13 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 142:38] - node _T_14 = mux(_T_13, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 142:20] - master_opc <= _T_14 @[axi4_to_ahb.scala 142:14] - node _T_15 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 143:39] - node _T_16 = bits(wrbuf_addr, 31, 0) @[axi4_to_ahb.scala 143:53] - node _T_17 = bits(io.axi.ar.bits.addr, 31, 0) @[axi4_to_ahb.scala 143:81] - node _T_18 = mux(_T_15, _T_16, _T_17) @[axi4_to_ahb.scala 143:21] - master_addr <= _T_18 @[axi4_to_ahb.scala 143:15] - node _T_19 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 144:39] - node _T_20 = bits(wrbuf_size, 2, 0) @[axi4_to_ahb.scala 144:53] - node _T_21 = bits(io.axi.ar.bits.size, 2, 0) @[axi4_to_ahb.scala 144:80] - node _T_22 = mux(_T_19, _T_20, _T_21) @[axi4_to_ahb.scala 144:21] - master_size <= _T_22 @[axi4_to_ahb.scala 144:15] - node _T_23 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 145:32] - master_byteen <= _T_23 @[axi4_to_ahb.scala 145:17] - node _T_24 = bits(wrbuf_data, 63, 0) @[axi4_to_ahb.scala 146:29] - master_wdata <= _T_24 @[axi4_to_ahb.scala 146:16] - node _T_25 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 149:33] - node _T_26 = bits(slave_opc, 3, 3) @[axi4_to_ahb.scala 149:58] - node _T_27 = and(_T_25, _T_26) @[axi4_to_ahb.scala 149:47] - io.axi.b.valid <= _T_27 @[axi4_to_ahb.scala 149:18] - node _T_28 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 150:38] - node _T_29 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 150:65] - node _T_30 = mux(_T_29, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 150:55] - node _T_31 = mux(_T_28, UInt<2>("h02"), _T_30) @[axi4_to_ahb.scala 150:28] - io.axi.b.bits.resp <= _T_31 @[axi4_to_ahb.scala 150:22] - node _T_32 = bits(slave_tag, 2, 0) @[axi4_to_ahb.scala 151:32] - io.axi.b.bits.id <= _T_32 @[axi4_to_ahb.scala 151:20] - node _T_33 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 153:33] - node _T_34 = bits(slave_opc, 3, 2) @[axi4_to_ahb.scala 153:59] - node _T_35 = eq(_T_34, UInt<1>("h00")) @[axi4_to_ahb.scala 153:66] - node _T_36 = and(_T_33, _T_35) @[axi4_to_ahb.scala 153:47] - io.axi.r.valid <= _T_36 @[axi4_to_ahb.scala 153:18] - node _T_37 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 154:38] - node _T_38 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 154:65] - node _T_39 = mux(_T_38, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 154:55] - node _T_40 = mux(_T_37, UInt<2>("h02"), _T_39) @[axi4_to_ahb.scala 154:28] - io.axi.r.bits.resp <= _T_40 @[axi4_to_ahb.scala 154:22] - node _T_41 = bits(slave_tag, 2, 0) @[axi4_to_ahb.scala 155:32] - io.axi.r.bits.id <= _T_41 @[axi4_to_ahb.scala 155:20] - node _T_42 = bits(slave_rdata, 63, 0) @[axi4_to_ahb.scala 156:36] - io.axi.r.bits.data <= _T_42 @[axi4_to_ahb.scala 156:22] - node _T_43 = and(io.axi.b.ready, io.axi.r.ready) @[axi4_to_ahb.scala 157:33] - slave_ready <= _T_43 @[axi4_to_ahb.scala 157:15] - node _T_44 = and(io.axi.aw.valid, io.axi.aw.ready) @[axi4_to_ahb.scala 160:57] - node _T_45 = and(io.axi.w.valid, io.axi.w.ready) @[axi4_to_ahb.scala 160:94] - node _T_46 = or(_T_44, _T_45) @[axi4_to_ahb.scala 160:76] - node _T_47 = and(io.bus_clk_en, _T_46) @[axi4_to_ahb.scala 160:37] - bus_write_clk_en <= _T_47 @[axi4_to_ahb.scala 160:20] - inst rvclkhdr of rvclkhdr @[lib.scala 343:22] - rvclkhdr.clock <= clock - rvclkhdr.reset <= reset - rvclkhdr.io.clk <= clock @[lib.scala 344:17] - rvclkhdr.io.en <= io.bus_clk_en @[lib.scala 345:16] - rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - bus_clk <= rvclkhdr.io.l1clk @[axi4_to_ahb.scala 162:11] - node _T_48 = bits(bus_write_clk_en, 0, 0) @[axi4_to_ahb.scala 163:59] - inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 343:22] - rvclkhdr_1.clock <= clock - rvclkhdr_1.reset <= reset - rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_1.io.en <= _T_48 @[lib.scala 345:16] - rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - bus_write_clk <= rvclkhdr_1.io.l1clk @[axi4_to_ahb.scala 163:17] - node _T_49 = eq(UInt<3>("h00"), buf_state) @[Conditional.scala 37:30] - when _T_49 : @[Conditional.scala 40:58] - master_ready <= UInt<1>("h01") @[axi4_to_ahb.scala 167:20] - node _T_50 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 168:34] - node _T_51 = eq(_T_50, UInt<1>("h01")) @[axi4_to_ahb.scala 168:41] - buf_write_in <= _T_51 @[axi4_to_ahb.scala 168:20] - node _T_52 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 169:46] - node _T_53 = mux(_T_52, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 169:26] - buf_nxtstate <= _T_53 @[axi4_to_ahb.scala 169:20] - node _T_54 = and(master_valid, UInt<1>("h01")) @[axi4_to_ahb.scala 170:36] - buf_state_en <= _T_54 @[axi4_to_ahb.scala 170:20] - buf_wr_en <= buf_state_en @[axi4_to_ahb.scala 171:17] - node _T_55 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 172:54] - node _T_56 = and(buf_state_en, _T_55) @[axi4_to_ahb.scala 172:38] - buf_data_wr_en <= _T_56 @[axi4_to_ahb.scala 172:22] - buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 173:27] - node _T_57 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 175:50] - node _T_58 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 175:94] - node _T_59 = add(UInt<3>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_60 = tail(_T_59, 1) @[axi4_to_ahb.scala 135:52] - node _T_61 = mux(UInt<1>("h00"), _T_60, UInt<3>("h00")) @[axi4_to_ahb.scala 135:24] - node _T_62 = bits(_T_58, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_63 = geq(UInt<1>("h00"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_64 = and(_T_62, _T_63) @[axi4_to_ahb.scala 136:48] - node _T_65 = bits(_T_58, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_66 = geq(UInt<1>("h01"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_67 = and(_T_65, _T_66) @[axi4_to_ahb.scala 136:48] - node _T_68 = bits(_T_58, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_69 = geq(UInt<2>("h02"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_70 = and(_T_68, _T_69) @[axi4_to_ahb.scala 136:48] - node _T_71 = bits(_T_58, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_72 = geq(UInt<2>("h03"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_73 = and(_T_71, _T_72) @[axi4_to_ahb.scala 136:48] - node _T_74 = bits(_T_58, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_75 = geq(UInt<3>("h04"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_76 = and(_T_74, _T_75) @[axi4_to_ahb.scala 136:48] - node _T_77 = bits(_T_58, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_78 = geq(UInt<3>("h05"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_79 = and(_T_77, _T_78) @[axi4_to_ahb.scala 136:48] - node _T_80 = bits(_T_58, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_81 = geq(UInt<3>("h06"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_82 = and(_T_80, _T_81) @[axi4_to_ahb.scala 136:48] - node _T_83 = bits(_T_58, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_84 = geq(UInt<3>("h07"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_85 = and(_T_83, _T_84) @[axi4_to_ahb.scala 136:48] - node _T_86 = mux(_T_85, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_87 = mux(_T_82, UInt<3>("h06"), _T_86) @[Mux.scala 98:16] - node _T_88 = mux(_T_79, UInt<3>("h05"), _T_87) @[Mux.scala 98:16] - node _T_89 = mux(_T_76, UInt<3>("h04"), _T_88) @[Mux.scala 98:16] - node _T_90 = mux(_T_73, UInt<2>("h03"), _T_89) @[Mux.scala 98:16] - node _T_91 = mux(_T_70, UInt<2>("h02"), _T_90) @[Mux.scala 98:16] - node _T_92 = mux(_T_67, UInt<1>("h01"), _T_91) @[Mux.scala 98:16] - node _T_93 = mux(_T_64, UInt<1>("h00"), _T_92) @[Mux.scala 98:16] - node _T_94 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 175:124] - node _T_95 = mux(_T_57, _T_93, _T_94) @[axi4_to_ahb.scala 175:30] - buf_cmd_byte_ptr <= _T_95 @[axi4_to_ahb.scala 175:24] - bypass_en <= buf_state_en @[axi4_to_ahb.scala 176:17] - node _T_96 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 177:51] - node _T_97 = and(bypass_en, _T_96) @[axi4_to_ahb.scala 177:35] - rd_bypass_idle <= _T_97 @[axi4_to_ahb.scala 177:22] - node _T_98 = bits(bypass_en, 0, 0) @[Bitwise.scala 72:15] - node _T_99 = mux(_T_98, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_100 = and(_T_99, UInt<2>("h02")) @[axi4_to_ahb.scala 178:49] - io.ahb.out.htrans <= _T_100 @[axi4_to_ahb.scala 178:25] - skip @[Conditional.scala 40:58] - else : @[Conditional.scala 39:67] - node _T_101 = eq(UInt<3>("h01"), buf_state) @[Conditional.scala 37:30] - when _T_101 : @[Conditional.scala 39:67] - node _T_102 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 182:54] - node _T_103 = eq(_T_102, UInt<1>("h00")) @[axi4_to_ahb.scala 182:61] - node _T_104 = and(master_valid, _T_103) @[axi4_to_ahb.scala 182:41] - node _T_105 = bits(_T_104, 0, 0) @[axi4_to_ahb.scala 182:82] - node _T_106 = mux(_T_105, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 182:26] - buf_nxtstate <= _T_106 @[axi4_to_ahb.scala 182:20] - node _T_107 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 183:51] - node _T_108 = neq(_T_107, UInt<1>("h00")) @[axi4_to_ahb.scala 183:58] - node _T_109 = and(ahb_hready_q, _T_108) @[axi4_to_ahb.scala 183:36] - node _T_110 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 183:72] - node _T_111 = and(_T_109, _T_110) @[axi4_to_ahb.scala 183:70] - buf_state_en <= _T_111 @[axi4_to_ahb.scala 183:20] - node _T_112 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 184:34] - node _T_113 = and(buf_state_en, _T_112) @[axi4_to_ahb.scala 184:32] - cmd_done <= _T_113 @[axi4_to_ahb.scala 184:16] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 185:20] - node _T_114 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 186:52] - node _T_115 = neq(_T_114, UInt<1>("h00")) @[axi4_to_ahb.scala 186:59] - node _T_116 = and(ahb_hready_q, _T_115) @[axi4_to_ahb.scala 186:37] - node _T_117 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 186:73] - node _T_118 = and(_T_116, _T_117) @[axi4_to_ahb.scala 186:71] - node _T_119 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 186:122] - node _T_120 = eq(_T_119, UInt<1>("h00")) @[axi4_to_ahb.scala 186:129] - node _T_121 = and(master_valid, _T_120) @[axi4_to_ahb.scala 186:109] - node _T_122 = bits(_T_121, 0, 0) @[axi4_to_ahb.scala 186:150] - node _T_123 = mux(_T_122, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 186:94] - node _T_124 = eq(_T_123, UInt<3>("h06")) @[axi4_to_ahb.scala 186:174] - node _T_125 = and(_T_118, _T_124) @[axi4_to_ahb.scala 186:88] - master_ready <= _T_125 @[axi4_to_ahb.scala 186:20] - buf_wr_en <= master_ready @[axi4_to_ahb.scala 187:17] - node _T_126 = and(master_ready, master_valid) @[axi4_to_ahb.scala 188:33] - bypass_en <= _T_126 @[axi4_to_ahb.scala 188:17] - node _T_127 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 189:47] - node _T_128 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 189:62] - node _T_129 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 189:78] - node _T_130 = mux(_T_127, _T_128, _T_129) @[axi4_to_ahb.scala 189:30] - buf_cmd_byte_ptr <= _T_130 @[axi4_to_ahb.scala 189:24] - node _T_131 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 190:48] - node _T_132 = or(_T_131, bypass_en) @[axi4_to_ahb.scala 190:62] - node _T_133 = bits(_T_132, 0, 0) @[Bitwise.scala 72:15] - node _T_134 = mux(_T_133, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_135 = and(UInt<2>("h02"), _T_134) @[axi4_to_ahb.scala 190:36] - io.ahb.out.htrans <= _T_135 @[axi4_to_ahb.scala 190:25] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_136 = eq(UInt<3>("h06"), buf_state) @[Conditional.scala 37:30] - when _T_136 : @[Conditional.scala 39:67] - node _T_137 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 194:39] - node _T_138 = and(ahb_hready_q, _T_137) @[axi4_to_ahb.scala 194:37] - node _T_139 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 194:82] - node _T_140 = eq(_T_139, UInt<1>("h01")) @[axi4_to_ahb.scala 194:89] - node _T_141 = and(master_valid, _T_140) @[axi4_to_ahb.scala 194:70] - node _T_142 = not(_T_141) @[axi4_to_ahb.scala 194:55] - node _T_143 = and(_T_138, _T_142) @[axi4_to_ahb.scala 194:53] - master_ready <= _T_143 @[axi4_to_ahb.scala 194:20] - node _T_144 = and(master_valid, master_ready) @[axi4_to_ahb.scala 195:34] - node _T_145 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 195:62] - node _T_146 = eq(_T_145, UInt<1>("h00")) @[axi4_to_ahb.scala 195:69] - node _T_147 = and(_T_144, _T_146) @[axi4_to_ahb.scala 195:49] - buf_wr_en <= _T_147 @[axi4_to_ahb.scala 195:17] - node _T_148 = bits(ahb_hresp_q, 0, 0) @[axi4_to_ahb.scala 196:45] - node _T_149 = and(master_valid, master_ready) @[axi4_to_ahb.scala 196:82] - node _T_150 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 196:110] - node _T_151 = eq(_T_150, UInt<1>("h00")) @[axi4_to_ahb.scala 196:117] - node _T_152 = and(_T_149, _T_151) @[axi4_to_ahb.scala 196:97] - node _T_153 = bits(_T_152, 0, 0) @[axi4_to_ahb.scala 196:138] - node _T_154 = mux(_T_153, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 196:67] - node _T_155 = mux(_T_148, UInt<3>("h07"), _T_154) @[axi4_to_ahb.scala 196:26] - buf_nxtstate <= _T_155 @[axi4_to_ahb.scala 196:20] - node _T_156 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 197:37] - buf_state_en <= _T_156 @[axi4_to_ahb.scala 197:20] - buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 198:22] - slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 199:23] - slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 200:23] - node _T_157 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 201:41] - node _T_158 = and(buf_state_en, _T_157) @[axi4_to_ahb.scala 201:39] - slave_valid_pre <= _T_158 @[axi4_to_ahb.scala 201:23] - node _T_159 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 202:34] - node _T_160 = and(buf_state_en, _T_159) @[axi4_to_ahb.scala 202:32] - cmd_done <= _T_160 @[axi4_to_ahb.scala 202:16] - node _T_161 = and(master_ready, master_valid) @[axi4_to_ahb.scala 203:33] - node _T_162 = eq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 203:64] - node _T_163 = and(_T_161, _T_162) @[axi4_to_ahb.scala 203:48] - node _T_164 = and(_T_163, buf_state_en) @[axi4_to_ahb.scala 203:79] - bypass_en <= _T_164 @[axi4_to_ahb.scala 203:17] - node _T_165 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 204:47] - node _T_166 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 204:62] - node _T_167 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 204:78] - node _T_168 = mux(_T_165, _T_166, _T_167) @[axi4_to_ahb.scala 204:30] - buf_cmd_byte_ptr <= _T_168 @[axi4_to_ahb.scala 204:24] - node _T_169 = neq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 205:63] - node _T_170 = and(_T_169, buf_state_en) @[axi4_to_ahb.scala 205:78] - node _T_171 = eq(_T_170, UInt<1>("h00")) @[axi4_to_ahb.scala 205:47] - node _T_172 = bits(_T_171, 0, 0) @[Bitwise.scala 72:15] - node _T_173 = mux(_T_172, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_174 = and(UInt<2>("h02"), _T_173) @[axi4_to_ahb.scala 205:36] - io.ahb.out.htrans <= _T_174 @[axi4_to_ahb.scala 205:25] - slvbuf_wr_en <= buf_wr_en @[axi4_to_ahb.scala 206:20] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_175 = eq(UInt<3>("h07"), buf_state) @[Conditional.scala 37:30] - when _T_175 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h03") @[axi4_to_ahb.scala 210:20] - node _T_176 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 211:51] - node _T_177 = neq(_T_176, UInt<1>("h00")) @[axi4_to_ahb.scala 211:58] - node _T_178 = and(ahb_hready_q, _T_177) @[axi4_to_ahb.scala 211:36] - node _T_179 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 211:72] - node _T_180 = and(_T_178, _T_179) @[axi4_to_ahb.scala 211:70] - buf_state_en <= _T_180 @[axi4_to_ahb.scala 211:20] - slave_valid_pre <= buf_state_en @[axi4_to_ahb.scala 212:23] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 213:20] - node _T_181 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 214:35] - buf_cmd_byte_ptr <= _T_181 @[axi4_to_ahb.scala 214:24] - node _T_182 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 215:51] - node _T_183 = bits(_T_182, 0, 0) @[Bitwise.scala 72:15] - node _T_184 = mux(_T_183, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_185 = and(UInt<2>("h02"), _T_184) @[axi4_to_ahb.scala 215:41] - io.ahb.out.htrans <= _T_185 @[axi4_to_ahb.scala 215:25] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_186 = eq(UInt<3>("h03"), buf_state) @[Conditional.scala 37:30] - when _T_186 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h05") @[axi4_to_ahb.scala 219:20] - node _T_187 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 220:37] - buf_state_en <= _T_187 @[axi4_to_ahb.scala 220:20] - buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 221:22] - slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 222:23] - slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 223:23] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 224:20] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_188 = eq(UInt<3>("h02"), buf_state) @[Conditional.scala 37:30] - when _T_188 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h04") @[axi4_to_ahb.scala 228:20] - node _T_189 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 229:33] - node _T_190 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 229:63] - node _T_191 = neq(_T_190, UInt<1>("h00")) @[axi4_to_ahb.scala 229:70] - node _T_192 = and(_T_189, _T_191) @[axi4_to_ahb.scala 229:48] - trxn_done <= _T_192 @[axi4_to_ahb.scala 229:17] - buf_state_en <= trxn_done @[axi4_to_ahb.scala 230:20] - buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 231:27] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 232:20] - node _T_193 = bits(trxn_done, 0, 0) @[axi4_to_ahb.scala 233:47] - node _T_194 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 233:85] - node _T_195 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 233:103] - node _T_196 = add(_T_194, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_197 = tail(_T_196, 1) @[axi4_to_ahb.scala 135:52] - node _T_198 = mux(UInt<1>("h01"), _T_197, _T_194) @[axi4_to_ahb.scala 135:24] - node _T_199 = bits(_T_195, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_200 = geq(UInt<1>("h00"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_201 = and(_T_199, _T_200) @[axi4_to_ahb.scala 136:48] - node _T_202 = bits(_T_195, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_203 = geq(UInt<1>("h01"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_204 = and(_T_202, _T_203) @[axi4_to_ahb.scala 136:48] - node _T_205 = bits(_T_195, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_206 = geq(UInt<2>("h02"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_207 = and(_T_205, _T_206) @[axi4_to_ahb.scala 136:48] - node _T_208 = bits(_T_195, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_209 = geq(UInt<2>("h03"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_210 = and(_T_208, _T_209) @[axi4_to_ahb.scala 136:48] - node _T_211 = bits(_T_195, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_212 = geq(UInt<3>("h04"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_213 = and(_T_211, _T_212) @[axi4_to_ahb.scala 136:48] - node _T_214 = bits(_T_195, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_215 = geq(UInt<3>("h05"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_216 = and(_T_214, _T_215) @[axi4_to_ahb.scala 136:48] - node _T_217 = bits(_T_195, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_218 = geq(UInt<3>("h06"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_219 = and(_T_217, _T_218) @[axi4_to_ahb.scala 136:48] - node _T_220 = bits(_T_195, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_221 = geq(UInt<3>("h07"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_222 = and(_T_220, _T_221) @[axi4_to_ahb.scala 136:48] - node _T_223 = mux(_T_222, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_224 = mux(_T_219, UInt<3>("h06"), _T_223) @[Mux.scala 98:16] - node _T_225 = mux(_T_216, UInt<3>("h05"), _T_224) @[Mux.scala 98:16] - node _T_226 = mux(_T_213, UInt<3>("h04"), _T_225) @[Mux.scala 98:16] - node _T_227 = mux(_T_210, UInt<2>("h03"), _T_226) @[Mux.scala 98:16] - node _T_228 = mux(_T_207, UInt<2>("h02"), _T_227) @[Mux.scala 98:16] - node _T_229 = mux(_T_204, UInt<1>("h01"), _T_228) @[Mux.scala 98:16] - node _T_230 = mux(_T_201, UInt<1>("h00"), _T_229) @[Mux.scala 98:16] - node _T_231 = mux(_T_193, _T_230, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 233:30] - buf_cmd_byte_ptr <= _T_231 @[axi4_to_ahb.scala 233:24] - node _T_232 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 234:65] - node _T_233 = or(buf_aligned, _T_232) @[axi4_to_ahb.scala 234:44] - node _T_234 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 234:127] - node _T_235 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 234:145] - node _T_236 = add(_T_234, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_237 = tail(_T_236, 1) @[axi4_to_ahb.scala 135:52] - node _T_238 = mux(UInt<1>("h01"), _T_237, _T_234) @[axi4_to_ahb.scala 135:24] - node _T_239 = bits(_T_235, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_240 = geq(UInt<1>("h00"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_241 = and(_T_239, _T_240) @[axi4_to_ahb.scala 136:48] - node _T_242 = bits(_T_235, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_243 = geq(UInt<1>("h01"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_244 = and(_T_242, _T_243) @[axi4_to_ahb.scala 136:48] - node _T_245 = bits(_T_235, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_246 = geq(UInt<2>("h02"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_247 = and(_T_245, _T_246) @[axi4_to_ahb.scala 136:48] - node _T_248 = bits(_T_235, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_249 = geq(UInt<2>("h03"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_250 = and(_T_248, _T_249) @[axi4_to_ahb.scala 136:48] - node _T_251 = bits(_T_235, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_252 = geq(UInt<3>("h04"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_253 = and(_T_251, _T_252) @[axi4_to_ahb.scala 136:48] - node _T_254 = bits(_T_235, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_255 = geq(UInt<3>("h05"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_256 = and(_T_254, _T_255) @[axi4_to_ahb.scala 136:48] - node _T_257 = bits(_T_235, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_258 = geq(UInt<3>("h06"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_259 = and(_T_257, _T_258) @[axi4_to_ahb.scala 136:48] - node _T_260 = bits(_T_235, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_261 = geq(UInt<3>("h07"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_262 = and(_T_260, _T_261) @[axi4_to_ahb.scala 136:48] - node _T_263 = mux(_T_262, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_264 = mux(_T_259, UInt<3>("h06"), _T_263) @[Mux.scala 98:16] - node _T_265 = mux(_T_256, UInt<3>("h05"), _T_264) @[Mux.scala 98:16] - node _T_266 = mux(_T_253, UInt<3>("h04"), _T_265) @[Mux.scala 98:16] - node _T_267 = mux(_T_250, UInt<2>("h03"), _T_266) @[Mux.scala 98:16] - node _T_268 = mux(_T_247, UInt<2>("h02"), _T_267) @[Mux.scala 98:16] - node _T_269 = mux(_T_244, UInt<1>("h01"), _T_268) @[Mux.scala 98:16] - node _T_270 = mux(_T_241, UInt<1>("h00"), _T_269) @[Mux.scala 98:16] - node _T_271 = dshr(buf_byteen, _T_270) @[axi4_to_ahb.scala 234:92] - node _T_272 = bits(_T_271, 0, 0) @[axi4_to_ahb.scala 234:92] - node _T_273 = eq(_T_272, UInt<1>("h00")) @[axi4_to_ahb.scala 234:163] - node _T_274 = or(_T_233, _T_273) @[axi4_to_ahb.scala 234:79] - node _T_275 = and(trxn_done, _T_274) @[axi4_to_ahb.scala 234:29] - cmd_done <= _T_275 @[axi4_to_ahb.scala 234:16] - node _T_276 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 235:47] - node _T_277 = eq(_T_276, UInt<1>("h00")) @[axi4_to_ahb.scala 235:36] - node _T_278 = bits(_T_277, 0, 0) @[Bitwise.scala 72:15] - node _T_279 = mux(_T_278, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_280 = and(_T_279, UInt<2>("h02")) @[axi4_to_ahb.scala 235:61] - io.ahb.out.htrans <= _T_280 @[axi4_to_ahb.scala 235:25] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_281 = eq(UInt<3>("h04"), buf_state) @[Conditional.scala 37:30] - when _T_281 : @[Conditional.scala 39:67] - node _T_282 = and(cmd_doneQ, ahb_hready_q) @[axi4_to_ahb.scala 239:34] - node _T_283 = or(_T_282, ahb_hresp_q) @[axi4_to_ahb.scala 239:50] - buf_state_en <= _T_283 @[axi4_to_ahb.scala 239:20] - node _T_284 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 240:38] - node _T_285 = and(buf_state_en, _T_284) @[axi4_to_ahb.scala 240:36] - node _T_286 = and(_T_285, slave_ready) @[axi4_to_ahb.scala 240:51] - master_ready <= _T_286 @[axi4_to_ahb.scala 240:20] - node _T_287 = eq(slave_ready, UInt<1>("h00")) @[axi4_to_ahb.scala 241:42] - node _T_288 = or(ahb_hresp_q, _T_287) @[axi4_to_ahb.scala 241:40] - node _T_289 = and(master_valid, master_valid) @[axi4_to_ahb.scala 241:80] - node _T_290 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 241:111] - node _T_291 = eq(_T_290, UInt<1>("h01")) @[axi4_to_ahb.scala 241:117] - node _T_292 = bits(_T_291, 0, 0) @[axi4_to_ahb.scala 241:132] - node _T_293 = mux(_T_292, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 241:99] - node _T_294 = mux(_T_289, _T_293, UInt<3>("h00")) @[axi4_to_ahb.scala 241:65] - node _T_295 = mux(_T_288, UInt<3>("h05"), _T_294) @[axi4_to_ahb.scala 241:26] - buf_nxtstate <= _T_295 @[axi4_to_ahb.scala 241:20] - slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 242:23] - slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 243:23] - node _T_296 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 244:33] - node _T_297 = eq(_T_296, UInt<1>("h01")) @[axi4_to_ahb.scala 244:39] - buf_write_in <= _T_297 @[axi4_to_ahb.scala 244:20] - node _T_298 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 245:50] - node _T_299 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 245:78] - node _T_300 = or(_T_298, _T_299) @[axi4_to_ahb.scala 245:62] - node _T_301 = and(buf_state_en, _T_300) @[axi4_to_ahb.scala 245:33] - buf_wr_en <= _T_301 @[axi4_to_ahb.scala 245:17] - buf_data_wr_en <= buf_wr_en @[axi4_to_ahb.scala 246:22] - node _T_302 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 247:65] - node _T_303 = neq(_T_302, UInt<1>("h00")) @[axi4_to_ahb.scala 247:71] - node _T_304 = and(ahb_hready_q, _T_303) @[axi4_to_ahb.scala 247:50] - node _T_305 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 248:29] - node _T_306 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 248:85] - node _T_307 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 248:101] - node _T_308 = add(_T_306, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_309 = tail(_T_308, 1) @[axi4_to_ahb.scala 135:52] - node _T_310 = mux(UInt<1>("h01"), _T_309, _T_306) @[axi4_to_ahb.scala 135:24] - node _T_311 = bits(_T_307, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_312 = geq(UInt<1>("h00"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_313 = and(_T_311, _T_312) @[axi4_to_ahb.scala 136:48] - node _T_314 = bits(_T_307, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_315 = geq(UInt<1>("h01"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_316 = and(_T_314, _T_315) @[axi4_to_ahb.scala 136:48] - node _T_317 = bits(_T_307, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_318 = geq(UInt<2>("h02"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_319 = and(_T_317, _T_318) @[axi4_to_ahb.scala 136:48] - node _T_320 = bits(_T_307, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_321 = geq(UInt<2>("h03"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_322 = and(_T_320, _T_321) @[axi4_to_ahb.scala 136:48] - node _T_323 = bits(_T_307, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_324 = geq(UInt<3>("h04"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_325 = and(_T_323, _T_324) @[axi4_to_ahb.scala 136:48] - node _T_326 = bits(_T_307, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_327 = geq(UInt<3>("h05"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_328 = and(_T_326, _T_327) @[axi4_to_ahb.scala 136:48] - node _T_329 = bits(_T_307, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_330 = geq(UInt<3>("h06"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_331 = and(_T_329, _T_330) @[axi4_to_ahb.scala 136:48] - node _T_332 = bits(_T_307, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_333 = geq(UInt<3>("h07"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_334 = and(_T_332, _T_333) @[axi4_to_ahb.scala 136:48] - node _T_335 = mux(_T_334, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_336 = mux(_T_331, UInt<3>("h06"), _T_335) @[Mux.scala 98:16] - node _T_337 = mux(_T_328, UInt<3>("h05"), _T_336) @[Mux.scala 98:16] - node _T_338 = mux(_T_325, UInt<3>("h04"), _T_337) @[Mux.scala 98:16] - node _T_339 = mux(_T_322, UInt<2>("h03"), _T_338) @[Mux.scala 98:16] - node _T_340 = mux(_T_319, UInt<2>("h02"), _T_339) @[Mux.scala 98:16] - node _T_341 = mux(_T_316, UInt<1>("h01"), _T_340) @[Mux.scala 98:16] - node _T_342 = mux(_T_313, UInt<1>("h00"), _T_341) @[Mux.scala 98:16] - node _T_343 = dshr(buf_byteen, _T_342) @[axi4_to_ahb.scala 248:51] - node _T_344 = bits(_T_343, 0, 0) @[axi4_to_ahb.scala 248:51] - node _T_345 = eq(_T_344, UInt<1>("h00")) @[axi4_to_ahb.scala 248:116] - node _T_346 = or(_T_305, _T_345) @[axi4_to_ahb.scala 248:38] - node _T_347 = and(_T_304, _T_346) @[axi4_to_ahb.scala 247:80] - node _T_348 = or(ahb_hresp_q, _T_347) @[axi4_to_ahb.scala 247:34] - cmd_done <= _T_348 @[axi4_to_ahb.scala 247:16] - node _T_349 = and(buf_state_en, buf_write_in) @[axi4_to_ahb.scala 249:33] - node _T_350 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 249:64] - node _T_351 = and(_T_349, _T_350) @[axi4_to_ahb.scala 249:48] - bypass_en <= _T_351 @[axi4_to_ahb.scala 249:17] - node _T_352 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 250:48] - node _T_353 = eq(_T_352, UInt<1>("h00")) @[axi4_to_ahb.scala 250:37] - node _T_354 = or(_T_353, bypass_en) @[axi4_to_ahb.scala 250:61] - node _T_355 = bits(_T_354, 0, 0) @[Bitwise.scala 72:15] - node _T_356 = mux(_T_355, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_357 = and(_T_356, UInt<2>("h02")) @[axi4_to_ahb.scala 250:75] - io.ahb.out.htrans <= _T_357 @[axi4_to_ahb.scala 250:25] - node _T_358 = neq(buf_nxtstate, UInt<3>("h05")) @[axi4_to_ahb.scala 251:55] - node _T_359 = and(buf_state_en, _T_358) @[axi4_to_ahb.scala 251:39] - slave_valid_pre <= _T_359 @[axi4_to_ahb.scala 251:23] - node _T_360 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 252:33] - node _T_361 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 252:63] - node _T_362 = neq(_T_361, UInt<1>("h00")) @[axi4_to_ahb.scala 252:69] - node _T_363 = and(_T_360, _T_362) @[axi4_to_ahb.scala 252:48] - trxn_done <= _T_363 @[axi4_to_ahb.scala 252:17] - node _T_364 = or(trxn_done, bypass_en) @[axi4_to_ahb.scala 253:40] - buf_cmd_byte_ptr_en <= _T_364 @[axi4_to_ahb.scala 253:27] - node _T_365 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 254:79] - node _T_366 = add(UInt<3>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_367 = tail(_T_366, 1) @[axi4_to_ahb.scala 135:52] - node _T_368 = mux(UInt<1>("h00"), _T_367, UInt<3>("h00")) @[axi4_to_ahb.scala 135:24] - node _T_369 = bits(_T_365, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_370 = geq(UInt<1>("h00"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_371 = and(_T_369, _T_370) @[axi4_to_ahb.scala 136:48] - node _T_372 = bits(_T_365, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_373 = geq(UInt<1>("h01"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_374 = and(_T_372, _T_373) @[axi4_to_ahb.scala 136:48] - node _T_375 = bits(_T_365, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_376 = geq(UInt<2>("h02"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_377 = and(_T_375, _T_376) @[axi4_to_ahb.scala 136:48] - node _T_378 = bits(_T_365, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_379 = geq(UInt<2>("h03"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_380 = and(_T_378, _T_379) @[axi4_to_ahb.scala 136:48] - node _T_381 = bits(_T_365, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_382 = geq(UInt<3>("h04"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_383 = and(_T_381, _T_382) @[axi4_to_ahb.scala 136:48] - node _T_384 = bits(_T_365, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_385 = geq(UInt<3>("h05"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_386 = and(_T_384, _T_385) @[axi4_to_ahb.scala 136:48] - node _T_387 = bits(_T_365, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_388 = geq(UInt<3>("h06"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_389 = and(_T_387, _T_388) @[axi4_to_ahb.scala 136:48] - node _T_390 = bits(_T_365, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_391 = geq(UInt<3>("h07"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_392 = and(_T_390, _T_391) @[axi4_to_ahb.scala 136:48] - node _T_393 = mux(_T_392, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_394 = mux(_T_389, UInt<3>("h06"), _T_393) @[Mux.scala 98:16] - node _T_395 = mux(_T_386, UInt<3>("h05"), _T_394) @[Mux.scala 98:16] - node _T_396 = mux(_T_383, UInt<3>("h04"), _T_395) @[Mux.scala 98:16] - node _T_397 = mux(_T_380, UInt<2>("h03"), _T_396) @[Mux.scala 98:16] - node _T_398 = mux(_T_377, UInt<2>("h02"), _T_397) @[Mux.scala 98:16] - node _T_399 = mux(_T_374, UInt<1>("h01"), _T_398) @[Mux.scala 98:16] - node _T_400 = mux(_T_371, UInt<1>("h00"), _T_399) @[Mux.scala 98:16] - node _T_401 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 254:141] - node _T_402 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 254:157] - node _T_403 = add(_T_401, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_404 = tail(_T_403, 1) @[axi4_to_ahb.scala 135:52] - node _T_405 = mux(UInt<1>("h01"), _T_404, _T_401) @[axi4_to_ahb.scala 135:24] - node _T_406 = bits(_T_402, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_407 = geq(UInt<1>("h00"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_408 = and(_T_406, _T_407) @[axi4_to_ahb.scala 136:48] - node _T_409 = bits(_T_402, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_410 = geq(UInt<1>("h01"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_411 = and(_T_409, _T_410) @[axi4_to_ahb.scala 136:48] - node _T_412 = bits(_T_402, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_413 = geq(UInt<2>("h02"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_414 = and(_T_412, _T_413) @[axi4_to_ahb.scala 136:48] - node _T_415 = bits(_T_402, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_416 = geq(UInt<2>("h03"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_417 = and(_T_415, _T_416) @[axi4_to_ahb.scala 136:48] - node _T_418 = bits(_T_402, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_419 = geq(UInt<3>("h04"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_420 = and(_T_418, _T_419) @[axi4_to_ahb.scala 136:48] - node _T_421 = bits(_T_402, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_422 = geq(UInt<3>("h05"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_423 = and(_T_421, _T_422) @[axi4_to_ahb.scala 136:48] - node _T_424 = bits(_T_402, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_425 = geq(UInt<3>("h06"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_426 = and(_T_424, _T_425) @[axi4_to_ahb.scala 136:48] - node _T_427 = bits(_T_402, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_428 = geq(UInt<3>("h07"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_429 = and(_T_427, _T_428) @[axi4_to_ahb.scala 136:48] - node _T_430 = mux(_T_429, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_431 = mux(_T_426, UInt<3>("h06"), _T_430) @[Mux.scala 98:16] - node _T_432 = mux(_T_423, UInt<3>("h05"), _T_431) @[Mux.scala 98:16] - node _T_433 = mux(_T_420, UInt<3>("h04"), _T_432) @[Mux.scala 98:16] - node _T_434 = mux(_T_417, UInt<2>("h03"), _T_433) @[Mux.scala 98:16] - node _T_435 = mux(_T_414, UInt<2>("h02"), _T_434) @[Mux.scala 98:16] - node _T_436 = mux(_T_411, UInt<1>("h01"), _T_435) @[Mux.scala 98:16] - node _T_437 = mux(_T_408, UInt<1>("h00"), _T_436) @[Mux.scala 98:16] - node _T_438 = mux(trxn_done, _T_437, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 254:97] - node _T_439 = mux(bypass_en, _T_400, _T_438) @[axi4_to_ahb.scala 254:30] - buf_cmd_byte_ptr <= _T_439 @[axi4_to_ahb.scala 254:24] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_440 = eq(UInt<3>("h05"), buf_state) @[Conditional.scala 37:30] - when _T_440 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h00") @[axi4_to_ahb.scala 257:20] - buf_state_en <= slave_ready @[axi4_to_ahb.scala 258:20] - slvbuf_error_en <= UInt<1>("h01") @[axi4_to_ahb.scala 259:23] - slave_valid_pre <= UInt<1>("h01") @[axi4_to_ahb.scala 260:23] - skip @[Conditional.scala 39:67] - cmd_done_rst <= slave_valid_pre @[axi4_to_ahb.scala 264:16] - node _T_441 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 265:33] - node _T_442 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 265:73] - node _T_443 = eq(_T_442, UInt<1>("h01")) @[axi4_to_ahb.scala 265:80] - node _T_444 = and(buf_aligned_in, _T_443) @[axi4_to_ahb.scala 265:60] - node _T_445 = bits(_T_444, 0, 0) @[axi4_to_ahb.scala 265:100] - node _T_446 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 265:132] - node _T_447 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:50] - node _T_448 = eq(_T_447, UInt<8>("h0ff")) @[axi4_to_ahb.scala 127:57] - node _T_449 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:81] - node _T_450 = eq(_T_449, UInt<8>("h0f")) @[axi4_to_ahb.scala 127:88] - node _T_451 = or(_T_448, _T_450) @[axi4_to_ahb.scala 127:70] - node _T_452 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:117] - node _T_453 = eq(_T_452, UInt<8>("h03")) @[axi4_to_ahb.scala 127:124] - node _T_454 = or(_T_451, _T_453) @[axi4_to_ahb.scala 127:106] - node _T_455 = bits(_T_454, 0, 0) @[Bitwise.scala 72:15] - node _T_456 = mux(_T_455, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_457 = and(UInt<3>("h00"), _T_456) @[axi4_to_ahb.scala 127:29] - node _T_458 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 128:35] - node _T_459 = eq(_T_458, UInt<8>("h0c")) @[axi4_to_ahb.scala 128:42] - node _T_460 = bits(_T_459, 0, 0) @[Bitwise.scala 72:15] - node _T_461 = mux(_T_460, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_462 = and(UInt<2>("h02"), _T_461) @[axi4_to_ahb.scala 128:15] - node _T_463 = or(_T_457, _T_462) @[axi4_to_ahb.scala 127:146] - node _T_464 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 129:36] - node _T_465 = eq(_T_464, UInt<8>("h0f0")) @[axi4_to_ahb.scala 129:43] - node _T_466 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 129:67] - node _T_467 = eq(_T_466, UInt<8>("h03")) @[axi4_to_ahb.scala 129:74] - node _T_468 = or(_T_465, _T_467) @[axi4_to_ahb.scala 129:56] - node _T_469 = bits(_T_468, 0, 0) @[Bitwise.scala 72:15] - node _T_470 = mux(_T_469, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_471 = and(UInt<3>("h04"), _T_470) @[axi4_to_ahb.scala 129:15] - node _T_472 = or(_T_463, _T_471) @[axi4_to_ahb.scala 128:63] - node _T_473 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 130:35] - node _T_474 = eq(_T_473, UInt<8>("h0c0")) @[axi4_to_ahb.scala 130:42] - node _T_475 = bits(_T_474, 0, 0) @[Bitwise.scala 72:15] - node _T_476 = mux(_T_475, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_477 = and(UInt<3>("h06"), _T_476) @[axi4_to_ahb.scala 130:15] - node _T_478 = or(_T_472, _T_477) @[axi4_to_ahb.scala 129:96] - node _T_479 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 131:33] - node _T_480 = eq(_T_479, UInt<8>("h0c0")) @[axi4_to_ahb.scala 131:40] - node _T_481 = bits(_T_480, 0, 0) @[Bitwise.scala 72:15] - node _T_482 = mux(_T_481, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_483 = and(UInt<3>("h06"), _T_482) @[axi4_to_ahb.scala 131:13] - node _T_484 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 265:152] - node _T_485 = mux(_T_445, _T_478, _T_484) @[axi4_to_ahb.scala 265:43] - node _T_486 = cat(_T_441, _T_485) @[Cat.scala 29:58] - buf_addr_in <= _T_486 @[axi4_to_ahb.scala 265:15] - node _T_487 = bits(master_tag, 2, 0) @[axi4_to_ahb.scala 266:27] - buf_tag_in <= _T_487 @[axi4_to_ahb.scala 266:14] - node _T_488 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 267:32] - buf_byteen_in <= _T_488 @[axi4_to_ahb.scala 267:17] - node _T_489 = eq(buf_state, UInt<3>("h03")) @[axi4_to_ahb.scala 268:33] - node _T_490 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 268:59] - node _T_491 = bits(master_wdata, 63, 0) @[axi4_to_ahb.scala 268:80] - node _T_492 = mux(_T_489, _T_490, _T_491) @[axi4_to_ahb.scala 268:21] - buf_data_in <= _T_492 @[axi4_to_ahb.scala 268:15] - node _T_493 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 269:52] - node _T_494 = eq(_T_493, UInt<2>("h03")) @[axi4_to_ahb.scala 269:58] - node _T_495 = and(buf_aligned_in, _T_494) @[axi4_to_ahb.scala 269:38] - node _T_496 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 269:84] - node _T_497 = eq(_T_496, UInt<1>("h01")) @[axi4_to_ahb.scala 269:91] - node _T_498 = and(_T_495, _T_497) @[axi4_to_ahb.scala 269:71] - node _T_499 = bits(_T_498, 0, 0) @[axi4_to_ahb.scala 269:111] - node _T_500 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 269:142] - node _T_501 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 121:42] - node _T_502 = eq(_T_501, UInt<8>("h0ff")) @[axi4_to_ahb.scala 121:49] - node _T_503 = bits(_T_502, 0, 0) @[Bitwise.scala 72:15] - node _T_504 = mux(_T_503, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_505 = and(UInt<2>("h03"), _T_504) @[axi4_to_ahb.scala 121:25] - node _T_506 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 122:35] - node _T_507 = eq(_T_506, UInt<8>("h0f0")) @[axi4_to_ahb.scala 122:42] - node _T_508 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 122:64] - node _T_509 = eq(_T_508, UInt<8>("h0f")) @[axi4_to_ahb.scala 122:71] - node _T_510 = or(_T_507, _T_509) @[axi4_to_ahb.scala 122:55] - node _T_511 = bits(_T_510, 0, 0) @[Bitwise.scala 72:15] - node _T_512 = mux(_T_511, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_513 = and(UInt<2>("h02"), _T_512) @[axi4_to_ahb.scala 122:16] - node _T_514 = or(_T_505, _T_513) @[axi4_to_ahb.scala 121:64] - node _T_515 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:40] - node _T_516 = eq(_T_515, UInt<8>("h0c0")) @[axi4_to_ahb.scala 123:47] - node _T_517 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:69] - node _T_518 = eq(_T_517, UInt<6>("h030")) @[axi4_to_ahb.scala 123:76] - node _T_519 = or(_T_516, _T_518) @[axi4_to_ahb.scala 123:60] - node _T_520 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:98] - node _T_521 = eq(_T_520, UInt<8>("h0c")) @[axi4_to_ahb.scala 123:105] - node _T_522 = or(_T_519, _T_521) @[axi4_to_ahb.scala 123:89] - node _T_523 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:132] - node _T_524 = eq(_T_523, UInt<8>("h03")) @[axi4_to_ahb.scala 123:139] - node _T_525 = or(_T_522, _T_524) @[axi4_to_ahb.scala 123:123] - node _T_526 = bits(_T_525, 0, 0) @[Bitwise.scala 72:15] - node _T_527 = mux(_T_526, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_528 = and(UInt<2>("h01"), _T_527) @[axi4_to_ahb.scala 123:21] - node _T_529 = or(_T_514, _T_528) @[axi4_to_ahb.scala 122:93] - node _T_530 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 269:161] - node _T_531 = mux(_T_499, _T_529, _T_530) @[axi4_to_ahb.scala 269:21] - buf_size_in <= _T_531 @[axi4_to_ahb.scala 269:15] - node _T_532 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 270:32] - node _T_533 = eq(_T_532, UInt<1>("h00")) @[axi4_to_ahb.scala 270:39] - node _T_534 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:17] - node _T_535 = eq(_T_534, UInt<1>("h00")) @[axi4_to_ahb.scala 271:24] - node _T_536 = or(_T_533, _T_535) @[axi4_to_ahb.scala 270:48] - node _T_537 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:47] - node _T_538 = eq(_T_537, UInt<2>("h01")) @[axi4_to_ahb.scala 271:54] - node _T_539 = or(_T_536, _T_538) @[axi4_to_ahb.scala 271:33] - node _T_540 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:86] - node _T_541 = eq(_T_540, UInt<2>("h02")) @[axi4_to_ahb.scala 271:93] - node _T_542 = or(_T_539, _T_541) @[axi4_to_ahb.scala 271:72] - node _T_543 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 272:18] - node _T_544 = eq(_T_543, UInt<2>("h03")) @[axi4_to_ahb.scala 272:25] - node _T_545 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:55] - node _T_546 = eq(_T_545, UInt<2>("h03")) @[axi4_to_ahb.scala 272:62] - node _T_547 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:90] - node _T_548 = eq(_T_547, UInt<4>("h0c")) @[axi4_to_ahb.scala 272:97] - node _T_549 = or(_T_546, _T_548) @[axi4_to_ahb.scala 272:74] - node _T_550 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:125] - node _T_551 = eq(_T_550, UInt<6>("h030")) @[axi4_to_ahb.scala 272:132] - node _T_552 = or(_T_549, _T_551) @[axi4_to_ahb.scala 272:109] - node _T_553 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:161] - node _T_554 = eq(_T_553, UInt<8>("h0c0")) @[axi4_to_ahb.scala 272:168] - node _T_555 = or(_T_552, _T_554) @[axi4_to_ahb.scala 272:145] - node _T_556 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:21] - node _T_557 = eq(_T_556, UInt<4>("h0f")) @[axi4_to_ahb.scala 273:28] - node _T_558 = or(_T_555, _T_557) @[axi4_to_ahb.scala 272:181] - node _T_559 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:56] - node _T_560 = eq(_T_559, UInt<8>("h0f0")) @[axi4_to_ahb.scala 273:63] - node _T_561 = or(_T_558, _T_560) @[axi4_to_ahb.scala 273:40] - node _T_562 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:92] - node _T_563 = eq(_T_562, UInt<8>("h0ff")) @[axi4_to_ahb.scala 273:99] - node _T_564 = or(_T_561, _T_563) @[axi4_to_ahb.scala 273:76] - node _T_565 = and(_T_544, _T_564) @[axi4_to_ahb.scala 272:38] - node _T_566 = or(_T_542, _T_565) @[axi4_to_ahb.scala 271:106] - buf_aligned_in <= _T_566 @[axi4_to_ahb.scala 270:18] - node _T_567 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 275:43] - node _T_568 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 275:62] - node _T_569 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 275:87] - node _T_570 = cat(_T_568, _T_569) @[Cat.scala 29:58] - node _T_571 = bits(buf_addr, 31, 3) @[axi4_to_ahb.scala 275:108] - node _T_572 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 275:133] - node _T_573 = cat(_T_571, _T_572) @[Cat.scala 29:58] - node _T_574 = mux(_T_567, _T_570, _T_573) @[axi4_to_ahb.scala 275:26] - io.ahb.out.haddr <= _T_574 @[axi4_to_ahb.scala 275:20] - node _T_575 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 276:43] - node _T_576 = bits(buf_aligned_in, 0, 0) @[Bitwise.scala 72:15] - node _T_577 = mux(_T_576, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_578 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 276:94] - node _T_579 = and(_T_577, _T_578) @[axi4_to_ahb.scala 276:81] - node _T_580 = cat(UInt<1>("h00"), _T_579) @[Cat.scala 29:58] - node _T_581 = bits(buf_aligned, 0, 0) @[Bitwise.scala 72:15] - node _T_582 = mux(_T_581, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_583 = bits(buf_size, 1, 0) @[axi4_to_ahb.scala 276:148] - node _T_584 = and(_T_582, _T_583) @[axi4_to_ahb.scala 276:138] - node _T_585 = cat(UInt<1>("h00"), _T_584) @[Cat.scala 29:58] - node _T_586 = mux(_T_575, _T_580, _T_585) @[axi4_to_ahb.scala 276:26] - io.ahb.out.hsize <= _T_586 @[axi4_to_ahb.scala 276:20] - io.ahb.out.hburst <= UInt<1>("h00") @[axi4_to_ahb.scala 278:21] - io.ahb.out.hmastlock <= UInt<1>("h00") @[axi4_to_ahb.scala 279:24] - node _T_587 = bits(io.axi.ar.bits.prot, 2, 2) @[axi4_to_ahb.scala 280:57] - node _T_588 = eq(_T_587, UInt<1>("h00")) @[axi4_to_ahb.scala 280:37] - node _T_589 = cat(UInt<1>("h01"), _T_588) @[Cat.scala 29:58] - io.ahb.out.hprot <= _T_589 @[axi4_to_ahb.scala 280:20] - node _T_590 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 281:44] - node _T_591 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 281:59] - node _T_592 = eq(_T_591, UInt<1>("h01")) @[axi4_to_ahb.scala 281:66] - node _T_593 = mux(_T_590, _T_592, buf_write) @[axi4_to_ahb.scala 281:27] - io.ahb.out.hwrite <= _T_593 @[axi4_to_ahb.scala 281:21] - node _T_594 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 282:32] - io.ahb.out.hwdata <= _T_594 @[axi4_to_ahb.scala 282:21] - slave_valid <= slave_valid_pre @[axi4_to_ahb.scala 284:15] - node _T_595 = bits(slvbuf_write, 0, 0) @[axi4_to_ahb.scala 285:43] - node _T_596 = mux(_T_595, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 285:23] - node _T_597 = bits(slvbuf_error, 0, 0) @[Bitwise.scala 72:15] - node _T_598 = mux(_T_597, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_599 = and(_T_598, UInt<2>("h02")) @[axi4_to_ahb.scala 285:88] - node _T_600 = cat(_T_596, _T_599) @[Cat.scala 29:58] - slave_opc <= _T_600 @[axi4_to_ahb.scala 285:13] - node _T_601 = bits(slvbuf_error, 0, 0) @[axi4_to_ahb.scala 286:41] - node _T_602 = bits(last_bus_addr, 31, 0) @[axi4_to_ahb.scala 286:66] - node _T_603 = cat(_T_602, _T_602) @[Cat.scala 29:58] - node _T_604 = eq(buf_state, UInt<3>("h05")) @[axi4_to_ahb.scala 286:91] - node _T_605 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 286:110] - node _T_606 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 286:131] - node _T_607 = mux(_T_604, _T_605, _T_606) @[axi4_to_ahb.scala 286:79] - node _T_608 = mux(_T_601, _T_603, _T_607) @[axi4_to_ahb.scala 286:21] - slave_rdata <= _T_608 @[axi4_to_ahb.scala 286:15] - node _T_609 = bits(slvbuf_tag, 2, 0) @[axi4_to_ahb.scala 287:26] - slave_tag <= _T_609 @[axi4_to_ahb.scala 287:13] - node _T_610 = bits(io.ahb.out.htrans, 1, 0) @[axi4_to_ahb.scala 289:37] - node _T_611 = neq(_T_610, UInt<1>("h00")) @[axi4_to_ahb.scala 289:44] - node _T_612 = and(_T_611, io.ahb.in.hready) @[axi4_to_ahb.scala 289:56] - node _T_613 = and(_T_612, io.ahb.out.hwrite) @[axi4_to_ahb.scala 289:75] - last_addr_en <= _T_613 @[axi4_to_ahb.scala 289:16] - node _T_614 = and(io.axi.aw.valid, io.axi.aw.ready) @[axi4_to_ahb.scala 291:31] - node _T_615 = and(_T_614, master_ready) @[axi4_to_ahb.scala 291:49] - wrbuf_en <= _T_615 @[axi4_to_ahb.scala 291:12] - node _T_616 = and(io.axi.w.valid, io.axi.w.ready) @[axi4_to_ahb.scala 292:35] - node _T_617 = and(_T_616, master_ready) @[axi4_to_ahb.scala 292:52] - wrbuf_data_en <= _T_617 @[axi4_to_ahb.scala 292:17] - node _T_618 = and(master_valid, master_ready) @[axi4_to_ahb.scala 293:34] - node _T_619 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 293:62] - node _T_620 = eq(_T_619, UInt<1>("h01")) @[axi4_to_ahb.scala 293:69] - node _T_621 = and(_T_618, _T_620) @[axi4_to_ahb.scala 293:49] - wrbuf_cmd_sent <= _T_621 @[axi4_to_ahb.scala 293:18] - node _T_622 = eq(wrbuf_en, UInt<1>("h00")) @[axi4_to_ahb.scala 294:33] - node _T_623 = and(wrbuf_cmd_sent, _T_622) @[axi4_to_ahb.scala 294:31] - wrbuf_rst <= _T_623 @[axi4_to_ahb.scala 294:13] - node _T_624 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 296:36] - node _T_625 = and(wrbuf_vld, _T_624) @[axi4_to_ahb.scala 296:34] - node _T_626 = eq(_T_625, UInt<1>("h00")) @[axi4_to_ahb.scala 296:22] - node _T_627 = and(_T_626, master_ready) @[axi4_to_ahb.scala 296:53] - io.axi.aw.ready <= _T_627 @[axi4_to_ahb.scala 296:19] - node _T_628 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 297:40] - node _T_629 = and(wrbuf_data_vld, _T_628) @[axi4_to_ahb.scala 297:38] - node _T_630 = eq(_T_629, UInt<1>("h00")) @[axi4_to_ahb.scala 297:21] - node _T_631 = and(_T_630, master_ready) @[axi4_to_ahb.scala 297:57] - io.axi.w.ready <= _T_631 @[axi4_to_ahb.scala 297:18] - node _T_632 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 298:34] - node _T_633 = eq(_T_632, UInt<1>("h00")) @[axi4_to_ahb.scala 298:22] - node _T_634 = and(_T_633, master_ready) @[axi4_to_ahb.scala 298:52] - io.axi.ar.ready <= _T_634 @[axi4_to_ahb.scala 298:19] - io.axi.r.bits.last <= UInt<1>("h01") @[axi4_to_ahb.scala 299:22] - node _T_635 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 301:71] - node _T_636 = mux(_T_635, UInt<1>("h01"), wrbuf_vld) @[axi4_to_ahb.scala 301:55] - node _T_637 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 301:91] - node _T_638 = and(_T_636, _T_637) @[axi4_to_ahb.scala 301:89] - reg _T_639 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 301:51] - _T_639 <= _T_638 @[axi4_to_ahb.scala 301:51] - wrbuf_vld <= _T_639 @[axi4_to_ahb.scala 301:21] - node _T_640 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 302:76] - node _T_641 = mux(_T_640, UInt<1>("h01"), wrbuf_data_vld) @[axi4_to_ahb.scala 302:55] - node _T_642 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 302:102] - node _T_643 = and(_T_641, _T_642) @[axi4_to_ahb.scala 302:100] - reg _T_644 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 302:51] - _T_644 <= _T_643 @[axi4_to_ahb.scala 302:51] - wrbuf_data_vld <= _T_644 @[axi4_to_ahb.scala 302:21] - node _T_645 = bits(io.axi.aw.bits.id, 2, 0) @[axi4_to_ahb.scala 303:71] - node _T_646 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 303:105] - reg _T_647 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_646 : @[Reg.scala 28:19] - _T_647 <= _T_645 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - wrbuf_tag <= _T_647 @[axi4_to_ahb.scala 303:21] - node _T_648 = bits(io.axi.aw.bits.size, 2, 0) @[axi4_to_ahb.scala 304:73] - node _T_649 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 304:101] - reg _T_650 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_649 : @[Reg.scala 28:19] - _T_650 <= _T_648 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - wrbuf_size <= _T_650 @[axi4_to_ahb.scala 304:21] - node _T_651 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 305:61] - inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 368:23] - rvclkhdr_2.clock <= clock - rvclkhdr_2.reset <= reset - rvclkhdr_2.io.clk <= bus_clk @[lib.scala 370:18] - rvclkhdr_2.io.en <= _T_651 @[lib.scala 371:17] - rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_652 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_652 <= io.axi.aw.bits.addr @[lib.scala 374:16] - wrbuf_addr <= _T_652 @[axi4_to_ahb.scala 305:21] - node _T_653 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 306:65] - inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] - rvclkhdr_3.clock <= clock - rvclkhdr_3.reset <= reset - rvclkhdr_3.io.clk <= bus_clk @[lib.scala 370:18] - rvclkhdr_3.io.en <= _T_653 @[lib.scala 371:17] - rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_654 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_654 <= io.axi.w.bits.data @[lib.scala 374:16] - wrbuf_data <= _T_654 @[axi4_to_ahb.scala 306:21] - node _T_655 = bits(io.axi.w.bits.strb, 7, 0) @[axi4_to_ahb.scala 307:72] - node _T_656 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 307:105] - reg _T_657 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_656 : @[Reg.scala 28:19] - _T_657 <= _T_655 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - wrbuf_byteen <= _T_657 @[axi4_to_ahb.scala 307:21] - node _T_658 = bits(io.ahb.out.haddr, 31, 0) @[axi4_to_ahb.scala 308:71] - node _T_659 = bits(last_addr_en, 0, 0) @[axi4_to_ahb.scala 308:104] - reg _T_660 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_659 : @[Reg.scala 28:19] - _T_660 <= _T_658 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - last_bus_addr <= _T_660 @[axi4_to_ahb.scala 308:21] - node _T_661 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 309:89] - reg _T_662 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_661 : @[Reg.scala 28:19] - _T_662 <= buf_write_in @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_write <= _T_662 @[axi4_to_ahb.scala 309:21] - node _T_663 = bits(buf_tag_in, 2, 0) @[axi4_to_ahb.scala 310:64] - node _T_664 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 310:99] - reg _T_665 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_664 : @[Reg.scala 28:19] - _T_665 <= _T_663 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_tag <= _T_665 @[axi4_to_ahb.scala 310:21] - node _T_666 = bits(buf_addr_in, 31, 0) @[axi4_to_ahb.scala 311:42] - node _T_667 = and(buf_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 311:61] - node _T_668 = bits(_T_667, 0, 0) @[axi4_to_ahb.scala 311:78] - inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 368:23] - rvclkhdr_4.clock <= clock - rvclkhdr_4.reset <= reset - rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_4.io.en <= _T_668 @[lib.scala 371:17] - rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_669 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_669 <= _T_666 @[lib.scala 374:16] - buf_addr <= _T_669 @[axi4_to_ahb.scala 311:21] - node _T_670 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 312:65] - node _T_671 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 312:94] - reg _T_672 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_671 : @[Reg.scala 28:19] - _T_672 <= _T_670 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_size <= _T_672 @[axi4_to_ahb.scala 312:21] - node _T_673 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 313:91] - reg _T_674 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_673 : @[Reg.scala 28:19] - _T_674 <= buf_aligned_in @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_aligned <= _T_674 @[axi4_to_ahb.scala 313:21] - node _T_675 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 314:67] - node _T_676 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 314:96] - reg _T_677 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_676 : @[Reg.scala 28:19] - _T_677 <= _T_675 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_byteen <= _T_677 @[axi4_to_ahb.scala 314:21] - node _T_678 = bits(buf_data_in, 63, 0) @[axi4_to_ahb.scala 315:42] - node _T_679 = and(buf_data_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 315:66] - node _T_680 = bits(_T_679, 0, 0) @[axi4_to_ahb.scala 315:89] - inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 368:23] - rvclkhdr_5.clock <= clock - rvclkhdr_5.reset <= reset - rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_5.io.en <= _T_680 @[lib.scala 371:17] - rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_681 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_681 <= _T_678 @[lib.scala 374:16] - buf_data <= _T_681 @[axi4_to_ahb.scala 315:21] - node _T_682 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 316:89] - reg _T_683 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_682 : @[Reg.scala 28:19] - _T_683 <= buf_write @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - slvbuf_write <= _T_683 @[axi4_to_ahb.scala 316:21] - node _T_684 = bits(buf_tag, 2, 0) @[axi4_to_ahb.scala 317:61] - node _T_685 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 317:99] - reg _T_686 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_685 : @[Reg.scala 28:19] - _T_686 <= _T_684 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - slvbuf_tag <= _T_686 @[axi4_to_ahb.scala 317:21] - node _T_687 = bits(slvbuf_error_en, 0, 0) @[axi4_to_ahb.scala 318:99] - reg _T_688 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_687 : @[Reg.scala 28:19] - _T_688 <= slvbuf_error_in @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - slvbuf_error <= _T_688 @[axi4_to_ahb.scala 318:21] - node _T_689 = bits(cmd_done, 0, 0) @[axi4_to_ahb.scala 319:72] - node _T_690 = mux(_T_689, UInt<1>("h01"), cmd_doneQ) @[axi4_to_ahb.scala 319:56] - node _T_691 = eq(cmd_done_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 319:92] - node _T_692 = and(_T_690, _T_691) @[axi4_to_ahb.scala 319:90] - reg _T_693 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 319:52] - _T_693 <= _T_692 @[axi4_to_ahb.scala 319:52] - cmd_doneQ <= _T_693 @[axi4_to_ahb.scala 319:21] - node _T_694 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 320:71] - node _T_695 = bits(buf_cmd_byte_ptr_en, 0, 0) @[axi4_to_ahb.scala 320:110] - reg _T_696 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_695 : @[Reg.scala 28:19] - _T_696 <= _T_694 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_cmd_byte_ptrQ <= _T_696 @[axi4_to_ahb.scala 320:21] - reg _T_697 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 321:52] - _T_697 <= io.ahb.in.hready @[axi4_to_ahb.scala 321:52] - ahb_hready_q <= _T_697 @[axi4_to_ahb.scala 321:21] - node _T_698 = bits(io.ahb.out.htrans, 1, 0) @[axi4_to_ahb.scala 322:70] - reg _T_699 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 322:52] - _T_699 <= _T_698 @[axi4_to_ahb.scala 322:52] - ahb_htrans_q <= _T_699 @[axi4_to_ahb.scala 322:21] - reg _T_700 : UInt<1>, ahbm_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 323:57] - _T_700 <= io.ahb.out.hwrite @[axi4_to_ahb.scala 323:57] - ahb_hwrite_q <= _T_700 @[axi4_to_ahb.scala 323:21] - reg _T_701 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 324:52] - _T_701 <= io.ahb.in.hresp @[axi4_to_ahb.scala 324:52] - ahb_hresp_q <= _T_701 @[axi4_to_ahb.scala 324:21] - node _T_702 = bits(io.ahb.in.hrdata, 63, 0) @[axi4_to_ahb.scala 325:74] - reg _T_703 : UInt, ahbm_data_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 325:57] - _T_703 <= _T_702 @[axi4_to_ahb.scala 325:57] - ahb_hrdata_q <= _T_703 @[axi4_to_ahb.scala 325:21] - node _T_704 = or(buf_wr_en, slvbuf_wr_en) @[axi4_to_ahb.scala 327:43] - node _T_705 = or(_T_704, io.clk_override) @[axi4_to_ahb.scala 327:58] - node _T_706 = and(io.bus_clk_en, _T_705) @[axi4_to_ahb.scala 327:30] - buf_clken <= _T_706 @[axi4_to_ahb.scala 327:13] - node _T_707 = bits(io.ahb.out.htrans, 1, 1) @[axi4_to_ahb.scala 328:76] - node _T_708 = and(io.ahb.in.hready, _T_707) @[axi4_to_ahb.scala 328:57] - node _T_709 = or(_T_708, io.clk_override) @[axi4_to_ahb.scala 328:81] - node _T_710 = and(io.bus_clk_en, _T_709) @[axi4_to_ahb.scala 328:36] - ahbm_addr_clken <= _T_710 @[axi4_to_ahb.scala 328:19] - node _T_711 = neq(buf_state, UInt<3>("h00")) @[axi4_to_ahb.scala 329:50] - node _T_712 = or(_T_711, io.clk_override) @[axi4_to_ahb.scala 329:60] - node _T_713 = and(io.bus_clk_en, _T_712) @[axi4_to_ahb.scala 329:36] - ahbm_data_clken <= _T_713 @[axi4_to_ahb.scala 329:19] - inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 343:22] - rvclkhdr_6.clock <= clock - rvclkhdr_6.reset <= reset - rvclkhdr_6.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_6.io.en <= buf_clken @[lib.scala 345:16] - rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - buf_clk <= rvclkhdr_6.io.l1clk @[axi4_to_ahb.scala 332:12] - inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 343:22] - rvclkhdr_7.clock <= clock - rvclkhdr_7.reset <= reset - rvclkhdr_7.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_7.io.en <= io.bus_clk_en @[lib.scala 345:16] - rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - ahbm_clk <= rvclkhdr_7.io.l1clk @[axi4_to_ahb.scala 333:12] - inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 343:22] - rvclkhdr_8.clock <= clock - rvclkhdr_8.reset <= reset - rvclkhdr_8.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_8.io.en <= ahbm_addr_clken @[lib.scala 345:16] - rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - ahbm_addr_clk <= rvclkhdr_8.io.l1clk @[axi4_to_ahb.scala 334:17] - inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 343:22] - rvclkhdr_9.clock <= clock - rvclkhdr_9.reset <= reset - rvclkhdr_9.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_9.io.en <= ahbm_data_clken @[lib.scala 345:16] - rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - ahbm_data_clk <= rvclkhdr_9.io.l1clk @[axi4_to_ahb.scala 335:17] - diff --git a/axi4_to_ahb.v b/axi4_to_ahb.v deleted file mode 100644 index 5659c6fa..00000000 --- a/axi4_to_ahb.v +++ /dev/null @@ -1,1139 +0,0 @@ -module rvclkhdr( - output io_l1clk, - input io_clk, - input io_en, - input io_scan_mode -); - wire clkhdr_Q; // @[lib.scala 334:26] - wire clkhdr_CK; // @[lib.scala 334:26] - wire clkhdr_EN; // @[lib.scala 334:26] - wire clkhdr_SE; // @[lib.scala 334:26] - gated_latch clkhdr ( // @[lib.scala 334:26] - .Q(clkhdr_Q), - .CK(clkhdr_CK), - .EN(clkhdr_EN), - .SE(clkhdr_SE) - ); - assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] - assign clkhdr_CK = io_clk; // @[lib.scala 336:18] - assign clkhdr_EN = io_en; // @[lib.scala 337:18] - assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] -endmodule -module axi4_to_ahb( - input clock, - input reset, - input io_scan_mode, - input io_bus_clk_en, - input io_clk_override, - output io_axi_aw_ready, - input io_axi_aw_valid, - input [2:0] io_axi_aw_bits_id, - input [31:0] io_axi_aw_bits_addr, - input [3:0] io_axi_aw_bits_region, - input [7:0] io_axi_aw_bits_len, - input [2:0] io_axi_aw_bits_size, - input [1:0] io_axi_aw_bits_burst, - input io_axi_aw_bits_lock, - input [3:0] io_axi_aw_bits_cache, - input [2:0] io_axi_aw_bits_prot, - input [3:0] io_axi_aw_bits_qos, - output io_axi_w_ready, - input io_axi_w_valid, - input [63:0] io_axi_w_bits_data, - input [7:0] io_axi_w_bits_strb, - input io_axi_w_bits_last, - input io_axi_b_ready, - output io_axi_b_valid, - output [1:0] io_axi_b_bits_resp, - output [2:0] io_axi_b_bits_id, - output io_axi_ar_ready, - input io_axi_ar_valid, - input [2:0] io_axi_ar_bits_id, - input [31:0] io_axi_ar_bits_addr, - input [3:0] io_axi_ar_bits_region, - input [7:0] io_axi_ar_bits_len, - input [2:0] io_axi_ar_bits_size, - input [1:0] io_axi_ar_bits_burst, - input io_axi_ar_bits_lock, - input [3:0] io_axi_ar_bits_cache, - input [2:0] io_axi_ar_bits_prot, - input [3:0] io_axi_ar_bits_qos, - input io_axi_r_ready, - output io_axi_r_valid, - output [2:0] io_axi_r_bits_id, - output [63:0] io_axi_r_bits_data, - output [1:0] io_axi_r_bits_resp, - output io_axi_r_bits_last, - input [63:0] io_ahb_in_hrdata, - input io_ahb_in_hready, - input io_ahb_in_hresp, - output [31:0] io_ahb_out_haddr, - output [2:0] io_ahb_out_hburst, - output io_ahb_out_hmastlock, - output [3:0] io_ahb_out_hprot, - output [2:0] io_ahb_out_hsize, - output [1:0] io_ahb_out_htrans, - output io_ahb_out_hwrite, - output [63:0] io_ahb_out_hwdata -); -`ifdef RANDOMIZE_REG_INIT - reg [31:0] _RAND_0; - reg [31:0] _RAND_1; - reg [31:0] _RAND_2; - reg [31:0] _RAND_3; - reg [31:0] _RAND_4; - reg [31:0] _RAND_5; - reg [31:0] _RAND_6; - reg [31:0] _RAND_7; - reg [31:0] _RAND_8; - reg [31:0] _RAND_9; - reg [31:0] _RAND_10; - reg [31:0] _RAND_11; - reg [63:0] _RAND_12; - reg [31:0] _RAND_13; - reg [31:0] _RAND_14; - reg [31:0] _RAND_15; - reg [31:0] _RAND_16; - reg [63:0] _RAND_17; - reg [63:0] _RAND_18; - reg [31:0] _RAND_19; - reg [31:0] _RAND_20; - reg [31:0] _RAND_21; - reg [31:0] _RAND_22; - reg [31:0] _RAND_23; - reg [31:0] _RAND_24; - reg [31:0] _RAND_25; -`endif // RANDOMIZE_REG_INIT - wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_io_en; // @[lib.scala 343:22] - wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_1_io_en; // @[lib.scala 343:22] - wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_2_io_en; // @[lib.scala 368:23] - wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] - wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_3_io_en; // @[lib.scala 368:23] - wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] - wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_4_io_en; // @[lib.scala 368:23] - wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] - wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_5_io_en; // @[lib.scala 368:23] - wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] - wire rvclkhdr_6_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_6_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_6_io_en; // @[lib.scala 343:22] - wire rvclkhdr_6_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_7_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_7_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_7_io_en; // @[lib.scala 343:22] - wire rvclkhdr_7_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_8_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_8_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_8_io_en; // @[lib.scala 343:22] - wire rvclkhdr_8_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_9_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_9_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_9_io_en; // @[lib.scala 343:22] - wire rvclkhdr_9_io_scan_mode; // @[lib.scala 343:22] - wire ahbm_clk = rvclkhdr_7_io_l1clk; // @[axi4_to_ahb.scala 24:22 axi4_to_ahb.scala 333:12] - reg [2:0] buf_state; // @[axi4_to_ahb.scala 30:45] - wire _T_49 = 3'h0 == buf_state; // @[Conditional.scala 37:30] - wire bus_clk = rvclkhdr_io_l1clk; // @[axi4_to_ahb.scala 50:21 axi4_to_ahb.scala 162:11] - reg wrbuf_vld; // @[axi4_to_ahb.scala 301:51] - reg wrbuf_data_vld; // @[axi4_to_ahb.scala 302:51] - wire wr_cmd_vld = wrbuf_vld & wrbuf_data_vld; // @[axi4_to_ahb.scala 139:27] - wire master_valid = wr_cmd_vld | io_axi_ar_valid; // @[axi4_to_ahb.scala 140:30] - wire _T_101 = 3'h1 == buf_state; // @[Conditional.scala 37:30] - reg ahb_hready_q; // @[axi4_to_ahb.scala 321:52] - reg [1:0] ahb_htrans_q; // @[axi4_to_ahb.scala 322:52] - wire _T_108 = ahb_htrans_q != 2'h0; // @[axi4_to_ahb.scala 183:58] - wire _T_109 = ahb_hready_q & _T_108; // @[axi4_to_ahb.scala 183:36] - wire ahbm_addr_clk = rvclkhdr_8_io_l1clk; // @[axi4_to_ahb.scala 25:27 axi4_to_ahb.scala 334:17] - reg ahb_hwrite_q; // @[axi4_to_ahb.scala 323:57] - wire _T_110 = ~ahb_hwrite_q; // @[axi4_to_ahb.scala 183:72] - wire _T_111 = _T_109 & _T_110; // @[axi4_to_ahb.scala 183:70] - wire _T_136 = 3'h6 == buf_state; // @[Conditional.scala 37:30] - reg ahb_hresp_q; // @[axi4_to_ahb.scala 324:52] - wire _T_156 = ahb_hready_q | ahb_hresp_q; // @[axi4_to_ahb.scala 197:37] - wire _T_175 = 3'h7 == buf_state; // @[Conditional.scala 37:30] - wire _T_186 = 3'h3 == buf_state; // @[Conditional.scala 37:30] - wire _T_188 = 3'h2 == buf_state; // @[Conditional.scala 37:30] - wire _T_189 = ahb_hready_q & ahb_hwrite_q; // @[axi4_to_ahb.scala 229:33] - wire _T_192 = _T_189 & _T_108; // @[axi4_to_ahb.scala 229:48] - wire _T_281 = 3'h4 == buf_state; // @[Conditional.scala 37:30] - wire _GEN_15 = _T_281 & _T_192; // @[Conditional.scala 39:67] - wire _GEN_19 = _T_188 ? _T_192 : _GEN_15; // @[Conditional.scala 39:67] - wire _GEN_40 = _T_186 ? 1'h0 : _GEN_19; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_175 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] - wire _GEN_79 = _T_136 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] - wire _GEN_95 = _T_101 ? 1'h0 : _GEN_79; // @[Conditional.scala 39:67] - wire trxn_done = _T_49 ? 1'h0 : _GEN_95; // @[Conditional.scala 40:58] - reg cmd_doneQ; // @[axi4_to_ahb.scala 319:52] - wire _T_282 = cmd_doneQ & ahb_hready_q; // @[axi4_to_ahb.scala 239:34] - wire _T_283 = _T_282 | ahb_hresp_q; // @[axi4_to_ahb.scala 239:50] - wire _T_440 = 3'h5 == buf_state; // @[Conditional.scala 37:30] - wire slave_ready = io_axi_b_ready & io_axi_r_ready; // @[axi4_to_ahb.scala 157:33] - wire _GEN_1 = _T_440 & slave_ready; // @[Conditional.scala 39:67] - wire _GEN_3 = _T_281 ? _T_283 : _GEN_1; // @[Conditional.scala 39:67] - wire _GEN_20 = _T_188 ? trxn_done : _GEN_3; // @[Conditional.scala 39:67] - wire _GEN_35 = _T_186 ? _T_156 : _GEN_20; // @[Conditional.scala 39:67] - wire _GEN_51 = _T_175 ? _T_111 : _GEN_35; // @[Conditional.scala 39:67] - wire _GEN_69 = _T_136 ? _T_156 : _GEN_51; // @[Conditional.scala 39:67] - wire _GEN_83 = _T_101 ? _T_111 : _GEN_69; // @[Conditional.scala 39:67] - wire buf_state_en = _T_49 ? master_valid : _GEN_83; // @[Conditional.scala 40:58] - wire [1:0] _T_14 = wr_cmd_vld ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 142:20] - wire [2:0] master_opc = {{1'd0}, _T_14}; // @[axi4_to_ahb.scala 142:14] - wire _T_51 = master_opc[2:1] == 2'h1; // @[axi4_to_ahb.scala 168:41] - wire _GEN_8 = _T_281 & _T_51; // @[Conditional.scala 39:67] - wire _GEN_29 = _T_188 ? 1'h0 : _GEN_8; // @[Conditional.scala 39:67] - wire _GEN_46 = _T_186 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_63 = _T_175 ? 1'h0 : _GEN_46; // @[Conditional.scala 39:67] - wire _GEN_81 = _T_136 ? 1'h0 : _GEN_63; // @[Conditional.scala 39:67] - wire _GEN_97 = _T_101 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] - wire buf_write_in = _T_49 ? _T_51 : _GEN_97; // @[Conditional.scala 40:58] - wire [2:0] _T_53 = buf_write_in ? 3'h2 : 3'h1; // @[axi4_to_ahb.scala 169:26] - wire _T_103 = master_opc == 3'h0; // @[axi4_to_ahb.scala 182:61] - wire _T_104 = master_valid & _T_103; // @[axi4_to_ahb.scala 182:41] - wire [2:0] _T_106 = _T_104 ? 3'h6 : 3'h3; // @[axi4_to_ahb.scala 182:26] - wire _T_124 = _T_106 == 3'h6; // @[axi4_to_ahb.scala 186:174] - wire _T_125 = _T_111 & _T_124; // @[axi4_to_ahb.scala 186:88] - wire _T_137 = ~ahb_hresp_q; // @[axi4_to_ahb.scala 194:39] - wire _T_138 = ahb_hready_q & _T_137; // @[axi4_to_ahb.scala 194:37] - wire _T_141 = master_valid & _T_51; // @[axi4_to_ahb.scala 194:70] - wire _T_142 = ~_T_141; // @[axi4_to_ahb.scala 194:55] - wire _T_143 = _T_138 & _T_142; // @[axi4_to_ahb.scala 194:53] - wire _T_285 = buf_state_en & _T_137; // @[axi4_to_ahb.scala 240:36] - wire _T_286 = _T_285 & slave_ready; // @[axi4_to_ahb.scala 240:51] - wire _GEN_4 = _T_281 & _T_286; // @[Conditional.scala 39:67] - wire _GEN_26 = _T_188 ? 1'h0 : _GEN_4; // @[Conditional.scala 39:67] - wire _GEN_45 = _T_186 ? 1'h0 : _GEN_26; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_175 ? 1'h0 : _GEN_45; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_136 ? _T_143 : _GEN_62; // @[Conditional.scala 39:67] - wire _GEN_86 = _T_101 ? _T_125 : _GEN_66; // @[Conditional.scala 39:67] - wire master_ready = _T_49 | _GEN_86; // @[Conditional.scala 40:58] - wire _T_149 = master_valid & master_ready; // @[axi4_to_ahb.scala 196:82] - wire _T_152 = _T_149 & _T_103; // @[axi4_to_ahb.scala 196:97] - wire [2:0] _T_154 = _T_152 ? 3'h6 : 3'h3; // @[axi4_to_ahb.scala 196:67] - wire [2:0] _T_155 = ahb_hresp_q ? 3'h7 : _T_154; // @[axi4_to_ahb.scala 196:26] - wire _T_287 = ~slave_ready; // @[axi4_to_ahb.scala 241:42] - wire _T_288 = ahb_hresp_q | _T_287; // @[axi4_to_ahb.scala 241:40] - wire [2:0] _T_293 = _T_51 ? 3'h2 : 3'h1; // @[axi4_to_ahb.scala 241:99] - wire [2:0] _T_294 = master_valid ? _T_293 : 3'h0; // @[axi4_to_ahb.scala 241:65] - wire [2:0] _T_295 = _T_288 ? 3'h5 : _T_294; // @[axi4_to_ahb.scala 241:26] - wire [2:0] _GEN_5 = _T_281 ? _T_295 : 3'h0; // @[Conditional.scala 39:67] - wire [2:0] _GEN_18 = _T_188 ? 3'h4 : _GEN_5; // @[Conditional.scala 39:67] - wire [2:0] _GEN_34 = _T_186 ? 3'h5 : _GEN_18; // @[Conditional.scala 39:67] - wire [2:0] _GEN_50 = _T_175 ? 3'h3 : _GEN_34; // @[Conditional.scala 39:67] - wire [2:0] _GEN_68 = _T_136 ? _T_155 : _GEN_50; // @[Conditional.scala 39:67] - wire [2:0] _GEN_82 = _T_101 ? _T_106 : _GEN_68; // @[Conditional.scala 39:67] - wire [2:0] buf_nxtstate = _T_49 ? _T_53 : _GEN_82; // @[Conditional.scala 40:58] - reg [2:0] wrbuf_tag; // @[Reg.scala 27:20] - reg [31:0] wrbuf_addr; // @[lib.scala 374:16] - wire [31:0] master_addr = wr_cmd_vld ? wrbuf_addr : io_axi_ar_bits_addr; // @[axi4_to_ahb.scala 143:21] - reg [2:0] wrbuf_size; // @[Reg.scala 27:20] - wire [2:0] master_size = wr_cmd_vld ? wrbuf_size : io_axi_ar_bits_size; // @[axi4_to_ahb.scala 144:21] - reg [7:0] wrbuf_byteen; // @[Reg.scala 27:20] - reg [63:0] wrbuf_data; // @[lib.scala 374:16] - wire _T_358 = buf_nxtstate != 3'h5; // @[axi4_to_ahb.scala 251:55] - wire _T_359 = buf_state_en & _T_358; // @[axi4_to_ahb.scala 251:39] - wire _GEN_14 = _T_281 ? _T_359 : _T_440; // @[Conditional.scala 39:67] - wire _GEN_33 = _T_188 ? 1'h0 : _GEN_14; // @[Conditional.scala 39:67] - wire _GEN_49 = _T_186 ? 1'h0 : _GEN_33; // @[Conditional.scala 39:67] - wire _GEN_52 = _T_175 ? buf_state_en : _GEN_49; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_136 ? _T_285 : _GEN_52; // @[Conditional.scala 39:67] - wire _GEN_94 = _T_101 ? 1'h0 : _GEN_73; // @[Conditional.scala 39:67] - wire slave_valid_pre = _T_49 ? 1'h0 : _GEN_94; // @[Conditional.scala 40:58] - wire _T_25 = slave_valid_pre & slave_ready; // @[axi4_to_ahb.scala 149:33] - wire buf_clk = rvclkhdr_6_io_l1clk; // @[axi4_to_ahb.scala 118:21 axi4_to_ahb.scala 332:12] - reg slvbuf_write; // @[Reg.scala 27:20] - wire [1:0] _T_596 = slvbuf_write ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 285:23] - reg slvbuf_error; // @[Reg.scala 27:20] - wire [1:0] _T_598 = slvbuf_error ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_599 = _T_598 & 2'h2; // @[axi4_to_ahb.scala 285:88] - wire [3:0] slave_opc = {_T_596,_T_599}; // @[Cat.scala 29:58] - wire [1:0] _T_30 = slave_opc[1] ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 150:55] - reg [2:0] slvbuf_tag; // @[Reg.scala 27:20] - wire _T_35 = slave_opc[3:2] == 2'h0; // @[axi4_to_ahb.scala 153:66] - reg [31:0] last_bus_addr; // @[Reg.scala 27:20] - wire [63:0] _T_603 = {last_bus_addr,last_bus_addr}; // @[Cat.scala 29:58] - wire _T_604 = buf_state == 3'h5; // @[axi4_to_ahb.scala 286:91] - reg [63:0] buf_data; // @[lib.scala 374:16] - wire ahbm_data_clk = rvclkhdr_9_io_l1clk; // @[axi4_to_ahb.scala 26:27 axi4_to_ahb.scala 335:17] - reg [63:0] ahb_hrdata_q; // @[axi4_to_ahb.scala 325:57] - wire [63:0] _T_607 = _T_604 ? buf_data : ahb_hrdata_q; // @[axi4_to_ahb.scala 286:79] - wire _T_44 = io_axi_aw_valid & io_axi_aw_ready; // @[axi4_to_ahb.scala 160:57] - wire _T_45 = io_axi_w_valid & io_axi_w_ready; // @[axi4_to_ahb.scala 160:94] - wire _T_46 = _T_44 | _T_45; // @[axi4_to_ahb.scala 160:76] - wire _T_55 = buf_nxtstate == 3'h2; // @[axi4_to_ahb.scala 172:54] - wire _T_56 = buf_state_en & _T_55; // @[axi4_to_ahb.scala 172:38] - wire [2:0] _T_87 = wrbuf_byteen[6] ? 3'h6 : 3'h7; // @[Mux.scala 98:16] - wire [2:0] _T_88 = wrbuf_byteen[5] ? 3'h5 : _T_87; // @[Mux.scala 98:16] - wire [2:0] _T_89 = wrbuf_byteen[4] ? 3'h4 : _T_88; // @[Mux.scala 98:16] - wire [2:0] _T_90 = wrbuf_byteen[3] ? 3'h3 : _T_89; // @[Mux.scala 98:16] - wire [2:0] _T_91 = wrbuf_byteen[2] ? 3'h2 : _T_90; // @[Mux.scala 98:16] - wire [2:0] _T_92 = wrbuf_byteen[1] ? 3'h1 : _T_91; // @[Mux.scala 98:16] - wire [2:0] _T_93 = wrbuf_byteen[0] ? 3'h0 : _T_92; // @[Mux.scala 98:16] - wire [2:0] _T_95 = buf_write_in ? _T_93 : master_addr[2:0]; // @[axi4_to_ahb.scala 175:30] - wire _T_96 = buf_nxtstate == 3'h1; // @[axi4_to_ahb.scala 177:51] - wire _T_126 = master_ready & master_valid; // @[axi4_to_ahb.scala 188:33] - wire _T_162 = buf_nxtstate == 3'h6; // @[axi4_to_ahb.scala 203:64] - wire _T_163 = _T_126 & _T_162; // @[axi4_to_ahb.scala 203:48] - wire _T_164 = _T_163 & buf_state_en; // @[axi4_to_ahb.scala 203:79] - wire _T_349 = buf_state_en & buf_write_in; // @[axi4_to_ahb.scala 249:33] - wire _T_351 = _T_349 & _T_55; // @[axi4_to_ahb.scala 249:48] - wire _GEN_12 = _T_281 & _T_351; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_188 ? 1'h0 : _GEN_12; // @[Conditional.scala 39:67] - wire _GEN_48 = _T_186 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_65 = _T_175 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] - wire _GEN_75 = _T_136 ? _T_164 : _GEN_65; // @[Conditional.scala 39:67] - wire _GEN_88 = _T_101 ? _T_126 : _GEN_75; // @[Conditional.scala 39:67] - wire bypass_en = _T_49 ? buf_state_en : _GEN_88; // @[Conditional.scala 40:58] - wire [1:0] _T_99 = bypass_en ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_100 = _T_99 & 2'h2; // @[axi4_to_ahb.scala 178:49] - wire _T_112 = ~master_valid; // @[axi4_to_ahb.scala 184:34] - wire _T_113 = buf_state_en & _T_112; // @[axi4_to_ahb.scala 184:32] - reg [31:0] buf_addr; // @[lib.scala 374:16] - wire [2:0] _T_130 = bypass_en ? master_addr[2:0] : buf_addr[2:0]; // @[axi4_to_ahb.scala 189:30] - wire _T_131 = ~buf_state_en; // @[axi4_to_ahb.scala 190:48] - wire _T_132 = _T_131 | bypass_en; // @[axi4_to_ahb.scala 190:62] - wire [1:0] _T_134 = _T_132 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_135 = 2'h2 & _T_134; // @[axi4_to_ahb.scala 190:36] - wire _T_169 = buf_nxtstate != 3'h6; // @[axi4_to_ahb.scala 205:63] - wire _T_170 = _T_169 & buf_state_en; // @[axi4_to_ahb.scala 205:78] - wire _T_171 = ~_T_170; // @[axi4_to_ahb.scala 205:47] - wire [1:0] _T_173 = _T_171 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_174 = 2'h2 & _T_173; // @[axi4_to_ahb.scala 205:36] - wire [1:0] _T_184 = _T_131 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_185 = 2'h2 & _T_184; // @[axi4_to_ahb.scala 215:41] - reg [2:0] buf_cmd_byte_ptrQ; // @[Reg.scala 27:20] - reg [7:0] buf_byteen; // @[Reg.scala 27:20] - wire [2:0] _T_197 = buf_cmd_byte_ptrQ + 3'h1; // @[axi4_to_ahb.scala 135:52] - wire _T_200 = 3'h0 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_201 = buf_byteen[0] & _T_200; // @[axi4_to_ahb.scala 136:48] - wire _T_203 = 3'h1 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_204 = buf_byteen[1] & _T_203; // @[axi4_to_ahb.scala 136:48] - wire _T_206 = 3'h2 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_207 = buf_byteen[2] & _T_206; // @[axi4_to_ahb.scala 136:48] - wire _T_209 = 3'h3 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_210 = buf_byteen[3] & _T_209; // @[axi4_to_ahb.scala 136:48] - wire _T_212 = 3'h4 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_213 = buf_byteen[4] & _T_212; // @[axi4_to_ahb.scala 136:48] - wire _T_215 = 3'h5 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_216 = buf_byteen[5] & _T_215; // @[axi4_to_ahb.scala 136:48] - wire _T_218 = 3'h6 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_219 = buf_byteen[6] & _T_218; // @[axi4_to_ahb.scala 136:48] - wire [2:0] _T_224 = _T_219 ? 3'h6 : 3'h7; // @[Mux.scala 98:16] - wire [2:0] _T_225 = _T_216 ? 3'h5 : _T_224; // @[Mux.scala 98:16] - wire [2:0] _T_226 = _T_213 ? 3'h4 : _T_225; // @[Mux.scala 98:16] - wire [2:0] _T_227 = _T_210 ? 3'h3 : _T_226; // @[Mux.scala 98:16] - wire [2:0] _T_228 = _T_207 ? 3'h2 : _T_227; // @[Mux.scala 98:16] - wire [2:0] _T_229 = _T_204 ? 3'h1 : _T_228; // @[Mux.scala 98:16] - wire [2:0] _T_230 = _T_201 ? 3'h0 : _T_229; // @[Mux.scala 98:16] - wire [2:0] _T_231 = trxn_done ? _T_230 : buf_cmd_byte_ptrQ; // @[axi4_to_ahb.scala 233:30] - wire _T_232 = buf_cmd_byte_ptrQ == 3'h7; // @[axi4_to_ahb.scala 234:65] - reg buf_aligned; // @[Reg.scala 27:20] - wire _T_233 = buf_aligned | _T_232; // @[axi4_to_ahb.scala 234:44] - wire [7:0] _T_271 = buf_byteen >> _T_230; // @[axi4_to_ahb.scala 234:92] - wire _T_273 = ~_T_271[0]; // @[axi4_to_ahb.scala 234:163] - wire _T_274 = _T_233 | _T_273; // @[axi4_to_ahb.scala 234:79] - wire _T_275 = trxn_done & _T_274; // @[axi4_to_ahb.scala 234:29] - wire _T_346 = _T_232 | _T_273; // @[axi4_to_ahb.scala 248:38] - wire _T_347 = _T_109 & _T_346; // @[axi4_to_ahb.scala 247:80] - wire _T_348 = ahb_hresp_q | _T_347; // @[axi4_to_ahb.scala 247:34] - wire _GEN_11 = _T_281 & _T_348; // @[Conditional.scala 39:67] - wire _GEN_24 = _T_188 ? _T_275 : _GEN_11; // @[Conditional.scala 39:67] - wire _GEN_43 = _T_186 ? 1'h0 : _GEN_24; // @[Conditional.scala 39:67] - wire _GEN_61 = _T_175 ? 1'h0 : _GEN_43; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_136 ? _T_113 : _GEN_61; // @[Conditional.scala 39:67] - wire _GEN_84 = _T_101 ? _T_113 : _GEN_74; // @[Conditional.scala 39:67] - wire cmd_done = _T_49 ? 1'h0 : _GEN_84; // @[Conditional.scala 40:58] - wire _T_276 = cmd_done | cmd_doneQ; // @[axi4_to_ahb.scala 235:47] - wire _T_277 = ~_T_276; // @[axi4_to_ahb.scala 235:36] - wire [1:0] _T_279 = _T_277 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_280 = _T_279 & 2'h2; // @[axi4_to_ahb.scala 235:61] - wire _T_300 = _T_55 | _T_96; // @[axi4_to_ahb.scala 245:62] - wire _T_301 = buf_state_en & _T_300; // @[axi4_to_ahb.scala 245:33] - wire _T_354 = _T_277 | bypass_en; // @[axi4_to_ahb.scala 250:61] - wire [1:0] _T_356 = _T_354 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_357 = _T_356 & 2'h2; // @[axi4_to_ahb.scala 250:75] - wire _T_364 = trxn_done | bypass_en; // @[axi4_to_ahb.scala 253:40] - wire [2:0] _T_439 = bypass_en ? _T_93 : _T_231; // @[axi4_to_ahb.scala 254:30] - wire _GEN_6 = _T_281 & ahb_hresp_q; // @[Conditional.scala 39:67] - wire _GEN_7 = _T_281 ? buf_state_en : _T_440; // @[Conditional.scala 39:67] - wire _GEN_9 = _T_281 & _T_301; // @[Conditional.scala 39:67] - wire _GEN_30 = _T_188 ? 1'h0 : _GEN_9; // @[Conditional.scala 39:67] - wire _GEN_47 = _T_186 ? 1'h0 : _GEN_30; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_175 ? 1'h0 : _GEN_47; // @[Conditional.scala 39:67] - wire _GEN_67 = _T_136 ? _T_152 : _GEN_64; // @[Conditional.scala 39:67] - wire _GEN_87 = _T_101 ? master_ready : _GEN_67; // @[Conditional.scala 39:67] - wire buf_wr_en = _T_49 ? buf_state_en : _GEN_87; // @[Conditional.scala 40:58] - wire _GEN_10 = _T_281 & buf_wr_en; // @[Conditional.scala 39:67] - wire [1:0] _GEN_13 = _T_281 ? _T_357 : 2'h0; // @[Conditional.scala 39:67] - wire _GEN_16 = _T_281 & _T_364; // @[Conditional.scala 39:67] - wire [2:0] _GEN_17 = _T_281 ? _T_439 : 3'h0; // @[Conditional.scala 39:67] - wire _GEN_21 = _T_188 ? buf_state_en : _GEN_16; // @[Conditional.scala 39:67] - wire _GEN_22 = _T_188 & buf_state_en; // @[Conditional.scala 39:67] - wire [2:0] _GEN_23 = _T_188 ? _T_231 : _GEN_17; // @[Conditional.scala 39:67] - wire [1:0] _GEN_25 = _T_188 ? _T_280 : _GEN_13; // @[Conditional.scala 39:67] - wire _GEN_28 = _T_188 ? 1'h0 : _GEN_7; // @[Conditional.scala 39:67] - wire _GEN_31 = _T_188 ? 1'h0 : _GEN_10; // @[Conditional.scala 39:67] - wire _GEN_36 = _T_186 ? buf_state_en : _GEN_31; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_186 ? buf_state_en : _GEN_28; // @[Conditional.scala 39:67] - wire _GEN_39 = _T_186 ? buf_state_en : _GEN_22; // @[Conditional.scala 39:67] - wire _GEN_41 = _T_186 ? 1'h0 : _GEN_21; // @[Conditional.scala 39:67] - wire [2:0] _GEN_42 = _T_186 ? 3'h0 : _GEN_23; // @[Conditional.scala 39:67] - wire [1:0] _GEN_44 = _T_186 ? 2'h0 : _GEN_25; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_175 ? buf_state_en : _GEN_39; // @[Conditional.scala 39:67] - wire [2:0] _GEN_54 = _T_175 ? buf_addr[2:0] : _GEN_42; // @[Conditional.scala 39:67] - wire [1:0] _GEN_55 = _T_175 ? _T_185 : _GEN_44; // @[Conditional.scala 39:67] - wire _GEN_56 = _T_175 ? 1'h0 : _GEN_36; // @[Conditional.scala 39:67] - wire _GEN_58 = _T_175 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] - wire _GEN_60 = _T_175 ? 1'h0 : _GEN_41; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_136 ? buf_state_en : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_72 = _T_136 ? buf_state_en : _GEN_58; // @[Conditional.scala 39:67] - wire [2:0] _GEN_76 = _T_136 ? _T_130 : _GEN_54; // @[Conditional.scala 39:67] - wire [1:0] _GEN_77 = _T_136 ? _T_174 : _GEN_55; // @[Conditional.scala 39:67] - wire _GEN_78 = _T_136 ? buf_wr_en : _GEN_53; // @[Conditional.scala 39:67] - wire _GEN_80 = _T_136 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] - wire _GEN_85 = _T_101 ? buf_state_en : _GEN_78; // @[Conditional.scala 39:67] - wire [2:0] _GEN_89 = _T_101 ? _T_130 : _GEN_76; // @[Conditional.scala 39:67] - wire [1:0] _GEN_90 = _T_101 ? _T_135 : _GEN_77; // @[Conditional.scala 39:67] - wire _GEN_91 = _T_101 ? 1'h0 : _GEN_70; // @[Conditional.scala 39:67] - wire _GEN_93 = _T_101 ? 1'h0 : _GEN_72; // @[Conditional.scala 39:67] - wire _GEN_96 = _T_101 ? 1'h0 : _GEN_80; // @[Conditional.scala 39:67] - wire buf_data_wr_en = _T_49 ? _T_56 : _GEN_91; // @[Conditional.scala 40:58] - wire buf_cmd_byte_ptr_en = _T_49 ? buf_state_en : _GEN_96; // @[Conditional.scala 40:58] - wire [2:0] buf_cmd_byte_ptr = _T_49 ? _T_95 : _GEN_89; // @[Conditional.scala 40:58] - wire slvbuf_wr_en = _T_49 ? 1'h0 : _GEN_85; // @[Conditional.scala 40:58] - wire slvbuf_error_en = _T_49 ? 1'h0 : _GEN_93; // @[Conditional.scala 40:58] - wire _T_535 = master_size[1:0] == 2'h0; // @[axi4_to_ahb.scala 271:24] - wire _T_536 = _T_103 | _T_535; // @[axi4_to_ahb.scala 270:48] - wire _T_538 = master_size[1:0] == 2'h1; // @[axi4_to_ahb.scala 271:54] - wire _T_539 = _T_536 | _T_538; // @[axi4_to_ahb.scala 271:33] - wire _T_541 = master_size[1:0] == 2'h2; // @[axi4_to_ahb.scala 271:93] - wire _T_542 = _T_539 | _T_541; // @[axi4_to_ahb.scala 271:72] - wire _T_544 = master_size[1:0] == 2'h3; // @[axi4_to_ahb.scala 272:25] - wire _T_546 = wrbuf_byteen == 8'h3; // @[axi4_to_ahb.scala 272:62] - wire _T_548 = wrbuf_byteen == 8'hc; // @[axi4_to_ahb.scala 272:97] - wire _T_549 = _T_546 | _T_548; // @[axi4_to_ahb.scala 272:74] - wire _T_551 = wrbuf_byteen == 8'h30; // @[axi4_to_ahb.scala 272:132] - wire _T_552 = _T_549 | _T_551; // @[axi4_to_ahb.scala 272:109] - wire _T_554 = wrbuf_byteen == 8'hc0; // @[axi4_to_ahb.scala 272:168] - wire _T_555 = _T_552 | _T_554; // @[axi4_to_ahb.scala 272:145] - wire _T_557 = wrbuf_byteen == 8'hf; // @[axi4_to_ahb.scala 273:28] - wire _T_558 = _T_555 | _T_557; // @[axi4_to_ahb.scala 272:181] - wire _T_560 = wrbuf_byteen == 8'hf0; // @[axi4_to_ahb.scala 273:63] - wire _T_561 = _T_558 | _T_560; // @[axi4_to_ahb.scala 273:40] - wire _T_563 = wrbuf_byteen == 8'hff; // @[axi4_to_ahb.scala 273:99] - wire _T_564 = _T_561 | _T_563; // @[axi4_to_ahb.scala 273:76] - wire _T_565 = _T_544 & _T_564; // @[axi4_to_ahb.scala 272:38] - wire buf_aligned_in = _T_542 | _T_565; // @[axi4_to_ahb.scala 271:106] - wire _T_444 = buf_aligned_in & _T_51; // @[axi4_to_ahb.scala 265:60] - wire [2:0] _T_461 = _T_548 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_462 = 3'h2 & _T_461; // @[axi4_to_ahb.scala 128:15] - wire _T_468 = _T_560 | _T_546; // @[axi4_to_ahb.scala 129:56] - wire [2:0] _T_470 = _T_468 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_471 = 3'h4 & _T_470; // @[axi4_to_ahb.scala 129:15] - wire [2:0] _T_472 = _T_462 | _T_471; // @[axi4_to_ahb.scala 128:63] - wire [2:0] _T_476 = _T_554 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_477 = 3'h6 & _T_476; // @[axi4_to_ahb.scala 130:15] - wire [2:0] _T_478 = _T_472 | _T_477; // @[axi4_to_ahb.scala 129:96] - wire [2:0] _T_485 = _T_444 ? _T_478 : master_addr[2:0]; // @[axi4_to_ahb.scala 265:43] - wire _T_489 = buf_state == 3'h3; // @[axi4_to_ahb.scala 268:33] - wire _T_495 = buf_aligned_in & _T_544; // @[axi4_to_ahb.scala 269:38] - wire _T_498 = _T_495 & _T_51; // @[axi4_to_ahb.scala 269:71] - wire [1:0] _T_504 = _T_563 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_510 = _T_560 | _T_557; // @[axi4_to_ahb.scala 122:55] - wire [1:0] _T_512 = _T_510 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_513 = 2'h2 & _T_512; // @[axi4_to_ahb.scala 122:16] - wire [1:0] _T_514 = _T_504 | _T_513; // @[axi4_to_ahb.scala 121:64] - wire _T_519 = _T_554 | _T_551; // @[axi4_to_ahb.scala 123:60] - wire _T_522 = _T_519 | _T_548; // @[axi4_to_ahb.scala 123:89] - wire _T_525 = _T_522 | _T_546; // @[axi4_to_ahb.scala 123:123] - wire [1:0] _T_527 = _T_525 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_528 = 2'h1 & _T_527; // @[axi4_to_ahb.scala 123:21] - wire [1:0] _T_529 = _T_514 | _T_528; // @[axi4_to_ahb.scala 122:93] - wire [1:0] _T_531 = _T_498 ? _T_529 : master_size[1:0]; // @[axi4_to_ahb.scala 269:21] - wire [31:0] _T_570 = {master_addr[31:3],buf_cmd_byte_ptr}; // @[Cat.scala 29:58] - wire [31:0] _T_573 = {buf_addr[31:3],buf_cmd_byte_ptr}; // @[Cat.scala 29:58] - wire [1:0] _T_577 = buf_aligned_in ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [2:0] buf_size_in = {{1'd0}, _T_531}; // @[axi4_to_ahb.scala 269:15] - wire [1:0] _T_579 = _T_577 & buf_size_in[1:0]; // @[axi4_to_ahb.scala 276:81] - wire [2:0] _T_580 = {1'h0,_T_579}; // @[Cat.scala 29:58] - wire [1:0] _T_582 = buf_aligned ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - reg [1:0] buf_size; // @[Reg.scala 27:20] - wire [1:0] _T_584 = _T_582 & buf_size; // @[axi4_to_ahb.scala 276:138] - wire [2:0] _T_585 = {1'h0,_T_584}; // @[Cat.scala 29:58] - wire _T_588 = ~io_axi_ar_bits_prot[2]; // @[axi4_to_ahb.scala 280:37] - wire [1:0] _T_589 = {1'h1,_T_588}; // @[Cat.scala 29:58] - reg buf_write; // @[Reg.scala 27:20] - wire _T_611 = io_ahb_out_htrans != 2'h0; // @[axi4_to_ahb.scala 289:44] - wire _T_612 = _T_611 & io_ahb_in_hready; // @[axi4_to_ahb.scala 289:56] - wire last_addr_en = _T_612 & io_ahb_out_hwrite; // @[axi4_to_ahb.scala 289:75] - wire wrbuf_en = _T_44 & master_ready; // @[axi4_to_ahb.scala 291:49] - wire wrbuf_data_en = _T_45 & master_ready; // @[axi4_to_ahb.scala 292:52] - wire wrbuf_cmd_sent = _T_149 & _T_51; // @[axi4_to_ahb.scala 293:49] - wire _T_622 = ~wrbuf_en; // @[axi4_to_ahb.scala 294:33] - wire wrbuf_rst = wrbuf_cmd_sent & _T_622; // @[axi4_to_ahb.scala 294:31] - wire _T_624 = ~wrbuf_cmd_sent; // @[axi4_to_ahb.scala 296:36] - wire _T_625 = wrbuf_vld & _T_624; // @[axi4_to_ahb.scala 296:34] - wire _T_626 = ~_T_625; // @[axi4_to_ahb.scala 296:22] - wire _T_629 = wrbuf_data_vld & _T_624; // @[axi4_to_ahb.scala 297:38] - wire _T_630 = ~_T_629; // @[axi4_to_ahb.scala 297:21] - wire _T_633 = ~wr_cmd_vld; // @[axi4_to_ahb.scala 298:22] - wire _T_636 = wrbuf_en | wrbuf_vld; // @[axi4_to_ahb.scala 301:55] - wire _T_637 = ~wrbuf_rst; // @[axi4_to_ahb.scala 301:91] - wire _T_641 = wrbuf_data_en | wrbuf_data_vld; // @[axi4_to_ahb.scala 302:55] - reg [2:0] buf_tag; // @[Reg.scala 27:20] - wire _T_691 = ~slave_valid_pre; // @[axi4_to_ahb.scala 319:92] - wire _T_704 = buf_wr_en | slvbuf_wr_en; // @[axi4_to_ahb.scala 327:43] - wire _T_705 = _T_704 | io_clk_override; // @[axi4_to_ahb.scala 327:58] - wire _T_708 = io_ahb_in_hready & io_ahb_out_htrans[1]; // @[axi4_to_ahb.scala 328:57] - wire _T_709 = _T_708 | io_clk_override; // @[axi4_to_ahb.scala 328:81] - wire _T_711 = buf_state != 3'h0; // @[axi4_to_ahb.scala 329:50] - wire _T_712 = _T_711 | io_clk_override; // @[axi4_to_ahb.scala 329:60] - rvclkhdr rvclkhdr ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_io_l1clk), - .io_clk(rvclkhdr_io_clk), - .io_en(rvclkhdr_io_en), - .io_scan_mode(rvclkhdr_io_scan_mode) - ); - rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_1_io_l1clk), - .io_clk(rvclkhdr_1_io_clk), - .io_en(rvclkhdr_1_io_en), - .io_scan_mode(rvclkhdr_1_io_scan_mode) - ); - rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] - .io_l1clk(rvclkhdr_2_io_l1clk), - .io_clk(rvclkhdr_2_io_clk), - .io_en(rvclkhdr_2_io_en), - .io_scan_mode(rvclkhdr_2_io_scan_mode) - ); - rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] - .io_l1clk(rvclkhdr_3_io_l1clk), - .io_clk(rvclkhdr_3_io_clk), - .io_en(rvclkhdr_3_io_en), - .io_scan_mode(rvclkhdr_3_io_scan_mode) - ); - rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] - .io_l1clk(rvclkhdr_4_io_l1clk), - .io_clk(rvclkhdr_4_io_clk), - .io_en(rvclkhdr_4_io_en), - .io_scan_mode(rvclkhdr_4_io_scan_mode) - ); - rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] - .io_l1clk(rvclkhdr_5_io_l1clk), - .io_clk(rvclkhdr_5_io_clk), - .io_en(rvclkhdr_5_io_en), - .io_scan_mode(rvclkhdr_5_io_scan_mode) - ); - rvclkhdr rvclkhdr_6 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_6_io_l1clk), - .io_clk(rvclkhdr_6_io_clk), - .io_en(rvclkhdr_6_io_en), - .io_scan_mode(rvclkhdr_6_io_scan_mode) - ); - rvclkhdr rvclkhdr_7 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_7_io_l1clk), - .io_clk(rvclkhdr_7_io_clk), - .io_en(rvclkhdr_7_io_en), - .io_scan_mode(rvclkhdr_7_io_scan_mode) - ); - rvclkhdr rvclkhdr_8 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_8_io_l1clk), - .io_clk(rvclkhdr_8_io_clk), - .io_en(rvclkhdr_8_io_en), - .io_scan_mode(rvclkhdr_8_io_scan_mode) - ); - rvclkhdr rvclkhdr_9 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_9_io_l1clk), - .io_clk(rvclkhdr_9_io_clk), - .io_en(rvclkhdr_9_io_en), - .io_scan_mode(rvclkhdr_9_io_scan_mode) - ); - assign io_axi_aw_ready = _T_626 & master_ready; // @[axi4_to_ahb.scala 296:19] - assign io_axi_w_ready = _T_630 & master_ready; // @[axi4_to_ahb.scala 297:18] - assign io_axi_b_valid = _T_25 & slave_opc[3]; // @[axi4_to_ahb.scala 149:18] - assign io_axi_b_bits_resp = slave_opc[0] ? 2'h2 : _T_30; // @[axi4_to_ahb.scala 150:22] - assign io_axi_b_bits_id = slvbuf_tag; // @[axi4_to_ahb.scala 151:20] - assign io_axi_ar_ready = _T_633 & master_ready; // @[axi4_to_ahb.scala 298:19] - assign io_axi_r_valid = _T_25 & _T_35; // @[axi4_to_ahb.scala 153:18] - assign io_axi_r_bits_id = slvbuf_tag; // @[axi4_to_ahb.scala 155:20] - assign io_axi_r_bits_data = slvbuf_error ? _T_603 : _T_607; // @[axi4_to_ahb.scala 156:22] - assign io_axi_r_bits_resp = slave_opc[0] ? 2'h2 : _T_30; // @[axi4_to_ahb.scala 154:22] - assign io_axi_r_bits_last = 1'h1; // @[axi4_to_ahb.scala 299:22] - assign io_ahb_out_haddr = bypass_en ? _T_570 : _T_573; // @[axi4_to_ahb.scala 275:20] - assign io_ahb_out_hburst = 3'h0; // @[axi4_to_ahb.scala 278:21] - assign io_ahb_out_hmastlock = 1'h0; // @[axi4_to_ahb.scala 279:24] - assign io_ahb_out_hprot = {{2'd0}, _T_589}; // @[axi4_to_ahb.scala 280:20] - assign io_ahb_out_hsize = bypass_en ? _T_580 : _T_585; // @[axi4_to_ahb.scala 276:20] - assign io_ahb_out_htrans = _T_49 ? _T_100 : _GEN_90; // @[axi4_to_ahb.scala 22:21 axi4_to_ahb.scala 178:25 axi4_to_ahb.scala 190:25 axi4_to_ahb.scala 205:25 axi4_to_ahb.scala 215:25 axi4_to_ahb.scala 235:25 axi4_to_ahb.scala 250:25] - assign io_ahb_out_hwrite = bypass_en ? _T_51 : buf_write; // @[axi4_to_ahb.scala 281:21] - assign io_ahb_out_hwdata = buf_data; // @[axi4_to_ahb.scala 282:21] - assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_io_en = io_bus_clk_en; // @[lib.scala 345:16] - assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_1_io_en = io_bus_clk_en & _T_46; // @[lib.scala 345:16] - assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_2_io_clk = rvclkhdr_io_l1clk; // @[lib.scala 370:18] - assign rvclkhdr_2_io_en = _T_44 & master_ready; // @[lib.scala 371:17] - assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign rvclkhdr_3_io_clk = rvclkhdr_io_l1clk; // @[lib.scala 370:18] - assign rvclkhdr_3_io_en = _T_45 & master_ready; // @[lib.scala 371:17] - assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_4_io_en = buf_wr_en & io_bus_clk_en; // @[lib.scala 371:17] - assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_5_io_en = buf_data_wr_en & io_bus_clk_en; // @[lib.scala 371:17] - assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign rvclkhdr_6_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_6_io_en = io_bus_clk_en & _T_705; // @[lib.scala 345:16] - assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_7_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_7_io_en = io_bus_clk_en; // @[lib.scala 345:16] - assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_8_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_8_io_en = io_bus_clk_en & _T_709; // @[lib.scala 345:16] - assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_9_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_9_io_en = io_bus_clk_en & _T_712; // @[lib.scala 345:16] - assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] -`ifdef RANDOMIZE_GARBAGE_ASSIGN -`define RANDOMIZE -`endif -`ifdef RANDOMIZE_INVALID_ASSIGN -`define RANDOMIZE -`endif -`ifdef RANDOMIZE_REG_INIT -`define RANDOMIZE -`endif -`ifdef RANDOMIZE_MEM_INIT -`define RANDOMIZE -`endif -`ifndef RANDOM -`define RANDOM $random -`endif -`ifdef RANDOMIZE_MEM_INIT - integer initvar; -`endif -`ifndef SYNTHESIS -`ifdef FIRRTL_BEFORE_INITIAL -`FIRRTL_BEFORE_INITIAL -`endif -initial begin - `ifdef RANDOMIZE - `ifdef INIT_RANDOM - `INIT_RANDOM - `endif - `ifndef VERILATOR - `ifdef RANDOMIZE_DELAY - #`RANDOMIZE_DELAY begin end - `else - #0.002 begin end - `endif - `endif -`ifdef RANDOMIZE_REG_INIT - _RAND_0 = {1{`RANDOM}}; - buf_state = _RAND_0[2:0]; - _RAND_1 = {1{`RANDOM}}; - wrbuf_vld = _RAND_1[0:0]; - _RAND_2 = {1{`RANDOM}}; - wrbuf_data_vld = _RAND_2[0:0]; - _RAND_3 = {1{`RANDOM}}; - ahb_hready_q = _RAND_3[0:0]; - _RAND_4 = {1{`RANDOM}}; - ahb_htrans_q = _RAND_4[1:0]; - _RAND_5 = {1{`RANDOM}}; - ahb_hwrite_q = _RAND_5[0:0]; - _RAND_6 = {1{`RANDOM}}; - ahb_hresp_q = _RAND_6[0:0]; - _RAND_7 = {1{`RANDOM}}; - cmd_doneQ = _RAND_7[0:0]; - _RAND_8 = {1{`RANDOM}}; - wrbuf_tag = _RAND_8[2:0]; - _RAND_9 = {1{`RANDOM}}; - wrbuf_addr = _RAND_9[31:0]; - _RAND_10 = {1{`RANDOM}}; - wrbuf_size = _RAND_10[2:0]; - _RAND_11 = {1{`RANDOM}}; - wrbuf_byteen = _RAND_11[7:0]; - _RAND_12 = {2{`RANDOM}}; - wrbuf_data = _RAND_12[63:0]; - _RAND_13 = {1{`RANDOM}}; - slvbuf_write = _RAND_13[0:0]; - _RAND_14 = {1{`RANDOM}}; - slvbuf_error = _RAND_14[0:0]; - _RAND_15 = {1{`RANDOM}}; - slvbuf_tag = _RAND_15[2:0]; - _RAND_16 = {1{`RANDOM}}; - last_bus_addr = _RAND_16[31:0]; - _RAND_17 = {2{`RANDOM}}; - buf_data = _RAND_17[63:0]; - _RAND_18 = {2{`RANDOM}}; - ahb_hrdata_q = _RAND_18[63:0]; - _RAND_19 = {1{`RANDOM}}; - buf_addr = _RAND_19[31:0]; - _RAND_20 = {1{`RANDOM}}; - buf_cmd_byte_ptrQ = _RAND_20[2:0]; - _RAND_21 = {1{`RANDOM}}; - buf_byteen = _RAND_21[7:0]; - _RAND_22 = {1{`RANDOM}}; - buf_aligned = _RAND_22[0:0]; - _RAND_23 = {1{`RANDOM}}; - buf_size = _RAND_23[1:0]; - _RAND_24 = {1{`RANDOM}}; - buf_write = _RAND_24[0:0]; - _RAND_25 = {1{`RANDOM}}; - buf_tag = _RAND_25[2:0]; -`endif // RANDOMIZE_REG_INIT - if (reset) begin - buf_state = 3'h0; - end - if (reset) begin - wrbuf_vld = 1'h0; - end - if (reset) begin - wrbuf_data_vld = 1'h0; - end - if (reset) begin - ahb_hready_q = 1'h0; - end - if (reset) begin - ahb_htrans_q = 2'h0; - end - if (reset) begin - ahb_hwrite_q = 1'h0; - end - if (reset) begin - ahb_hresp_q = 1'h0; - end - if (reset) begin - cmd_doneQ = 1'h0; - end - if (reset) begin - wrbuf_tag = 3'h0; - end - if (reset) begin - wrbuf_addr = 32'h0; - end - if (reset) begin - wrbuf_size = 3'h0; - end - if (reset) begin - wrbuf_byteen = 8'h0; - end - if (reset) begin - wrbuf_data = 64'h0; - end - if (reset) begin - slvbuf_write = 1'h0; - end - if (reset) begin - slvbuf_error = 1'h0; - end - if (reset) begin - slvbuf_tag = 3'h0; - end - if (reset) begin - last_bus_addr = 32'h0; - end - if (reset) begin - buf_data = 64'h0; - end - if (reset) begin - ahb_hrdata_q = 64'h0; - end - if (reset) begin - buf_addr = 32'h0; - end - if (reset) begin - buf_cmd_byte_ptrQ = 3'h0; - end - if (reset) begin - buf_byteen = 8'h0; - end - if (reset) begin - buf_aligned = 1'h0; - end - if (reset) begin - buf_size = 2'h0; - end - if (reset) begin - buf_write = 1'h0; - end - if (reset) begin - buf_tag = 3'h0; - end - `endif // RANDOMIZE -end // initial -`ifdef FIRRTL_AFTER_INITIAL -`FIRRTL_AFTER_INITIAL -`endif -`endif // SYNTHESIS - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - buf_state <= 3'h0; - end else if (buf_state_en) begin - if (_T_49) begin - if (buf_write_in) begin - buf_state <= 3'h2; - end else begin - buf_state <= 3'h1; - end - end else if (_T_101) begin - if (_T_104) begin - buf_state <= 3'h6; - end else begin - buf_state <= 3'h3; - end - end else if (_T_136) begin - if (ahb_hresp_q) begin - buf_state <= 3'h7; - end else if (_T_152) begin - buf_state <= 3'h6; - end else begin - buf_state <= 3'h3; - end - end else if (_T_175) begin - buf_state <= 3'h3; - end else if (_T_186) begin - buf_state <= 3'h5; - end else if (_T_188) begin - buf_state <= 3'h4; - end else if (_T_281) begin - if (_T_288) begin - buf_state <= 3'h5; - end else if (master_valid) begin - if (_T_51) begin - buf_state <= 3'h2; - end else begin - buf_state <= 3'h1; - end - end else begin - buf_state <= 3'h0; - end - end else begin - buf_state <= 3'h0; - end - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - wrbuf_vld <= 1'h0; - end else begin - wrbuf_vld <= _T_636 & _T_637; - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - wrbuf_data_vld <= 1'h0; - end else begin - wrbuf_data_vld <= _T_641 & _T_637; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - ahb_hready_q <= 1'h0; - end else begin - ahb_hready_q <= io_ahb_in_hready; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - ahb_htrans_q <= 2'h0; - end else begin - ahb_htrans_q <= io_ahb_out_htrans; - end - end - always @(posedge ahbm_addr_clk or posedge reset) begin - if (reset) begin - ahb_hwrite_q <= 1'h0; - end else begin - ahb_hwrite_q <= io_ahb_out_hwrite; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - ahb_hresp_q <= 1'h0; - end else begin - ahb_hresp_q <= io_ahb_in_hresp; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - cmd_doneQ <= 1'h0; - end else begin - cmd_doneQ <= _T_276 & _T_691; - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - wrbuf_tag <= 3'h0; - end else if (wrbuf_en) begin - wrbuf_tag <= io_axi_aw_bits_id; - end - end - always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin - if (reset) begin - wrbuf_addr <= 32'h0; - end else begin - wrbuf_addr <= io_axi_aw_bits_addr; - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - wrbuf_size <= 3'h0; - end else if (wrbuf_en) begin - wrbuf_size <= io_axi_aw_bits_size; - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - wrbuf_byteen <= 8'h0; - end else if (wrbuf_data_en) begin - wrbuf_byteen <= io_axi_w_bits_strb; - end - end - always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin - if (reset) begin - wrbuf_data <= 64'h0; - end else begin - wrbuf_data <= io_axi_w_bits_data; - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - slvbuf_write <= 1'h0; - end else if (slvbuf_wr_en) begin - slvbuf_write <= buf_write; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - slvbuf_error <= 1'h0; - end else if (slvbuf_error_en) begin - if (_T_49) begin - slvbuf_error <= 1'h0; - end else if (_T_101) begin - slvbuf_error <= 1'h0; - end else if (_T_136) begin - slvbuf_error <= ahb_hresp_q; - end else if (_T_175) begin - slvbuf_error <= 1'h0; - end else if (_T_186) begin - slvbuf_error <= ahb_hresp_q; - end else if (_T_188) begin - slvbuf_error <= 1'h0; - end else begin - slvbuf_error <= _GEN_6; - end - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - slvbuf_tag <= 3'h0; - end else if (slvbuf_wr_en) begin - slvbuf_tag <= buf_tag; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - last_bus_addr <= 32'h0; - end else if (last_addr_en) begin - last_bus_addr <= io_ahb_out_haddr; - end - end - always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin - if (reset) begin - buf_data <= 64'h0; - end else if (_T_489) begin - buf_data <= ahb_hrdata_q; - end else begin - buf_data <= wrbuf_data; - end - end - always @(posedge ahbm_data_clk or posedge reset) begin - if (reset) begin - ahb_hrdata_q <= 64'h0; - end else begin - ahb_hrdata_q <= io_ahb_in_hrdata; - end - end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin - if (reset) begin - buf_addr <= 32'h0; - end else begin - buf_addr <= {master_addr[31:3],_T_485}; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - buf_cmd_byte_ptrQ <= 3'h0; - end else if (buf_cmd_byte_ptr_en) begin - if (_T_49) begin - if (buf_write_in) begin - if (wrbuf_byteen[0]) begin - buf_cmd_byte_ptrQ <= 3'h0; - end else if (wrbuf_byteen[1]) begin - buf_cmd_byte_ptrQ <= 3'h1; - end else if (wrbuf_byteen[2]) begin - buf_cmd_byte_ptrQ <= 3'h2; - end else if (wrbuf_byteen[3]) begin - buf_cmd_byte_ptrQ <= 3'h3; - end else if (wrbuf_byteen[4]) begin - buf_cmd_byte_ptrQ <= 3'h4; - end else if (wrbuf_byteen[5]) begin - buf_cmd_byte_ptrQ <= 3'h5; - end else if (wrbuf_byteen[6]) begin - buf_cmd_byte_ptrQ <= 3'h6; - end else begin - buf_cmd_byte_ptrQ <= 3'h7; - end - end else begin - buf_cmd_byte_ptrQ <= master_addr[2:0]; - end - end else if (_T_101) begin - if (bypass_en) begin - buf_cmd_byte_ptrQ <= master_addr[2:0]; - end else begin - buf_cmd_byte_ptrQ <= buf_addr[2:0]; - end - end else if (_T_136) begin - if (bypass_en) begin - buf_cmd_byte_ptrQ <= master_addr[2:0]; - end else begin - buf_cmd_byte_ptrQ <= buf_addr[2:0]; - end - end else if (_T_175) begin - buf_cmd_byte_ptrQ <= buf_addr[2:0]; - end else if (_T_186) begin - buf_cmd_byte_ptrQ <= 3'h0; - end else if (_T_188) begin - if (trxn_done) begin - if (_T_201) begin - buf_cmd_byte_ptrQ <= 3'h0; - end else if (_T_204) begin - buf_cmd_byte_ptrQ <= 3'h1; - end else if (_T_207) begin - buf_cmd_byte_ptrQ <= 3'h2; - end else if (_T_210) begin - buf_cmd_byte_ptrQ <= 3'h3; - end else if (_T_213) begin - buf_cmd_byte_ptrQ <= 3'h4; - end else if (_T_216) begin - buf_cmd_byte_ptrQ <= 3'h5; - end else if (_T_219) begin - buf_cmd_byte_ptrQ <= 3'h6; - end else begin - buf_cmd_byte_ptrQ <= 3'h7; - end - end - end else if (_T_281) begin - if (bypass_en) begin - if (wrbuf_byteen[0]) begin - buf_cmd_byte_ptrQ <= 3'h0; - end else if (wrbuf_byteen[1]) begin - buf_cmd_byte_ptrQ <= 3'h1; - end else if (wrbuf_byteen[2]) begin - buf_cmd_byte_ptrQ <= 3'h2; - end else if (wrbuf_byteen[3]) begin - buf_cmd_byte_ptrQ <= 3'h3; - end else if (wrbuf_byteen[4]) begin - buf_cmd_byte_ptrQ <= 3'h4; - end else if (wrbuf_byteen[5]) begin - buf_cmd_byte_ptrQ <= 3'h5; - end else if (wrbuf_byteen[6]) begin - buf_cmd_byte_ptrQ <= 3'h6; - end else begin - buf_cmd_byte_ptrQ <= 3'h7; - end - end else if (trxn_done) begin - if (_T_201) begin - buf_cmd_byte_ptrQ <= 3'h0; - end else if (_T_204) begin - buf_cmd_byte_ptrQ <= 3'h1; - end else if (_T_207) begin - buf_cmd_byte_ptrQ <= 3'h2; - end else if (_T_210) begin - buf_cmd_byte_ptrQ <= 3'h3; - end else if (_T_213) begin - buf_cmd_byte_ptrQ <= 3'h4; - end else if (_T_216) begin - buf_cmd_byte_ptrQ <= 3'h5; - end else if (_T_219) begin - buf_cmd_byte_ptrQ <= 3'h6; - end else begin - buf_cmd_byte_ptrQ <= 3'h7; - end - end - end else begin - buf_cmd_byte_ptrQ <= 3'h0; - end - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - buf_byteen <= 8'h0; - end else if (buf_wr_en) begin - buf_byteen <= wrbuf_byteen; - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - buf_aligned <= 1'h0; - end else if (buf_wr_en) begin - buf_aligned <= buf_aligned_in; - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - buf_size <= 2'h0; - end else if (buf_wr_en) begin - buf_size <= buf_size_in[1:0]; - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - buf_write <= 1'h0; - end else if (buf_wr_en) begin - if (_T_49) begin - buf_write <= _T_51; - end else if (_T_101) begin - buf_write <= 1'h0; - end else if (_T_136) begin - buf_write <= 1'h0; - end else if (_T_175) begin - buf_write <= 1'h0; - end else if (_T_186) begin - buf_write <= 1'h0; - end else if (_T_188) begin - buf_write <= 1'h0; - end else begin - buf_write <= _GEN_8; - end - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - buf_tag <= 3'h0; - end else if (buf_wr_en) begin - if (wr_cmd_vld) begin - buf_tag <= wrbuf_tag; - end else begin - buf_tag <= io_axi_ar_bits_id; - end - end - end -endmodule diff --git a/dbg.anno.json b/dbg.anno.json new file mode 100644 index 00000000..25f4fa23 --- /dev/null +++ b/dbg.anno.json @@ -0,0 +1,89 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dbg|dbg>io_dbg_dma_dbg_ib_dbg_cmd_valid", + "sources":[ + "~dbg|dbg>io_dbg_dec_dbg_ib_dbg_cmd_valid", + "~dbg|dbg>io_dbg_dma_io_dma_dbg_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dbg|dbg>io_dbg_dec_dbg_ib_dbg_cmd_valid", + "sources":[ + "~dbg|dbg>io_dbg_dma_io_dma_dbg_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dbg|dbg>io_dbg_dma_dbg_ib_dbg_cmd_addr", + "sources":[ + "~dbg|dbg>io_dbg_dec_dbg_ib_dbg_cmd_addr" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dbg|dbg>io_dbg_dma_dbg_dctl_dbg_cmd_wrdata", + "sources":[ + "~dbg|dbg>io_dbg_dec_dbg_dctl_dbg_cmd_wrdata" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dbg|dbg>io_dbg_dma_dbg_ib_dbg_cmd_type", + "sources":[ + "~dbg|dbg>io_dbg_dec_dbg_ib_dbg_cmd_type" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dbg|dbg>io_dbg_dma_dbg_ib_dbg_cmd_write", + "sources":[ + "~dbg|dbg>io_dbg_dec_dbg_ib_dbg_cmd_write" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~dbg|dbg>io_dbg_resume_req", + "sources":[ + "~dbg|dbg>io_dec_tlu_mpc_halted_only", + "~dbg|dbg>io_dec_tlu_debug_mode", + "~dbg|dbg>io_dbg_dec_dbg_ib_dbg_cmd_valid", + "~dbg|dbg>io_core_dbg_cmd_done", + "~dbg|dbg>io_dmi_reg_wr_en", + "~dbg|dbg>io_dmi_reg_en", + "~dbg|dbg>io_dbg_dma_io_dma_dbg_ready", + "~dbg|dbg>io_dmi_reg_addr", + "~dbg|dbg>reset" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"dbg.gated_latch", + "resourceId":"/vsrc/gated_latch.v" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~dbg|dbg>rst_temp" + }, + { + "class":"firrtl.transforms.DontTouchAnnotation", + "target":"~dbg|dbg>dbg_dm_rst_l" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"dbg" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/dbg.fir b/dbg.fir new file mode 100644 index 00000000..12311978 --- /dev/null +++ b/dbg.fir @@ -0,0 +1,1280 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit dbg : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module dbg : + input clock : Clock + input reset : AsyncReset + output io : {dbg_cmd_size : UInt<2>, dbg_core_rst_l : UInt<1>, flip core_dbg_rddata : UInt<32>, flip core_dbg_cmd_done : UInt<1>, flip core_dbg_cmd_fail : UInt<1>, dbg_halt_req : UInt<1>, dbg_resume_req : UInt<1>, flip dec_tlu_debug_mode : UInt<1>, flip dec_tlu_dbg_halted : UInt<1>, flip dec_tlu_mpc_halted_only : UInt<1>, flip dec_tlu_resume_ack : UInt<1>, flip dmi_reg_en : UInt<1>, flip dmi_reg_addr : UInt<7>, flip dmi_reg_wr_en : UInt<1>, flip dmi_reg_wdata : UInt<32>, dmi_reg_rdata : UInt<32>, sb_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dbg_dec : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<32>}}, flip dbg_dma : {dbg_ib : {flip dbg_cmd_valid : UInt<1>, flip dbg_cmd_write : UInt<1>, flip dbg_cmd_type : UInt<2>, flip dbg_cmd_addr : UInt<32>}, dbg_dctl : {flip dbg_cmd_wrdata : UInt<32>}}, flip dbg_dma_io : {flip dbg_dma_bubble : UInt<1>, dma_dbg_ready : UInt<1>}, flip dbg_bus_clk_en : UInt<1>, flip dbg_rst_l : UInt<1>, flip clk_override : UInt<1>, flip scan_mode : UInt<1>} + + wire dbg_state : UInt<3> + dbg_state <= UInt<3>("h00") + wire dbg_state_en : UInt<1> + dbg_state_en <= UInt<1>("h00") + wire sb_state : UInt<4> + sb_state <= UInt<4>("h00") + wire sb_state_en : UInt<1> + sb_state_en <= UInt<1>("h00") + wire dmcontrol_reg : UInt<32> + dmcontrol_reg <= UInt<32>("h00") + wire sbaddress0_reg : UInt<32> + sbaddress0_reg <= UInt<32>("h00") + wire sbcs_sbbusy_wren : UInt<1> + sbcs_sbbusy_wren <= UInt<1>("h00") + wire sbcs_sberror_wren : UInt<1> + sbcs_sberror_wren <= UInt<1>("h00") + wire sb_bus_rdata : UInt<64> + sb_bus_rdata <= UInt<64>("h00") + wire sbaddress0_reg_wren1 : UInt<1> + sbaddress0_reg_wren1 <= UInt<1>("h00") + wire dmstatus_reg : UInt<32> + dmstatus_reg <= UInt<32>("h00") + wire dmstatus_havereset : UInt<1> + dmstatus_havereset <= UInt<1>("h00") + wire dmstatus_resumeack : UInt<1> + dmstatus_resumeack <= UInt<1>("h00") + wire dmstatus_unavail : UInt<1> + dmstatus_unavail <= UInt<1>("h00") + wire dmstatus_running : UInt<1> + dmstatus_running <= UInt<1>("h00") + wire dmstatus_halted : UInt<1> + dmstatus_halted <= UInt<1>("h00") + wire abstractcs_busy_wren : UInt<1> + abstractcs_busy_wren <= UInt<1>("h00") + wire abstractcs_busy_din : UInt<1> + abstractcs_busy_din <= UInt<1>("h00") + wire sb_bus_cmd_read : UInt<1> + sb_bus_cmd_read <= UInt<1>("h00") + wire sb_bus_cmd_write_addr : UInt<1> + sb_bus_cmd_write_addr <= UInt<1>("h00") + wire sb_bus_cmd_write_data : UInt<1> + sb_bus_cmd_write_data <= UInt<1>("h00") + wire sb_bus_rsp_read : UInt<1> + sb_bus_rsp_read <= UInt<1>("h00") + wire sb_bus_rsp_error : UInt<1> + sb_bus_rsp_error <= UInt<1>("h00") + wire sb_bus_rsp_write : UInt<1> + sb_bus_rsp_write <= UInt<1>("h00") + wire sbcs_sbbusy_din : UInt<1> + sbcs_sbbusy_din <= UInt<1>("h00") + wire sbcs_sberror_din : UInt<3> + sbcs_sberror_din <= UInt<3>("h00") + wire data1_reg : UInt<32> + data1_reg <= UInt<32>("h00") + wire sbcs_reg : UInt<32> + sbcs_reg <= UInt<32>("h00") + node _T = neq(dbg_state, UInt<3>("h00")) @[dbg.scala 95:51] + node _T_1 = or(io.dmi_reg_en, _T) @[dbg.scala 95:38] + node _T_2 = or(_T_1, dbg_state_en) @[dbg.scala 95:69] + node _T_3 = or(_T_2, io.dec_tlu_dbg_halted) @[dbg.scala 95:84] + node dbg_free_clken = or(_T_3, io.clk_override) @[dbg.scala 95:108] + node _T_4 = or(io.dmi_reg_en, sb_state_en) @[dbg.scala 96:37] + node _T_5 = neq(sb_state, UInt<4>("h00")) @[dbg.scala 96:63] + node _T_6 = or(_T_4, _T_5) @[dbg.scala 96:51] + node sb_free_clken = or(_T_6, io.clk_override) @[dbg.scala 96:86] + inst rvclkhdr of rvclkhdr @[lib.scala 343:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 344:17] + rvclkhdr.io.en <= dbg_free_clken @[lib.scala 345:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 343:22] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_1.io.en <= sb_free_clken @[lib.scala 345:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + node _T_7 = bits(io.dbg_rst_l, 0, 0) @[dbg.scala 100:42] + node _T_8 = bits(dmcontrol_reg, 0, 0) @[dbg.scala 100:61] + node _T_9 = or(_T_8, io.scan_mode) @[dbg.scala 100:65] + node _T_10 = and(_T_7, _T_9) @[dbg.scala 100:45] + node dbg_dm_rst_l = asAsyncReset(_T_10) @[dbg.scala 100:94] + node _T_11 = asUInt(dbg_dm_rst_l) @[dbg.scala 102:38] + node _T_12 = asUInt(reset) @[dbg.scala 102:55] + node _T_13 = and(_T_11, _T_12) @[dbg.scala 102:41] + node rst_temp = asAsyncReset(_T_13) @[dbg.scala 102:71] + node _T_14 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 105:39] + node _T_15 = eq(_T_14, UInt<1>("h00")) @[dbg.scala 105:25] + node _T_16 = bits(_T_15, 0, 0) @[dbg.scala 105:50] + io.dbg_core_rst_l <= _T_16 @[dbg.scala 105:21] + node _T_17 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 106:36] + node _T_18 = and(_T_17, io.dmi_reg_en) @[dbg.scala 106:49] + node _T_19 = and(_T_18, io.dmi_reg_wr_en) @[dbg.scala 106:65] + node _T_20 = eq(sb_state, UInt<4>("h00")) @[dbg.scala 106:96] + node sbcs_wren = and(_T_19, _T_20) @[dbg.scala 106:84] + node _T_21 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 107:60] + node _T_22 = and(sbcs_wren, _T_21) @[dbg.scala 107:42] + node _T_23 = neq(sb_state, UInt<4>("h00")) @[dbg.scala 107:79] + node _T_24 = and(_T_23, io.dmi_reg_en) @[dbg.scala 107:102] + node _T_25 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 108:23] + node _T_26 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 108:55] + node _T_27 = or(_T_25, _T_26) @[dbg.scala 108:36] + node _T_28 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 108:87] + node _T_29 = or(_T_27, _T_28) @[dbg.scala 108:68] + node _T_30 = and(_T_24, _T_29) @[dbg.scala 107:118] + node sbcs_sbbusyerror_wren = or(_T_22, _T_30) @[dbg.scala 107:66] + node _T_31 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 110:61] + node _T_32 = and(sbcs_wren, _T_31) @[dbg.scala 110:43] + node sbcs_sbbusyerror_din = not(_T_32) @[dbg.scala 110:31] + reg temp_sbcs_22 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when sbcs_sbbusyerror_wren : @[Reg.scala 28:19] + temp_sbcs_22 <= sbcs_sbbusyerror_din @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg temp_sbcs_21 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when sbcs_sbbusy_wren : @[Reg.scala 28:19] + temp_sbcs_21 <= sbcs_sbbusy_din @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_33 = bits(io.dmi_reg_wdata, 20, 20) @[dbg.scala 120:31] + reg temp_sbcs_20 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when sbcs_wren : @[Reg.scala 28:19] + temp_sbcs_20 <= _T_33 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_34 = bits(io.dmi_reg_wdata, 19, 15) @[dbg.scala 124:31] + reg temp_sbcs_19_15 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when sbcs_wren : @[Reg.scala 28:19] + temp_sbcs_19_15 <= _T_34 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_35 = bits(sbcs_sberror_din, 2, 0) @[dbg.scala 128:31] + reg temp_sbcs_14_12 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when sbcs_sberror_wren : @[Reg.scala 28:19] + temp_sbcs_14_12 <= _T_35 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_36 = cat(UInt<7>("h020"), UInt<5>("h0f")) @[Cat.scala 29:58] + node _T_37 = cat(temp_sbcs_19_15, temp_sbcs_14_12) @[Cat.scala 29:58] + node _T_38 = cat(_T_37, _T_36) @[Cat.scala 29:58] + node _T_39 = cat(temp_sbcs_21, temp_sbcs_20) @[Cat.scala 29:58] + node _T_40 = cat(UInt<3>("h01"), UInt<6>("h00")) @[Cat.scala 29:58] + node _T_41 = cat(_T_40, temp_sbcs_22) @[Cat.scala 29:58] + node _T_42 = cat(_T_41, _T_39) @[Cat.scala 29:58] + node _T_43 = cat(_T_42, _T_38) @[Cat.scala 29:58] + sbcs_reg <= _T_43 @[dbg.scala 130:12] + node _T_44 = bits(sbcs_reg, 19, 17) @[dbg.scala 132:33] + node _T_45 = eq(_T_44, UInt<3>("h01")) @[dbg.scala 132:42] + node _T_46 = bits(sbaddress0_reg, 0, 0) @[dbg.scala 132:77] + node _T_47 = and(_T_45, _T_46) @[dbg.scala 132:61] + node _T_48 = bits(sbcs_reg, 19, 17) @[dbg.scala 133:14] + node _T_49 = eq(_T_48, UInt<3>("h02")) @[dbg.scala 133:23] + node _T_50 = bits(sbaddress0_reg, 1, 0) @[dbg.scala 133:58] + node _T_51 = orr(_T_50) @[dbg.scala 133:65] + node _T_52 = and(_T_49, _T_51) @[dbg.scala 133:42] + node _T_53 = or(_T_47, _T_52) @[dbg.scala 132:81] + node _T_54 = bits(sbcs_reg, 19, 17) @[dbg.scala 134:14] + node _T_55 = eq(_T_54, UInt<3>("h03")) @[dbg.scala 134:23] + node _T_56 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 134:58] + node _T_57 = orr(_T_56) @[dbg.scala 134:65] + node _T_58 = and(_T_55, _T_57) @[dbg.scala 134:42] + node sbcs_unaligned = or(_T_53, _T_58) @[dbg.scala 133:69] + node sbcs_illegal_size = bits(sbcs_reg, 19, 19) @[dbg.scala 136:35] + node _T_59 = bits(sbcs_reg, 19, 17) @[dbg.scala 137:42] + node _T_60 = eq(_T_59, UInt<1>("h00")) @[dbg.scala 137:51] + node _T_61 = bits(_T_60, 0, 0) @[Bitwise.scala 72:15] + node _T_62 = mux(_T_61, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_63 = and(_T_62, UInt<4>("h01")) @[dbg.scala 137:64] + node _T_64 = bits(sbcs_reg, 19, 17) @[dbg.scala 137:100] + node _T_65 = eq(_T_64, UInt<1>("h01")) @[dbg.scala 137:109] + node _T_66 = bits(_T_65, 0, 0) @[Bitwise.scala 72:15] + node _T_67 = mux(_T_66, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_68 = and(_T_67, UInt<4>("h02")) @[dbg.scala 137:122] + node _T_69 = or(_T_63, _T_68) @[dbg.scala 137:81] + node _T_70 = bits(sbcs_reg, 19, 17) @[dbg.scala 138:22] + node _T_71 = eq(_T_70, UInt<2>("h02")) @[dbg.scala 138:31] + node _T_72 = bits(_T_71, 0, 0) @[Bitwise.scala 72:15] + node _T_73 = mux(_T_72, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_74 = and(_T_73, UInt<4>("h04")) @[dbg.scala 138:44] + node _T_75 = or(_T_69, _T_74) @[dbg.scala 137:139] + node _T_76 = bits(sbcs_reg, 19, 17) @[dbg.scala 138:80] + node _T_77 = eq(_T_76, UInt<2>("h03")) @[dbg.scala 138:89] + node _T_78 = bits(_T_77, 0, 0) @[Bitwise.scala 72:15] + node _T_79 = mux(_T_78, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_80 = and(_T_79, UInt<4>("h08")) @[dbg.scala 138:102] + node sbaddress0_incr = or(_T_75, _T_80) @[dbg.scala 138:61] + node _T_81 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 140:41] + node _T_82 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 140:79] + node sbdata0_reg_wren0 = and(_T_81, _T_82) @[dbg.scala 140:60] + node _T_83 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 141:37] + node _T_84 = and(_T_83, sb_state_en) @[dbg.scala 141:60] + node _T_85 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 141:76] + node sbdata0_reg_wren1 = and(_T_84, _T_85) @[dbg.scala 141:74] + node sbdata0_reg_wren = or(sbdata0_reg_wren0, sbdata0_reg_wren1) @[dbg.scala 142:44] + node _T_86 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 143:41] + node _T_87 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 143:79] + node sbdata1_reg_wren0 = and(_T_86, _T_87) @[dbg.scala 143:60] + node _T_88 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 144:37] + node _T_89 = and(_T_88, sb_state_en) @[dbg.scala 144:60] + node _T_90 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 144:76] + node sbdata1_reg_wren1 = and(_T_89, _T_90) @[dbg.scala 144:74] + node sbdata1_reg_wren = or(sbdata1_reg_wren0, sbdata1_reg_wren1) @[dbg.scala 145:44] + node _T_91 = bits(sbdata0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_92 = mux(_T_91, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_93 = and(_T_92, io.dmi_reg_wdata) @[dbg.scala 146:49] + node _T_94 = bits(sbdata0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_95 = mux(_T_94, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_96 = bits(sb_bus_rdata, 31, 0) @[dbg.scala 147:47] + node _T_97 = and(_T_95, _T_96) @[dbg.scala 147:33] + node sbdata0_din = or(_T_93, _T_97) @[dbg.scala 146:68] + node _T_98 = bits(sbdata1_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_99 = mux(_T_98, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_100 = and(_T_99, io.dmi_reg_wdata) @[dbg.scala 149:49] + node _T_101 = bits(sbdata1_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_102 = mux(_T_101, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_103 = bits(sb_bus_rdata, 63, 32) @[dbg.scala 150:47] + node _T_104 = and(_T_102, _T_103) @[dbg.scala 150:33] + node sbdata1_din = or(_T_100, _T_104) @[dbg.scala 149:68] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= dbg_dm_rst_l + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= sbdata0_reg_wren @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg sbdata0_reg : UInt, rvclkhdr_2.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + sbdata0_reg <= sbdata0_din @[lib.scala 374:16] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= dbg_dm_rst_l + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= sbdata1_reg_wren @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg sbdata1_reg : UInt, rvclkhdr_3.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + sbdata1_reg <= sbdata1_din @[lib.scala 374:16] + node _T_105 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 160:44] + node _T_106 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 160:82] + node sbaddress0_reg_wren0 = and(_T_105, _T_106) @[dbg.scala 160:63] + node sbaddress0_reg_wren = or(sbaddress0_reg_wren0, sbaddress0_reg_wren1) @[dbg.scala 161:50] + node _T_107 = bits(sbaddress0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_108 = mux(_T_107, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_109 = and(_T_108, io.dmi_reg_wdata) @[dbg.scala 162:59] + node _T_110 = bits(sbaddress0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_111 = mux(_T_110, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_112 = cat(UInt<28>("h00"), sbaddress0_incr) @[Cat.scala 29:58] + node _T_113 = add(sbaddress0_reg, _T_112) @[dbg.scala 163:54] + node _T_114 = tail(_T_113, 1) @[dbg.scala 163:54] + node _T_115 = and(_T_111, _T_114) @[dbg.scala 163:36] + node sbaddress0_reg_din = or(_T_109, _T_115) @[dbg.scala 162:78] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= dbg_dm_rst_l + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= sbaddress0_reg_wren @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_116 : UInt, rvclkhdr_4.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + _T_116 <= sbaddress0_reg_din @[lib.scala 374:16] + sbaddress0_reg <= _T_116 @[dbg.scala 164:18] + node _T_117 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 168:43] + node _T_118 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 168:81] + node _T_119 = and(_T_117, _T_118) @[dbg.scala 168:62] + node _T_120 = bits(sbcs_reg, 20, 20) @[dbg.scala 168:104] + node sbreadonaddr_access = and(_T_119, _T_120) @[dbg.scala 168:94] + node _T_121 = eq(io.dmi_reg_wr_en, UInt<1>("h00")) @[dbg.scala 169:45] + node _T_122 = and(io.dmi_reg_en, _T_121) @[dbg.scala 169:43] + node _T_123 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 169:82] + node _T_124 = and(_T_122, _T_123) @[dbg.scala 169:63] + node _T_125 = bits(sbcs_reg, 15, 15) @[dbg.scala 169:105] + node sbreadondata_access = and(_T_124, _T_125) @[dbg.scala 169:95] + node _T_126 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 170:40] + node _T_127 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 170:78] + node sbdata0wr_access = and(_T_126, _T_127) @[dbg.scala 170:59] + node _T_128 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 171:41] + node _T_129 = and(_T_128, io.dmi_reg_en) @[dbg.scala 171:54] + node dmcontrol_wren = and(_T_129, io.dmi_reg_wr_en) @[dbg.scala 171:70] + node _T_130 = bits(io.dmi_reg_wdata, 31, 30) @[dbg.scala 174:27] + node _T_131 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 174:53] + node _T_132 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 174:75] + node _T_133 = cat(_T_130, _T_131) @[Cat.scala 29:58] + node _T_134 = cat(_T_133, _T_132) @[Cat.scala 29:58] + reg dm_temp : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when dmcontrol_wren : @[Reg.scala 28:19] + dm_temp <= _T_134 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_135 = asAsyncReset(io.dbg_rst_l) @[dbg.scala 178:76] + node _T_136 = bits(io.dmi_reg_wdata, 0, 0) @[dbg.scala 179:31] + reg dm_temp_0 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_135, UInt<1>("h00"))) @[Reg.scala 27:20] + when dmcontrol_wren : @[Reg.scala 28:19] + dm_temp_0 <= _T_136 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_137 = bits(dm_temp, 3, 2) @[dbg.scala 182:25] + node _T_138 = bits(dm_temp, 1, 1) @[dbg.scala 182:45] + node _T_139 = bits(dm_temp, 0, 0) @[dbg.scala 182:68] + node _T_140 = cat(UInt<26>("h00"), _T_139) @[Cat.scala 29:58] + node _T_141 = cat(_T_140, dm_temp_0) @[Cat.scala 29:58] + node _T_142 = cat(_T_137, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_143 = cat(_T_142, _T_138) @[Cat.scala 29:58] + node temp = cat(_T_143, _T_141) @[Cat.scala 29:58] + dmcontrol_reg <= temp @[dbg.scala 183:17] + reg dmcontrol_wren_Q : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 186:12] + dmcontrol_wren_Q <= dmcontrol_wren @[dbg.scala 186:12] + node _T_144 = bits(dmstatus_havereset, 0, 0) @[Bitwise.scala 72:15] + node _T_145 = mux(_T_144, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_146 = bits(dmstatus_resumeack, 0, 0) @[Bitwise.scala 72:15] + node _T_147 = mux(_T_146, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_148 = bits(dmstatus_unavail, 0, 0) @[Bitwise.scala 72:15] + node _T_149 = mux(_T_148, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_150 = bits(dmstatus_running, 0, 0) @[Bitwise.scala 72:15] + node _T_151 = mux(_T_150, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_152 = bits(dmstatus_halted, 0, 0) @[Bitwise.scala 72:15] + node _T_153 = mux(_T_152, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_154 = cat(UInt<3>("h00"), UInt<4>("h02")) @[Cat.scala 29:58] + node _T_155 = cat(_T_151, _T_153) @[Cat.scala 29:58] + node _T_156 = cat(_T_155, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_157 = cat(_T_156, _T_154) @[Cat.scala 29:58] + node _T_158 = cat(UInt<2>("h00"), _T_149) @[Cat.scala 29:58] + node _T_159 = cat(UInt<12>("h00"), _T_145) @[Cat.scala 29:58] + node _T_160 = cat(_T_159, _T_147) @[Cat.scala 29:58] + node _T_161 = cat(_T_160, _T_158) @[Cat.scala 29:58] + node _T_162 = cat(_T_161, _T_157) @[Cat.scala 29:58] + dmstatus_reg <= _T_162 @[dbg.scala 189:16] + node _T_163 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 191:44] + node _T_164 = and(_T_163, io.dec_tlu_resume_ack) @[dbg.scala 191:66] + node _T_165 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 191:127] + node _T_166 = eq(_T_165, UInt<1>("h00")) @[dbg.scala 191:113] + node _T_167 = and(dmstatus_resumeack, _T_166) @[dbg.scala 191:111] + node dmstatus_resumeack_wren = or(_T_164, _T_167) @[dbg.scala 191:90] + node _T_168 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 192:43] + node dmstatus_resumeack_din = and(_T_168, io.dec_tlu_resume_ack) @[dbg.scala 192:65] + node _T_169 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 193:50] + node _T_170 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 193:81] + node _T_171 = and(_T_169, _T_170) @[dbg.scala 193:63] + node _T_172 = and(_T_171, io.dmi_reg_en) @[dbg.scala 193:85] + node dmstatus_havereset_wren = and(_T_172, io.dmi_reg_wr_en) @[dbg.scala 193:101] + node _T_173 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 194:49] + node _T_174 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 194:80] + node _T_175 = and(_T_173, _T_174) @[dbg.scala 194:62] + node _T_176 = and(_T_175, io.dmi_reg_en) @[dbg.scala 194:85] + node dmstatus_havereset_rst = and(_T_176, io.dmi_reg_wr_en) @[dbg.scala 194:101] + node temp_rst = asUInt(reset) @[dbg.scala 195:30] + node _T_177 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 196:37] + node _T_178 = eq(temp_rst, UInt<1>("h00")) @[dbg.scala 196:43] + node _T_179 = or(_T_177, _T_178) @[dbg.scala 196:41] + node _T_180 = bits(_T_179, 0, 0) @[dbg.scala 196:62] + dmstatus_unavail <= _T_180 @[dbg.scala 196:20] + node _T_181 = or(dmstatus_unavail, dmstatus_halted) @[dbg.scala 197:42] + node _T_182 = not(_T_181) @[dbg.scala 197:23] + dmstatus_running <= _T_182 @[dbg.scala 197:20] + reg _T_183 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when dmstatus_resumeack_wren : @[Reg.scala 28:19] + _T_183 <= dmstatus_resumeack_din @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + dmstatus_resumeack <= _T_183 @[dbg.scala 198:22] + node _T_184 = eq(io.dec_tlu_mpc_halted_only, UInt<1>("h00")) @[dbg.scala 203:37] + node _T_185 = and(io.dec_tlu_dbg_halted, _T_184) @[dbg.scala 203:35] + reg _T_186 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 203:12] + _T_186 <= _T_185 @[dbg.scala 203:12] + dmstatus_halted <= _T_186 @[dbg.scala 202:19] + node _T_187 = mux(dmstatus_havereset_wren, UInt<1>("h01"), dmstatus_havereset) @[dbg.scala 207:16] + node _T_188 = eq(dmstatus_havereset_rst, UInt<1>("h00")) @[dbg.scala 207:72] + node _T_189 = and(_T_187, _T_188) @[dbg.scala 207:70] + reg _T_190 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 207:12] + _T_190 <= _T_189 @[dbg.scala 207:12] + dmstatus_havereset <= _T_190 @[dbg.scala 206:22] + node haltsum0_reg = cat(UInt<31>("h00"), dmstatus_halted) @[Cat.scala 29:58] + wire abstractcs_reg : UInt<32> + abstractcs_reg <= UInt<32>("h02") + node _T_191 = bits(abstractcs_reg, 12, 12) @[dbg.scala 213:45] + node _T_192 = and(_T_191, io.dmi_reg_en) @[dbg.scala 213:50] + node _T_193 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 213:106] + node _T_194 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 213:138] + node _T_195 = or(_T_193, _T_194) @[dbg.scala 213:119] + node _T_196 = and(io.dmi_reg_wr_en, _T_195) @[dbg.scala 213:86] + node _T_197 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 213:171] + node _T_198 = or(_T_196, _T_197) @[dbg.scala 213:152] + node abstractcs_error_sel0 = and(_T_192, _T_198) @[dbg.scala 213:66] + node _T_199 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 214:45] + node _T_200 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 214:83] + node _T_201 = and(_T_199, _T_200) @[dbg.scala 214:64] + node _T_202 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 214:117] + node _T_203 = eq(_T_202, UInt<1>("h00")) @[dbg.scala 214:126] + node _T_204 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 214:154] + node _T_205 = eq(_T_204, UInt<2>("h02")) @[dbg.scala 214:163] + node _T_206 = or(_T_203, _T_205) @[dbg.scala 214:135] + node _T_207 = eq(_T_206, UInt<1>("h00")) @[dbg.scala 214:98] + node abstractcs_error_sel1 = and(_T_201, _T_207) @[dbg.scala 214:96] + node abstractcs_error_sel2 = and(io.core_dbg_cmd_done, io.core_dbg_cmd_fail) @[dbg.scala 215:52] + node _T_208 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 216:45] + node _T_209 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 216:83] + node _T_210 = and(_T_208, _T_209) @[dbg.scala 216:64] + node _T_211 = bits(dmstatus_reg, 9, 9) @[dbg.scala 216:111] + node _T_212 = eq(_T_211, UInt<1>("h00")) @[dbg.scala 216:98] + node abstractcs_error_sel3 = and(_T_210, _T_212) @[dbg.scala 216:96] + node _T_213 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 217:48] + node _T_214 = and(_T_213, io.dmi_reg_en) @[dbg.scala 217:61] + node _T_215 = and(_T_214, io.dmi_reg_wr_en) @[dbg.scala 217:77] + node _T_216 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 218:23] + node _T_217 = neq(_T_216, UInt<3>("h02")) @[dbg.scala 218:32] + node _T_218 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 218:71] + node _T_219 = eq(_T_218, UInt<2>("h02")) @[dbg.scala 218:80] + node _T_220 = bits(data1_reg, 1, 0) @[dbg.scala 218:104] + node _T_221 = orr(_T_220) @[dbg.scala 218:111] + node _T_222 = and(_T_219, _T_221) @[dbg.scala 218:92] + node _T_223 = or(_T_217, _T_222) @[dbg.scala 218:51] + node abstractcs_error_sel4 = and(_T_215, _T_223) @[dbg.scala 217:96] + node _T_224 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 220:48] + node _T_225 = and(_T_224, io.dmi_reg_en) @[dbg.scala 220:61] + node abstractcs_error_sel5 = and(_T_225, io.dmi_reg_wr_en) @[dbg.scala 220:77] + node _T_226 = or(abstractcs_error_sel0, abstractcs_error_sel1) @[dbg.scala 221:54] + node _T_227 = or(_T_226, abstractcs_error_sel2) @[dbg.scala 221:78] + node _T_228 = or(_T_227, abstractcs_error_sel3) @[dbg.scala 221:102] + node _T_229 = or(_T_228, abstractcs_error_sel4) @[dbg.scala 221:126] + node abstractcs_error_selor = or(_T_229, abstractcs_error_sel5) @[dbg.scala 221:150] + node _T_230 = bits(abstractcs_error_sel0, 0, 0) @[Bitwise.scala 72:15] + node _T_231 = mux(_T_230, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_232 = and(_T_231, UInt<3>("h01")) @[dbg.scala 222:62] + node _T_233 = bits(abstractcs_error_sel1, 0, 0) @[Bitwise.scala 72:15] + node _T_234 = mux(_T_233, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_235 = and(_T_234, UInt<3>("h02")) @[dbg.scala 223:37] + node _T_236 = or(_T_232, _T_235) @[dbg.scala 222:79] + node _T_237 = bits(abstractcs_error_sel2, 0, 0) @[Bitwise.scala 72:15] + node _T_238 = mux(_T_237, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_239 = and(_T_238, UInt<3>("h03")) @[dbg.scala 224:37] + node _T_240 = or(_T_236, _T_239) @[dbg.scala 223:54] + node _T_241 = bits(abstractcs_error_sel3, 0, 0) @[Bitwise.scala 72:15] + node _T_242 = mux(_T_241, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_243 = and(_T_242, UInt<3>("h04")) @[dbg.scala 225:37] + node _T_244 = or(_T_240, _T_243) @[dbg.scala 224:54] + node _T_245 = bits(abstractcs_error_sel4, 0, 0) @[Bitwise.scala 72:15] + node _T_246 = mux(_T_245, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_247 = and(_T_246, UInt<3>("h07")) @[dbg.scala 226:37] + node _T_248 = or(_T_244, _T_247) @[dbg.scala 225:54] + node _T_249 = bits(abstractcs_error_sel5, 0, 0) @[Bitwise.scala 72:15] + node _T_250 = mux(_T_249, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_251 = bits(io.dmi_reg_wdata, 10, 8) @[dbg.scala 227:57] + node _T_252 = not(_T_251) @[dbg.scala 227:40] + node _T_253 = and(_T_250, _T_252) @[dbg.scala 227:37] + node _T_254 = bits(abstractcs_reg, 10, 8) @[dbg.scala 227:91] + node _T_255 = and(_T_253, _T_254) @[dbg.scala 227:75] + node _T_256 = or(_T_248, _T_255) @[dbg.scala 226:54] + node _T_257 = not(abstractcs_error_selor) @[dbg.scala 228:15] + node _T_258 = bits(_T_257, 0, 0) @[Bitwise.scala 72:15] + node _T_259 = mux(_T_258, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_260 = bits(abstractcs_reg, 10, 8) @[dbg.scala 228:66] + node _T_261 = and(_T_259, _T_260) @[dbg.scala 228:50] + node abstractcs_error_din = or(_T_256, _T_261) @[dbg.scala 227:100] + reg abs_temp_12 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when abstractcs_busy_wren : @[Reg.scala 28:19] + abs_temp_12 <= abstractcs_busy_din @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_262 = bits(abstractcs_error_din, 2, 0) @[dbg.scala 235:33] + reg abs_temp_10_8 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 235:12] + abs_temp_10_8 <= _T_262 @[dbg.scala 235:12] + node _T_263 = cat(abs_temp_10_8, UInt<8>("h02")) @[Cat.scala 29:58] + node _T_264 = cat(UInt<19>("h00"), abs_temp_12) @[Cat.scala 29:58] + node _T_265 = cat(_T_264, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_266 = cat(_T_265, _T_263) @[Cat.scala 29:58] + abstractcs_reg <= _T_266 @[dbg.scala 238:18] + node _T_267 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 240:39] + node _T_268 = and(_T_267, io.dmi_reg_en) @[dbg.scala 240:52] + node _T_269 = and(_T_268, io.dmi_reg_wr_en) @[dbg.scala 240:68] + node _T_270 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 240:100] + node command_wren = and(_T_269, _T_270) @[dbg.scala 240:87] + node _T_271 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 241:41] + node _T_272 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 241:77] + node _T_273 = bits(io.dmi_reg_wdata, 16, 0) @[dbg.scala 241:113] + node _T_274 = cat(UInt<3>("h00"), _T_273) @[Cat.scala 29:58] + node _T_275 = cat(_T_271, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_276 = cat(_T_275, _T_272) @[Cat.scala 29:58] + node command_din = cat(_T_276, _T_274) @[Cat.scala 29:58] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= dbg_dm_rst_l + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= command_wren @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg command_reg : UInt, rvclkhdr_5.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + command_reg <= command_din @[lib.scala 374:16] + node _T_277 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 246:39] + node _T_278 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 246:77] + node _T_279 = and(_T_277, _T_278) @[dbg.scala 246:58] + node _T_280 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 246:102] + node data0_reg_wren0 = and(_T_279, _T_280) @[dbg.scala 246:89] + node _T_281 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 247:59] + node _T_282 = and(io.core_dbg_cmd_done, _T_281) @[dbg.scala 247:46] + node _T_283 = bits(command_reg, 16, 16) @[dbg.scala 247:95] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[dbg.scala 247:83] + node data0_reg_wren1 = and(_T_282, _T_284) @[dbg.scala 247:81] + node data0_reg_wren = or(data0_reg_wren0, data0_reg_wren1) @[dbg.scala 249:40] + node _T_285 = bits(data0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_286 = mux(_T_285, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_287 = and(_T_286, io.dmi_reg_wdata) @[dbg.scala 250:45] + node _T_288 = bits(data0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_289 = mux(_T_288, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_290 = and(_T_289, io.core_dbg_rddata) @[dbg.scala 250:92] + node data0_din = or(_T_287, _T_290) @[dbg.scala 250:64] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= dbg_dm_rst_l + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= data0_reg_wren @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg data0_reg : UInt, rvclkhdr_6.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + data0_reg <= data0_din @[lib.scala 374:16] + node _T_291 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 255:39] + node _T_292 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 255:77] + node _T_293 = and(_T_291, _T_292) @[dbg.scala 255:58] + node _T_294 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 255:102] + node data1_reg_wren = and(_T_293, _T_294) @[dbg.scala 255:89] + node _T_295 = bits(data1_reg_wren, 0, 0) @[Bitwise.scala 72:15] + node _T_296 = mux(_T_295, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node data1_din = and(_T_296, io.dmi_reg_wdata) @[dbg.scala 256:44] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= dbg_dm_rst_l + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= data1_reg_wren @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_297 : UInt, rvclkhdr_7.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + _T_297 <= data1_din @[lib.scala 374:16] + data1_reg <= _T_297 @[dbg.scala 257:13] + wire dbg_nxtstate : UInt<3> + dbg_nxtstate <= UInt<3>("h00") + dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 262:16] + dbg_state_en <= UInt<1>("h00") @[dbg.scala 263:16] + abstractcs_busy_wren <= UInt<1>("h00") @[dbg.scala 264:24] + abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 265:23] + io.dbg_halt_req <= UInt<1>("h00") @[dbg.scala 266:19] + io.dbg_resume_req <= UInt<1>("h00") @[dbg.scala 267:21] + node _T_298 = eq(UInt<3>("h00"), dbg_state) @[Conditional.scala 37:30] + when _T_298 : @[Conditional.scala 40:58] + node _T_299 = bits(dmstatus_reg, 9, 9) @[dbg.scala 270:39] + node _T_300 = or(_T_299, io.dec_tlu_mpc_halted_only) @[dbg.scala 270:43] + node _T_301 = mux(_T_300, UInt<3>("h02"), UInt<3>("h01")) @[dbg.scala 270:26] + dbg_nxtstate <= _T_301 @[dbg.scala 270:20] + node _T_302 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 271:38] + node _T_303 = eq(io.dec_tlu_debug_mode, UInt<1>("h00")) @[dbg.scala 271:45] + node _T_304 = and(_T_302, _T_303) @[dbg.scala 271:43] + node _T_305 = bits(dmstatus_reg, 9, 9) @[dbg.scala 271:83] + node _T_306 = or(_T_304, _T_305) @[dbg.scala 271:69] + node _T_307 = or(_T_306, io.dec_tlu_mpc_halted_only) @[dbg.scala 271:87] + node _T_308 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 271:133] + node _T_309 = eq(_T_308, UInt<1>("h00")) @[dbg.scala 271:119] + node _T_310 = and(_T_307, _T_309) @[dbg.scala 271:117] + dbg_state_en <= _T_310 @[dbg.scala 271:20] + node _T_311 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 272:40] + node _T_312 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 272:61] + node _T_313 = eq(_T_312, UInt<1>("h00")) @[dbg.scala 272:47] + node _T_314 = and(_T_311, _T_313) @[dbg.scala 272:45] + node _T_315 = bits(_T_314, 0, 0) @[dbg.scala 272:72] + io.dbg_halt_req <= _T_315 @[dbg.scala 272:23] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_316 = eq(UInt<3>("h01"), dbg_state) @[Conditional.scala 37:30] + when _T_316 : @[Conditional.scala 39:67] + node _T_317 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 275:40] + node _T_318 = mux(_T_317, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 275:26] + dbg_nxtstate <= _T_318 @[dbg.scala 275:20] + node _T_319 = bits(dmstatus_reg, 9, 9) @[dbg.scala 276:35] + node _T_320 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 276:54] + node _T_321 = or(_T_319, _T_320) @[dbg.scala 276:39] + dbg_state_en <= _T_321 @[dbg.scala 276:20] + node _T_322 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 277:59] + node _T_323 = and(dmcontrol_wren_Q, _T_322) @[dbg.scala 277:44] + node _T_324 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 277:81] + node _T_325 = not(_T_324) @[dbg.scala 277:67] + node _T_326 = and(_T_323, _T_325) @[dbg.scala 277:64] + node _T_327 = bits(_T_326, 0, 0) @[dbg.scala 277:102] + io.dbg_halt_req <= _T_327 @[dbg.scala 277:23] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_328 = eq(UInt<3>("h02"), dbg_state) @[Conditional.scala 37:30] + when _T_328 : @[Conditional.scala 39:67] + node _T_329 = bits(dmstatus_reg, 9, 9) @[dbg.scala 280:39] + node _T_330 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 280:59] + node _T_331 = eq(_T_330, UInt<1>("h00")) @[dbg.scala 280:45] + node _T_332 = and(_T_329, _T_331) @[dbg.scala 280:43] + node _T_333 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 281:26] + node _T_334 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 281:47] + node _T_335 = eq(_T_334, UInt<1>("h00")) @[dbg.scala 281:33] + node _T_336 = and(_T_333, _T_335) @[dbg.scala 281:31] + node _T_337 = mux(_T_336, UInt<3>("h06"), UInt<3>("h03")) @[dbg.scala 281:12] + node _T_338 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 282:26] + node _T_339 = mux(_T_338, UInt<3>("h01"), UInt<3>("h00")) @[dbg.scala 282:12] + node _T_340 = mux(_T_332, _T_337, _T_339) @[dbg.scala 280:26] + dbg_nxtstate <= _T_340 @[dbg.scala 280:20] + node _T_341 = bits(dmstatus_reg, 9, 9) @[dbg.scala 283:35] + node _T_342 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 283:54] + node _T_343 = and(_T_341, _T_342) @[dbg.scala 283:39] + node _T_344 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 283:75] + node _T_345 = eq(_T_344, UInt<1>("h00")) @[dbg.scala 283:61] + node _T_346 = and(_T_343, _T_345) @[dbg.scala 283:59] + node _T_347 = and(_T_346, dmcontrol_wren_Q) @[dbg.scala 283:80] + node _T_348 = or(_T_347, command_wren) @[dbg.scala 283:99] + node _T_349 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 284:22] + node _T_350 = or(_T_348, _T_349) @[dbg.scala 283:114] + node _T_351 = bits(dmstatus_reg, 9, 9) @[dbg.scala 284:42] + node _T_352 = or(_T_351, io.dec_tlu_mpc_halted_only) @[dbg.scala 284:46] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[dbg.scala 284:28] + node _T_354 = or(_T_350, _T_353) @[dbg.scala 284:26] + dbg_state_en <= _T_354 @[dbg.scala 283:20] + node _T_355 = eq(dbg_nxtstate, UInt<3>("h03")) @[dbg.scala 285:60] + node _T_356 = and(dbg_state_en, _T_355) @[dbg.scala 285:44] + abstractcs_busy_wren <= _T_356 @[dbg.scala 285:28] + abstractcs_busy_din <= UInt<1>("h01") @[dbg.scala 286:27] + node _T_357 = eq(dbg_nxtstate, UInt<3>("h06")) @[dbg.scala 287:58] + node _T_358 = and(dbg_state_en, _T_357) @[dbg.scala 287:42] + node _T_359 = bits(_T_358, 0, 0) @[dbg.scala 287:87] + io.dbg_resume_req <= _T_359 @[dbg.scala 287:25] + node _T_360 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 288:59] + node _T_361 = and(dmcontrol_wren_Q, _T_360) @[dbg.scala 288:44] + node _T_362 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 288:81] + node _T_363 = not(_T_362) @[dbg.scala 288:67] + node _T_364 = and(_T_361, _T_363) @[dbg.scala 288:64] + node _T_365 = bits(_T_364, 0, 0) @[dbg.scala 288:102] + io.dbg_halt_req <= _T_365 @[dbg.scala 288:23] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_366 = eq(UInt<3>("h03"), dbg_state) @[Conditional.scala 37:30] + when _T_366 : @[Conditional.scala 39:67] + node _T_367 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 291:40] + node _T_368 = bits(abstractcs_reg, 10, 8) @[dbg.scala 291:77] + node _T_369 = orr(_T_368) @[dbg.scala 291:85] + node _T_370 = mux(_T_369, UInt<3>("h05"), UInt<3>("h04")) @[dbg.scala 291:62] + node _T_371 = mux(_T_367, UInt<3>("h00"), _T_370) @[dbg.scala 291:26] + dbg_nxtstate <= _T_371 @[dbg.scala 291:20] + node _T_372 = bits(abstractcs_reg, 10, 8) @[dbg.scala 292:71] + node _T_373 = orr(_T_372) @[dbg.scala 292:79] + node _T_374 = or(io.dbg_dec.dbg_ib.dbg_cmd_valid, _T_373) @[dbg.scala 292:55] + node _T_375 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 292:98] + node _T_376 = or(_T_374, _T_375) @[dbg.scala 292:83] + dbg_state_en <= _T_376 @[dbg.scala 292:20] + node _T_377 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 293:59] + node _T_378 = and(dmcontrol_wren_Q, _T_377) @[dbg.scala 293:44] + node _T_379 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 293:81] + node _T_380 = not(_T_379) @[dbg.scala 293:67] + node _T_381 = and(_T_378, _T_380) @[dbg.scala 293:64] + node _T_382 = bits(_T_381, 0, 0) @[dbg.scala 293:102] + io.dbg_halt_req <= _T_382 @[dbg.scala 293:23] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_383 = eq(UInt<3>("h04"), dbg_state) @[Conditional.scala 37:30] + when _T_383 : @[Conditional.scala 39:67] + node _T_384 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 296:40] + node _T_385 = mux(_T_384, UInt<3>("h00"), UInt<3>("h05")) @[dbg.scala 296:26] + dbg_nxtstate <= _T_385 @[dbg.scala 296:20] + node _T_386 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 297:59] + node _T_387 = or(io.core_dbg_cmd_done, _T_386) @[dbg.scala 297:44] + dbg_state_en <= _T_387 @[dbg.scala 297:20] + node _T_388 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 298:59] + node _T_389 = and(dmcontrol_wren_Q, _T_388) @[dbg.scala 298:44] + node _T_390 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 298:81] + node _T_391 = not(_T_390) @[dbg.scala 298:67] + node _T_392 = and(_T_389, _T_391) @[dbg.scala 298:64] + node _T_393 = bits(_T_392, 0, 0) @[dbg.scala 298:102] + io.dbg_halt_req <= _T_393 @[dbg.scala 298:23] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_394 = eq(UInt<3>("h05"), dbg_state) @[Conditional.scala 37:30] + when _T_394 : @[Conditional.scala 39:67] + node _T_395 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 301:40] + node _T_396 = mux(_T_395, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 301:26] + dbg_nxtstate <= _T_396 @[dbg.scala 301:20] + dbg_state_en <= UInt<1>("h01") @[dbg.scala 302:20] + abstractcs_busy_wren <= dbg_state_en @[dbg.scala 303:28] + abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 304:27] + node _T_397 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 305:59] + node _T_398 = and(dmcontrol_wren_Q, _T_397) @[dbg.scala 305:44] + node _T_399 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 305:81] + node _T_400 = not(_T_399) @[dbg.scala 305:67] + node _T_401 = and(_T_398, _T_400) @[dbg.scala 305:64] + node _T_402 = bits(_T_401, 0, 0) @[dbg.scala 305:102] + io.dbg_halt_req <= _T_402 @[dbg.scala 305:23] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_403 = eq(UInt<3>("h06"), dbg_state) @[Conditional.scala 37:30] + when _T_403 : @[Conditional.scala 39:67] + dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 308:20] + node _T_404 = bits(dmstatus_reg, 17, 17) @[dbg.scala 309:35] + node _T_405 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 309:55] + node _T_406 = or(_T_404, _T_405) @[dbg.scala 309:40] + dbg_state_en <= _T_406 @[dbg.scala 309:20] + node _T_407 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 310:59] + node _T_408 = and(dmcontrol_wren_Q, _T_407) @[dbg.scala 310:44] + node _T_409 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 310:81] + node _T_410 = not(_T_409) @[dbg.scala 310:67] + node _T_411 = and(_T_408, _T_410) @[dbg.scala 310:64] + node _T_412 = bits(_T_411, 0, 0) @[dbg.scala 310:102] + io.dbg_halt_req <= _T_412 @[dbg.scala 310:23] + skip @[Conditional.scala 39:67] + node _T_413 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 313:52] + node _T_414 = bits(_T_413, 0, 0) @[Bitwise.scala 72:15] + node _T_415 = mux(_T_414, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_416 = and(_T_415, data0_reg) @[dbg.scala 313:71] + node _T_417 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 313:110] + node _T_418 = bits(_T_417, 0, 0) @[Bitwise.scala 72:15] + node _T_419 = mux(_T_418, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_420 = and(_T_419, data1_reg) @[dbg.scala 313:122] + node _T_421 = or(_T_416, _T_420) @[dbg.scala 313:83] + node _T_422 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 314:30] + node _T_423 = bits(_T_422, 0, 0) @[Bitwise.scala 72:15] + node _T_424 = mux(_T_423, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_425 = and(_T_424, dmcontrol_reg) @[dbg.scala 314:43] + node _T_426 = or(_T_421, _T_425) @[dbg.scala 313:134] + node _T_427 = eq(io.dmi_reg_addr, UInt<5>("h011")) @[dbg.scala 314:86] + node _T_428 = bits(_T_427, 0, 0) @[Bitwise.scala 72:15] + node _T_429 = mux(_T_428, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_430 = and(_T_429, dmstatus_reg) @[dbg.scala 314:99] + node _T_431 = or(_T_426, _T_430) @[dbg.scala 314:59] + node _T_432 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 315:30] + node _T_433 = bits(_T_432, 0, 0) @[Bitwise.scala 72:15] + node _T_434 = mux(_T_433, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_435 = and(_T_434, abstractcs_reg) @[dbg.scala 315:43] + node _T_436 = or(_T_431, _T_435) @[dbg.scala 314:114] + node _T_437 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 315:87] + node _T_438 = bits(_T_437, 0, 0) @[Bitwise.scala 72:15] + node _T_439 = mux(_T_438, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_440 = and(_T_439, command_reg) @[dbg.scala 315:100] + node _T_441 = or(_T_436, _T_440) @[dbg.scala 315:60] + node _T_442 = eq(io.dmi_reg_addr, UInt<7>("h040")) @[dbg.scala 316:30] + node _T_443 = bits(_T_442, 0, 0) @[Bitwise.scala 72:15] + node _T_444 = mux(_T_443, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_445 = and(_T_444, haltsum0_reg) @[dbg.scala 316:43] + node _T_446 = or(_T_441, _T_445) @[dbg.scala 315:114] + node _T_447 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 316:85] + node _T_448 = bits(_T_447, 0, 0) @[Bitwise.scala 72:15] + node _T_449 = mux(_T_448, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_450 = and(_T_449, sbcs_reg) @[dbg.scala 316:98] + node _T_451 = or(_T_446, _T_450) @[dbg.scala 316:58] + node _T_452 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 317:30] + node _T_453 = bits(_T_452, 0, 0) @[Bitwise.scala 72:15] + node _T_454 = mux(_T_453, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_455 = and(_T_454, sbaddress0_reg) @[dbg.scala 317:43] + node _T_456 = or(_T_451, _T_455) @[dbg.scala 316:109] + node _T_457 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 317:87] + node _T_458 = bits(_T_457, 0, 0) @[Bitwise.scala 72:15] + node _T_459 = mux(_T_458, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_460 = and(_T_459, sbdata0_reg) @[dbg.scala 317:100] + node _T_461 = or(_T_456, _T_460) @[dbg.scala 317:60] + node _T_462 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 318:30] + node _T_463 = bits(_T_462, 0, 0) @[Bitwise.scala 72:15] + node _T_464 = mux(_T_463, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_465 = and(_T_464, sbdata1_reg) @[dbg.scala 318:43] + node dmi_reg_rdata_din = or(_T_461, _T_465) @[dbg.scala 317:114] + reg _T_466 : UInt, rvclkhdr.io.l1clk with : (reset => (rst_temp, UInt<1>("h00"))) @[Reg.scala 27:20] + when dbg_state_en : @[Reg.scala 28:19] + _T_466 <= dbg_nxtstate @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + dbg_state <= _T_466 @[dbg.scala 320:13] + reg _T_467 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when io.dmi_reg_en : @[Reg.scala 28:19] + _T_467 <= dmi_reg_rdata_din @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + io.dmi_reg_rdata <= _T_467 @[dbg.scala 325:20] + node _T_468 = bits(command_reg, 31, 24) @[dbg.scala 329:53] + node _T_469 = eq(_T_468, UInt<2>("h02")) @[dbg.scala 329:62] + node _T_470 = bits(data1_reg, 31, 2) @[dbg.scala 329:88] + node _T_471 = cat(_T_470, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_472 = bits(command_reg, 11, 0) @[dbg.scala 329:138] + node _T_473 = cat(UInt<20>("h00"), _T_472) @[Cat.scala 29:58] + node _T_474 = mux(_T_469, _T_471, _T_473) @[dbg.scala 329:40] + io.dbg_dec.dbg_ib.dbg_cmd_addr <= _T_474 @[dbg.scala 329:34] + node _T_475 = bits(data0_reg, 31, 0) @[dbg.scala 330:50] + io.dbg_dec.dbg_dctl.dbg_cmd_wrdata <= _T_475 @[dbg.scala 330:38] + node _T_476 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 331:50] + node _T_477 = bits(abstractcs_reg, 10, 8) @[dbg.scala 331:91] + node _T_478 = orr(_T_477) @[dbg.scala 331:99] + node _T_479 = eq(_T_478, UInt<1>("h00")) @[dbg.scala 331:75] + node _T_480 = and(_T_476, _T_479) @[dbg.scala 331:73] + node _T_481 = and(_T_480, io.dbg_dma_io.dma_dbg_ready) @[dbg.scala 331:104] + node _T_482 = bits(_T_481, 0, 0) @[dbg.scala 331:141] + io.dbg_dec.dbg_ib.dbg_cmd_valid <= _T_482 @[dbg.scala 331:35] + node _T_483 = bits(command_reg, 16, 16) @[dbg.scala 332:49] + node _T_484 = bits(_T_483, 0, 0) @[dbg.scala 332:60] + io.dbg_dec.dbg_ib.dbg_cmd_write <= _T_484 @[dbg.scala 332:35] + node _T_485 = bits(command_reg, 31, 24) @[dbg.scala 333:53] + node _T_486 = eq(_T_485, UInt<2>("h02")) @[dbg.scala 333:62] + node _T_487 = bits(command_reg, 15, 12) @[dbg.scala 333:113] + node _T_488 = eq(_T_487, UInt<1>("h00")) @[dbg.scala 333:122] + node _T_489 = cat(UInt<1>("h00"), _T_488) @[Cat.scala 29:58] + node _T_490 = mux(_T_486, UInt<2>("h02"), _T_489) @[dbg.scala 333:40] + io.dbg_dec.dbg_ib.dbg_cmd_type <= _T_490 @[dbg.scala 333:34] + node _T_491 = bits(command_reg, 21, 20) @[dbg.scala 334:33] + io.dbg_cmd_size <= _T_491 @[dbg.scala 334:19] + node _T_492 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 335:47] + node _T_493 = bits(abstractcs_reg, 10, 8) @[dbg.scala 335:88] + node _T_494 = orr(_T_493) @[dbg.scala 335:96] + node _T_495 = eq(_T_494, UInt<1>("h00")) @[dbg.scala 335:72] + node _T_496 = and(_T_492, _T_495) @[dbg.scala 335:70] + node _T_497 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 335:114] + node _T_498 = or(_T_496, _T_497) @[dbg.scala 335:101] + node _T_499 = bits(_T_498, 0, 0) @[dbg.scala 335:143] + io.dbg_dma_io.dbg_dma_bubble <= _T_499 @[dbg.scala 335:32] + wire sb_nxtstate : UInt<4> + sb_nxtstate <= UInt<4>("h00") + sb_nxtstate <= UInt<4>("h00") @[dbg.scala 338:15] + sbcs_sbbusy_wren <= UInt<1>("h00") @[dbg.scala 340:20] + sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 341:19] + sbcs_sberror_wren <= UInt<1>("h00") @[dbg.scala 342:21] + sbcs_sberror_din <= UInt<3>("h00") @[dbg.scala 343:20] + sbaddress0_reg_wren1 <= UInt<1>("h00") @[dbg.scala 344:24] + node _T_500 = eq(UInt<4>("h00"), sb_state) @[Conditional.scala 37:30] + when _T_500 : @[Conditional.scala 40:58] + node _T_501 = mux(sbdata0wr_access, UInt<4>("h02"), UInt<4>("h01")) @[dbg.scala 347:25] + sb_nxtstate <= _T_501 @[dbg.scala 347:19] + node _T_502 = or(sbdata0wr_access, sbreadondata_access) @[dbg.scala 348:39] + node _T_503 = or(_T_502, sbreadonaddr_access) @[dbg.scala 348:61] + sb_state_en <= _T_503 @[dbg.scala 348:19] + sbcs_sbbusy_wren <= sb_state_en @[dbg.scala 349:24] + sbcs_sbbusy_din <= UInt<1>("h01") @[dbg.scala 350:23] + node _T_504 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 351:56] + node _T_505 = orr(_T_504) @[dbg.scala 351:65] + node _T_506 = and(sbcs_wren, _T_505) @[dbg.scala 351:38] + sbcs_sberror_wren <= _T_506 @[dbg.scala 351:25] + node _T_507 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 352:44] + node _T_508 = not(_T_507) @[dbg.scala 352:27] + node _T_509 = bits(sbcs_reg, 14, 12) @[dbg.scala 352:63] + node _T_510 = and(_T_508, _T_509) @[dbg.scala 352:53] + sbcs_sberror_din <= _T_510 @[dbg.scala 352:24] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_511 = eq(UInt<4>("h01"), sb_state) @[Conditional.scala 37:30] + when _T_511 : @[Conditional.scala 39:67] + node _T_512 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 355:41] + node _T_513 = mux(_T_512, UInt<4>("h09"), UInt<4>("h03")) @[dbg.scala 355:25] + sb_nxtstate <= _T_513 @[dbg.scala 355:19] + node _T_514 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 356:40] + node _T_515 = or(_T_514, sbcs_illegal_size) @[dbg.scala 356:57] + sb_state_en <= _T_515 @[dbg.scala 356:19] + node _T_516 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 357:43] + sbcs_sberror_wren <= _T_516 @[dbg.scala 357:25] + node _T_517 = mux(sbcs_unaligned, UInt<3>("h03"), UInt<3>("h04")) @[dbg.scala 358:30] + sbcs_sberror_din <= _T_517 @[dbg.scala 358:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_518 = eq(UInt<4>("h02"), sb_state) @[Conditional.scala 37:30] + when _T_518 : @[Conditional.scala 39:67] + node _T_519 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 361:41] + node _T_520 = mux(_T_519, UInt<4>("h09"), UInt<4>("h04")) @[dbg.scala 361:25] + sb_nxtstate <= _T_520 @[dbg.scala 361:19] + node _T_521 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 362:40] + node _T_522 = or(_T_521, sbcs_illegal_size) @[dbg.scala 362:57] + sb_state_en <= _T_522 @[dbg.scala 362:19] + node _T_523 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 363:43] + sbcs_sberror_wren <= _T_523 @[dbg.scala 363:25] + node _T_524 = mux(sbcs_unaligned, UInt<3>("h03"), UInt<3>("h04")) @[dbg.scala 364:30] + sbcs_sberror_din <= _T_524 @[dbg.scala 364:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_525 = eq(UInt<4>("h03"), sb_state) @[Conditional.scala 37:30] + when _T_525 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h07") @[dbg.scala 367:19] + node _T_526 = and(sb_bus_cmd_read, io.dbg_bus_clk_en) @[dbg.scala 368:38] + sb_state_en <= _T_526 @[dbg.scala 368:19] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_527 = eq(UInt<4>("h04"), sb_state) @[Conditional.scala 37:30] + when _T_527 : @[Conditional.scala 39:67] + node _T_528 = and(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 371:48] + node _T_529 = mux(sb_bus_cmd_write_data, UInt<4>("h05"), UInt<4>("h06")) @[dbg.scala 371:95] + node _T_530 = mux(_T_528, UInt<4>("h08"), _T_529) @[dbg.scala 371:25] + sb_nxtstate <= _T_530 @[dbg.scala 371:19] + node _T_531 = or(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 372:45] + node _T_532 = and(_T_531, io.dbg_bus_clk_en) @[dbg.scala 372:70] + sb_state_en <= _T_532 @[dbg.scala 372:19] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_533 = eq(UInt<4>("h05"), sb_state) @[Conditional.scala 37:30] + when _T_533 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h08") @[dbg.scala 375:19] + node _T_534 = and(sb_bus_cmd_write_addr, io.dbg_bus_clk_en) @[dbg.scala 376:44] + sb_state_en <= _T_534 @[dbg.scala 376:19] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_535 = eq(UInt<4>("h06"), sb_state) @[Conditional.scala 37:30] + when _T_535 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h08") @[dbg.scala 379:19] + node _T_536 = and(sb_bus_cmd_write_data, io.dbg_bus_clk_en) @[dbg.scala 380:44] + sb_state_en <= _T_536 @[dbg.scala 380:19] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_537 = eq(UInt<4>("h07"), sb_state) @[Conditional.scala 37:30] + when _T_537 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h09") @[dbg.scala 383:19] + node _T_538 = and(sb_bus_rsp_read, io.dbg_bus_clk_en) @[dbg.scala 384:38] + sb_state_en <= _T_538 @[dbg.scala 384:19] + node _T_539 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 385:40] + sbcs_sberror_wren <= _T_539 @[dbg.scala 385:25] + sbcs_sberror_din <= UInt<3>("h02") @[dbg.scala 386:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_540 = eq(UInt<4>("h08"), sb_state) @[Conditional.scala 37:30] + when _T_540 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h09") @[dbg.scala 389:19] + node _T_541 = and(sb_bus_rsp_write, io.dbg_bus_clk_en) @[dbg.scala 390:39] + sb_state_en <= _T_541 @[dbg.scala 390:19] + node _T_542 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 391:40] + sbcs_sberror_wren <= _T_542 @[dbg.scala 391:25] + sbcs_sberror_din <= UInt<3>("h02") @[dbg.scala 392:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_543 = eq(UInt<4>("h09"), sb_state) @[Conditional.scala 37:30] + when _T_543 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h00") @[dbg.scala 395:19] + sb_state_en <= UInt<1>("h01") @[dbg.scala 396:19] + sbcs_sbbusy_wren <= UInt<1>("h01") @[dbg.scala 397:24] + sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 398:23] + node _T_544 = bits(sbcs_reg, 16, 16) @[dbg.scala 399:39] + sbaddress0_reg_wren1 <= _T_544 @[dbg.scala 399:28] + skip @[Conditional.scala 39:67] + reg _T_545 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + when sb_state_en : @[Reg.scala 28:19] + _T_545 <= sb_nxtstate @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + sb_state <= _T_545 @[dbg.scala 402:12] + node _T_546 = and(io.sb_axi.ar.valid, io.sb_axi.ar.ready) @[dbg.scala 406:41] + sb_bus_cmd_read <= _T_546 @[dbg.scala 406:19] + node _T_547 = and(io.sb_axi.aw.valid, io.sb_axi.aw.ready) @[dbg.scala 407:47] + sb_bus_cmd_write_addr <= _T_547 @[dbg.scala 407:25] + node _T_548 = and(io.sb_axi.w.valid, io.sb_axi.w.ready) @[dbg.scala 408:46] + sb_bus_cmd_write_data <= _T_548 @[dbg.scala 408:25] + node _T_549 = and(io.sb_axi.r.valid, io.sb_axi.r.ready) @[dbg.scala 409:40] + sb_bus_rsp_read <= _T_549 @[dbg.scala 409:19] + node _T_550 = and(io.sb_axi.b.valid, io.sb_axi.b.ready) @[dbg.scala 410:41] + sb_bus_rsp_write <= _T_550 @[dbg.scala 410:20] + node _T_551 = bits(io.sb_axi.r.bits.resp, 1, 0) @[dbg.scala 411:62] + node _T_552 = orr(_T_551) @[dbg.scala 411:69] + node _T_553 = and(sb_bus_rsp_read, _T_552) @[dbg.scala 411:39] + node _T_554 = bits(io.sb_axi.b.bits.resp, 1, 0) @[dbg.scala 411:115] + node _T_555 = orr(_T_554) @[dbg.scala 411:122] + node _T_556 = and(sb_bus_rsp_write, _T_555) @[dbg.scala 411:92] + node _T_557 = or(_T_553, _T_556) @[dbg.scala 411:73] + sb_bus_rsp_error <= _T_557 @[dbg.scala 411:20] + node _T_558 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 412:36] + node _T_559 = eq(sb_state, UInt<4>("h05")) @[dbg.scala 412:71] + node _T_560 = or(_T_558, _T_559) @[dbg.scala 412:59] + node _T_561 = bits(_T_560, 0, 0) @[dbg.scala 412:106] + io.sb_axi.aw.valid <= _T_561 @[dbg.scala 412:22] + io.sb_axi.aw.bits.addr <= sbaddress0_reg @[dbg.scala 413:26] + io.sb_axi.aw.bits.id <= UInt<1>("h00") @[dbg.scala 414:24] + node _T_562 = bits(sbcs_reg, 19, 17) @[dbg.scala 415:37] + io.sb_axi.aw.bits.size <= _T_562 @[dbg.scala 415:26] + io.sb_axi.aw.bits.prot <= UInt<1>("h00") @[dbg.scala 416:26] + io.sb_axi.aw.bits.cache <= UInt<4>("h0f") @[dbg.scala 417:27] + node _T_563 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 418:45] + io.sb_axi.aw.bits.region <= _T_563 @[dbg.scala 418:28] + io.sb_axi.aw.bits.len <= UInt<1>("h00") @[dbg.scala 419:25] + io.sb_axi.aw.bits.burst <= UInt<2>("h01") @[dbg.scala 420:27] + io.sb_axi.aw.bits.qos <= UInt<1>("h00") @[dbg.scala 421:25] + io.sb_axi.aw.bits.lock <= UInt<1>("h00") @[dbg.scala 422:26] + node _T_564 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 423:35] + node _T_565 = eq(sb_state, UInt<4>("h06")) @[dbg.scala 423:70] + node _T_566 = or(_T_564, _T_565) @[dbg.scala 423:58] + node _T_567 = bits(_T_566, 0, 0) @[dbg.scala 423:105] + io.sb_axi.w.valid <= _T_567 @[dbg.scala 423:21] + node _T_568 = bits(sbcs_reg, 19, 17) @[dbg.scala 424:46] + node _T_569 = eq(_T_568, UInt<1>("h00")) @[dbg.scala 424:55] + node _T_570 = bits(_T_569, 0, 0) @[Bitwise.scala 72:15] + node _T_571 = mux(_T_570, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_572 = bits(sbdata0_reg, 7, 0) @[dbg.scala 424:87] + node _T_573 = cat(_T_572, _T_572) @[Cat.scala 29:58] + node _T_574 = cat(_T_573, _T_573) @[Cat.scala 29:58] + node _T_575 = cat(_T_574, _T_574) @[Cat.scala 29:58] + node _T_576 = and(_T_571, _T_575) @[dbg.scala 424:65] + node _T_577 = bits(sbcs_reg, 19, 17) @[dbg.scala 424:116] + node _T_578 = eq(_T_577, UInt<1>("h01")) @[dbg.scala 424:125] + node _T_579 = bits(_T_578, 0, 0) @[Bitwise.scala 72:15] + node _T_580 = mux(_T_579, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_581 = bits(sbdata0_reg, 15, 0) @[dbg.scala 424:159] + node _T_582 = cat(_T_581, _T_581) @[Cat.scala 29:58] + node _T_583 = cat(_T_582, _T_582) @[Cat.scala 29:58] + node _T_584 = and(_T_580, _T_583) @[dbg.scala 424:138] + node _T_585 = or(_T_576, _T_584) @[dbg.scala 424:96] + node _T_586 = bits(sbcs_reg, 19, 17) @[dbg.scala 425:23] + node _T_587 = eq(_T_586, UInt<2>("h02")) @[dbg.scala 425:32] + node _T_588 = bits(_T_587, 0, 0) @[Bitwise.scala 72:15] + node _T_589 = mux(_T_588, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_590 = bits(sbdata0_reg, 31, 0) @[dbg.scala 425:67] + node _T_591 = cat(_T_590, _T_590) @[Cat.scala 29:58] + node _T_592 = and(_T_589, _T_591) @[dbg.scala 425:45] + node _T_593 = or(_T_585, _T_592) @[dbg.scala 424:168] + node _T_594 = bits(sbcs_reg, 19, 17) @[dbg.scala 425:97] + node _T_595 = eq(_T_594, UInt<2>("h03")) @[dbg.scala 425:106] + node _T_596 = bits(_T_595, 0, 0) @[Bitwise.scala 72:15] + node _T_597 = mux(_T_596, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_598 = bits(sbdata1_reg, 31, 0) @[dbg.scala 425:136] + node _T_599 = bits(sbdata0_reg, 31, 0) @[dbg.scala 425:156] + node _T_600 = cat(_T_598, _T_599) @[Cat.scala 29:58] + node _T_601 = and(_T_597, _T_600) @[dbg.scala 425:119] + node _T_602 = or(_T_593, _T_601) @[dbg.scala 425:77] + io.sb_axi.w.bits.data <= _T_602 @[dbg.scala 424:25] + node _T_603 = bits(sbcs_reg, 19, 17) @[dbg.scala 427:45] + node _T_604 = eq(_T_603, UInt<1>("h00")) @[dbg.scala 427:54] + node _T_605 = bits(_T_604, 0, 0) @[Bitwise.scala 72:15] + node _T_606 = mux(_T_605, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_607 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 427:99] + node _T_608 = dshl(UInt<8>("h01"), _T_607) @[dbg.scala 427:82] + node _T_609 = and(_T_606, _T_608) @[dbg.scala 427:67] + node _T_610 = bits(sbcs_reg, 19, 17) @[dbg.scala 428:22] + node _T_611 = eq(_T_610, UInt<1>("h01")) @[dbg.scala 428:31] + node _T_612 = bits(_T_611, 0, 0) @[Bitwise.scala 72:15] + node _T_613 = mux(_T_612, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_614 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 428:80] + node _T_615 = cat(_T_614, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_616 = dshl(UInt<8>("h03"), _T_615) @[dbg.scala 428:59] + node _T_617 = and(_T_613, _T_616) @[dbg.scala 428:44] + node _T_618 = or(_T_609, _T_617) @[dbg.scala 427:107] + node _T_619 = bits(sbcs_reg, 19, 17) @[dbg.scala 429:22] + node _T_620 = eq(_T_619, UInt<2>("h02")) @[dbg.scala 429:31] + node _T_621 = bits(_T_620, 0, 0) @[Bitwise.scala 72:15] + node _T_622 = mux(_T_621, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_623 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 429:80] + node _T_624 = cat(_T_623, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_625 = dshl(UInt<8>("h0f"), _T_624) @[dbg.scala 429:59] + node _T_626 = and(_T_622, _T_625) @[dbg.scala 429:44] + node _T_627 = or(_T_618, _T_626) @[dbg.scala 428:97] + node _T_628 = bits(sbcs_reg, 19, 17) @[dbg.scala 430:22] + node _T_629 = eq(_T_628, UInt<2>("h03")) @[dbg.scala 430:31] + node _T_630 = bits(_T_629, 0, 0) @[Bitwise.scala 72:15] + node _T_631 = mux(_T_630, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_632 = and(_T_631, UInt<8>("h0ff")) @[dbg.scala 430:44] + node _T_633 = or(_T_627, _T_632) @[dbg.scala 429:100] + io.sb_axi.w.bits.strb <= _T_633 @[dbg.scala 427:25] + io.sb_axi.w.bits.last <= UInt<1>("h01") @[dbg.scala 432:25] + node _T_634 = eq(sb_state, UInt<4>("h03")) @[dbg.scala 433:35] + node _T_635 = bits(_T_634, 0, 0) @[dbg.scala 433:64] + io.sb_axi.ar.valid <= _T_635 @[dbg.scala 433:22] + io.sb_axi.ar.bits.addr <= sbaddress0_reg @[dbg.scala 434:26] + io.sb_axi.ar.bits.id <= UInt<1>("h00") @[dbg.scala 435:24] + node _T_636 = bits(sbcs_reg, 19, 17) @[dbg.scala 436:37] + io.sb_axi.ar.bits.size <= _T_636 @[dbg.scala 436:26] + io.sb_axi.ar.bits.prot <= UInt<1>("h00") @[dbg.scala 437:26] + io.sb_axi.ar.bits.cache <= UInt<1>("h00") @[dbg.scala 438:27] + node _T_637 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 439:45] + io.sb_axi.ar.bits.region <= _T_637 @[dbg.scala 439:28] + io.sb_axi.ar.bits.len <= UInt<1>("h00") @[dbg.scala 440:25] + io.sb_axi.ar.bits.burst <= UInt<2>("h01") @[dbg.scala 441:27] + io.sb_axi.ar.bits.qos <= UInt<1>("h00") @[dbg.scala 442:25] + io.sb_axi.ar.bits.lock <= UInt<1>("h00") @[dbg.scala 443:26] + io.sb_axi.b.ready <= UInt<1>("h01") @[dbg.scala 444:21] + io.sb_axi.r.ready <= UInt<1>("h01") @[dbg.scala 445:21] + node _T_638 = bits(sbcs_reg, 19, 17) @[dbg.scala 446:37] + node _T_639 = eq(_T_638, UInt<1>("h00")) @[dbg.scala 446:46] + node _T_640 = bits(_T_639, 0, 0) @[Bitwise.scala 72:15] + node _T_641 = mux(_T_640, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_642 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 446:84] + node _T_643 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 446:115] + node _T_644 = mul(UInt<4>("h08"), _T_643) @[dbg.scala 446:99] + node _T_645 = dshr(_T_642, _T_644) @[dbg.scala 446:92] + node _T_646 = and(_T_645, UInt<64>("h0ff")) @[dbg.scala 446:123] + node _T_647 = and(_T_641, _T_646) @[dbg.scala 446:59] + node _T_648 = bits(sbcs_reg, 19, 17) @[dbg.scala 447:23] + node _T_649 = eq(_T_648, UInt<1>("h01")) @[dbg.scala 447:32] + node _T_650 = bits(_T_649, 0, 0) @[Bitwise.scala 72:15] + node _T_651 = mux(_T_650, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_652 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 447:70] + node _T_653 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 447:102] + node _T_654 = mul(UInt<5>("h010"), _T_653) @[dbg.scala 447:86] + node _T_655 = dshr(_T_652, _T_654) @[dbg.scala 447:78] + node _T_656 = and(_T_655, UInt<64>("h0ffff")) @[dbg.scala 447:110] + node _T_657 = and(_T_651, _T_656) @[dbg.scala 447:45] + node _T_658 = or(_T_647, _T_657) @[dbg.scala 446:140] + node _T_659 = bits(sbcs_reg, 19, 17) @[dbg.scala 448:23] + node _T_660 = eq(_T_659, UInt<2>("h02")) @[dbg.scala 448:32] + node _T_661 = bits(_T_660, 0, 0) @[Bitwise.scala 72:15] + node _T_662 = mux(_T_661, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_663 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 448:70] + node _T_664 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 448:102] + node _T_665 = mul(UInt<6>("h020"), _T_664) @[dbg.scala 448:86] + node _T_666 = dshr(_T_663, _T_665) @[dbg.scala 448:78] + node _T_667 = and(_T_666, UInt<64>("h0ffffffff")) @[dbg.scala 448:107] + node _T_668 = and(_T_662, _T_667) @[dbg.scala 448:45] + node _T_669 = or(_T_658, _T_668) @[dbg.scala 447:129] + node _T_670 = bits(sbcs_reg, 19, 17) @[dbg.scala 449:23] + node _T_671 = eq(_T_670, UInt<2>("h03")) @[dbg.scala 449:32] + node _T_672 = bits(_T_671, 0, 0) @[Bitwise.scala 72:15] + node _T_673 = mux(_T_672, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_674 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 449:68] + node _T_675 = and(_T_673, _T_674) @[dbg.scala 449:45] + node _T_676 = or(_T_669, _T_675) @[dbg.scala 448:131] + sb_bus_rdata <= _T_676 @[dbg.scala 446:16] + io.dbg_dma.dbg_ib.dbg_cmd_addr <= io.dbg_dec.dbg_ib.dbg_cmd_addr @[dbg.scala 452:39] + io.dbg_dma.dbg_dctl.dbg_cmd_wrdata <= io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[dbg.scala 453:39] + io.dbg_dma.dbg_ib.dbg_cmd_valid <= io.dbg_dec.dbg_ib.dbg_cmd_valid @[dbg.scala 454:39] + io.dbg_dma.dbg_ib.dbg_cmd_write <= io.dbg_dec.dbg_ib.dbg_cmd_write @[dbg.scala 455:39] + io.dbg_dma.dbg_ib.dbg_cmd_type <= io.dbg_dec.dbg_ib.dbg_cmd_type @[dbg.scala 456:39] + diff --git a/dbg.v b/dbg.v new file mode 100644 index 00000000..2afb7fd4 --- /dev/null +++ b/dbg.v @@ -0,0 +1,1180 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module dbg( + input clock, + input reset, + output [1:0] io_dbg_cmd_size, + output io_dbg_core_rst_l, + input [31:0] io_core_dbg_rddata, + input io_core_dbg_cmd_done, + input io_core_dbg_cmd_fail, + output io_dbg_halt_req, + output io_dbg_resume_req, + input io_dec_tlu_debug_mode, + input io_dec_tlu_dbg_halted, + input io_dec_tlu_mpc_halted_only, + input io_dec_tlu_resume_ack, + input io_dmi_reg_en, + input [6:0] io_dmi_reg_addr, + input io_dmi_reg_wr_en, + input [31:0] io_dmi_reg_wdata, + output [31:0] io_dmi_reg_rdata, + input io_sb_axi_aw_ready, + output io_sb_axi_aw_valid, + output io_sb_axi_aw_bits_id, + output [31:0] io_sb_axi_aw_bits_addr, + output [3:0] io_sb_axi_aw_bits_region, + output [7:0] io_sb_axi_aw_bits_len, + output [2:0] io_sb_axi_aw_bits_size, + output [1:0] io_sb_axi_aw_bits_burst, + output io_sb_axi_aw_bits_lock, + output [3:0] io_sb_axi_aw_bits_cache, + output [2:0] io_sb_axi_aw_bits_prot, + output [3:0] io_sb_axi_aw_bits_qos, + input io_sb_axi_w_ready, + output io_sb_axi_w_valid, + output [63:0] io_sb_axi_w_bits_data, + output [7:0] io_sb_axi_w_bits_strb, + output io_sb_axi_w_bits_last, + output io_sb_axi_b_ready, + input io_sb_axi_b_valid, + input [1:0] io_sb_axi_b_bits_resp, + input io_sb_axi_b_bits_id, + input io_sb_axi_ar_ready, + output io_sb_axi_ar_valid, + output io_sb_axi_ar_bits_id, + output [31:0] io_sb_axi_ar_bits_addr, + output [3:0] io_sb_axi_ar_bits_region, + output [7:0] io_sb_axi_ar_bits_len, + output [2:0] io_sb_axi_ar_bits_size, + output [1:0] io_sb_axi_ar_bits_burst, + output io_sb_axi_ar_bits_lock, + output [3:0] io_sb_axi_ar_bits_cache, + output [2:0] io_sb_axi_ar_bits_prot, + output [3:0] io_sb_axi_ar_bits_qos, + output io_sb_axi_r_ready, + input io_sb_axi_r_valid, + input io_sb_axi_r_bits_id, + input [63:0] io_sb_axi_r_bits_data, + input [1:0] io_sb_axi_r_bits_resp, + input io_sb_axi_r_bits_last, + output io_dbg_dec_dbg_ib_dbg_cmd_valid, + output io_dbg_dec_dbg_ib_dbg_cmd_write, + output [1:0] io_dbg_dec_dbg_ib_dbg_cmd_type, + output [31:0] io_dbg_dec_dbg_ib_dbg_cmd_addr, + output [31:0] io_dbg_dec_dbg_dctl_dbg_cmd_wrdata, + output io_dbg_dma_dbg_ib_dbg_cmd_valid, + output io_dbg_dma_dbg_ib_dbg_cmd_write, + output [1:0] io_dbg_dma_dbg_ib_dbg_cmd_type, + output [31:0] io_dbg_dma_dbg_ib_dbg_cmd_addr, + output [31:0] io_dbg_dma_dbg_dctl_dbg_cmd_wrdata, + output io_dbg_dma_io_dbg_dma_bubble, + input io_dbg_dma_io_dma_dbg_ready, + input io_dbg_bus_clk_en, + input io_dbg_rst_l, + input io_clk_override, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; +`endif // RANDOMIZE_REG_INIT + wire [2:0] dbg_state; + wire dbg_state_en; + wire [3:0] sb_state; + wire sb_state_en; + wire [31:0] dmcontrol_reg; + wire [31:0] sbaddress0_reg; + wire sbcs_sbbusy_wren; + wire sbcs_sberror_wren; + wire [63:0] sb_bus_rdata; + wire sbaddress0_reg_wren1; + wire [31:0] dmstatus_reg; + wire dmstatus_havereset; + wire dmstatus_resumeack; + wire dmstatus_unavail; + wire dmstatus_running; + wire dmstatus_halted; + wire abstractcs_busy_wren; + wire sb_bus_cmd_read; + wire sb_bus_cmd_write_addr; + wire sb_bus_cmd_write_data; + wire sb_bus_rsp_read; + wire sb_bus_rsp_error; + wire sb_bus_rsp_write; + wire sbcs_sbbusy_din; + wire [31:0] data1_reg; + wire [31:0] sbcs_reg; + wire _T = dbg_state != 3'h0; // @[dbg.scala 95:51] + wire _T_1 = io_dmi_reg_en | _T; // @[dbg.scala 95:38] + wire _T_2 = _T_1 | dbg_state_en; // @[dbg.scala 95:69] + wire _T_3 = _T_2 | io_dec_tlu_dbg_halted; // @[dbg.scala 95:84] + wire _T_4 = io_dmi_reg_en | sb_state_en; // @[dbg.scala 96:37] + wire _T_5 = sb_state != 4'h0; // @[dbg.scala 96:63] + wire _T_6 = _T_4 | _T_5; // @[dbg.scala 96:51] + wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_io_en; // @[lib.scala 343:22] + wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_en; // @[lib.scala 343:22] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] + wire _T_9 = dmcontrol_reg[0] | io_scan_mode; // @[dbg.scala 100:65] + wire dbg_dm_rst_l = io_dbg_rst_l & _T_9; // @[dbg.scala 100:94] + wire _T_11 = io_dbg_rst_l & _T_9; // @[dbg.scala 102:38] + wire rst_temp = _T_11 & reset; // @[dbg.scala 102:71] + wire _T_15 = ~dmcontrol_reg[1]; // @[dbg.scala 105:25] + wire _T_17 = io_dmi_reg_addr == 7'h38; // @[dbg.scala 106:36] + wire _T_18 = _T_17 & io_dmi_reg_en; // @[dbg.scala 106:49] + wire _T_19 = _T_18 & io_dmi_reg_wr_en; // @[dbg.scala 106:65] + wire _T_20 = sb_state == 4'h0; // @[dbg.scala 106:96] + wire sbcs_wren = _T_19 & _T_20; // @[dbg.scala 106:84] + wire _T_22 = sbcs_wren & io_dmi_reg_wdata[22]; // @[dbg.scala 107:42] + wire _T_24 = _T_5 & io_dmi_reg_en; // @[dbg.scala 107:102] + wire _T_25 = io_dmi_reg_addr == 7'h39; // @[dbg.scala 108:23] + wire _T_26 = io_dmi_reg_addr == 7'h3c; // @[dbg.scala 108:55] + wire _T_27 = _T_25 | _T_26; // @[dbg.scala 108:36] + wire _T_28 = io_dmi_reg_addr == 7'h3d; // @[dbg.scala 108:87] + wire _T_29 = _T_27 | _T_28; // @[dbg.scala 108:68] + wire _T_30 = _T_24 & _T_29; // @[dbg.scala 107:118] + wire sbcs_sbbusyerror_wren = _T_22 | _T_30; // @[dbg.scala 107:66] + wire sbcs_sbbusyerror_din = ~_T_22; // @[dbg.scala 110:31] + reg temp_sbcs_22; // @[Reg.scala 27:20] + reg temp_sbcs_21; // @[Reg.scala 27:20] + reg temp_sbcs_20; // @[Reg.scala 27:20] + reg [4:0] temp_sbcs_19_15; // @[Reg.scala 27:20] + reg [2:0] temp_sbcs_14_12; // @[Reg.scala 27:20] + wire [19:0] _T_38 = {temp_sbcs_19_15,temp_sbcs_14_12,12'h40f}; // @[Cat.scala 29:58] + wire [11:0] _T_42 = {9'h40,temp_sbcs_22,temp_sbcs_21,temp_sbcs_20}; // @[Cat.scala 29:58] + wire _T_45 = sbcs_reg[19:17] == 3'h1; // @[dbg.scala 132:42] + wire _T_47 = _T_45 & sbaddress0_reg[0]; // @[dbg.scala 132:61] + wire _T_49 = sbcs_reg[19:17] == 3'h2; // @[dbg.scala 133:23] + wire _T_51 = |sbaddress0_reg[1:0]; // @[dbg.scala 133:65] + wire _T_52 = _T_49 & _T_51; // @[dbg.scala 133:42] + wire _T_53 = _T_47 | _T_52; // @[dbg.scala 132:81] + wire _T_55 = sbcs_reg[19:17] == 3'h3; // @[dbg.scala 134:23] + wire _T_57 = |sbaddress0_reg[2:0]; // @[dbg.scala 134:65] + wire _T_58 = _T_55 & _T_57; // @[dbg.scala 134:42] + wire sbcs_unaligned = _T_53 | _T_58; // @[dbg.scala 133:69] + wire sbcs_illegal_size = sbcs_reg[19]; // @[dbg.scala 136:35] + wire _T_60 = sbcs_reg[19:17] == 3'h0; // @[dbg.scala 137:51] + wire [3:0] _T_62 = _T_60 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_63 = _T_62 & 4'h1; // @[dbg.scala 137:64] + wire [3:0] _T_67 = _T_45 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_68 = _T_67 & 4'h2; // @[dbg.scala 137:122] + wire [3:0] _T_69 = _T_63 | _T_68; // @[dbg.scala 137:81] + wire [3:0] _T_73 = _T_49 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_74 = _T_73 & 4'h4; // @[dbg.scala 138:44] + wire [3:0] _T_75 = _T_69 | _T_74; // @[dbg.scala 137:139] + wire [3:0] _T_79 = _T_55 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_80 = _T_79 & 4'h8; // @[dbg.scala 138:102] + wire [3:0] sbaddress0_incr = _T_75 | _T_80; // @[dbg.scala 138:61] + wire _T_81 = io_dmi_reg_en & io_dmi_reg_wr_en; // @[dbg.scala 140:41] + wire sbdata0_reg_wren0 = _T_81 & _T_26; // @[dbg.scala 140:60] + wire _T_83 = sb_state == 4'h7; // @[dbg.scala 141:37] + wire _T_84 = _T_83 & sb_state_en; // @[dbg.scala 141:60] + wire _T_85 = ~sbcs_sberror_wren; // @[dbg.scala 141:76] + wire sbdata0_reg_wren1 = _T_84 & _T_85; // @[dbg.scala 141:74] + wire sbdata1_reg_wren0 = _T_81 & _T_28; // @[dbg.scala 143:60] + wire [31:0] _T_92 = sbdata0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_93 = _T_92 & io_dmi_reg_wdata; // @[dbg.scala 146:49] + wire [31:0] _T_95 = sbdata0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_97 = _T_95 & sb_bus_rdata[31:0]; // @[dbg.scala 147:33] + wire [31:0] _T_99 = sbdata1_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_100 = _T_99 & io_dmi_reg_wdata; // @[dbg.scala 149:49] + wire [31:0] _T_104 = _T_95 & sb_bus_rdata[63:32]; // @[dbg.scala 150:33] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] sbdata0_reg; // @[lib.scala 374:16] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] sbdata1_reg; // @[lib.scala 374:16] + wire sbaddress0_reg_wren0 = _T_81 & _T_25; // @[dbg.scala 160:63] + wire [31:0] _T_108 = sbaddress0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_109 = _T_108 & io_dmi_reg_wdata; // @[dbg.scala 162:59] + wire [31:0] _T_111 = sbaddress0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_112 = {28'h0,sbaddress0_incr}; // @[Cat.scala 29:58] + wire [31:0] _T_114 = sbaddress0_reg + _T_112; // @[dbg.scala 163:54] + wire [31:0] _T_115 = _T_111 & _T_114; // @[dbg.scala 163:36] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] _T_116; // @[lib.scala 374:16] + wire sbreadonaddr_access = sbaddress0_reg_wren0 & sbcs_reg[20]; // @[dbg.scala 168:94] + wire _T_121 = ~io_dmi_reg_wr_en; // @[dbg.scala 169:45] + wire _T_122 = io_dmi_reg_en & _T_121; // @[dbg.scala 169:43] + wire _T_124 = _T_122 & _T_26; // @[dbg.scala 169:63] + wire sbreadondata_access = _T_124 & sbcs_reg[15]; // @[dbg.scala 169:95] + wire _T_128 = io_dmi_reg_addr == 7'h10; // @[dbg.scala 171:41] + wire _T_129 = _T_128 & io_dmi_reg_en; // @[dbg.scala 171:54] + wire dmcontrol_wren = _T_129 & io_dmi_reg_wr_en; // @[dbg.scala 171:70] + wire [3:0] _T_134 = {io_dmi_reg_wdata[31:30],io_dmi_reg_wdata[28],io_dmi_reg_wdata[1]}; // @[Cat.scala 29:58] + reg [3:0] dm_temp; // @[Reg.scala 27:20] + reg dm_temp_0; // @[Reg.scala 27:20] + wire [27:0] _T_141 = {26'h0,dm_temp[0],dm_temp_0}; // @[Cat.scala 29:58] + wire [3:0] _T_143 = {dm_temp[3:2],1'h0,dm_temp[1]}; // @[Cat.scala 29:58] + reg dmcontrol_wren_Q; // @[dbg.scala 186:12] + wire [1:0] _T_145 = dmstatus_havereset ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_147 = dmstatus_resumeack ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_149 = dmstatus_unavail ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_151 = dmstatus_running ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_153 = dmstatus_halted ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [11:0] _T_157 = {_T_151,_T_153,1'h1,7'h2}; // @[Cat.scala 29:58] + wire [19:0] _T_161 = {12'h0,_T_145,_T_147,2'h0,_T_149}; // @[Cat.scala 29:58] + wire _T_163 = dbg_state == 3'h6; // @[dbg.scala 191:44] + wire _T_164 = _T_163 & io_dec_tlu_resume_ack; // @[dbg.scala 191:66] + wire _T_166 = ~dmcontrol_reg[30]; // @[dbg.scala 191:113] + wire _T_167 = dmstatus_resumeack & _T_166; // @[dbg.scala 191:111] + wire dmstatus_resumeack_wren = _T_164 | _T_167; // @[dbg.scala 191:90] + wire _T_171 = _T_128 & io_dmi_reg_wdata[1]; // @[dbg.scala 193:63] + wire _T_172 = _T_171 & io_dmi_reg_en; // @[dbg.scala 193:85] + wire dmstatus_havereset_wren = _T_172 & io_dmi_reg_wr_en; // @[dbg.scala 193:101] + wire _T_175 = _T_128 & io_dmi_reg_wdata[28]; // @[dbg.scala 194:62] + wire _T_176 = _T_175 & io_dmi_reg_en; // @[dbg.scala 194:85] + wire dmstatus_havereset_rst = _T_176 & io_dmi_reg_wr_en; // @[dbg.scala 194:101] + wire _T_178 = ~reset; // @[dbg.scala 196:43] + wire _T_181 = dmstatus_unavail | dmstatus_halted; // @[dbg.scala 197:42] + reg _T_183; // @[Reg.scala 27:20] + wire _T_184 = ~io_dec_tlu_mpc_halted_only; // @[dbg.scala 203:37] + reg _T_186; // @[dbg.scala 203:12] + wire _T_187 = dmstatus_havereset_wren | dmstatus_havereset; // @[dbg.scala 207:16] + wire _T_188 = ~dmstatus_havereset_rst; // @[dbg.scala 207:72] + reg _T_190; // @[dbg.scala 207:12] + wire [31:0] haltsum0_reg = {31'h0,dmstatus_halted}; // @[Cat.scala 29:58] + wire [31:0] abstractcs_reg; + wire _T_192 = abstractcs_reg[12] & io_dmi_reg_en; // @[dbg.scala 213:50] + wire _T_193 = io_dmi_reg_addr == 7'h16; // @[dbg.scala 213:106] + wire _T_194 = io_dmi_reg_addr == 7'h17; // @[dbg.scala 213:138] + wire _T_195 = _T_193 | _T_194; // @[dbg.scala 213:119] + wire _T_196 = io_dmi_reg_wr_en & _T_195; // @[dbg.scala 213:86] + wire _T_197 = io_dmi_reg_addr == 7'h4; // @[dbg.scala 213:171] + wire _T_198 = _T_196 | _T_197; // @[dbg.scala 213:152] + wire abstractcs_error_sel0 = _T_192 & _T_198; // @[dbg.scala 213:66] + wire _T_201 = _T_81 & _T_194; // @[dbg.scala 214:64] + wire _T_203 = io_dmi_reg_wdata[31:24] == 8'h0; // @[dbg.scala 214:126] + wire _T_205 = io_dmi_reg_wdata[31:24] == 8'h2; // @[dbg.scala 214:163] + wire _T_206 = _T_203 | _T_205; // @[dbg.scala 214:135] + wire _T_207 = ~_T_206; // @[dbg.scala 214:98] + wire abstractcs_error_sel1 = _T_201 & _T_207; // @[dbg.scala 214:96] + wire abstractcs_error_sel2 = io_core_dbg_cmd_done & io_core_dbg_cmd_fail; // @[dbg.scala 215:52] + wire _T_212 = ~dmstatus_reg[9]; // @[dbg.scala 216:98] + wire abstractcs_error_sel3 = _T_201 & _T_212; // @[dbg.scala 216:96] + wire _T_214 = _T_194 & io_dmi_reg_en; // @[dbg.scala 217:61] + wire _T_215 = _T_214 & io_dmi_reg_wr_en; // @[dbg.scala 217:77] + wire _T_217 = io_dmi_reg_wdata[22:20] != 3'h2; // @[dbg.scala 218:32] + wire _T_221 = |data1_reg[1:0]; // @[dbg.scala 218:111] + wire _T_222 = _T_205 & _T_221; // @[dbg.scala 218:92] + wire _T_223 = _T_217 | _T_222; // @[dbg.scala 218:51] + wire abstractcs_error_sel4 = _T_215 & _T_223; // @[dbg.scala 217:96] + wire _T_225 = _T_193 & io_dmi_reg_en; // @[dbg.scala 220:61] + wire abstractcs_error_sel5 = _T_225 & io_dmi_reg_wr_en; // @[dbg.scala 220:77] + wire _T_226 = abstractcs_error_sel0 | abstractcs_error_sel1; // @[dbg.scala 221:54] + wire _T_227 = _T_226 | abstractcs_error_sel2; // @[dbg.scala 221:78] + wire _T_228 = _T_227 | abstractcs_error_sel3; // @[dbg.scala 221:102] + wire _T_229 = _T_228 | abstractcs_error_sel4; // @[dbg.scala 221:126] + wire abstractcs_error_selor = _T_229 | abstractcs_error_sel5; // @[dbg.scala 221:150] + wire [2:0] _T_231 = abstractcs_error_sel0 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_232 = _T_231 & 3'h1; // @[dbg.scala 222:62] + wire [2:0] _T_234 = abstractcs_error_sel1 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_235 = _T_234 & 3'h2; // @[dbg.scala 223:37] + wire [2:0] _T_236 = _T_232 | _T_235; // @[dbg.scala 222:79] + wire [2:0] _T_238 = abstractcs_error_sel2 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_239 = _T_238 & 3'h3; // @[dbg.scala 224:37] + wire [2:0] _T_240 = _T_236 | _T_239; // @[dbg.scala 223:54] + wire [2:0] _T_242 = abstractcs_error_sel3 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_243 = _T_242 & 3'h4; // @[dbg.scala 225:37] + wire [2:0] _T_244 = _T_240 | _T_243; // @[dbg.scala 224:54] + wire [2:0] _T_246 = abstractcs_error_sel4 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_248 = _T_244 | _T_246; // @[dbg.scala 225:54] + wire [2:0] _T_250 = abstractcs_error_sel5 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_252 = ~io_dmi_reg_wdata[10:8]; // @[dbg.scala 227:40] + wire [2:0] _T_253 = _T_250 & _T_252; // @[dbg.scala 227:37] + wire [2:0] _T_255 = _T_253 & abstractcs_reg[10:8]; // @[dbg.scala 227:75] + wire [2:0] _T_256 = _T_248 | _T_255; // @[dbg.scala 226:54] + wire _T_257 = ~abstractcs_error_selor; // @[dbg.scala 228:15] + wire [2:0] _T_259 = _T_257 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_261 = _T_259 & abstractcs_reg[10:8]; // @[dbg.scala 228:50] + reg abs_temp_12; // @[Reg.scala 27:20] + reg [2:0] abs_temp_10_8; // @[dbg.scala 235:12] + wire [10:0] _T_263 = {abs_temp_10_8,8'h2}; // @[Cat.scala 29:58] + wire [20:0] _T_265 = {19'h0,abs_temp_12,1'h0}; // @[Cat.scala 29:58] + wire _T_270 = dbg_state == 3'h2; // @[dbg.scala 240:100] + wire command_wren = _T_215 & _T_270; // @[dbg.scala 240:87] + wire [19:0] _T_274 = {3'h0,io_dmi_reg_wdata[16:0]}; // @[Cat.scala 29:58] + wire [11:0] _T_276 = {io_dmi_reg_wdata[31:24],1'h0,io_dmi_reg_wdata[22:20]}; // @[Cat.scala 29:58] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] command_reg; // @[lib.scala 374:16] + wire _T_279 = _T_81 & _T_197; // @[dbg.scala 246:58] + wire data0_reg_wren0 = _T_279 & _T_270; // @[dbg.scala 246:89] + wire _T_281 = dbg_state == 3'h4; // @[dbg.scala 247:59] + wire _T_282 = io_core_dbg_cmd_done & _T_281; // @[dbg.scala 247:46] + wire _T_284 = ~command_reg[16]; // @[dbg.scala 247:83] + wire data0_reg_wren1 = _T_282 & _T_284; // @[dbg.scala 247:81] + wire [31:0] _T_286 = data0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_287 = _T_286 & io_dmi_reg_wdata; // @[dbg.scala 250:45] + wire [31:0] _T_289 = data0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_290 = _T_289 & io_core_dbg_rddata; // @[dbg.scala 250:92] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] data0_reg; // @[lib.scala 374:16] + wire _T_292 = io_dmi_reg_addr == 7'h5; // @[dbg.scala 255:77] + wire _T_293 = _T_81 & _T_292; // @[dbg.scala 255:58] + wire data1_reg_wren = _T_293 & _T_270; // @[dbg.scala 255:89] + wire [31:0] _T_296 = data1_reg_wren ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + reg [31:0] _T_297; // @[lib.scala 374:16] + wire [2:0] dbg_nxtstate; + wire _T_298 = 3'h0 == dbg_state; // @[Conditional.scala 37:30] + wire _T_300 = dmstatus_reg[9] | io_dec_tlu_mpc_halted_only; // @[dbg.scala 270:43] + wire [2:0] _T_301 = _T_300 ? 3'h2 : 3'h1; // @[dbg.scala 270:26] + wire _T_303 = ~io_dec_tlu_debug_mode; // @[dbg.scala 271:45] + wire _T_304 = dmcontrol_reg[31] & _T_303; // @[dbg.scala 271:43] + wire _T_306 = _T_304 | dmstatus_reg[9]; // @[dbg.scala 271:69] + wire _T_307 = _T_306 | io_dec_tlu_mpc_halted_only; // @[dbg.scala 271:87] + wire _T_310 = _T_307 & _T_15; // @[dbg.scala 271:117] + wire _T_314 = dmcontrol_reg[31] & _T_15; // @[dbg.scala 272:45] + wire _T_316 = 3'h1 == dbg_state; // @[Conditional.scala 37:30] + wire [2:0] _T_318 = dmcontrol_reg[1] ? 3'h0 : 3'h2; // @[dbg.scala 275:26] + wire _T_321 = dmstatus_reg[9] | dmcontrol_reg[1]; // @[dbg.scala 276:39] + wire _T_323 = dmcontrol_wren_Q & dmcontrol_reg[31]; // @[dbg.scala 277:44] + wire _T_326 = _T_323 & _T_15; // @[dbg.scala 277:64] + wire _T_328 = 3'h2 == dbg_state; // @[Conditional.scala 37:30] + wire _T_332 = dmstatus_reg[9] & _T_15; // @[dbg.scala 280:43] + wire _T_335 = ~dmcontrol_reg[31]; // @[dbg.scala 281:33] + wire _T_336 = dmcontrol_reg[30] & _T_335; // @[dbg.scala 281:31] + wire [2:0] _T_337 = _T_336 ? 3'h6 : 3'h3; // @[dbg.scala 281:12] + wire [2:0] _T_339 = dmcontrol_reg[31] ? 3'h1 : 3'h0; // @[dbg.scala 282:12] + wire [2:0] _T_340 = _T_332 ? _T_337 : _T_339; // @[dbg.scala 280:26] + wire _T_343 = dmstatus_reg[9] & dmcontrol_reg[30]; // @[dbg.scala 283:39] + wire _T_346 = _T_343 & _T_335; // @[dbg.scala 283:59] + wire _T_347 = _T_346 & dmcontrol_wren_Q; // @[dbg.scala 283:80] + wire _T_348 = _T_347 | command_wren; // @[dbg.scala 283:99] + wire _T_350 = _T_348 | dmcontrol_reg[1]; // @[dbg.scala 283:114] + wire _T_353 = ~_T_300; // @[dbg.scala 284:28] + wire _T_354 = _T_350 | _T_353; // @[dbg.scala 284:26] + wire _T_355 = dbg_nxtstate == 3'h3; // @[dbg.scala 285:60] + wire _T_356 = dbg_state_en & _T_355; // @[dbg.scala 285:44] + wire _T_357 = dbg_nxtstate == 3'h6; // @[dbg.scala 287:58] + wire _T_358 = dbg_state_en & _T_357; // @[dbg.scala 287:42] + wire _T_366 = 3'h3 == dbg_state; // @[Conditional.scala 37:30] + wire _T_369 = |abstractcs_reg[10:8]; // @[dbg.scala 291:85] + wire [2:0] _T_370 = _T_369 ? 3'h5 : 3'h4; // @[dbg.scala 291:62] + wire [2:0] _T_371 = dmcontrol_reg[1] ? 3'h0 : _T_370; // @[dbg.scala 291:26] + wire _T_374 = io_dbg_dec_dbg_ib_dbg_cmd_valid | _T_369; // @[dbg.scala 292:55] + wire _T_376 = _T_374 | dmcontrol_reg[1]; // @[dbg.scala 292:83] + wire _T_383 = 3'h4 == dbg_state; // @[Conditional.scala 37:30] + wire [2:0] _T_385 = dmcontrol_reg[1] ? 3'h0 : 3'h5; // @[dbg.scala 296:26] + wire _T_387 = io_core_dbg_cmd_done | dmcontrol_reg[1]; // @[dbg.scala 297:44] + wire _T_394 = 3'h5 == dbg_state; // @[Conditional.scala 37:30] + wire _T_403 = 3'h6 == dbg_state; // @[Conditional.scala 37:30] + wire _T_406 = dmstatus_reg[17] | dmcontrol_reg[1]; // @[dbg.scala 309:40] + wire _GEN_10 = _T_403 & _T_406; // @[Conditional.scala 39:67] + wire _GEN_11 = _T_403 & _T_326; // @[Conditional.scala 39:67] + wire [2:0] _GEN_12 = _T_394 ? _T_318 : 3'h0; // @[Conditional.scala 39:67] + wire _GEN_13 = _T_394 | _GEN_10; // @[Conditional.scala 39:67] + wire _GEN_14 = _T_394 & dbg_state_en; // @[Conditional.scala 39:67] + wire _GEN_16 = _T_394 ? _T_326 : _GEN_11; // @[Conditional.scala 39:67] + wire [2:0] _GEN_17 = _T_383 ? _T_385 : _GEN_12; // @[Conditional.scala 39:67] + wire _GEN_18 = _T_383 ? _T_387 : _GEN_13; // @[Conditional.scala 39:67] + wire _GEN_19 = _T_383 ? _T_326 : _GEN_16; // @[Conditional.scala 39:67] + wire _GEN_20 = _T_383 ? 1'h0 : _GEN_14; // @[Conditional.scala 39:67] + wire [2:0] _GEN_22 = _T_366 ? _T_371 : _GEN_17; // @[Conditional.scala 39:67] + wire _GEN_23 = _T_366 ? _T_376 : _GEN_18; // @[Conditional.scala 39:67] + wire _GEN_24 = _T_366 ? _T_326 : _GEN_19; // @[Conditional.scala 39:67] + wire _GEN_25 = _T_366 ? 1'h0 : _GEN_20; // @[Conditional.scala 39:67] + wire [2:0] _GEN_27 = _T_328 ? _T_340 : _GEN_22; // @[Conditional.scala 39:67] + wire _GEN_28 = _T_328 ? _T_354 : _GEN_23; // @[Conditional.scala 39:67] + wire _GEN_29 = _T_328 ? _T_356 : _GEN_25; // @[Conditional.scala 39:67] + wire _GEN_31 = _T_328 & _T_358; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_328 ? _T_326 : _GEN_24; // @[Conditional.scala 39:67] + wire [2:0] _GEN_33 = _T_316 ? _T_318 : _GEN_27; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_316 ? _T_321 : _GEN_28; // @[Conditional.scala 39:67] + wire _GEN_35 = _T_316 ? _T_326 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_36 = _T_316 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_316 ? 1'h0 : _GEN_31; // @[Conditional.scala 39:67] + wire [31:0] _T_415 = _T_197 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_416 = _T_415 & data0_reg; // @[dbg.scala 313:71] + wire [31:0] _T_419 = _T_292 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_420 = _T_419 & data1_reg; // @[dbg.scala 313:122] + wire [31:0] _T_421 = _T_416 | _T_420; // @[dbg.scala 313:83] + wire [31:0] _T_424 = _T_128 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_425 = _T_424 & dmcontrol_reg; // @[dbg.scala 314:43] + wire [31:0] _T_426 = _T_421 | _T_425; // @[dbg.scala 313:134] + wire _T_427 = io_dmi_reg_addr == 7'h11; // @[dbg.scala 314:86] + wire [31:0] _T_429 = _T_427 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_430 = _T_429 & dmstatus_reg; // @[dbg.scala 314:99] + wire [31:0] _T_431 = _T_426 | _T_430; // @[dbg.scala 314:59] + wire [31:0] _T_434 = _T_193 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_435 = _T_434 & abstractcs_reg; // @[dbg.scala 315:43] + wire [31:0] _T_436 = _T_431 | _T_435; // @[dbg.scala 314:114] + wire [31:0] _T_439 = _T_194 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_440 = _T_439 & command_reg; // @[dbg.scala 315:100] + wire [31:0] _T_441 = _T_436 | _T_440; // @[dbg.scala 315:60] + wire _T_442 = io_dmi_reg_addr == 7'h40; // @[dbg.scala 316:30] + wire [31:0] _T_444 = _T_442 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_445 = _T_444 & haltsum0_reg; // @[dbg.scala 316:43] + wire [31:0] _T_446 = _T_441 | _T_445; // @[dbg.scala 315:114] + wire [31:0] _T_449 = _T_17 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_450 = _T_449 & sbcs_reg; // @[dbg.scala 316:98] + wire [31:0] _T_451 = _T_446 | _T_450; // @[dbg.scala 316:58] + wire [31:0] _T_454 = _T_25 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_455 = _T_454 & sbaddress0_reg; // @[dbg.scala 317:43] + wire [31:0] _T_456 = _T_451 | _T_455; // @[dbg.scala 316:109] + wire [31:0] _T_459 = _T_26 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_460 = _T_459 & sbdata0_reg; // @[dbg.scala 317:100] + wire [31:0] _T_461 = _T_456 | _T_460; // @[dbg.scala 317:60] + wire [31:0] _T_464 = _T_28 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_465 = _T_464 & sbdata1_reg; // @[dbg.scala 318:43] + wire [31:0] dmi_reg_rdata_din = _T_461 | _T_465; // @[dbg.scala 317:114] + reg [2:0] _T_466; // @[Reg.scala 27:20] + reg [31:0] _T_467; // @[Reg.scala 27:20] + wire _T_469 = command_reg[31:24] == 8'h2; // @[dbg.scala 329:62] + wire [31:0] _T_471 = {data1_reg[31:2],2'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_473 = {20'h0,command_reg[11:0]}; // @[Cat.scala 29:58] + wire _T_476 = dbg_state == 3'h3; // @[dbg.scala 331:50] + wire _T_479 = ~_T_369; // @[dbg.scala 331:75] + wire _T_480 = _T_476 & _T_479; // @[dbg.scala 331:73] + wire _T_488 = command_reg[15:12] == 4'h0; // @[dbg.scala 333:122] + wire [1:0] _T_489 = {1'h0,_T_488}; // @[Cat.scala 29:58] + wire _T_500 = 4'h0 == sb_state; // @[Conditional.scala 37:30] + wire _T_502 = sbdata0_reg_wren0 | sbreadondata_access; // @[dbg.scala 348:39] + wire _T_503 = _T_502 | sbreadonaddr_access; // @[dbg.scala 348:61] + wire _T_505 = |io_dmi_reg_wdata[14:12]; // @[dbg.scala 351:65] + wire _T_506 = sbcs_wren & _T_505; // @[dbg.scala 351:38] + wire [2:0] _T_508 = ~io_dmi_reg_wdata[14:12]; // @[dbg.scala 352:27] + wire [2:0] _T_510 = _T_508 & sbcs_reg[14:12]; // @[dbg.scala 352:53] + wire _T_511 = 4'h1 == sb_state; // @[Conditional.scala 37:30] + wire _T_512 = sbcs_unaligned | sbcs_illegal_size; // @[dbg.scala 355:41] + wire _T_514 = io_dbg_bus_clk_en | sbcs_unaligned; // @[dbg.scala 356:40] + wire _T_515 = _T_514 | sbcs_illegal_size; // @[dbg.scala 356:57] + wire _T_518 = 4'h2 == sb_state; // @[Conditional.scala 37:30] + wire _T_525 = 4'h3 == sb_state; // @[Conditional.scala 37:30] + wire _T_526 = sb_bus_cmd_read & io_dbg_bus_clk_en; // @[dbg.scala 368:38] + wire _T_527 = 4'h4 == sb_state; // @[Conditional.scala 37:30] + wire _T_528 = sb_bus_cmd_write_addr & sb_bus_cmd_write_data; // @[dbg.scala 371:48] + wire _T_531 = sb_bus_cmd_write_addr | sb_bus_cmd_write_data; // @[dbg.scala 372:45] + wire _T_532 = _T_531 & io_dbg_bus_clk_en; // @[dbg.scala 372:70] + wire _T_533 = 4'h5 == sb_state; // @[Conditional.scala 37:30] + wire _T_534 = sb_bus_cmd_write_addr & io_dbg_bus_clk_en; // @[dbg.scala 376:44] + wire _T_535 = 4'h6 == sb_state; // @[Conditional.scala 37:30] + wire _T_536 = sb_bus_cmd_write_data & io_dbg_bus_clk_en; // @[dbg.scala 380:44] + wire _T_537 = 4'h7 == sb_state; // @[Conditional.scala 37:30] + wire _T_538 = sb_bus_rsp_read & io_dbg_bus_clk_en; // @[dbg.scala 384:38] + wire _T_539 = sb_state_en & sb_bus_rsp_error; // @[dbg.scala 385:40] + wire _T_540 = 4'h8 == sb_state; // @[Conditional.scala 37:30] + wire _T_541 = sb_bus_rsp_write & io_dbg_bus_clk_en; // @[dbg.scala 390:39] + wire _T_543 = 4'h9 == sb_state; // @[Conditional.scala 37:30] + wire _GEN_50 = _T_543 & sbcs_reg[16]; // @[Conditional.scala 39:67] + wire _GEN_52 = _T_540 ? _T_541 : _T_543; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_540 & _T_539; // @[Conditional.scala 39:67] + wire _GEN_55 = _T_540 ? 1'h0 : _T_543; // @[Conditional.scala 39:67] + wire _GEN_57 = _T_540 ? 1'h0 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_537 ? _T_538 : _GEN_52; // @[Conditional.scala 39:67] + wire _GEN_60 = _T_537 ? _T_539 : _GEN_53; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_537 ? 1'h0 : _GEN_55; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_537 ? 1'h0 : _GEN_57; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_535 ? _T_536 : _GEN_59; // @[Conditional.scala 39:67] + wire _GEN_67 = _T_535 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire _GEN_69 = _T_535 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_535 ? 1'h0 : _GEN_64; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_533 ? _T_534 : _GEN_66; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_533 ? 1'h0 : _GEN_67; // @[Conditional.scala 39:67] + wire _GEN_76 = _T_533 ? 1'h0 : _GEN_69; // @[Conditional.scala 39:67] + wire _GEN_78 = _T_533 ? 1'h0 : _GEN_71; // @[Conditional.scala 39:67] + wire _GEN_80 = _T_527 ? _T_532 : _GEN_73; // @[Conditional.scala 39:67] + wire _GEN_81 = _T_527 ? 1'h0 : _GEN_74; // @[Conditional.scala 39:67] + wire _GEN_83 = _T_527 ? 1'h0 : _GEN_76; // @[Conditional.scala 39:67] + wire _GEN_85 = _T_527 ? 1'h0 : _GEN_78; // @[Conditional.scala 39:67] + wire _GEN_87 = _T_525 ? _T_526 : _GEN_80; // @[Conditional.scala 39:67] + wire _GEN_88 = _T_525 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] + wire _GEN_90 = _T_525 ? 1'h0 : _GEN_83; // @[Conditional.scala 39:67] + wire _GEN_92 = _T_525 ? 1'h0 : _GEN_85; // @[Conditional.scala 39:67] + wire _GEN_94 = _T_518 ? _T_515 : _GEN_87; // @[Conditional.scala 39:67] + wire _GEN_95 = _T_518 ? _T_512 : _GEN_88; // @[Conditional.scala 39:67] + wire _GEN_97 = _T_518 ? 1'h0 : _GEN_90; // @[Conditional.scala 39:67] + wire _GEN_99 = _T_518 ? 1'h0 : _GEN_92; // @[Conditional.scala 39:67] + wire _GEN_101 = _T_511 ? _T_515 : _GEN_94; // @[Conditional.scala 39:67] + wire _GEN_102 = _T_511 ? _T_512 : _GEN_95; // @[Conditional.scala 39:67] + wire _GEN_104 = _T_511 ? 1'h0 : _GEN_97; // @[Conditional.scala 39:67] + wire _GEN_106 = _T_511 ? 1'h0 : _GEN_99; // @[Conditional.scala 39:67] + reg [3:0] _T_545; // @[Reg.scala 27:20] + wire _T_552 = |io_sb_axi_r_bits_resp; // @[dbg.scala 411:69] + wire _T_553 = sb_bus_rsp_read & _T_552; // @[dbg.scala 411:39] + wire _T_555 = |io_sb_axi_b_bits_resp; // @[dbg.scala 411:122] + wire _T_556 = sb_bus_rsp_write & _T_555; // @[dbg.scala 411:92] + wire _T_558 = sb_state == 4'h4; // @[dbg.scala 412:36] + wire _T_559 = sb_state == 4'h5; // @[dbg.scala 412:71] + wire _T_565 = sb_state == 4'h6; // @[dbg.scala 423:70] + wire [63:0] _T_571 = _T_60 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_575 = {sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0]}; // @[Cat.scala 29:58] + wire [63:0] _T_576 = _T_571 & _T_575; // @[dbg.scala 424:65] + wire [63:0] _T_580 = _T_45 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_583 = {sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0]}; // @[Cat.scala 29:58] + wire [63:0] _T_584 = _T_580 & _T_583; // @[dbg.scala 424:138] + wire [63:0] _T_585 = _T_576 | _T_584; // @[dbg.scala 424:96] + wire [63:0] _T_589 = _T_49 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_591 = {sbdata0_reg,sbdata0_reg}; // @[Cat.scala 29:58] + wire [63:0] _T_592 = _T_589 & _T_591; // @[dbg.scala 425:45] + wire [63:0] _T_593 = _T_585 | _T_592; // @[dbg.scala 424:168] + wire [63:0] _T_597 = _T_55 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_600 = {sbdata1_reg,sbdata0_reg}; // @[Cat.scala 29:58] + wire [63:0] _T_601 = _T_597 & _T_600; // @[dbg.scala 425:119] + wire [7:0] _T_606 = _T_60 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [14:0] _T_608 = 15'h1 << sbaddress0_reg[2:0]; // @[dbg.scala 427:82] + wire [14:0] _GEN_115 = {{7'd0}, _T_606}; // @[dbg.scala 427:67] + wire [14:0] _T_609 = _GEN_115 & _T_608; // @[dbg.scala 427:67] + wire [7:0] _T_613 = _T_45 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_615 = {sbaddress0_reg[2:1],1'h0}; // @[Cat.scala 29:58] + wire [14:0] _T_616 = 15'h3 << _T_615; // @[dbg.scala 428:59] + wire [14:0] _GEN_116 = {{7'd0}, _T_613}; // @[dbg.scala 428:44] + wire [14:0] _T_617 = _GEN_116 & _T_616; // @[dbg.scala 428:44] + wire [14:0] _T_618 = _T_609 | _T_617; // @[dbg.scala 427:107] + wire [7:0] _T_622 = _T_49 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_624 = {sbaddress0_reg[2],2'h0}; // @[Cat.scala 29:58] + wire [14:0] _T_625 = 15'hf << _T_624; // @[dbg.scala 429:59] + wire [14:0] _GEN_117 = {{7'd0}, _T_622}; // @[dbg.scala 429:44] + wire [14:0] _T_626 = _GEN_117 & _T_625; // @[dbg.scala 429:44] + wire [14:0] _T_627 = _T_618 | _T_626; // @[dbg.scala 428:97] + wire [7:0] _T_631 = _T_55 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [14:0] _GEN_118 = {{7'd0}, _T_631}; // @[dbg.scala 429:100] + wire [14:0] _T_633 = _T_627 | _GEN_118; // @[dbg.scala 429:100] + wire [3:0] _GEN_119 = {{1'd0}, sbaddress0_reg[2:0]}; // @[dbg.scala 446:99] + wire [6:0] _T_644 = 4'h8 * _GEN_119; // @[dbg.scala 446:99] + wire [63:0] _T_645 = io_sb_axi_r_bits_data >> _T_644; // @[dbg.scala 446:92] + wire [63:0] _T_646 = _T_645 & 64'hff; // @[dbg.scala 446:123] + wire [63:0] _T_647 = _T_571 & _T_646; // @[dbg.scala 446:59] + wire [4:0] _GEN_120 = {{3'd0}, sbaddress0_reg[2:1]}; // @[dbg.scala 447:86] + wire [6:0] _T_654 = 5'h10 * _GEN_120; // @[dbg.scala 447:86] + wire [63:0] _T_655 = io_sb_axi_r_bits_data >> _T_654; // @[dbg.scala 447:78] + wire [63:0] _T_656 = _T_655 & 64'hffff; // @[dbg.scala 447:110] + wire [63:0] _T_657 = _T_580 & _T_656; // @[dbg.scala 447:45] + wire [63:0] _T_658 = _T_647 | _T_657; // @[dbg.scala 446:140] + wire [5:0] _GEN_121 = {{5'd0}, sbaddress0_reg[2]}; // @[dbg.scala 448:86] + wire [6:0] _T_665 = 6'h20 * _GEN_121; // @[dbg.scala 448:86] + wire [63:0] _T_666 = io_sb_axi_r_bits_data >> _T_665; // @[dbg.scala 448:78] + wire [63:0] _T_667 = _T_666 & 64'hffffffff; // @[dbg.scala 448:107] + wire [63:0] _T_668 = _T_589 & _T_667; // @[dbg.scala 448:45] + wire [63:0] _T_669 = _T_658 | _T_668; // @[dbg.scala 447:129] + wire [63:0] _T_675 = _T_597 & io_sb_axi_r_bits_data; // @[dbg.scala 449:45] + rvclkhdr rvclkhdr ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + assign io_dbg_cmd_size = command_reg[21:20]; // @[dbg.scala 334:19] + assign io_dbg_core_rst_l = ~dmcontrol_reg[1]; // @[dbg.scala 105:21] + assign io_dbg_halt_req = _T_298 ? _T_314 : _GEN_35; // @[dbg.scala 266:19 dbg.scala 272:23 dbg.scala 277:23 dbg.scala 288:23 dbg.scala 293:23 dbg.scala 298:23 dbg.scala 305:23 dbg.scala 310:23] + assign io_dbg_resume_req = _T_298 ? 1'h0 : _GEN_38; // @[dbg.scala 267:21 dbg.scala 287:25] + assign io_dmi_reg_rdata = _T_467; // @[dbg.scala 325:20] + assign io_sb_axi_aw_valid = _T_558 | _T_559; // @[dbg.scala 412:22] + assign io_sb_axi_aw_bits_id = 1'h0; // @[dbg.scala 414:24] + assign io_sb_axi_aw_bits_addr = sbaddress0_reg; // @[dbg.scala 413:26] + assign io_sb_axi_aw_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 418:28] + assign io_sb_axi_aw_bits_len = 8'h0; // @[dbg.scala 419:25] + assign io_sb_axi_aw_bits_size = sbcs_reg[19:17]; // @[dbg.scala 415:26] + assign io_sb_axi_aw_bits_burst = 2'h1; // @[dbg.scala 420:27] + assign io_sb_axi_aw_bits_lock = 1'h0; // @[dbg.scala 422:26] + assign io_sb_axi_aw_bits_cache = 4'hf; // @[dbg.scala 417:27] + assign io_sb_axi_aw_bits_prot = 3'h0; // @[dbg.scala 416:26] + assign io_sb_axi_aw_bits_qos = 4'h0; // @[dbg.scala 421:25] + assign io_sb_axi_w_valid = _T_558 | _T_565; // @[dbg.scala 423:21] + assign io_sb_axi_w_bits_data = _T_593 | _T_601; // @[dbg.scala 424:25] + assign io_sb_axi_w_bits_strb = _T_633[7:0]; // @[dbg.scala 427:25] + assign io_sb_axi_w_bits_last = 1'h1; // @[dbg.scala 432:25] + assign io_sb_axi_b_ready = 1'h1; // @[dbg.scala 444:21] + assign io_sb_axi_ar_valid = sb_state == 4'h3; // @[dbg.scala 433:22] + assign io_sb_axi_ar_bits_id = 1'h0; // @[dbg.scala 435:24] + assign io_sb_axi_ar_bits_addr = sbaddress0_reg; // @[dbg.scala 434:26] + assign io_sb_axi_ar_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 439:28] + assign io_sb_axi_ar_bits_len = 8'h0; // @[dbg.scala 440:25] + assign io_sb_axi_ar_bits_size = sbcs_reg[19:17]; // @[dbg.scala 436:26] + assign io_sb_axi_ar_bits_burst = 2'h1; // @[dbg.scala 441:27] + assign io_sb_axi_ar_bits_lock = 1'h0; // @[dbg.scala 443:26] + assign io_sb_axi_ar_bits_cache = 4'h0; // @[dbg.scala 438:27] + assign io_sb_axi_ar_bits_prot = 3'h0; // @[dbg.scala 437:26] + assign io_sb_axi_ar_bits_qos = 4'h0; // @[dbg.scala 442:25] + assign io_sb_axi_r_ready = 1'h1; // @[dbg.scala 445:21] + assign io_dbg_dec_dbg_ib_dbg_cmd_valid = _T_480 & io_dbg_dma_io_dma_dbg_ready; // @[dbg.scala 331:35] + assign io_dbg_dec_dbg_ib_dbg_cmd_write = command_reg[16]; // @[dbg.scala 332:35] + assign io_dbg_dec_dbg_ib_dbg_cmd_type = _T_469 ? 2'h2 : _T_489; // @[dbg.scala 333:34] + assign io_dbg_dec_dbg_ib_dbg_cmd_addr = _T_469 ? _T_471 : _T_473; // @[dbg.scala 329:34] + assign io_dbg_dec_dbg_dctl_dbg_cmd_wrdata = data0_reg; // @[dbg.scala 330:38] + assign io_dbg_dma_dbg_ib_dbg_cmd_valid = io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[dbg.scala 454:39] + assign io_dbg_dma_dbg_ib_dbg_cmd_write = io_dbg_dec_dbg_ib_dbg_cmd_write; // @[dbg.scala 455:39] + assign io_dbg_dma_dbg_ib_dbg_cmd_type = io_dbg_dec_dbg_ib_dbg_cmd_type; // @[dbg.scala 456:39] + assign io_dbg_dma_dbg_ib_dbg_cmd_addr = io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[dbg.scala 452:39] + assign io_dbg_dma_dbg_dctl_dbg_cmd_wrdata = io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[dbg.scala 453:39] + assign io_dbg_dma_io_dbg_dma_bubble = _T_480 | _T_281; // @[dbg.scala 335:32] + assign dbg_state = _T_466; // @[dbg.scala 320:13] + assign dbg_state_en = _T_298 ? _T_310 : _GEN_34; // @[dbg.scala 263:16 dbg.scala 271:20 dbg.scala 276:20 dbg.scala 283:20 dbg.scala 292:20 dbg.scala 297:20 dbg.scala 302:20 dbg.scala 309:20] + assign sb_state = _T_545; // @[dbg.scala 402:12] + assign sb_state_en = _T_500 ? _T_503 : _GEN_101; // @[dbg.scala 348:19 dbg.scala 356:19 dbg.scala 362:19 dbg.scala 368:19 dbg.scala 372:19 dbg.scala 376:19 dbg.scala 380:19 dbg.scala 384:19 dbg.scala 390:19 dbg.scala 396:19] + assign dmcontrol_reg = {_T_143,_T_141}; // @[dbg.scala 183:17] + assign sbaddress0_reg = _T_116; // @[dbg.scala 164:18] + assign sbcs_sbbusy_wren = _T_500 ? sb_state_en : _GEN_104; // @[dbg.scala 340:20 dbg.scala 349:24 dbg.scala 397:24] + assign sbcs_sberror_wren = _T_500 ? _T_506 : _GEN_102; // @[dbg.scala 342:21 dbg.scala 351:25 dbg.scala 357:25 dbg.scala 363:25 dbg.scala 385:25 dbg.scala 391:25] + assign sb_bus_rdata = _T_669 | _T_675; // @[dbg.scala 446:16] + assign sbaddress0_reg_wren1 = _T_500 ? 1'h0 : _GEN_106; // @[dbg.scala 344:24 dbg.scala 399:28] + assign dmstatus_reg = {_T_161,_T_157}; // @[dbg.scala 189:16] + assign dmstatus_havereset = _T_190; // @[dbg.scala 206:22] + assign dmstatus_resumeack = _T_183; // @[dbg.scala 198:22] + assign dmstatus_unavail = dmcontrol_reg[1] | _T_178; // @[dbg.scala 196:20] + assign dmstatus_running = ~_T_181; // @[dbg.scala 197:20] + assign dmstatus_halted = _T_186; // @[dbg.scala 202:19] + assign abstractcs_busy_wren = _T_298 ? 1'h0 : _GEN_36; // @[dbg.scala 264:24 dbg.scala 285:28 dbg.scala 303:28] + assign sb_bus_cmd_read = io_sb_axi_ar_valid & io_sb_axi_ar_ready; // @[dbg.scala 406:19] + assign sb_bus_cmd_write_addr = io_sb_axi_aw_valid & io_sb_axi_aw_ready; // @[dbg.scala 407:25] + assign sb_bus_cmd_write_data = io_sb_axi_w_valid & io_sb_axi_w_ready; // @[dbg.scala 408:25] + assign sb_bus_rsp_read = io_sb_axi_r_valid & io_sb_axi_r_ready; // @[dbg.scala 409:19] + assign sb_bus_rsp_error = _T_553 | _T_556; // @[dbg.scala 411:20] + assign sb_bus_rsp_write = io_sb_axi_b_valid & io_sb_axi_b_ready; // @[dbg.scala 410:20] + assign sbcs_sbbusy_din = 4'h0 == sb_state; // @[dbg.scala 341:19 dbg.scala 350:23 dbg.scala 398:23] + assign data1_reg = _T_297; // @[dbg.scala 257:13] + assign sbcs_reg = {_T_42,_T_38}; // @[dbg.scala 130:12] + assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_io_en = _T_3 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_1_io_en = _T_6 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = sbdata0_reg_wren0 | sbdata0_reg_wren1; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = sbdata1_reg_wren0 | sbdata0_reg_wren1; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = sbaddress0_reg_wren0 | sbaddress0_reg_wren1; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign abstractcs_reg = {_T_265,_T_263}; // @[dbg.scala 238:18] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = _T_215 & _T_270; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = data0_reg_wren0 | data0_reg_wren1; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = _T_293 & _T_270; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign dbg_nxtstate = _T_298 ? _T_301 : _GEN_33; // @[dbg.scala 262:16 dbg.scala 270:20 dbg.scala 275:20 dbg.scala 280:20 dbg.scala 291:20 dbg.scala 296:20 dbg.scala 301:20 dbg.scala 308:20] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + temp_sbcs_22 = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + temp_sbcs_21 = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + temp_sbcs_20 = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + temp_sbcs_19_15 = _RAND_3[4:0]; + _RAND_4 = {1{`RANDOM}}; + temp_sbcs_14_12 = _RAND_4[2:0]; + _RAND_5 = {1{`RANDOM}}; + sbdata0_reg = _RAND_5[31:0]; + _RAND_6 = {1{`RANDOM}}; + sbdata1_reg = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + _T_116 = _RAND_7[31:0]; + _RAND_8 = {1{`RANDOM}}; + dm_temp = _RAND_8[3:0]; + _RAND_9 = {1{`RANDOM}}; + dm_temp_0 = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + dmcontrol_wren_Q = _RAND_10[0:0]; + _RAND_11 = {1{`RANDOM}}; + _T_183 = _RAND_11[0:0]; + _RAND_12 = {1{`RANDOM}}; + _T_186 = _RAND_12[0:0]; + _RAND_13 = {1{`RANDOM}}; + _T_190 = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + abs_temp_12 = _RAND_14[0:0]; + _RAND_15 = {1{`RANDOM}}; + abs_temp_10_8 = _RAND_15[2:0]; + _RAND_16 = {1{`RANDOM}}; + command_reg = _RAND_16[31:0]; + _RAND_17 = {1{`RANDOM}}; + data0_reg = _RAND_17[31:0]; + _RAND_18 = {1{`RANDOM}}; + _T_297 = _RAND_18[31:0]; + _RAND_19 = {1{`RANDOM}}; + _T_466 = _RAND_19[2:0]; + _RAND_20 = {1{`RANDOM}}; + _T_467 = _RAND_20[31:0]; + _RAND_21 = {1{`RANDOM}}; + _T_545 = _RAND_21[3:0]; +`endif // RANDOMIZE_REG_INIT + if (dbg_dm_rst_l) begin + temp_sbcs_22 = 1'h0; + end + if (dbg_dm_rst_l) begin + temp_sbcs_21 = 1'h0; + end + if (dbg_dm_rst_l) begin + temp_sbcs_20 = 1'h0; + end + if (dbg_dm_rst_l) begin + temp_sbcs_19_15 = 5'h0; + end + if (dbg_dm_rst_l) begin + temp_sbcs_14_12 = 3'h0; + end + if (dbg_dm_rst_l) begin + sbdata0_reg = 32'h0; + end + if (dbg_dm_rst_l) begin + sbdata1_reg = 32'h0; + end + if (dbg_dm_rst_l) begin + _T_116 = 32'h0; + end + if (dbg_dm_rst_l) begin + dm_temp = 4'h0; + end + if (io_dbg_rst_l) begin + dm_temp_0 = 1'h0; + end + if (dbg_dm_rst_l) begin + dmcontrol_wren_Q = 1'h0; + end + if (dbg_dm_rst_l) begin + _T_183 = 1'h0; + end + if (dbg_dm_rst_l) begin + _T_186 = 1'h0; + end + if (dbg_dm_rst_l) begin + _T_190 = 1'h0; + end + if (dbg_dm_rst_l) begin + abs_temp_12 = 1'h0; + end + if (dbg_dm_rst_l) begin + abs_temp_10_8 = 3'h0; + end + if (dbg_dm_rst_l) begin + command_reg = 32'h0; + end + if (dbg_dm_rst_l) begin + data0_reg = 32'h0; + end + if (dbg_dm_rst_l) begin + _T_297 = 32'h0; + end + if (rst_temp) begin + _T_466 = 3'h0; + end + if (dbg_dm_rst_l) begin + _T_467 = 32'h0; + end + if (dbg_dm_rst_l) begin + _T_545 = 4'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + temp_sbcs_22 <= 1'h0; + end else if (sbcs_sbbusyerror_wren) begin + temp_sbcs_22 <= sbcs_sbbusyerror_din; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + temp_sbcs_21 <= 1'h0; + end else if (sbcs_sbbusy_wren) begin + temp_sbcs_21 <= sbcs_sbbusy_din; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + temp_sbcs_20 <= 1'h0; + end else if (sbcs_wren) begin + temp_sbcs_20 <= io_dmi_reg_wdata[20]; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + temp_sbcs_19_15 <= 5'h0; + end else if (sbcs_wren) begin + temp_sbcs_19_15 <= io_dmi_reg_wdata[19:15]; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + temp_sbcs_14_12 <= 3'h0; + end else if (sbcs_sberror_wren) begin + if (_T_500) begin + temp_sbcs_14_12 <= _T_510; + end else if (_T_511) begin + if (sbcs_unaligned) begin + temp_sbcs_14_12 <= 3'h3; + end else begin + temp_sbcs_14_12 <= 3'h4; + end + end else if (_T_518) begin + if (sbcs_unaligned) begin + temp_sbcs_14_12 <= 3'h3; + end else begin + temp_sbcs_14_12 <= 3'h4; + end + end else if (_T_525) begin + temp_sbcs_14_12 <= 3'h0; + end else if (_T_527) begin + temp_sbcs_14_12 <= 3'h0; + end else if (_T_533) begin + temp_sbcs_14_12 <= 3'h0; + end else if (_T_535) begin + temp_sbcs_14_12 <= 3'h0; + end else if (_T_537) begin + temp_sbcs_14_12 <= 3'h2; + end else if (_T_540) begin + temp_sbcs_14_12 <= 3'h2; + end else begin + temp_sbcs_14_12 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + sbdata0_reg <= 32'h0; + end else begin + sbdata0_reg <= _T_93 | _T_97; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + sbdata1_reg <= 32'h0; + end else begin + sbdata1_reg <= _T_100 | _T_104; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_116 <= 32'h0; + end else begin + _T_116 <= _T_109 | _T_115; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + dm_temp <= 4'h0; + end else if (dmcontrol_wren) begin + dm_temp <= _T_134; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge io_dbg_rst_l) begin + if (io_dbg_rst_l) begin + dm_temp_0 <= 1'h0; + end else if (dmcontrol_wren) begin + dm_temp_0 <= io_dmi_reg_wdata[0]; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + dmcontrol_wren_Q <= 1'h0; + end else begin + dmcontrol_wren_Q <= _T_129 & io_dmi_reg_wr_en; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_183 <= 1'h0; + end else if (dmstatus_resumeack_wren) begin + _T_183 <= _T_164; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_186 <= 1'h0; + end else begin + _T_186 <= io_dec_tlu_dbg_halted & _T_184; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_190 <= 1'h0; + end else begin + _T_190 <= _T_187 & _T_188; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + abs_temp_12 <= 1'h0; + end else if (abstractcs_busy_wren) begin + if (_T_298) begin + abs_temp_12 <= 1'h0; + end else if (_T_316) begin + abs_temp_12 <= 1'h0; + end else begin + abs_temp_12 <= _T_328; + end + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + abs_temp_10_8 <= 3'h0; + end else begin + abs_temp_10_8 <= _T_256 | _T_261; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + command_reg <= 32'h0; + end else begin + command_reg <= {_T_276,_T_274}; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + data0_reg <= 32'h0; + end else begin + data0_reg <= _T_287 | _T_290; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_297 <= 32'h0; + end else begin + _T_297 <= _T_296 & io_dmi_reg_wdata; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge rst_temp) begin + if (rst_temp) begin + _T_466 <= 3'h0; + end else if (dbg_state_en) begin + if (_T_298) begin + if (_T_300) begin + _T_466 <= 3'h2; + end else begin + _T_466 <= 3'h1; + end + end else if (_T_316) begin + if (dmcontrol_reg[1]) begin + _T_466 <= 3'h0; + end else begin + _T_466 <= 3'h2; + end + end else if (_T_328) begin + if (_T_332) begin + if (_T_336) begin + _T_466 <= 3'h6; + end else begin + _T_466 <= 3'h3; + end + end else if (dmcontrol_reg[31]) begin + _T_466 <= 3'h1; + end else begin + _T_466 <= 3'h0; + end + end else if (_T_366) begin + if (dmcontrol_reg[1]) begin + _T_466 <= 3'h0; + end else if (_T_369) begin + _T_466 <= 3'h5; + end else begin + _T_466 <= 3'h4; + end + end else if (_T_383) begin + if (dmcontrol_reg[1]) begin + _T_466 <= 3'h0; + end else begin + _T_466 <= 3'h5; + end + end else if (_T_394) begin + if (dmcontrol_reg[1]) begin + _T_466 <= 3'h0; + end else begin + _T_466 <= 3'h2; + end + end else begin + _T_466 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_467 <= 32'h0; + end else if (io_dmi_reg_en) begin + _T_467 <= dmi_reg_rdata_din; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin + _T_545 <= 4'h0; + end else if (sb_state_en) begin + if (_T_500) begin + if (sbdata0_reg_wren0) begin + _T_545 <= 4'h2; + end else begin + _T_545 <= 4'h1; + end + end else if (_T_511) begin + if (_T_512) begin + _T_545 <= 4'h9; + end else begin + _T_545 <= 4'h3; + end + end else if (_T_518) begin + if (_T_512) begin + _T_545 <= 4'h9; + end else begin + _T_545 <= 4'h4; + end + end else if (_T_525) begin + _T_545 <= 4'h7; + end else if (_T_527) begin + if (_T_528) begin + _T_545 <= 4'h8; + end else if (sb_bus_cmd_write_data) begin + _T_545 <= 4'h5; + end else begin + _T_545 <= 4'h6; + end + end else if (_T_533) begin + _T_545 <= 4'h8; + end else if (_T_535) begin + _T_545 <= 4'h8; + end else if (_T_537) begin + _T_545 <= 4'h9; + end else if (_T_540) begin + _T_545 <= 4'h9; + end else begin + _T_545 <= 4'h0; + end + end + end +endmodule diff --git a/firrtl_black_box_resource_files.f b/firrtl_black_box_resource_files.f index 40eae7ce..3a437a28 100644 --- a/firrtl_black_box_resource_files.f +++ b/firrtl_black_box_resource_files.f @@ -1,3 +1 @@ -/home/waleedbinehsan/Desktop/Quasar/gated_latch.v -/home/waleedbinehsan/Desktop/Quasar/dmi_wrapper.sv -/home/waleedbinehsan/Desktop/Quasar/mem.sv \ No newline at end of file +/home/waleedbinehsan/Desktop/Quasar-master/gated_latch.v \ No newline at end of file diff --git a/lsu.anno.json b/lsu.anno.json new file mode 100644 index 00000000..3a56a59b --- /dev/null +++ b/lsu.anno.json @@ -0,0 +1,506 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn", + "sources":[ + "~lsu|lsu>io_axi_ar_ready", + "~lsu|lsu>io_axi_aw_ready", + "~lsu|lsu>io_axi_w_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_single_ecc_error_incr", + "sources":[ + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_dec_tlu_core_ecc_disable", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dma_dccm_ready", + "sources":[ + "~lsu|lsu>io_dec_lsu_valid_raw_d" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_wr_addr_lo", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_trigger_match_m", + "sources":[ + "~lsu|lsu>io_trigger_pkt_any_0_store", + "~lsu|lsu>io_trigger_pkt_any_1_store", + "~lsu|lsu>io_trigger_pkt_any_0_load", + "~lsu|lsu>io_trigger_pkt_any_0_select", + "~lsu|lsu>io_trigger_pkt_any_3_store", + "~lsu|lsu>io_trigger_pkt_any_2_store", + "~lsu|lsu>io_trigger_pkt_any_1_load", + "~lsu|lsu>io_trigger_pkt_any_1_select", + "~lsu|lsu>io_trigger_pkt_any_3_load", + "~lsu|lsu>io_trigger_pkt_any_3_select", + "~lsu|lsu>io_trigger_pkt_any_2_load", + "~lsu|lsu>io_trigger_pkt_any_2_select", + "~lsu|lsu>io_trigger_pkt_any_0_tdata2", + "~lsu|lsu>io_trigger_pkt_any_0_match_pkt", + "~lsu|lsu>io_trigger_pkt_any_1_tdata2", + "~lsu|lsu>io_trigger_pkt_any_1_match_pkt", + "~lsu|lsu>io_trigger_pkt_any_3_tdata2", + "~lsu|lsu>io_trigger_pkt_any_3_match_pkt", + "~lsu|lsu>io_trigger_pkt_any_2_tdata2", + "~lsu|lsu>io_trigger_pkt_any_2_match_pkt", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_rd_addr_hi", + "sources":[ + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_rd_addr_lo", + "sources":[ + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_rden", + "sources":[ + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_p_valid", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_lsu_p_bits_fast_int", + "~lsu|lsu>io_lsu_p_bits_load", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_p_bits_store", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy", + "sources":[ + "~lsu|lsu>io_axi_ar_ready", + "~lsu|lsu>io_axi_aw_ready", + "~lsu|lsu>io_axi_w_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata", + "sources":[ + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dec_tlu_core_ecc_disable", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_wr_data_lo", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_wdata", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_wren", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_p_valid", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_lsu_p_bits_fast_int", + "~lsu|lsu>io_lsu_p_bits_load", + "~lsu|lsu>io_lsu_p_bits_store", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_load_stall_any", + "sources":[ + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_pic_picm_wren", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_fastint_stall_any", + "sources":[ + "~lsu|lsu>io_dec_tlu_core_ecc_disable", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_pic_picm_rdaddr", + "sources":[ + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_wr_data_hi", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_wdata", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_pic_picm_wraddr", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_dccm_ctl_dma_mem_addr", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned", + "sources":[ + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_pic_picm_mken", + "sources":[ + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_p_valid", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_lsu_p_bits_fast_int", + "~lsu|lsu>io_lsu_p_bits_store", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error", + "sources":[ + "~lsu|lsu>io_dec_tlu_core_ecc_disable", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_pic_picm_rden", + "sources":[ + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_p_valid", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_lsu_p_bits_fast_int", + "~lsu|lsu>io_lsu_p_bits_load", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r", + "sources":[ + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m", + "sources":[ + "~lsu|lsu>io_dec_tlu_flush_lower_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_pic_picm_wr_data", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_dccm_ctl_dma_mem_wdata", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_p_valid", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_lsu_p_bits_fast_int", + "~lsu|lsu>io_lsu_p_bits_load", + "~lsu|lsu>io_lsu_p_bits_store", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_result_m", + "sources":[ + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_lsu_store_stall_any", + "sources":[ + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu|lsu>io_dccm_wr_addr_hi", + "sources":[ + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_dccm_req", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_write", + "~lsu|lsu>io_lsu_exu_exu_lsu_rs1_d", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_addr", + "~lsu|lsu>io_dec_lsu_valid_raw_d", + "~lsu|lsu>io_lsu_p_bits_load_ldst_bypass_d", + "~lsu|lsu>io_dec_lsu_offset_d", + "~lsu|lsu>io_lsu_p_bits_dword", + "~lsu|lsu>io_lsu_p_bits_half", + "~lsu|lsu>io_lsu_p_bits_word", + "~lsu|lsu>io_lsu_dma_dma_lsc_ctl_dma_mem_sz", + "~lsu|lsu>io_lsu_pic_picm_rd_data", + "~lsu|lsu>io_dccm_rd_data_hi", + "~lsu|lsu>io_dccm_rd_data_lo", + "~lsu|lsu>io_dec_tlu_flush_lower_r", + "~lsu|lsu>io_dec_tlu_i0_kill_writeb_r" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"lsu.gated_latch", + "resourceId":"/vsrc/gated_latch.v" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"lsu" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/lsu.fir b/lsu.fir new file mode 100644 index 00000000..0bf43dd2 --- /dev/null +++ b/lsu.fir @@ -0,0 +1,15817 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit lsu : + module lsu_addrcheck : + input clock : Clock + input reset : AsyncReset + output io : {flip lsu_c2_m_clk : Clock, flip start_addr_d : UInt<32>, flip end_addr_d : UInt<32>, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip dec_tlu_mrac_ff : UInt<32>, flip rs1_region_d : UInt<4>, flip rs1_d : UInt<32>, is_sideeffects_m : UInt<1>, addr_in_dccm_d : UInt<1>, addr_in_pic_d : UInt<1>, addr_external_d : UInt<1>, access_fault_d : UInt<1>, misaligned_fault_d : UInt<1>, exc_mscause_d : UInt<4>, fir_dccm_access_error_d : UInt<1>, fir_nondccm_access_error_d : UInt<1>, flip scan_mode : UInt<1>} + + node _T = bits(io.start_addr_d, 31, 28) @[lib.scala 356:27] + node start_addr_in_dccm_region_d = eq(_T, UInt<4>("h0f")) @[lib.scala 356:49] + wire start_addr_in_dccm_d : UInt<1> @[lib.scala 357:26] + node _T_1 = bits(io.start_addr_d, 31, 16) @[lib.scala 361:24] + node _T_2 = eq(_T_1, UInt<16>("h0f004")) @[lib.scala 361:39] + start_addr_in_dccm_d <= _T_2 @[lib.scala 361:16] + node _T_3 = bits(io.end_addr_d, 31, 28) @[lib.scala 356:27] + node end_addr_in_dccm_region_d = eq(_T_3, UInt<4>("h0f")) @[lib.scala 356:49] + wire end_addr_in_dccm_d : UInt<1> @[lib.scala 357:26] + node _T_4 = bits(io.end_addr_d, 31, 16) @[lib.scala 361:24] + node _T_5 = eq(_T_4, UInt<16>("h0f004")) @[lib.scala 361:39] + end_addr_in_dccm_d <= _T_5 @[lib.scala 361:16] + wire addr_in_iccm : UInt<1> + addr_in_iccm <= UInt<1>("h00") + node _T_6 = bits(io.start_addr_d, 31, 28) @[lsu_addrcheck.scala 42:37] + node _T_7 = eq(_T_6, UInt<4>("h0e")) @[lsu_addrcheck.scala 42:45] + addr_in_iccm <= _T_7 @[lsu_addrcheck.scala 42:18] + node _T_8 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 50:89] + node _T_9 = bits(_T_8, 31, 28) @[lib.scala 356:27] + node start_addr_in_pic_region_d = eq(_T_9, UInt<4>("h0f")) @[lib.scala 356:49] + wire start_addr_in_pic_d : UInt<1> @[lib.scala 357:26] + node _T_10 = bits(_T_8, 31, 15) @[lib.scala 361:24] + node _T_11 = eq(_T_10, UInt<17>("h01e018")) @[lib.scala 361:39] + start_addr_in_pic_d <= _T_11 @[lib.scala 361:16] + node _T_12 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 52:83] + node _T_13 = bits(_T_12, 31, 28) @[lib.scala 356:27] + node end_addr_in_pic_region_d = eq(_T_13, UInt<4>("h0f")) @[lib.scala 356:49] + wire end_addr_in_pic_d : UInt<1> @[lib.scala 357:26] + node _T_14 = bits(_T_12, 31, 15) @[lib.scala 361:24] + node _T_15 = eq(_T_14, UInt<17>("h01e018")) @[lib.scala 361:39] + end_addr_in_pic_d <= _T_15 @[lib.scala 361:16] + node start_addr_dccm_or_pic = or(start_addr_in_dccm_region_d, start_addr_in_pic_region_d) @[lsu_addrcheck.scala 54:60] + node _T_16 = bits(io.rs1_region_d, 3, 0) @[lsu_addrcheck.scala 55:48] + node _T_17 = eq(_T_16, UInt<4>("h0f")) @[lsu_addrcheck.scala 55:54] + node _T_18 = bits(io.rs1_region_d, 3, 0) @[lsu_addrcheck.scala 55:91] + node _T_19 = eq(_T_18, UInt<4>("h0f")) @[lsu_addrcheck.scala 55:97] + node base_reg_dccm_or_pic = or(_T_17, _T_19) @[lsu_addrcheck.scala 55:73] + node _T_20 = and(start_addr_in_dccm_d, end_addr_in_dccm_d) @[lsu_addrcheck.scala 56:57] + io.addr_in_dccm_d <= _T_20 @[lsu_addrcheck.scala 56:32] + node _T_21 = and(start_addr_in_pic_d, end_addr_in_pic_d) @[lsu_addrcheck.scala 57:56] + io.addr_in_pic_d <= _T_21 @[lsu_addrcheck.scala 57:32] + node _T_22 = or(start_addr_in_dccm_region_d, start_addr_in_pic_region_d) @[lsu_addrcheck.scala 59:63] + node _T_23 = not(_T_22) @[lsu_addrcheck.scala 59:33] + io.addr_external_d <= _T_23 @[lsu_addrcheck.scala 59:30] + node _T_24 = bits(io.start_addr_d, 31, 28) @[lsu_addrcheck.scala 60:51] + node csr_idx = cat(_T_24, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_25 = dshr(io.dec_tlu_mrac_ff, csr_idx) @[lsu_addrcheck.scala 61:50] + node _T_26 = bits(_T_25, 0, 0) @[lsu_addrcheck.scala 61:50] + node _T_27 = or(start_addr_in_dccm_region_d, start_addr_in_pic_region_d) @[lsu_addrcheck.scala 61:92] + node _T_28 = or(_T_27, addr_in_iccm) @[lsu_addrcheck.scala 61:121] + node _T_29 = eq(_T_28, UInt<1>("h00")) @[lsu_addrcheck.scala 61:62] + node _T_30 = and(_T_26, _T_29) @[lsu_addrcheck.scala 61:60] + node _T_31 = and(_T_30, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 61:137] + node _T_32 = or(io.lsu_pkt_d.bits.store, io.lsu_pkt_d.bits.load) @[lsu_addrcheck.scala 61:185] + node is_sideeffects_d = and(_T_31, _T_32) @[lsu_addrcheck.scala 61:158] + node _T_33 = bits(io.start_addr_d, 1, 0) @[lsu_addrcheck.scala 62:74] + node _T_34 = eq(_T_33, UInt<1>("h00")) @[lsu_addrcheck.scala 62:80] + node _T_35 = and(io.lsu_pkt_d.bits.word, _T_34) @[lsu_addrcheck.scala 62:56] + node _T_36 = bits(io.start_addr_d, 0, 0) @[lsu_addrcheck.scala 62:134] + node _T_37 = eq(_T_36, UInt<1>("h00")) @[lsu_addrcheck.scala 62:138] + node _T_38 = and(io.lsu_pkt_d.bits.half, _T_37) @[lsu_addrcheck.scala 62:116] + node _T_39 = or(_T_35, _T_38) @[lsu_addrcheck.scala 62:90] + node is_aligned_d = or(_T_39, io.lsu_pkt_d.bits.by) @[lsu_addrcheck.scala 62:148] + node _T_40 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_41 = cat(UInt<1>("h00"), UInt<1>("h00")) @[Cat.scala 29:58] + node _T_42 = cat(_T_41, _T_40) @[Cat.scala 29:58] + node _T_43 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_44 = cat(UInt<1>("h01"), UInt<1>("h01")) @[Cat.scala 29:58] + node _T_45 = cat(_T_44, _T_43) @[Cat.scala 29:58] + node _T_46 = cat(_T_45, _T_42) @[Cat.scala 29:58] + node _T_47 = orr(_T_46) @[lsu_addrcheck.scala 66:99] + node _T_48 = eq(_T_47, UInt<1>("h00")) @[lsu_addrcheck.scala 65:33] + node _T_49 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 67:49] + node _T_50 = or(_T_49, UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 67:56] + node _T_51 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 67:121] + node _T_52 = eq(_T_50, _T_51) @[lsu_addrcheck.scala 67:88] + node _T_53 = and(UInt<1>("h01"), _T_52) @[lsu_addrcheck.scala 67:30] + node _T_54 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 68:49] + node _T_55 = or(_T_54, UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 68:56] + node _T_56 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 68:121] + node _T_57 = eq(_T_55, _T_56) @[lsu_addrcheck.scala 68:88] + node _T_58 = and(UInt<1>("h01"), _T_57) @[lsu_addrcheck.scala 68:30] + node _T_59 = or(_T_53, _T_58) @[lsu_addrcheck.scala 67:153] + node _T_60 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 69:49] + node _T_61 = or(_T_60, UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 69:56] + node _T_62 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 69:121] + node _T_63 = eq(_T_61, _T_62) @[lsu_addrcheck.scala 69:88] + node _T_64 = and(UInt<1>("h01"), _T_63) @[lsu_addrcheck.scala 69:30] + node _T_65 = or(_T_59, _T_64) @[lsu_addrcheck.scala 68:153] + node _T_66 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 70:49] + node _T_67 = or(_T_66, UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 70:56] + node _T_68 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 70:121] + node _T_69 = eq(_T_67, _T_68) @[lsu_addrcheck.scala 70:88] + node _T_70 = and(UInt<1>("h01"), _T_69) @[lsu_addrcheck.scala 70:30] + node _T_71 = or(_T_65, _T_70) @[lsu_addrcheck.scala 69:153] + node _T_72 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 71:49] + node _T_73 = or(_T_72, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 71:56] + node _T_74 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 71:121] + node _T_75 = eq(_T_73, _T_74) @[lsu_addrcheck.scala 71:88] + node _T_76 = and(UInt<1>("h00"), _T_75) @[lsu_addrcheck.scala 71:30] + node _T_77 = or(_T_71, _T_76) @[lsu_addrcheck.scala 70:153] + node _T_78 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 72:49] + node _T_79 = or(_T_78, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 72:56] + node _T_80 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 72:121] + node _T_81 = eq(_T_79, _T_80) @[lsu_addrcheck.scala 72:88] + node _T_82 = and(UInt<1>("h00"), _T_81) @[lsu_addrcheck.scala 72:30] + node _T_83 = or(_T_77, _T_82) @[lsu_addrcheck.scala 71:153] + node _T_84 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 73:49] + node _T_85 = or(_T_84, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 73:56] + node _T_86 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 73:121] + node _T_87 = eq(_T_85, _T_86) @[lsu_addrcheck.scala 73:88] + node _T_88 = and(UInt<1>("h00"), _T_87) @[lsu_addrcheck.scala 73:30] + node _T_89 = or(_T_83, _T_88) @[lsu_addrcheck.scala 72:153] + node _T_90 = bits(io.start_addr_d, 31, 0) @[lsu_addrcheck.scala 74:49] + node _T_91 = or(_T_90, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 74:56] + node _T_92 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 74:121] + node _T_93 = eq(_T_91, _T_92) @[lsu_addrcheck.scala 74:88] + node _T_94 = and(UInt<1>("h00"), _T_93) @[lsu_addrcheck.scala 74:30] + node _T_95 = or(_T_89, _T_94) @[lsu_addrcheck.scala 73:153] + node _T_96 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 76:48] + node _T_97 = or(_T_96, UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 76:57] + node _T_98 = or(UInt<1>("h00"), UInt<31>("h07fffffff")) @[lsu_addrcheck.scala 76:122] + node _T_99 = eq(_T_97, _T_98) @[lsu_addrcheck.scala 76:89] + node _T_100 = and(UInt<1>("h01"), _T_99) @[lsu_addrcheck.scala 76:31] + node _T_101 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 77:49] + node _T_102 = or(_T_101, UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 77:58] + node _T_103 = or(UInt<32>("h0c0000000"), UInt<30>("h03fffffff")) @[lsu_addrcheck.scala 77:123] + node _T_104 = eq(_T_102, _T_103) @[lsu_addrcheck.scala 77:90] + node _T_105 = and(UInt<1>("h01"), _T_104) @[lsu_addrcheck.scala 77:32] + node _T_106 = or(_T_100, _T_105) @[lsu_addrcheck.scala 76:154] + node _T_107 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 78:49] + node _T_108 = or(_T_107, UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 78:58] + node _T_109 = or(UInt<32>("h0a0000000"), UInt<29>("h01fffffff")) @[lsu_addrcheck.scala 78:123] + node _T_110 = eq(_T_108, _T_109) @[lsu_addrcheck.scala 78:90] + node _T_111 = and(UInt<1>("h01"), _T_110) @[lsu_addrcheck.scala 78:32] + node _T_112 = or(_T_106, _T_111) @[lsu_addrcheck.scala 77:155] + node _T_113 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 79:49] + node _T_114 = or(_T_113, UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 79:58] + node _T_115 = or(UInt<32>("h080000000"), UInt<28>("h0fffffff")) @[lsu_addrcheck.scala 79:123] + node _T_116 = eq(_T_114, _T_115) @[lsu_addrcheck.scala 79:90] + node _T_117 = and(UInt<1>("h01"), _T_116) @[lsu_addrcheck.scala 79:32] + node _T_118 = or(_T_112, _T_117) @[lsu_addrcheck.scala 78:155] + node _T_119 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 80:49] + node _T_120 = or(_T_119, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 80:58] + node _T_121 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 80:123] + node _T_122 = eq(_T_120, _T_121) @[lsu_addrcheck.scala 80:90] + node _T_123 = and(UInt<1>("h00"), _T_122) @[lsu_addrcheck.scala 80:32] + node _T_124 = or(_T_118, _T_123) @[lsu_addrcheck.scala 79:155] + node _T_125 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 81:49] + node _T_126 = or(_T_125, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 81:58] + node _T_127 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 81:123] + node _T_128 = eq(_T_126, _T_127) @[lsu_addrcheck.scala 81:90] + node _T_129 = and(UInt<1>("h00"), _T_128) @[lsu_addrcheck.scala 81:32] + node _T_130 = or(_T_124, _T_129) @[lsu_addrcheck.scala 80:155] + node _T_131 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 82:49] + node _T_132 = or(_T_131, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 82:58] + node _T_133 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 82:123] + node _T_134 = eq(_T_132, _T_133) @[lsu_addrcheck.scala 82:90] + node _T_135 = and(UInt<1>("h00"), _T_134) @[lsu_addrcheck.scala 82:32] + node _T_136 = or(_T_130, _T_135) @[lsu_addrcheck.scala 81:155] + node _T_137 = bits(io.end_addr_d, 31, 0) @[lsu_addrcheck.scala 83:49] + node _T_138 = or(_T_137, UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 83:58] + node _T_139 = or(UInt<1>("h00"), UInt<32>("h0ffffffff")) @[lsu_addrcheck.scala 83:123] + node _T_140 = eq(_T_138, _T_139) @[lsu_addrcheck.scala 83:90] + node _T_141 = and(UInt<1>("h00"), _T_140) @[lsu_addrcheck.scala 83:32] + node _T_142 = or(_T_136, _T_141) @[lsu_addrcheck.scala 82:155] + node _T_143 = and(_T_95, _T_142) @[lsu_addrcheck.scala 75:7] + node non_dccm_access_ok = or(_T_48, _T_143) @[lsu_addrcheck.scala 66:104] + node regpred_access_fault_d = xor(start_addr_dccm_or_pic, base_reg_dccm_or_pic) @[lsu_addrcheck.scala 85:57] + node _T_144 = bits(io.start_addr_d, 1, 0) @[lsu_addrcheck.scala 86:70] + node _T_145 = neq(_T_144, UInt<2>("h00")) @[lsu_addrcheck.scala 86:76] + node _T_146 = eq(io.lsu_pkt_d.bits.word, UInt<1>("h00")) @[lsu_addrcheck.scala 86:92] + node _T_147 = or(_T_145, _T_146) @[lsu_addrcheck.scala 86:90] + node picm_access_fault_d = and(io.addr_in_pic_d, _T_147) @[lsu_addrcheck.scala 86:51] + wire unmapped_access_fault_d : UInt<1> + unmapped_access_fault_d <= UInt<1>("h01") + wire mpu_access_fault_d : UInt<1> + mpu_access_fault_d <= UInt<1>("h01") + node _T_148 = or(start_addr_in_dccm_d, start_addr_in_pic_d) @[lsu_addrcheck.scala 91:87] + node _T_149 = eq(_T_148, UInt<1>("h00")) @[lsu_addrcheck.scala 91:64] + node _T_150 = and(start_addr_in_dccm_region_d, _T_149) @[lsu_addrcheck.scala 91:62] + node _T_151 = or(end_addr_in_dccm_d, end_addr_in_pic_d) @[lsu_addrcheck.scala 93:57] + node _T_152 = eq(_T_151, UInt<1>("h00")) @[lsu_addrcheck.scala 93:36] + node _T_153 = and(end_addr_in_dccm_region_d, _T_152) @[lsu_addrcheck.scala 93:34] + node _T_154 = or(_T_150, _T_153) @[lsu_addrcheck.scala 91:112] + node _T_155 = and(start_addr_in_dccm_d, end_addr_in_pic_d) @[lsu_addrcheck.scala 95:29] + node _T_156 = or(_T_154, _T_155) @[lsu_addrcheck.scala 93:85] + node _T_157 = and(start_addr_in_pic_d, end_addr_in_dccm_d) @[lsu_addrcheck.scala 97:29] + node _T_158 = or(_T_156, _T_157) @[lsu_addrcheck.scala 95:85] + unmapped_access_fault_d <= _T_158 @[lsu_addrcheck.scala 91:29] + node _T_159 = eq(start_addr_in_dccm_region_d, UInt<1>("h00")) @[lsu_addrcheck.scala 99:33] + node _T_160 = eq(non_dccm_access_ok, UInt<1>("h00")) @[lsu_addrcheck.scala 99:64] + node _T_161 = and(_T_159, _T_160) @[lsu_addrcheck.scala 99:62] + mpu_access_fault_d <= _T_161 @[lsu_addrcheck.scala 99:29] + node _T_162 = or(unmapped_access_fault_d, mpu_access_fault_d) @[lsu_addrcheck.scala 111:49] + node _T_163 = or(_T_162, picm_access_fault_d) @[lsu_addrcheck.scala 111:70] + node _T_164 = or(_T_163, regpred_access_fault_d) @[lsu_addrcheck.scala 111:92] + node _T_165 = and(_T_164, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 111:118] + node _T_166 = eq(io.lsu_pkt_d.bits.dma, UInt<1>("h00")) @[lsu_addrcheck.scala 111:141] + node _T_167 = and(_T_165, _T_166) @[lsu_addrcheck.scala 111:139] + io.access_fault_d <= _T_167 @[lsu_addrcheck.scala 111:21] + node _T_168 = bits(unmapped_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:60] + node _T_169 = bits(mpu_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:100] + node _T_170 = bits(regpred_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:144] + node _T_171 = bits(picm_access_fault_d, 0, 0) @[lsu_addrcheck.scala 112:185] + node _T_172 = mux(_T_171, UInt<4>("h06"), UInt<4>("h00")) @[lsu_addrcheck.scala 112:164] + node _T_173 = mux(_T_170, UInt<4>("h05"), _T_172) @[lsu_addrcheck.scala 112:120] + node _T_174 = mux(_T_169, UInt<4>("h03"), _T_173) @[lsu_addrcheck.scala 112:80] + node access_fault_mscause_d = mux(_T_168, UInt<4>("h02"), _T_174) @[lsu_addrcheck.scala 112:35] + node _T_175 = bits(io.start_addr_d, 31, 28) @[lsu_addrcheck.scala 113:53] + node _T_176 = bits(io.end_addr_d, 31, 28) @[lsu_addrcheck.scala 113:78] + node regcross_misaligned_fault_d = neq(_T_175, _T_176) @[lsu_addrcheck.scala 113:61] + node _T_177 = eq(is_aligned_d, UInt<1>("h00")) @[lsu_addrcheck.scala 114:59] + node sideeffect_misaligned_fault_d = and(is_sideeffects_d, _T_177) @[lsu_addrcheck.scala 114:57] + node _T_178 = and(sideeffect_misaligned_fault_d, io.addr_external_d) @[lsu_addrcheck.scala 115:90] + node _T_179 = or(regcross_misaligned_fault_d, _T_178) @[lsu_addrcheck.scala 115:57] + node _T_180 = and(_T_179, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 115:113] + node _T_181 = eq(io.lsu_pkt_d.bits.dma, UInt<1>("h00")) @[lsu_addrcheck.scala 115:136] + node _T_182 = and(_T_180, _T_181) @[lsu_addrcheck.scala 115:134] + io.misaligned_fault_d <= _T_182 @[lsu_addrcheck.scala 115:25] + node _T_183 = bits(sideeffect_misaligned_fault_d, 0, 0) @[lsu_addrcheck.scala 116:111] + node _T_184 = mux(_T_183, UInt<4>("h01"), UInt<4>("h00")) @[lsu_addrcheck.scala 116:80] + node misaligned_fault_mscause_d = mux(regcross_misaligned_fault_d, UInt<4>("h02"), _T_184) @[lsu_addrcheck.scala 116:39] + node _T_185 = bits(io.misaligned_fault_d, 0, 0) @[lsu_addrcheck.scala 117:50] + node _T_186 = bits(misaligned_fault_mscause_d, 3, 0) @[lsu_addrcheck.scala 117:84] + node _T_187 = bits(access_fault_mscause_d, 3, 0) @[lsu_addrcheck.scala 117:113] + node _T_188 = mux(_T_185, _T_186, _T_187) @[lsu_addrcheck.scala 117:27] + io.exc_mscause_d <= _T_188 @[lsu_addrcheck.scala 117:21] + node _T_189 = eq(start_addr_in_dccm_d, UInt<1>("h00")) @[lsu_addrcheck.scala 118:66] + node _T_190 = and(start_addr_in_dccm_region_d, _T_189) @[lsu_addrcheck.scala 118:64] + node _T_191 = eq(end_addr_in_dccm_d, UInt<1>("h00")) @[lsu_addrcheck.scala 118:120] + node _T_192 = and(end_addr_in_dccm_region_d, _T_191) @[lsu_addrcheck.scala 118:118] + node _T_193 = or(_T_190, _T_192) @[lsu_addrcheck.scala 118:88] + node _T_194 = and(_T_193, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 118:142] + node _T_195 = and(_T_194, io.lsu_pkt_d.bits.fast_int) @[lsu_addrcheck.scala 118:163] + io.fir_dccm_access_error_d <= _T_195 @[lsu_addrcheck.scala 118:31] + node _T_196 = and(start_addr_in_dccm_region_d, end_addr_in_dccm_region_d) @[lsu_addrcheck.scala 119:66] + node _T_197 = eq(_T_196, UInt<1>("h00")) @[lsu_addrcheck.scala 119:36] + node _T_198 = and(_T_197, io.lsu_pkt_d.valid) @[lsu_addrcheck.scala 119:95] + node _T_199 = and(_T_198, io.lsu_pkt_d.bits.fast_int) @[lsu_addrcheck.scala 119:116] + io.fir_nondccm_access_error_d <= _T_199 @[lsu_addrcheck.scala 119:33] + reg _T_200 : UInt<1>, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_addrcheck.scala 121:60] + _T_200 <= is_sideeffects_d @[lsu_addrcheck.scala 121:60] + io.is_sideeffects_m <= _T_200 @[lsu_addrcheck.scala 121:50] + + module lsu_lsc_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip lsu_c1_m_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_c2_m_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_store_c1_m_clk : Clock, flip lsu_ld_data_r : UInt<32>, flip lsu_ld_data_corr_r : UInt<32>, flip lsu_single_ecc_error_r : UInt<1>, flip lsu_double_ecc_error_r : UInt<1>, flip lsu_ld_data_m : UInt<32>, flip lsu_single_ecc_error_m : UInt<1>, flip lsu_double_ecc_error_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, lsu_exu : {flip exu_lsu_rs1_d : UInt<32>, flip exu_lsu_rs2_d : UInt<32>}, flip lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip dec_lsu_valid_raw_d : UInt<1>, flip dec_lsu_offset_d : UInt<12>, flip picm_mask_data_m : UInt<32>, flip bus_read_data_m : UInt<32>, lsu_result_m : UInt<32>, lsu_result_corr_r : UInt<32>, lsu_addr_d : UInt<32>, lsu_addr_m : UInt<32>, lsu_addr_r : UInt<32>, end_addr_d : UInt<32>, end_addr_m : UInt<32>, end_addr_r : UInt<32>, store_data_m : UInt<32>, flip dec_tlu_mrac_ff : UInt<32>, lsu_exc_m : UInt<1>, is_sideeffects_m : UInt<1>, lsu_commit_r : UInt<1>, lsu_single_ecc_error_incr : UInt<1>, lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, lsu_fir_addr : UInt<31>, lsu_fir_error : UInt<2>, addr_in_dccm_d : UInt<1>, addr_in_dccm_m : UInt<1>, addr_in_dccm_r : UInt<1>, addr_in_pic_d : UInt<1>, addr_in_pic_m : UInt<1>, addr_in_pic_r : UInt<1>, addr_external_m : UInt<1>, dma_lsc_ctl : {flip dma_dccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>}, lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip scan_mode : UInt<1>} + + wire dma_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 90:29] + wire lsu_pkt_m_in : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 91:29] + wire lsu_pkt_r_in : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 92:29] + wire lsu_error_pkt_m : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}} @[lsu_lsc_ctl.scala 93:29] + node _T = bits(io.dec_lsu_valid_raw_d, 0, 0) @[lsu_lsc_ctl.scala 95:52] + node lsu_rs1_d = mux(_T, io.lsu_exu.exu_lsu_rs1_d, io.dma_lsc_ctl.dma_mem_addr) @[lsu_lsc_ctl.scala 95:28] + node _T_1 = bits(io.dec_lsu_offset_d, 11, 0) @[lsu_lsc_ctl.scala 96:44] + node _T_2 = bits(io.dec_lsu_valid_raw_d, 0, 0) @[Bitwise.scala 72:15] + node _T_3 = mux(_T_2, UInt<12>("h0fff"), UInt<12>("h00")) @[Bitwise.scala 72:12] + node lsu_offset_d = and(_T_1, _T_3) @[lsu_lsc_ctl.scala 96:51] + node _T_4 = bits(io.lsu_pkt_d.bits.load_ldst_bypass_d, 0, 0) @[lsu_lsc_ctl.scala 99:66] + node rs1_d = mux(_T_4, io.lsu_result_m, lsu_rs1_d) @[lsu_lsc_ctl.scala 99:28] + node _T_5 = bits(rs1_d, 11, 0) @[lib.scala 92:31] + node _T_6 = cat(UInt<1>("h00"), _T_5) @[Cat.scala 29:58] + node _T_7 = bits(lsu_offset_d, 11, 0) @[lib.scala 92:60] + node _T_8 = cat(UInt<1>("h00"), _T_7) @[Cat.scala 29:58] + node _T_9 = add(_T_6, _T_8) @[lib.scala 92:39] + node _T_10 = tail(_T_9, 1) @[lib.scala 92:39] + node _T_11 = bits(lsu_offset_d, 11, 11) @[lib.scala 93:41] + node _T_12 = bits(_T_10, 12, 12) @[lib.scala 93:50] + node _T_13 = xor(_T_11, _T_12) @[lib.scala 93:46] + node _T_14 = not(_T_13) @[lib.scala 93:33] + node _T_15 = bits(_T_14, 0, 0) @[Bitwise.scala 72:15] + node _T_16 = mux(_T_15, UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_17 = bits(rs1_d, 31, 12) @[lib.scala 93:63] + node _T_18 = and(_T_16, _T_17) @[lib.scala 93:58] + node _T_19 = bits(lsu_offset_d, 11, 11) @[lib.scala 94:25] + node _T_20 = not(_T_19) @[lib.scala 94:18] + node _T_21 = bits(_T_10, 12, 12) @[lib.scala 94:34] + node _T_22 = and(_T_20, _T_21) @[lib.scala 94:30] + node _T_23 = bits(_T_22, 0, 0) @[Bitwise.scala 72:15] + node _T_24 = mux(_T_23, UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_25 = bits(rs1_d, 31, 12) @[lib.scala 94:47] + node _T_26 = add(_T_25, UInt<1>("h01")) @[lib.scala 94:54] + node _T_27 = tail(_T_26, 1) @[lib.scala 94:54] + node _T_28 = and(_T_24, _T_27) @[lib.scala 94:41] + node _T_29 = or(_T_18, _T_28) @[lib.scala 93:72] + node _T_30 = bits(lsu_offset_d, 11, 11) @[lib.scala 95:24] + node _T_31 = bits(_T_10, 12, 12) @[lib.scala 95:34] + node _T_32 = not(_T_31) @[lib.scala 95:31] + node _T_33 = and(_T_30, _T_32) @[lib.scala 95:29] + node _T_34 = bits(_T_33, 0, 0) @[Bitwise.scala 72:15] + node _T_35 = mux(_T_34, UInt<20>("h0fffff"), UInt<20>("h00")) @[Bitwise.scala 72:12] + node _T_36 = bits(rs1_d, 31, 12) @[lib.scala 95:47] + node _T_37 = sub(_T_36, UInt<1>("h01")) @[lib.scala 95:54] + node _T_38 = tail(_T_37, 1) @[lib.scala 95:54] + node _T_39 = and(_T_35, _T_38) @[lib.scala 95:41] + node _T_40 = or(_T_29, _T_39) @[lib.scala 94:61] + node _T_41 = bits(_T_10, 11, 0) @[lib.scala 96:22] + node full_addr_d = cat(_T_40, _T_41) @[Cat.scala 29:58] + node _T_42 = bits(io.lsu_pkt_d.bits.half, 0, 0) @[Bitwise.scala 72:15] + node _T_43 = mux(_T_42, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_44 = and(_T_43, UInt<3>("h01")) @[lsu_lsc_ctl.scala 104:58] + node _T_45 = bits(io.lsu_pkt_d.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_46 = mux(_T_45, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_47 = and(_T_46, UInt<3>("h03")) @[lsu_lsc_ctl.scala 105:40] + node _T_48 = or(_T_44, _T_47) @[lsu_lsc_ctl.scala 104:70] + node _T_49 = bits(io.lsu_pkt_d.bits.dword, 0, 0) @[Bitwise.scala 72:15] + node _T_50 = mux(_T_49, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_51 = and(_T_50, UInt<3>("h07")) @[lsu_lsc_ctl.scala 106:40] + node addr_offset_d = or(_T_48, _T_51) @[lsu_lsc_ctl.scala 105:52] + node _T_52 = bits(lsu_offset_d, 11, 11) @[lsu_lsc_ctl.scala 108:39] + node _T_53 = bits(lsu_offset_d, 11, 0) @[lsu_lsc_ctl.scala 108:52] + node _T_54 = cat(_T_52, _T_53) @[Cat.scala 29:58] + node _T_55 = mux(UInt<1>("h00"), UInt<9>("h01ff"), UInt<9>("h00")) @[Bitwise.scala 72:12] + node _T_56 = bits(addr_offset_d, 2, 0) @[lsu_lsc_ctl.scala 108:91] + node _T_57 = cat(_T_55, _T_56) @[Cat.scala 29:58] + node _T_58 = add(_T_54, _T_57) @[lsu_lsc_ctl.scala 108:60] + node end_addr_offset_d = tail(_T_58, 1) @[lsu_lsc_ctl.scala 108:60] + node _T_59 = bits(rs1_d, 31, 0) @[lsu_lsc_ctl.scala 109:32] + node _T_60 = bits(end_addr_offset_d, 12, 12) @[lsu_lsc_ctl.scala 109:70] + node _T_61 = bits(_T_60, 0, 0) @[Bitwise.scala 72:15] + node _T_62 = mux(_T_61, UInt<19>("h07ffff"), UInt<19>("h00")) @[Bitwise.scala 72:12] + node _T_63 = bits(end_addr_offset_d, 12, 0) @[lsu_lsc_ctl.scala 109:93] + node _T_64 = cat(_T_62, _T_63) @[Cat.scala 29:58] + node _T_65 = add(_T_59, _T_64) @[lsu_lsc_ctl.scala 109:39] + node full_end_addr_d = tail(_T_65, 1) @[lsu_lsc_ctl.scala 109:39] + io.end_addr_d <= full_end_addr_d @[lsu_lsc_ctl.scala 110:24] + inst addrcheck of lsu_addrcheck @[lsu_lsc_ctl.scala 113:25] + addrcheck.clock <= clock + addrcheck.reset <= reset + addrcheck.io.lsu_c2_m_clk <= io.lsu_c2_m_clk @[lsu_lsc_ctl.scala 115:42] + addrcheck.io.start_addr_d <= full_addr_d @[lsu_lsc_ctl.scala 117:42] + addrcheck.io.end_addr_d <= full_end_addr_d @[lsu_lsc_ctl.scala 118:42] + addrcheck.io.lsu_pkt_d.bits.store_data_bypass_m <= io.lsu_pkt_d.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.load_ldst_bypass_d <= io.lsu_pkt_d.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.store_data_bypass_d <= io.lsu_pkt_d.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.dma <= io.lsu_pkt_d.bits.dma @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.unsign <= io.lsu_pkt_d.bits.unsign @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.store <= io.lsu_pkt_d.bits.store @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.load <= io.lsu_pkt_d.bits.load @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.dword <= io.lsu_pkt_d.bits.dword @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.word <= io.lsu_pkt_d.bits.word @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.half <= io.lsu_pkt_d.bits.half @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.by <= io.lsu_pkt_d.bits.by @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.bits.fast_int <= io.lsu_pkt_d.bits.fast_int @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.lsu_pkt_d.valid <= io.lsu_pkt_d.valid @[lsu_lsc_ctl.scala 119:42] + addrcheck.io.dec_tlu_mrac_ff <= io.dec_tlu_mrac_ff @[lsu_lsc_ctl.scala 120:42] + node _T_66 = bits(rs1_d, 31, 28) @[lsu_lsc_ctl.scala 121:50] + addrcheck.io.rs1_region_d <= _T_66 @[lsu_lsc_ctl.scala 121:42] + addrcheck.io.rs1_d <= rs1_d @[lsu_lsc_ctl.scala 122:42] + io.is_sideeffects_m <= addrcheck.io.is_sideeffects_m @[lsu_lsc_ctl.scala 123:42] + io.addr_in_dccm_d <= addrcheck.io.addr_in_dccm_d @[lsu_lsc_ctl.scala 124:42] + io.addr_in_pic_d <= addrcheck.io.addr_in_pic_d @[lsu_lsc_ctl.scala 125:42] + addrcheck.io.scan_mode <= io.scan_mode @[lsu_lsc_ctl.scala 132:42] + wire exc_mscause_r : UInt<4> + exc_mscause_r <= UInt<4>("h00") + wire fir_dccm_access_error_r : UInt<1> + fir_dccm_access_error_r <= UInt<1>("h00") + wire fir_nondccm_access_error_r : UInt<1> + fir_nondccm_access_error_r <= UInt<1>("h00") + wire access_fault_r : UInt<1> + access_fault_r <= UInt<1>("h00") + wire misaligned_fault_r : UInt<1> + misaligned_fault_r <= UInt<1>("h00") + wire lsu_fir_error_m : UInt<2> + lsu_fir_error_m <= UInt<2>("h00") + wire fir_dccm_access_error_m : UInt<1> + fir_dccm_access_error_m <= UInt<1>("h00") + wire fir_nondccm_access_error_m : UInt<1> + fir_nondccm_access_error_m <= UInt<1>("h00") + reg access_fault_m : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 144:75] + access_fault_m <= addrcheck.io.access_fault_d @[lsu_lsc_ctl.scala 144:75] + reg misaligned_fault_m : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 145:75] + misaligned_fault_m <= addrcheck.io.misaligned_fault_d @[lsu_lsc_ctl.scala 145:75] + reg exc_mscause_m : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 146:75] + exc_mscause_m <= addrcheck.io.exc_mscause_d @[lsu_lsc_ctl.scala 146:75] + reg _T_67 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 147:75] + _T_67 <= addrcheck.io.fir_dccm_access_error_d @[lsu_lsc_ctl.scala 147:75] + fir_dccm_access_error_m <= _T_67 @[lsu_lsc_ctl.scala 147:38] + reg _T_68 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 148:75] + _T_68 <= addrcheck.io.fir_nondccm_access_error_d @[lsu_lsc_ctl.scala 148:75] + fir_nondccm_access_error_m <= _T_68 @[lsu_lsc_ctl.scala 148:38] + node _T_69 = or(access_fault_m, misaligned_fault_m) @[lsu_lsc_ctl.scala 150:34] + io.lsu_exc_m <= _T_69 @[lsu_lsc_ctl.scala 150:16] + node _T_70 = eq(io.lsu_double_ecc_error_r, UInt<1>("h00")) @[lsu_lsc_ctl.scala 151:64] + node _T_71 = and(io.lsu_single_ecc_error_r, _T_70) @[lsu_lsc_ctl.scala 151:62] + node _T_72 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_lsc_ctl.scala 151:111] + node _T_73 = and(_T_71, _T_72) @[lsu_lsc_ctl.scala 151:92] + node _T_74 = and(_T_73, io.lsu_pkt_r.valid) @[lsu_lsc_ctl.scala 151:136] + io.lsu_single_ecc_error_incr <= _T_74 @[lsu_lsc_ctl.scala 151:32] + node _T_75 = or(access_fault_m, misaligned_fault_m) @[lsu_lsc_ctl.scala 173:46] + node _T_76 = or(_T_75, io.lsu_double_ecc_error_m) @[lsu_lsc_ctl.scala 173:67] + node _T_77 = and(_T_76, io.lsu_pkt_m.valid) @[lsu_lsc_ctl.scala 173:96] + node _T_78 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 173:119] + node _T_79 = and(_T_77, _T_78) @[lsu_lsc_ctl.scala 173:117] + node _T_80 = eq(io.lsu_pkt_m.bits.fast_int, UInt<1>("h00")) @[lsu_lsc_ctl.scala 173:144] + node _T_81 = and(_T_79, _T_80) @[lsu_lsc_ctl.scala 173:142] + node _T_82 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_lsc_ctl.scala 173:174] + node _T_83 = and(_T_81, _T_82) @[lsu_lsc_ctl.scala 173:172] + lsu_error_pkt_m.valid <= _T_83 @[lsu_lsc_ctl.scala 173:27] + node _T_84 = eq(lsu_error_pkt_m.valid, UInt<1>("h00")) @[lsu_lsc_ctl.scala 174:75] + node _T_85 = and(io.lsu_single_ecc_error_m, _T_84) @[lsu_lsc_ctl.scala 174:73] + node _T_86 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 174:101] + node _T_87 = and(_T_85, _T_86) @[lsu_lsc_ctl.scala 174:99] + lsu_error_pkt_m.bits.single_ecc_error <= _T_87 @[lsu_lsc_ctl.scala 174:43] + lsu_error_pkt_m.bits.inst_type <= io.lsu_pkt_m.bits.store @[lsu_lsc_ctl.scala 175:43] + node _T_88 = not(misaligned_fault_m) @[lsu_lsc_ctl.scala 176:46] + lsu_error_pkt_m.bits.exc_type <= _T_88 @[lsu_lsc_ctl.scala 176:43] + node _T_89 = eq(misaligned_fault_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 177:80] + node _T_90 = and(io.lsu_double_ecc_error_m, _T_89) @[lsu_lsc_ctl.scala 177:78] + node _T_91 = eq(access_fault_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 177:102] + node _T_92 = and(_T_90, _T_91) @[lsu_lsc_ctl.scala 177:100] + node _T_93 = eq(_T_92, UInt<1>("h01")) @[lsu_lsc_ctl.scala 177:118] + node _T_94 = bits(exc_mscause_m, 3, 0) @[lsu_lsc_ctl.scala 177:149] + node _T_95 = mux(_T_93, UInt<4>("h01"), _T_94) @[lsu_lsc_ctl.scala 177:49] + lsu_error_pkt_m.bits.mscause <= _T_95 @[lsu_lsc_ctl.scala 177:43] + node _T_96 = bits(io.lsu_addr_m, 31, 0) @[lsu_lsc_ctl.scala 178:59] + lsu_error_pkt_m.bits.addr <= _T_96 @[lsu_lsc_ctl.scala 178:43] + node _T_97 = bits(fir_nondccm_access_error_m, 0, 0) @[lsu_lsc_ctl.scala 179:72] + node _T_98 = bits(fir_dccm_access_error_m, 0, 0) @[lsu_lsc_ctl.scala 179:117] + node _T_99 = and(io.lsu_pkt_m.bits.fast_int, io.lsu_double_ecc_error_m) @[lsu_lsc_ctl.scala 179:166] + node _T_100 = bits(_T_99, 0, 0) @[lsu_lsc_ctl.scala 179:195] + node _T_101 = mux(_T_100, UInt<2>("h01"), UInt<2>("h00")) @[lsu_lsc_ctl.scala 179:137] + node _T_102 = mux(_T_98, UInt<2>("h02"), _T_101) @[lsu_lsc_ctl.scala 179:92] + node _T_103 = mux(_T_97, UInt<2>("h03"), _T_102) @[lsu_lsc_ctl.scala 179:44] + lsu_fir_error_m <= _T_103 @[lsu_lsc_ctl.scala 179:38] + wire _T_104 : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}} @[lsu_lsc_ctl.scala 180:104] + _T_104.bits.addr <= UInt<32>("h00") @[lsu_lsc_ctl.scala 180:104] + _T_104.bits.mscause <= UInt<4>("h00") @[lsu_lsc_ctl.scala 180:104] + _T_104.bits.exc_type <= UInt<1>("h00") @[lsu_lsc_ctl.scala 180:104] + _T_104.bits.inst_type <= UInt<1>("h00") @[lsu_lsc_ctl.scala 180:104] + _T_104.bits.single_ecc_error <= UInt<1>("h00") @[lsu_lsc_ctl.scala 180:104] + _T_104.valid <= UInt<1>("h00") @[lsu_lsc_ctl.scala 180:104] + reg _T_105 : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, io.lsu_c2_r_clk with : (reset => (reset, _T_104)) @[lsu_lsc_ctl.scala 180:75] + _T_105.bits.addr <= lsu_error_pkt_m.bits.addr @[lsu_lsc_ctl.scala 180:75] + _T_105.bits.mscause <= lsu_error_pkt_m.bits.mscause @[lsu_lsc_ctl.scala 180:75] + _T_105.bits.exc_type <= lsu_error_pkt_m.bits.exc_type @[lsu_lsc_ctl.scala 180:75] + _T_105.bits.inst_type <= lsu_error_pkt_m.bits.inst_type @[lsu_lsc_ctl.scala 180:75] + _T_105.bits.single_ecc_error <= lsu_error_pkt_m.bits.single_ecc_error @[lsu_lsc_ctl.scala 180:75] + _T_105.valid <= lsu_error_pkt_m.valid @[lsu_lsc_ctl.scala 180:75] + io.lsu_error_pkt_r.bits.addr <= _T_105.bits.addr @[lsu_lsc_ctl.scala 180:38] + io.lsu_error_pkt_r.bits.mscause <= _T_105.bits.mscause @[lsu_lsc_ctl.scala 180:38] + io.lsu_error_pkt_r.bits.exc_type <= _T_105.bits.exc_type @[lsu_lsc_ctl.scala 180:38] + io.lsu_error_pkt_r.bits.inst_type <= _T_105.bits.inst_type @[lsu_lsc_ctl.scala 180:38] + io.lsu_error_pkt_r.bits.single_ecc_error <= _T_105.bits.single_ecc_error @[lsu_lsc_ctl.scala 180:38] + io.lsu_error_pkt_r.valid <= _T_105.valid @[lsu_lsc_ctl.scala 180:38] + reg _T_106 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 181:75] + _T_106 <= lsu_fir_error_m @[lsu_lsc_ctl.scala 181:75] + io.lsu_fir_error <= _T_106 @[lsu_lsc_ctl.scala 181:38] + dma_pkt_d.bits.unsign <= UInt<1>("h00") @[lsu_lsc_ctl.scala 183:27] + dma_pkt_d.bits.fast_int <= UInt<1>("h00") @[lsu_lsc_ctl.scala 184:27] + dma_pkt_d.valid <= io.dma_lsc_ctl.dma_dccm_req @[lsu_lsc_ctl.scala 185:22] + dma_pkt_d.bits.dma <= UInt<1>("h01") @[lsu_lsc_ctl.scala 186:27] + dma_pkt_d.bits.store <= io.dma_lsc_ctl.dma_mem_write @[lsu_lsc_ctl.scala 187:27] + node _T_107 = not(io.dma_lsc_ctl.dma_mem_write) @[lsu_lsc_ctl.scala 188:30] + dma_pkt_d.bits.load <= _T_107 @[lsu_lsc_ctl.scala 188:27] + node _T_108 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 189:56] + node _T_109 = eq(_T_108, UInt<3>("h00")) @[lsu_lsc_ctl.scala 189:62] + dma_pkt_d.bits.by <= _T_109 @[lsu_lsc_ctl.scala 189:27] + node _T_110 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 190:56] + node _T_111 = eq(_T_110, UInt<3>("h01")) @[lsu_lsc_ctl.scala 190:62] + dma_pkt_d.bits.half <= _T_111 @[lsu_lsc_ctl.scala 190:27] + node _T_112 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 191:56] + node _T_113 = eq(_T_112, UInt<3>("h02")) @[lsu_lsc_ctl.scala 191:62] + dma_pkt_d.bits.word <= _T_113 @[lsu_lsc_ctl.scala 191:27] + node _T_114 = bits(io.dma_lsc_ctl.dma_mem_sz, 2, 0) @[lsu_lsc_ctl.scala 192:56] + node _T_115 = eq(_T_114, UInt<3>("h03")) @[lsu_lsc_ctl.scala 192:62] + dma_pkt_d.bits.dword <= _T_115 @[lsu_lsc_ctl.scala 192:27] + dma_pkt_d.bits.store_data_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 193:39] + dma_pkt_d.bits.load_ldst_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 194:39] + dma_pkt_d.bits.store_data_bypass_m <= UInt<1>("h00") @[lsu_lsc_ctl.scala 195:39] + wire lsu_ld_datafn_r : UInt<32> + lsu_ld_datafn_r <= UInt<32>("h00") + wire lsu_ld_datafn_corr_r : UInt<32> + lsu_ld_datafn_corr_r <= UInt<32>("h00") + wire lsu_ld_datafn_m : UInt<32> + lsu_ld_datafn_m <= UInt<32>("h00") + node _T_116 = bits(io.dec_lsu_valid_raw_d, 0, 0) @[lsu_lsc_ctl.scala 201:50] + node _T_117 = mux(_T_116, io.lsu_p, dma_pkt_d) @[lsu_lsc_ctl.scala 201:26] + io.lsu_pkt_d.bits.store_data_bypass_m <= _T_117.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.load_ldst_bypass_d <= _T_117.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.store_data_bypass_d <= _T_117.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.dma <= _T_117.bits.dma @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.unsign <= _T_117.bits.unsign @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.store <= _T_117.bits.store @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.load <= _T_117.bits.load @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.dword <= _T_117.bits.dword @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.word <= _T_117.bits.word @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.half <= _T_117.bits.half @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.by <= _T_117.bits.by @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.bits.fast_int <= _T_117.bits.fast_int @[lsu_lsc_ctl.scala 201:20] + io.lsu_pkt_d.valid <= _T_117.valid @[lsu_lsc_ctl.scala 201:20] + lsu_pkt_m_in.bits.store_data_bypass_m <= io.lsu_pkt_d.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.load_ldst_bypass_d <= io.lsu_pkt_d.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.store_data_bypass_d <= io.lsu_pkt_d.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.dma <= io.lsu_pkt_d.bits.dma @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.unsign <= io.lsu_pkt_d.bits.unsign @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.store <= io.lsu_pkt_d.bits.store @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.load <= io.lsu_pkt_d.bits.load @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.dword <= io.lsu_pkt_d.bits.dword @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.word <= io.lsu_pkt_d.bits.word @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.half <= io.lsu_pkt_d.bits.half @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.by <= io.lsu_pkt_d.bits.by @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.bits.fast_int <= io.lsu_pkt_d.bits.fast_int @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_m_in.valid <= io.lsu_pkt_d.valid @[lsu_lsc_ctl.scala 202:20] + lsu_pkt_r_in.bits.store_data_bypass_m <= io.lsu_pkt_m.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.load_ldst_bypass_d <= io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.store_data_bypass_d <= io.lsu_pkt_m.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.dma <= io.lsu_pkt_m.bits.dma @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.unsign <= io.lsu_pkt_m.bits.unsign @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.store <= io.lsu_pkt_m.bits.store @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.load <= io.lsu_pkt_m.bits.load @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.dword <= io.lsu_pkt_m.bits.dword @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.word <= io.lsu_pkt_m.bits.word @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.half <= io.lsu_pkt_m.bits.half @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.by <= io.lsu_pkt_m.bits.by @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.bits.fast_int <= io.lsu_pkt_m.bits.fast_int @[lsu_lsc_ctl.scala 203:20] + lsu_pkt_r_in.valid <= io.lsu_pkt_m.valid @[lsu_lsc_ctl.scala 203:20] + node _T_118 = eq(io.lsu_p.bits.fast_int, UInt<1>("h00")) @[lsu_lsc_ctl.scala 205:64] + node _T_119 = and(io.flush_m_up, _T_118) @[lsu_lsc_ctl.scala 205:61] + node _T_120 = eq(_T_119, UInt<1>("h00")) @[lsu_lsc_ctl.scala 205:45] + node _T_121 = and(io.lsu_p.valid, _T_120) @[lsu_lsc_ctl.scala 205:43] + node _T_122 = or(_T_121, io.dma_lsc_ctl.dma_dccm_req) @[lsu_lsc_ctl.scala 205:90] + io.lsu_pkt_d.valid <= _T_122 @[lsu_lsc_ctl.scala 205:24] + node _T_123 = eq(io.lsu_pkt_d.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 206:68] + node _T_124 = and(io.flush_m_up, _T_123) @[lsu_lsc_ctl.scala 206:65] + node _T_125 = eq(_T_124, UInt<1>("h00")) @[lsu_lsc_ctl.scala 206:49] + node _T_126 = and(io.lsu_pkt_d.valid, _T_125) @[lsu_lsc_ctl.scala 206:47] + lsu_pkt_m_in.valid <= _T_126 @[lsu_lsc_ctl.scala 206:24] + node _T_127 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 207:68] + node _T_128 = and(io.flush_m_up, _T_127) @[lsu_lsc_ctl.scala 207:65] + node _T_129 = eq(_T_128, UInt<1>("h00")) @[lsu_lsc_ctl.scala 207:49] + node _T_130 = and(io.lsu_pkt_m.valid, _T_129) @[lsu_lsc_ctl.scala 207:47] + lsu_pkt_r_in.valid <= _T_130 @[lsu_lsc_ctl.scala 207:24] + wire _T_131 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.store_data_bypass_m <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.load_ldst_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.store_data_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.dma <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.unsign <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.store <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.load <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.dword <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.word <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.half <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.by <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.bits.fast_int <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + _T_131.valid <= UInt<1>("h00") @[lsu_lsc_ctl.scala 209:91] + reg _T_132 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, io.lsu_c1_m_clk with : (reset => (reset, _T_131)) @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.store_data_bypass_m <= lsu_pkt_m_in.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.load_ldst_bypass_d <= lsu_pkt_m_in.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.store_data_bypass_d <= lsu_pkt_m_in.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.dma <= lsu_pkt_m_in.bits.dma @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.unsign <= lsu_pkt_m_in.bits.unsign @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.store <= lsu_pkt_m_in.bits.store @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.load <= lsu_pkt_m_in.bits.load @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.dword <= lsu_pkt_m_in.bits.dword @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.word <= lsu_pkt_m_in.bits.word @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.half <= lsu_pkt_m_in.bits.half @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.by <= lsu_pkt_m_in.bits.by @[lsu_lsc_ctl.scala 209:65] + _T_132.bits.fast_int <= lsu_pkt_m_in.bits.fast_int @[lsu_lsc_ctl.scala 209:65] + _T_132.valid <= lsu_pkt_m_in.valid @[lsu_lsc_ctl.scala 209:65] + io.lsu_pkt_m.bits.store_data_bypass_m <= _T_132.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.load_ldst_bypass_d <= _T_132.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.store_data_bypass_d <= _T_132.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.dma <= _T_132.bits.dma @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.unsign <= _T_132.bits.unsign @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.store <= _T_132.bits.store @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.load <= _T_132.bits.load @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.dword <= _T_132.bits.dword @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.word <= _T_132.bits.word @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.half <= _T_132.bits.half @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.by <= _T_132.bits.by @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.bits.fast_int <= _T_132.bits.fast_int @[lsu_lsc_ctl.scala 209:28] + io.lsu_pkt_m.valid <= _T_132.valid @[lsu_lsc_ctl.scala 209:28] + wire _T_133 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}} @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.store_data_bypass_m <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.load_ldst_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.store_data_bypass_d <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.dma <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.unsign <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.store <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.load <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.dword <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.word <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.half <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.by <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.bits.fast_int <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + _T_133.valid <= UInt<1>("h00") @[lsu_lsc_ctl.scala 210:91] + reg _T_134 : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, io.lsu_c1_r_clk with : (reset => (reset, _T_133)) @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.store_data_bypass_m <= lsu_pkt_r_in.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.load_ldst_bypass_d <= lsu_pkt_r_in.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.store_data_bypass_d <= lsu_pkt_r_in.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.dma <= lsu_pkt_r_in.bits.dma @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.unsign <= lsu_pkt_r_in.bits.unsign @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.store <= lsu_pkt_r_in.bits.store @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.load <= lsu_pkt_r_in.bits.load @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.dword <= lsu_pkt_r_in.bits.dword @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.word <= lsu_pkt_r_in.bits.word @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.half <= lsu_pkt_r_in.bits.half @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.by <= lsu_pkt_r_in.bits.by @[lsu_lsc_ctl.scala 210:65] + _T_134.bits.fast_int <= lsu_pkt_r_in.bits.fast_int @[lsu_lsc_ctl.scala 210:65] + _T_134.valid <= lsu_pkt_r_in.valid @[lsu_lsc_ctl.scala 210:65] + io.lsu_pkt_r.bits.store_data_bypass_m <= _T_134.bits.store_data_bypass_m @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.load_ldst_bypass_d <= _T_134.bits.load_ldst_bypass_d @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.store_data_bypass_d <= _T_134.bits.store_data_bypass_d @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.dma <= _T_134.bits.dma @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.unsign <= _T_134.bits.unsign @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.store <= _T_134.bits.store @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.load <= _T_134.bits.load @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.dword <= _T_134.bits.dword @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.word <= _T_134.bits.word @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.half <= _T_134.bits.half @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.by <= _T_134.bits.by @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.bits.fast_int <= _T_134.bits.fast_int @[lsu_lsc_ctl.scala 210:28] + io.lsu_pkt_r.valid <= _T_134.valid @[lsu_lsc_ctl.scala 210:28] + reg _T_135 : UInt<1>, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 211:65] + _T_135 <= lsu_pkt_m_in.valid @[lsu_lsc_ctl.scala 211:65] + io.lsu_pkt_m.valid <= _T_135 @[lsu_lsc_ctl.scala 211:28] + reg _T_136 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 212:65] + _T_136 <= lsu_pkt_r_in.valid @[lsu_lsc_ctl.scala 212:65] + io.lsu_pkt_r.valid <= _T_136 @[lsu_lsc_ctl.scala 212:28] + node _T_137 = bits(io.dma_lsc_ctl.dma_mem_wdata, 63, 0) @[lsu_lsc_ctl.scala 214:59] + node _T_138 = bits(io.dma_lsc_ctl.dma_mem_addr, 2, 0) @[lsu_lsc_ctl.scala 214:100] + node _T_139 = cat(_T_138, UInt<3>("h00")) @[Cat.scala 29:58] + node dma_mem_wdata_shifted = dshr(_T_137, _T_139) @[lsu_lsc_ctl.scala 214:66] + node _T_140 = bits(io.dma_lsc_ctl.dma_dccm_req, 0, 0) @[lsu_lsc_ctl.scala 215:63] + node _T_141 = bits(dma_mem_wdata_shifted, 31, 0) @[lsu_lsc_ctl.scala 215:91] + node _T_142 = bits(io.lsu_exu.exu_lsu_rs2_d, 31, 0) @[lsu_lsc_ctl.scala 215:122] + node store_data_d = mux(_T_140, _T_141, _T_142) @[lsu_lsc_ctl.scala 215:34] + node _T_143 = bits(io.lsu_pkt_d.bits.store_data_bypass_d, 0, 0) @[lsu_lsc_ctl.scala 216:73] + node _T_144 = bits(io.lsu_result_m, 31, 0) @[lsu_lsc_ctl.scala 216:95] + node _T_145 = bits(store_data_d, 31, 0) @[lsu_lsc_ctl.scala 216:114] + node store_data_m_in = mux(_T_143, _T_144, _T_145) @[lsu_lsc_ctl.scala 216:34] + reg store_data_pre_m : UInt, io.lsu_store_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 218:72] + store_data_pre_m <= store_data_m_in @[lsu_lsc_ctl.scala 218:72] + reg _T_146 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 219:62] + _T_146 <= io.lsu_addr_d @[lsu_lsc_ctl.scala 219:62] + io.lsu_addr_m <= _T_146 @[lsu_lsc_ctl.scala 219:24] + reg _T_147 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 220:62] + _T_147 <= io.lsu_addr_m @[lsu_lsc_ctl.scala 220:62] + io.lsu_addr_r <= _T_147 @[lsu_lsc_ctl.scala 220:24] + reg _T_148 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 221:62] + _T_148 <= io.end_addr_d @[lsu_lsc_ctl.scala 221:62] + io.end_addr_m <= _T_148 @[lsu_lsc_ctl.scala 221:24] + reg _T_149 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 222:62] + _T_149 <= io.end_addr_m @[lsu_lsc_ctl.scala 222:62] + io.end_addr_r <= _T_149 @[lsu_lsc_ctl.scala 222:24] + reg _T_150 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 223:62] + _T_150 <= io.addr_in_dccm_d @[lsu_lsc_ctl.scala 223:62] + io.addr_in_dccm_m <= _T_150 @[lsu_lsc_ctl.scala 223:24] + reg _T_151 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 224:62] + _T_151 <= io.addr_in_dccm_m @[lsu_lsc_ctl.scala 224:62] + io.addr_in_dccm_r <= _T_151 @[lsu_lsc_ctl.scala 224:24] + reg _T_152 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 225:62] + _T_152 <= io.addr_in_pic_d @[lsu_lsc_ctl.scala 225:62] + io.addr_in_pic_m <= _T_152 @[lsu_lsc_ctl.scala 225:24] + reg _T_153 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 226:62] + _T_153 <= io.addr_in_pic_m @[lsu_lsc_ctl.scala 226:62] + io.addr_in_pic_r <= _T_153 @[lsu_lsc_ctl.scala 226:24] + reg _T_154 : UInt, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 227:62] + _T_154 <= addrcheck.io.addr_external_d @[lsu_lsc_ctl.scala 227:62] + io.addr_external_m <= _T_154 @[lsu_lsc_ctl.scala 227:24] + reg addr_external_r : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 228:66] + addr_external_r <= io.addr_external_m @[lsu_lsc_ctl.scala 228:66] + reg bus_read_data_r : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_lsc_ctl.scala 229:66] + bus_read_data_r <= io.bus_read_data_m @[lsu_lsc_ctl.scala 229:66] + node _T_155 = bits(io.lsu_ld_data_corr_r, 31, 1) @[lsu_lsc_ctl.scala 231:52] + io.lsu_fir_addr <= _T_155 @[lsu_lsc_ctl.scala 231:28] + io.lsu_addr_d <= full_addr_d @[lsu_lsc_ctl.scala 233:28] + node _T_156 = or(io.lsu_pkt_r.bits.store, io.lsu_pkt_r.bits.load) @[lsu_lsc_ctl.scala 235:68] + node _T_157 = and(io.lsu_pkt_r.valid, _T_156) @[lsu_lsc_ctl.scala 235:41] + node _T_158 = eq(io.flush_r, UInt<1>("h00")) @[lsu_lsc_ctl.scala 235:96] + node _T_159 = and(_T_157, _T_158) @[lsu_lsc_ctl.scala 235:94] + node _T_160 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_lsc_ctl.scala 235:110] + node _T_161 = and(_T_159, _T_160) @[lsu_lsc_ctl.scala 235:108] + io.lsu_commit_r <= _T_161 @[lsu_lsc_ctl.scala 235:19] + node _T_162 = bits(io.picm_mask_data_m, 31, 0) @[lsu_lsc_ctl.scala 236:52] + node _T_163 = eq(io.addr_in_pic_m, UInt<1>("h00")) @[lsu_lsc_ctl.scala 236:69] + node _T_164 = bits(_T_163, 0, 0) @[Bitwise.scala 72:15] + node _T_165 = mux(_T_164, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_166 = or(_T_162, _T_165) @[lsu_lsc_ctl.scala 236:59] + node _T_167 = bits(io.lsu_pkt_m.bits.store_data_bypass_m, 0, 0) @[lsu_lsc_ctl.scala 236:133] + node _T_168 = mux(_T_167, io.lsu_result_m, store_data_pre_m) @[lsu_lsc_ctl.scala 236:94] + node _T_169 = and(_T_166, _T_168) @[lsu_lsc_ctl.scala 236:89] + io.store_data_m <= _T_169 @[lsu_lsc_ctl.scala 236:29] + node _T_170 = bits(io.addr_external_m, 0, 0) @[lsu_lsc_ctl.scala 257:53] + node _T_171 = mux(_T_170, io.bus_read_data_m, io.lsu_ld_data_m) @[lsu_lsc_ctl.scala 257:33] + lsu_ld_datafn_m <= _T_171 @[lsu_lsc_ctl.scala 257:27] + node _T_172 = eq(addr_external_r, UInt<1>("h01")) @[lsu_lsc_ctl.scala 258:49] + node _T_173 = mux(_T_172, bus_read_data_r, io.lsu_ld_data_corr_r) @[lsu_lsc_ctl.scala 258:33] + lsu_ld_datafn_corr_r <= _T_173 @[lsu_lsc_ctl.scala 258:27] + node _T_174 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 259:66] + node _T_175 = bits(_T_174, 0, 0) @[Bitwise.scala 72:15] + node _T_176 = mux(_T_175, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_177 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 259:125] + node _T_178 = cat(UInt<24>("h00"), _T_177) @[Cat.scala 29:58] + node _T_179 = and(_T_176, _T_178) @[lsu_lsc_ctl.scala 259:94] + node _T_180 = and(io.lsu_pkt_m.bits.unsign, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 260:43] + node _T_181 = bits(_T_180, 0, 0) @[Bitwise.scala 72:15] + node _T_182 = mux(_T_181, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_183 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 260:102] + node _T_184 = cat(UInt<16>("h00"), _T_183) @[Cat.scala 29:58] + node _T_185 = and(_T_182, _T_184) @[lsu_lsc_ctl.scala 260:71] + node _T_186 = or(_T_179, _T_185) @[lsu_lsc_ctl.scala 259:133] + node _T_187 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 261:17] + node _T_188 = and(_T_187, io.lsu_pkt_m.bits.by) @[lsu_lsc_ctl.scala 261:43] + node _T_189 = bits(_T_188, 0, 0) @[Bitwise.scala 72:15] + node _T_190 = mux(_T_189, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_191 = bits(lsu_ld_datafn_m, 7, 7) @[lsu_lsc_ctl.scala 261:102] + node _T_192 = bits(_T_191, 0, 0) @[Bitwise.scala 72:15] + node _T_193 = mux(_T_192, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_194 = bits(lsu_ld_datafn_m, 7, 0) @[lsu_lsc_ctl.scala 261:125] + node _T_195 = cat(_T_193, _T_194) @[Cat.scala 29:58] + node _T_196 = and(_T_190, _T_195) @[lsu_lsc_ctl.scala 261:71] + node _T_197 = or(_T_186, _T_196) @[lsu_lsc_ctl.scala 260:114] + node _T_198 = eq(io.lsu_pkt_m.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 262:17] + node _T_199 = and(_T_198, io.lsu_pkt_m.bits.half) @[lsu_lsc_ctl.scala 262:43] + node _T_200 = bits(_T_199, 0, 0) @[Bitwise.scala 72:15] + node _T_201 = mux(_T_200, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_202 = bits(lsu_ld_datafn_m, 15, 15) @[lsu_lsc_ctl.scala 262:101] + node _T_203 = bits(_T_202, 0, 0) @[Bitwise.scala 72:15] + node _T_204 = mux(_T_203, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_205 = bits(lsu_ld_datafn_m, 15, 0) @[lsu_lsc_ctl.scala 262:125] + node _T_206 = cat(_T_204, _T_205) @[Cat.scala 29:58] + node _T_207 = and(_T_201, _T_206) @[lsu_lsc_ctl.scala 262:71] + node _T_208 = or(_T_197, _T_207) @[lsu_lsc_ctl.scala 261:134] + node _T_209 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_210 = mux(_T_209, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_211 = bits(lsu_ld_datafn_m, 31, 0) @[lsu_lsc_ctl.scala 263:60] + node _T_212 = and(_T_210, _T_211) @[lsu_lsc_ctl.scala 263:43] + node _T_213 = or(_T_208, _T_212) @[lsu_lsc_ctl.scala 262:134] + io.lsu_result_m <= _T_213 @[lsu_lsc_ctl.scala 259:27] + node _T_214 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 264:66] + node _T_215 = bits(_T_214, 0, 0) @[Bitwise.scala 72:15] + node _T_216 = mux(_T_215, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_217 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 264:130] + node _T_218 = cat(UInt<24>("h00"), _T_217) @[Cat.scala 29:58] + node _T_219 = and(_T_216, _T_218) @[lsu_lsc_ctl.scala 264:94] + node _T_220 = and(io.lsu_pkt_r.bits.unsign, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 265:43] + node _T_221 = bits(_T_220, 0, 0) @[Bitwise.scala 72:15] + node _T_222 = mux(_T_221, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_223 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 265:107] + node _T_224 = cat(UInt<16>("h00"), _T_223) @[Cat.scala 29:58] + node _T_225 = and(_T_222, _T_224) @[lsu_lsc_ctl.scala 265:71] + node _T_226 = or(_T_219, _T_225) @[lsu_lsc_ctl.scala 264:138] + node _T_227 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 266:17] + node _T_228 = and(_T_227, io.lsu_pkt_r.bits.by) @[lsu_lsc_ctl.scala 266:43] + node _T_229 = bits(_T_228, 0, 0) @[Bitwise.scala 72:15] + node _T_230 = mux(_T_229, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_231 = bits(lsu_ld_datafn_corr_r, 7, 7) @[lsu_lsc_ctl.scala 266:107] + node _T_232 = bits(_T_231, 0, 0) @[Bitwise.scala 72:15] + node _T_233 = mux(_T_232, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_234 = bits(lsu_ld_datafn_corr_r, 7, 0) @[lsu_lsc_ctl.scala 266:135] + node _T_235 = cat(_T_233, _T_234) @[Cat.scala 29:58] + node _T_236 = and(_T_230, _T_235) @[lsu_lsc_ctl.scala 266:71] + node _T_237 = or(_T_226, _T_236) @[lsu_lsc_ctl.scala 265:119] + node _T_238 = eq(io.lsu_pkt_r.bits.unsign, UInt<1>("h00")) @[lsu_lsc_ctl.scala 267:17] + node _T_239 = and(_T_238, io.lsu_pkt_r.bits.half) @[lsu_lsc_ctl.scala 267:43] + node _T_240 = bits(_T_239, 0, 0) @[Bitwise.scala 72:15] + node _T_241 = mux(_T_240, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_242 = bits(lsu_ld_datafn_corr_r, 15, 15) @[lsu_lsc_ctl.scala 267:106] + node _T_243 = bits(_T_242, 0, 0) @[Bitwise.scala 72:15] + node _T_244 = mux(_T_243, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_245 = bits(lsu_ld_datafn_corr_r, 15, 0) @[lsu_lsc_ctl.scala 267:135] + node _T_246 = cat(_T_244, _T_245) @[Cat.scala 29:58] + node _T_247 = and(_T_241, _T_246) @[lsu_lsc_ctl.scala 267:71] + node _T_248 = or(_T_237, _T_247) @[lsu_lsc_ctl.scala 266:144] + node _T_249 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_250 = mux(_T_249, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_251 = bits(lsu_ld_datafn_corr_r, 31, 0) @[lsu_lsc_ctl.scala 268:65] + node _T_252 = and(_T_250, _T_251) @[lsu_lsc_ctl.scala 268:43] + node _T_253 = or(_T_248, _T_252) @[lsu_lsc_ctl.scala 267:144] + io.lsu_result_corr_r <= _T_253 @[lsu_lsc_ctl.scala 264:27] + + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_dccm_ctl : + input clock : Clock + input reset : AsyncReset + output io : {flip lsu_c2_m_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_store_c1_r_clk : Clock, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip addr_in_dccm_d : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip addr_in_pic_d : UInt<1>, flip addr_in_pic_m : UInt<1>, flip addr_in_pic_r : UInt<1>, flip lsu_raw_fwd_lo_r : UInt<1>, flip lsu_raw_fwd_hi_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip lsu_addr_d : UInt<32>, flip lsu_addr_m : UInt<16>, flip lsu_addr_r : UInt<32>, flip end_addr_d : UInt<16>, flip end_addr_m : UInt<16>, flip end_addr_r : UInt<16>, flip stbuf_reqvld_any : UInt<1>, flip stbuf_addr_any : UInt<16>, flip stbuf_data_any : UInt<32>, flip stbuf_ecc_any : UInt<7>, flip stbuf_fwddata_hi_m : UInt<32>, flip stbuf_fwddata_lo_m : UInt<32>, flip stbuf_fwdbyteen_lo_m : UInt<4>, flip stbuf_fwdbyteen_hi_m : UInt<4>, dccm_rdata_hi_r : UInt<32>, dccm_rdata_lo_r : UInt<32>, dccm_data_ecc_hi_r : UInt<7>, dccm_data_ecc_lo_r : UInt<7>, lsu_ld_data_r : UInt<32>, lsu_ld_data_corr_r : UInt<32>, flip lsu_double_ecc_error_r : UInt<1>, flip single_ecc_error_hi_r : UInt<1>, flip single_ecc_error_lo_r : UInt<1>, flip sec_data_hi_r : UInt<32>, flip sec_data_lo_r : UInt<32>, flip sec_data_hi_r_ff : UInt<32>, flip sec_data_lo_r_ff : UInt<32>, flip sec_data_ecc_hi_r_ff : UInt<7>, flip sec_data_ecc_lo_r_ff : UInt<7>, dccm_rdata_hi_m : UInt<32>, dccm_rdata_lo_m : UInt<32>, dccm_data_ecc_hi_m : UInt<7>, dccm_data_ecc_lo_m : UInt<7>, lsu_ld_data_m : UInt<32>, flip lsu_double_ecc_error_m : UInt<1>, flip sec_data_hi_m : UInt<32>, flip sec_data_lo_m : UInt<32>, flip store_data_m : UInt<32>, flip dma_dccm_wen : UInt<1>, flip dma_pic_wen : UInt<1>, flip dma_mem_tag_m : UInt<3>, flip dma_dccm_wdata_lo : UInt<32>, flip dma_dccm_wdata_hi : UInt<32>, flip dma_dccm_wdata_ecc_hi : UInt<7>, flip dma_dccm_wdata_ecc_lo : UInt<7>, store_data_hi_r : UInt<32>, store_data_lo_r : UInt<32>, store_datafn_hi_r : UInt<32>, store_datafn_lo_r : UInt<32>, store_data_r : UInt<32>, ld_single_ecc_error_r : UInt<1>, ld_single_ecc_error_r_ff : UInt<1>, picm_mask_data_m : UInt<32>, lsu_stbuf_commit_any : UInt<1>, lsu_dccm_rden_m : UInt<1>, lsu_dccm_rden_r : UInt<1>, dma_dccm_ctl : {flip dma_mem_addr : UInt<32>, flip dma_mem_wdata : UInt<64>, dccm_dma_rvalid : UInt<1>, dccm_dma_ecc_error : UInt<1>, dccm_dma_rtag : UInt<3>, dccm_dma_rdata : UInt<64>}, flip dccm : {flip wren : UInt<1>, flip rden : UInt<1>, flip wr_addr_lo : UInt<16>, flip wr_addr_hi : UInt<16>, flip rd_addr_lo : UInt<16>, flip rd_addr_hi : UInt<16>, flip wr_data_lo : UInt<39>, flip wr_data_hi : UInt<39>, rd_data_lo : UInt<39>, rd_data_hi : UInt<39>}, lsu_pic : {picm_wren : UInt<1>, picm_rden : UInt<1>, picm_mken : UInt<1>, picm_rdaddr : UInt<32>, picm_wraddr : UInt<32>, picm_wr_data : UInt<32>, flip picm_rd_data : UInt<32>}, flip scan_mode : UInt<1>} + + node picm_rd_data_m = cat(io.lsu_pic.picm_rd_data, io.lsu_pic.picm_rd_data) @[Cat.scala 29:58] + node dccm_rdata_corr_r = cat(io.sec_data_hi_r, io.sec_data_lo_r) @[Cat.scala 29:58] + node dccm_rdata_corr_m = cat(io.sec_data_hi_m, io.sec_data_lo_m) @[Cat.scala 29:58] + node dccm_rdata_r = cat(io.dccm_rdata_hi_r, io.dccm_rdata_lo_r) @[Cat.scala 29:58] + node dccm_rdata_m = cat(io.dccm_rdata_hi_m, io.dccm_rdata_lo_m) @[Cat.scala 29:58] + wire lsu_rdata_r : UInt<64> + lsu_rdata_r <= UInt<1>("h00") + wire lsu_rdata_m : UInt<64> + lsu_rdata_m <= UInt<1>("h00") + wire lsu_rdata_corr_r : UInt<64> + lsu_rdata_corr_r <= UInt<1>("h00") + wire lsu_rdata_corr_m : UInt<64> + lsu_rdata_corr_m <= UInt<1>("h00") + wire stbuf_fwddata_r : UInt<64> + stbuf_fwddata_r <= UInt<1>("h00") + wire stbuf_fwdbyteen_r : UInt<64> + stbuf_fwdbyteen_r <= UInt<1>("h00") + wire picm_rd_data_r_32 : UInt<32> + picm_rd_data_r_32 <= UInt<1>("h00") + wire picm_rd_data_r : UInt<64> + picm_rd_data_r <= UInt<1>("h00") + wire lsu_ld_data_corr_m : UInt<64> + lsu_ld_data_corr_m <= UInt<1>("h00") + node _T = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.load) @[lsu_dccm_ctl.scala 137:63] + node _T_1 = and(_T, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 137:88] + io.dma_dccm_ctl.dccm_dma_rvalid <= _T_1 @[lsu_dccm_ctl.scala 137:41] + io.dma_dccm_ctl.dccm_dma_ecc_error <= io.lsu_double_ecc_error_m @[lsu_dccm_ctl.scala 138:41] + io.dma_dccm_ctl.dccm_dma_rdata <= lsu_rdata_corr_m @[lsu_dccm_ctl.scala 139:41] + io.dma_dccm_ctl.dccm_dma_rtag <= io.dma_mem_tag_m @[lsu_dccm_ctl.scala 140:41] + io.dccm_rdata_lo_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 141:28] + io.dccm_rdata_hi_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 142:28] + io.dccm_data_ecc_hi_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 143:28] + io.dccm_data_ecc_lo_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 144:28] + io.lsu_ld_data_r <= UInt<1>("h00") @[lsu_dccm_ctl.scala 145:28] + reg _T_2 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 147:65] + _T_2 <= lsu_ld_data_corr_m @[lsu_dccm_ctl.scala 147:65] + io.lsu_ld_data_corr_r <= _T_2 @[lsu_dccm_ctl.scala 147:28] + node _T_3 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_4 = bits(_T_3, 0, 0) @[lsu_dccm_ctl.scala 148:134] + node _T_5 = bits(_T_4, 0, 0) @[lsu_dccm_ctl.scala 148:139] + node _T_6 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_7 = bits(_T_6, 7, 0) @[lsu_dccm_ctl.scala 148:196] + node _T_8 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231] + node _T_9 = bits(picm_rd_data_m, 7, 0) @[lsu_dccm_ctl.scala 148:252] + node _T_10 = bits(dccm_rdata_corr_m, 7, 0) @[lsu_dccm_ctl.scala 148:283] + node _T_11 = mux(_T_8, _T_9, _T_10) @[lsu_dccm_ctl.scala 148:213] + node _T_12 = mux(_T_5, _T_7, _T_11) @[lsu_dccm_ctl.scala 148:78] + node _T_13 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_14 = xor(UInt<8>("h0ff"), _T_13) @[Bitwise.scala 102:21] + node _T_15 = shr(_T_12, 4) @[Bitwise.scala 103:21] + node _T_16 = and(_T_15, _T_14) @[Bitwise.scala 103:31] + node _T_17 = bits(_T_12, 3, 0) @[Bitwise.scala 103:46] + node _T_18 = shl(_T_17, 4) @[Bitwise.scala 103:65] + node _T_19 = not(_T_14) @[Bitwise.scala 103:77] + node _T_20 = and(_T_18, _T_19) @[Bitwise.scala 103:75] + node _T_21 = or(_T_16, _T_20) @[Bitwise.scala 103:39] + node _T_22 = bits(_T_14, 5, 0) @[Bitwise.scala 102:28] + node _T_23 = shl(_T_22, 2) @[Bitwise.scala 102:47] + node _T_24 = xor(_T_14, _T_23) @[Bitwise.scala 102:21] + node _T_25 = shr(_T_21, 2) @[Bitwise.scala 103:21] + node _T_26 = and(_T_25, _T_24) @[Bitwise.scala 103:31] + node _T_27 = bits(_T_21, 5, 0) @[Bitwise.scala 103:46] + node _T_28 = shl(_T_27, 2) @[Bitwise.scala 103:65] + node _T_29 = not(_T_24) @[Bitwise.scala 103:77] + node _T_30 = and(_T_28, _T_29) @[Bitwise.scala 103:75] + node _T_31 = or(_T_26, _T_30) @[Bitwise.scala 103:39] + node _T_32 = bits(_T_24, 6, 0) @[Bitwise.scala 102:28] + node _T_33 = shl(_T_32, 1) @[Bitwise.scala 102:47] + node _T_34 = xor(_T_24, _T_33) @[Bitwise.scala 102:21] + node _T_35 = shr(_T_31, 1) @[Bitwise.scala 103:21] + node _T_36 = and(_T_35, _T_34) @[Bitwise.scala 103:31] + node _T_37 = bits(_T_31, 6, 0) @[Bitwise.scala 103:46] + node _T_38 = shl(_T_37, 1) @[Bitwise.scala 103:65] + node _T_39 = not(_T_34) @[Bitwise.scala 103:77] + node _T_40 = and(_T_38, _T_39) @[Bitwise.scala 103:75] + node _T_41 = or(_T_36, _T_40) @[Bitwise.scala 103:39] + node _T_42 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_43 = bits(_T_42, 1, 1) @[lsu_dccm_ctl.scala 148:134] + node _T_44 = bits(_T_43, 0, 0) @[lsu_dccm_ctl.scala 148:139] + node _T_45 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_46 = bits(_T_45, 15, 8) @[lsu_dccm_ctl.scala 148:196] + node _T_47 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231] + node _T_48 = bits(picm_rd_data_m, 15, 8) @[lsu_dccm_ctl.scala 148:252] + node _T_49 = bits(dccm_rdata_corr_m, 15, 8) @[lsu_dccm_ctl.scala 148:283] + node _T_50 = mux(_T_47, _T_48, _T_49) @[lsu_dccm_ctl.scala 148:213] + node _T_51 = mux(_T_44, _T_46, _T_50) @[lsu_dccm_ctl.scala 148:78] + node _T_52 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_53 = xor(UInt<8>("h0ff"), _T_52) @[Bitwise.scala 102:21] + node _T_54 = shr(_T_51, 4) @[Bitwise.scala 103:21] + node _T_55 = and(_T_54, _T_53) @[Bitwise.scala 103:31] + node _T_56 = bits(_T_51, 3, 0) @[Bitwise.scala 103:46] + node _T_57 = shl(_T_56, 4) @[Bitwise.scala 103:65] + node _T_58 = not(_T_53) @[Bitwise.scala 103:77] + node _T_59 = and(_T_57, _T_58) @[Bitwise.scala 103:75] + node _T_60 = or(_T_55, _T_59) @[Bitwise.scala 103:39] + node _T_61 = bits(_T_53, 5, 0) @[Bitwise.scala 102:28] + node _T_62 = shl(_T_61, 2) @[Bitwise.scala 102:47] + node _T_63 = xor(_T_53, _T_62) @[Bitwise.scala 102:21] + node _T_64 = shr(_T_60, 2) @[Bitwise.scala 103:21] + node _T_65 = and(_T_64, _T_63) @[Bitwise.scala 103:31] + node _T_66 = bits(_T_60, 5, 0) @[Bitwise.scala 103:46] + node _T_67 = shl(_T_66, 2) @[Bitwise.scala 103:65] + node _T_68 = not(_T_63) @[Bitwise.scala 103:77] + node _T_69 = and(_T_67, _T_68) @[Bitwise.scala 103:75] + node _T_70 = or(_T_65, _T_69) @[Bitwise.scala 103:39] + node _T_71 = bits(_T_63, 6, 0) @[Bitwise.scala 102:28] + node _T_72 = shl(_T_71, 1) @[Bitwise.scala 102:47] + node _T_73 = xor(_T_63, _T_72) @[Bitwise.scala 102:21] + node _T_74 = shr(_T_70, 1) @[Bitwise.scala 103:21] + node _T_75 = and(_T_74, _T_73) @[Bitwise.scala 103:31] + node _T_76 = bits(_T_70, 6, 0) @[Bitwise.scala 103:46] + node _T_77 = shl(_T_76, 1) @[Bitwise.scala 103:65] + node _T_78 = not(_T_73) @[Bitwise.scala 103:77] + node _T_79 = and(_T_77, _T_78) @[Bitwise.scala 103:75] + node _T_80 = or(_T_75, _T_79) @[Bitwise.scala 103:39] + node _T_81 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_82 = bits(_T_81, 2, 2) @[lsu_dccm_ctl.scala 148:134] + node _T_83 = bits(_T_82, 0, 0) @[lsu_dccm_ctl.scala 148:139] + node _T_84 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_85 = bits(_T_84, 23, 16) @[lsu_dccm_ctl.scala 148:196] + node _T_86 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231] + node _T_87 = bits(picm_rd_data_m, 23, 16) @[lsu_dccm_ctl.scala 148:252] + node _T_88 = bits(dccm_rdata_corr_m, 23, 16) @[lsu_dccm_ctl.scala 148:283] + node _T_89 = mux(_T_86, _T_87, _T_88) @[lsu_dccm_ctl.scala 148:213] + node _T_90 = mux(_T_83, _T_85, _T_89) @[lsu_dccm_ctl.scala 148:78] + node _T_91 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_92 = xor(UInt<8>("h0ff"), _T_91) @[Bitwise.scala 102:21] + node _T_93 = shr(_T_90, 4) @[Bitwise.scala 103:21] + node _T_94 = and(_T_93, _T_92) @[Bitwise.scala 103:31] + node _T_95 = bits(_T_90, 3, 0) @[Bitwise.scala 103:46] + node _T_96 = shl(_T_95, 4) @[Bitwise.scala 103:65] + node _T_97 = not(_T_92) @[Bitwise.scala 103:77] + node _T_98 = and(_T_96, _T_97) @[Bitwise.scala 103:75] + node _T_99 = or(_T_94, _T_98) @[Bitwise.scala 103:39] + node _T_100 = bits(_T_92, 5, 0) @[Bitwise.scala 102:28] + node _T_101 = shl(_T_100, 2) @[Bitwise.scala 102:47] + node _T_102 = xor(_T_92, _T_101) @[Bitwise.scala 102:21] + node _T_103 = shr(_T_99, 2) @[Bitwise.scala 103:21] + node _T_104 = and(_T_103, _T_102) @[Bitwise.scala 103:31] + node _T_105 = bits(_T_99, 5, 0) @[Bitwise.scala 103:46] + node _T_106 = shl(_T_105, 2) @[Bitwise.scala 103:65] + node _T_107 = not(_T_102) @[Bitwise.scala 103:77] + node _T_108 = and(_T_106, _T_107) @[Bitwise.scala 103:75] + node _T_109 = or(_T_104, _T_108) @[Bitwise.scala 103:39] + node _T_110 = bits(_T_102, 6, 0) @[Bitwise.scala 102:28] + node _T_111 = shl(_T_110, 1) @[Bitwise.scala 102:47] + node _T_112 = xor(_T_102, _T_111) @[Bitwise.scala 102:21] + node _T_113 = shr(_T_109, 1) @[Bitwise.scala 103:21] + node _T_114 = and(_T_113, _T_112) @[Bitwise.scala 103:31] + node _T_115 = bits(_T_109, 6, 0) @[Bitwise.scala 103:46] + node _T_116 = shl(_T_115, 1) @[Bitwise.scala 103:65] + node _T_117 = not(_T_112) @[Bitwise.scala 103:77] + node _T_118 = and(_T_116, _T_117) @[Bitwise.scala 103:75] + node _T_119 = or(_T_114, _T_118) @[Bitwise.scala 103:39] + node _T_120 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_121 = bits(_T_120, 3, 3) @[lsu_dccm_ctl.scala 148:134] + node _T_122 = bits(_T_121, 0, 0) @[lsu_dccm_ctl.scala 148:139] + node _T_123 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_124 = bits(_T_123, 31, 24) @[lsu_dccm_ctl.scala 148:196] + node _T_125 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231] + node _T_126 = bits(picm_rd_data_m, 31, 24) @[lsu_dccm_ctl.scala 148:252] + node _T_127 = bits(dccm_rdata_corr_m, 31, 24) @[lsu_dccm_ctl.scala 148:283] + node _T_128 = mux(_T_125, _T_126, _T_127) @[lsu_dccm_ctl.scala 148:213] + node _T_129 = mux(_T_122, _T_124, _T_128) @[lsu_dccm_ctl.scala 148:78] + node _T_130 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_131 = xor(UInt<8>("h0ff"), _T_130) @[Bitwise.scala 102:21] + node _T_132 = shr(_T_129, 4) @[Bitwise.scala 103:21] + node _T_133 = and(_T_132, _T_131) @[Bitwise.scala 103:31] + node _T_134 = bits(_T_129, 3, 0) @[Bitwise.scala 103:46] + node _T_135 = shl(_T_134, 4) @[Bitwise.scala 103:65] + node _T_136 = not(_T_131) @[Bitwise.scala 103:77] + node _T_137 = and(_T_135, _T_136) @[Bitwise.scala 103:75] + node _T_138 = or(_T_133, _T_137) @[Bitwise.scala 103:39] + node _T_139 = bits(_T_131, 5, 0) @[Bitwise.scala 102:28] + node _T_140 = shl(_T_139, 2) @[Bitwise.scala 102:47] + node _T_141 = xor(_T_131, _T_140) @[Bitwise.scala 102:21] + node _T_142 = shr(_T_138, 2) @[Bitwise.scala 103:21] + node _T_143 = and(_T_142, _T_141) @[Bitwise.scala 103:31] + node _T_144 = bits(_T_138, 5, 0) @[Bitwise.scala 103:46] + node _T_145 = shl(_T_144, 2) @[Bitwise.scala 103:65] + node _T_146 = not(_T_141) @[Bitwise.scala 103:77] + node _T_147 = and(_T_145, _T_146) @[Bitwise.scala 103:75] + node _T_148 = or(_T_143, _T_147) @[Bitwise.scala 103:39] + node _T_149 = bits(_T_141, 6, 0) @[Bitwise.scala 102:28] + node _T_150 = shl(_T_149, 1) @[Bitwise.scala 102:47] + node _T_151 = xor(_T_141, _T_150) @[Bitwise.scala 102:21] + node _T_152 = shr(_T_148, 1) @[Bitwise.scala 103:21] + node _T_153 = and(_T_152, _T_151) @[Bitwise.scala 103:31] + node _T_154 = bits(_T_148, 6, 0) @[Bitwise.scala 103:46] + node _T_155 = shl(_T_154, 1) @[Bitwise.scala 103:65] + node _T_156 = not(_T_151) @[Bitwise.scala 103:77] + node _T_157 = and(_T_155, _T_156) @[Bitwise.scala 103:75] + node _T_158 = or(_T_153, _T_157) @[Bitwise.scala 103:39] + node _T_159 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_160 = bits(_T_159, 4, 4) @[lsu_dccm_ctl.scala 148:134] + node _T_161 = bits(_T_160, 0, 0) @[lsu_dccm_ctl.scala 148:139] + node _T_162 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_163 = bits(_T_162, 39, 32) @[lsu_dccm_ctl.scala 148:196] + node _T_164 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231] + node _T_165 = bits(picm_rd_data_m, 39, 32) @[lsu_dccm_ctl.scala 148:252] + node _T_166 = bits(dccm_rdata_corr_m, 39, 32) @[lsu_dccm_ctl.scala 148:283] + node _T_167 = mux(_T_164, _T_165, _T_166) @[lsu_dccm_ctl.scala 148:213] + node _T_168 = mux(_T_161, _T_163, _T_167) @[lsu_dccm_ctl.scala 148:78] + node _T_169 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_170 = xor(UInt<8>("h0ff"), _T_169) @[Bitwise.scala 102:21] + node _T_171 = shr(_T_168, 4) @[Bitwise.scala 103:21] + node _T_172 = and(_T_171, _T_170) @[Bitwise.scala 103:31] + node _T_173 = bits(_T_168, 3, 0) @[Bitwise.scala 103:46] + node _T_174 = shl(_T_173, 4) @[Bitwise.scala 103:65] + node _T_175 = not(_T_170) @[Bitwise.scala 103:77] + node _T_176 = and(_T_174, _T_175) @[Bitwise.scala 103:75] + node _T_177 = or(_T_172, _T_176) @[Bitwise.scala 103:39] + node _T_178 = bits(_T_170, 5, 0) @[Bitwise.scala 102:28] + node _T_179 = shl(_T_178, 2) @[Bitwise.scala 102:47] + node _T_180 = xor(_T_170, _T_179) @[Bitwise.scala 102:21] + node _T_181 = shr(_T_177, 2) @[Bitwise.scala 103:21] + node _T_182 = and(_T_181, _T_180) @[Bitwise.scala 103:31] + node _T_183 = bits(_T_177, 5, 0) @[Bitwise.scala 103:46] + node _T_184 = shl(_T_183, 2) @[Bitwise.scala 103:65] + node _T_185 = not(_T_180) @[Bitwise.scala 103:77] + node _T_186 = and(_T_184, _T_185) @[Bitwise.scala 103:75] + node _T_187 = or(_T_182, _T_186) @[Bitwise.scala 103:39] + node _T_188 = bits(_T_180, 6, 0) @[Bitwise.scala 102:28] + node _T_189 = shl(_T_188, 1) @[Bitwise.scala 102:47] + node _T_190 = xor(_T_180, _T_189) @[Bitwise.scala 102:21] + node _T_191 = shr(_T_187, 1) @[Bitwise.scala 103:21] + node _T_192 = and(_T_191, _T_190) @[Bitwise.scala 103:31] + node _T_193 = bits(_T_187, 6, 0) @[Bitwise.scala 103:46] + node _T_194 = shl(_T_193, 1) @[Bitwise.scala 103:65] + node _T_195 = not(_T_190) @[Bitwise.scala 103:77] + node _T_196 = and(_T_194, _T_195) @[Bitwise.scala 103:75] + node _T_197 = or(_T_192, _T_196) @[Bitwise.scala 103:39] + node _T_198 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_199 = bits(_T_198, 5, 5) @[lsu_dccm_ctl.scala 148:134] + node _T_200 = bits(_T_199, 0, 0) @[lsu_dccm_ctl.scala 148:139] + node _T_201 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_202 = bits(_T_201, 47, 40) @[lsu_dccm_ctl.scala 148:196] + node _T_203 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231] + node _T_204 = bits(picm_rd_data_m, 47, 40) @[lsu_dccm_ctl.scala 148:252] + node _T_205 = bits(dccm_rdata_corr_m, 47, 40) @[lsu_dccm_ctl.scala 148:283] + node _T_206 = mux(_T_203, _T_204, _T_205) @[lsu_dccm_ctl.scala 148:213] + node _T_207 = mux(_T_200, _T_202, _T_206) @[lsu_dccm_ctl.scala 148:78] + node _T_208 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_209 = xor(UInt<8>("h0ff"), _T_208) @[Bitwise.scala 102:21] + node _T_210 = shr(_T_207, 4) @[Bitwise.scala 103:21] + node _T_211 = and(_T_210, _T_209) @[Bitwise.scala 103:31] + node _T_212 = bits(_T_207, 3, 0) @[Bitwise.scala 103:46] + node _T_213 = shl(_T_212, 4) @[Bitwise.scala 103:65] + node _T_214 = not(_T_209) @[Bitwise.scala 103:77] + node _T_215 = and(_T_213, _T_214) @[Bitwise.scala 103:75] + node _T_216 = or(_T_211, _T_215) @[Bitwise.scala 103:39] + node _T_217 = bits(_T_209, 5, 0) @[Bitwise.scala 102:28] + node _T_218 = shl(_T_217, 2) @[Bitwise.scala 102:47] + node _T_219 = xor(_T_209, _T_218) @[Bitwise.scala 102:21] + node _T_220 = shr(_T_216, 2) @[Bitwise.scala 103:21] + node _T_221 = and(_T_220, _T_219) @[Bitwise.scala 103:31] + node _T_222 = bits(_T_216, 5, 0) @[Bitwise.scala 103:46] + node _T_223 = shl(_T_222, 2) @[Bitwise.scala 103:65] + node _T_224 = not(_T_219) @[Bitwise.scala 103:77] + node _T_225 = and(_T_223, _T_224) @[Bitwise.scala 103:75] + node _T_226 = or(_T_221, _T_225) @[Bitwise.scala 103:39] + node _T_227 = bits(_T_219, 6, 0) @[Bitwise.scala 102:28] + node _T_228 = shl(_T_227, 1) @[Bitwise.scala 102:47] + node _T_229 = xor(_T_219, _T_228) @[Bitwise.scala 102:21] + node _T_230 = shr(_T_226, 1) @[Bitwise.scala 103:21] + node _T_231 = and(_T_230, _T_229) @[Bitwise.scala 103:31] + node _T_232 = bits(_T_226, 6, 0) @[Bitwise.scala 103:46] + node _T_233 = shl(_T_232, 1) @[Bitwise.scala 103:65] + node _T_234 = not(_T_229) @[Bitwise.scala 103:77] + node _T_235 = and(_T_233, _T_234) @[Bitwise.scala 103:75] + node _T_236 = or(_T_231, _T_235) @[Bitwise.scala 103:39] + node _T_237 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_238 = bits(_T_237, 6, 6) @[lsu_dccm_ctl.scala 148:134] + node _T_239 = bits(_T_238, 0, 0) @[lsu_dccm_ctl.scala 148:139] + node _T_240 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_241 = bits(_T_240, 55, 48) @[lsu_dccm_ctl.scala 148:196] + node _T_242 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231] + node _T_243 = bits(picm_rd_data_m, 55, 48) @[lsu_dccm_ctl.scala 148:252] + node _T_244 = bits(dccm_rdata_corr_m, 55, 48) @[lsu_dccm_ctl.scala 148:283] + node _T_245 = mux(_T_242, _T_243, _T_244) @[lsu_dccm_ctl.scala 148:213] + node _T_246 = mux(_T_239, _T_241, _T_245) @[lsu_dccm_ctl.scala 148:78] + node _T_247 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_248 = xor(UInt<8>("h0ff"), _T_247) @[Bitwise.scala 102:21] + node _T_249 = shr(_T_246, 4) @[Bitwise.scala 103:21] + node _T_250 = and(_T_249, _T_248) @[Bitwise.scala 103:31] + node _T_251 = bits(_T_246, 3, 0) @[Bitwise.scala 103:46] + node _T_252 = shl(_T_251, 4) @[Bitwise.scala 103:65] + node _T_253 = not(_T_248) @[Bitwise.scala 103:77] + node _T_254 = and(_T_252, _T_253) @[Bitwise.scala 103:75] + node _T_255 = or(_T_250, _T_254) @[Bitwise.scala 103:39] + node _T_256 = bits(_T_248, 5, 0) @[Bitwise.scala 102:28] + node _T_257 = shl(_T_256, 2) @[Bitwise.scala 102:47] + node _T_258 = xor(_T_248, _T_257) @[Bitwise.scala 102:21] + node _T_259 = shr(_T_255, 2) @[Bitwise.scala 103:21] + node _T_260 = and(_T_259, _T_258) @[Bitwise.scala 103:31] + node _T_261 = bits(_T_255, 5, 0) @[Bitwise.scala 103:46] + node _T_262 = shl(_T_261, 2) @[Bitwise.scala 103:65] + node _T_263 = not(_T_258) @[Bitwise.scala 103:77] + node _T_264 = and(_T_262, _T_263) @[Bitwise.scala 103:75] + node _T_265 = or(_T_260, _T_264) @[Bitwise.scala 103:39] + node _T_266 = bits(_T_258, 6, 0) @[Bitwise.scala 102:28] + node _T_267 = shl(_T_266, 1) @[Bitwise.scala 102:47] + node _T_268 = xor(_T_258, _T_267) @[Bitwise.scala 102:21] + node _T_269 = shr(_T_265, 1) @[Bitwise.scala 103:21] + node _T_270 = and(_T_269, _T_268) @[Bitwise.scala 103:31] + node _T_271 = bits(_T_265, 6, 0) @[Bitwise.scala 103:46] + node _T_272 = shl(_T_271, 1) @[Bitwise.scala 103:65] + node _T_273 = not(_T_268) @[Bitwise.scala 103:77] + node _T_274 = and(_T_272, _T_273) @[Bitwise.scala 103:75] + node _T_275 = or(_T_270, _T_274) @[Bitwise.scala 103:39] + node _T_276 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_277 = bits(_T_276, 7, 7) @[lsu_dccm_ctl.scala 148:134] + node _T_278 = bits(_T_277, 0, 0) @[lsu_dccm_ctl.scala 148:139] + node _T_279 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_280 = bits(_T_279, 63, 56) @[lsu_dccm_ctl.scala 148:196] + node _T_281 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 148:231] + node _T_282 = bits(picm_rd_data_m, 63, 56) @[lsu_dccm_ctl.scala 148:252] + node _T_283 = bits(dccm_rdata_corr_m, 63, 56) @[lsu_dccm_ctl.scala 148:283] + node _T_284 = mux(_T_281, _T_282, _T_283) @[lsu_dccm_ctl.scala 148:213] + node _T_285 = mux(_T_278, _T_280, _T_284) @[lsu_dccm_ctl.scala 148:78] + node _T_286 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_287 = xor(UInt<8>("h0ff"), _T_286) @[Bitwise.scala 102:21] + node _T_288 = shr(_T_285, 4) @[Bitwise.scala 103:21] + node _T_289 = and(_T_288, _T_287) @[Bitwise.scala 103:31] + node _T_290 = bits(_T_285, 3, 0) @[Bitwise.scala 103:46] + node _T_291 = shl(_T_290, 4) @[Bitwise.scala 103:65] + node _T_292 = not(_T_287) @[Bitwise.scala 103:77] + node _T_293 = and(_T_291, _T_292) @[Bitwise.scala 103:75] + node _T_294 = or(_T_289, _T_293) @[Bitwise.scala 103:39] + node _T_295 = bits(_T_287, 5, 0) @[Bitwise.scala 102:28] + node _T_296 = shl(_T_295, 2) @[Bitwise.scala 102:47] + node _T_297 = xor(_T_287, _T_296) @[Bitwise.scala 102:21] + node _T_298 = shr(_T_294, 2) @[Bitwise.scala 103:21] + node _T_299 = and(_T_298, _T_297) @[Bitwise.scala 103:31] + node _T_300 = bits(_T_294, 5, 0) @[Bitwise.scala 103:46] + node _T_301 = shl(_T_300, 2) @[Bitwise.scala 103:65] + node _T_302 = not(_T_297) @[Bitwise.scala 103:77] + node _T_303 = and(_T_301, _T_302) @[Bitwise.scala 103:75] + node _T_304 = or(_T_299, _T_303) @[Bitwise.scala 103:39] + node _T_305 = bits(_T_297, 6, 0) @[Bitwise.scala 102:28] + node _T_306 = shl(_T_305, 1) @[Bitwise.scala 102:47] + node _T_307 = xor(_T_297, _T_306) @[Bitwise.scala 102:21] + node _T_308 = shr(_T_304, 1) @[Bitwise.scala 103:21] + node _T_309 = and(_T_308, _T_307) @[Bitwise.scala 103:31] + node _T_310 = bits(_T_304, 6, 0) @[Bitwise.scala 103:46] + node _T_311 = shl(_T_310, 1) @[Bitwise.scala 103:65] + node _T_312 = not(_T_307) @[Bitwise.scala 103:77] + node _T_313 = and(_T_311, _T_312) @[Bitwise.scala 103:75] + node _T_314 = or(_T_309, _T_313) @[Bitwise.scala 103:39] + wire _T_315 : UInt<8>[8] @[lsu_dccm_ctl.scala 148:62] + _T_315[0] <= _T_41 @[lsu_dccm_ctl.scala 148:62] + _T_315[1] <= _T_80 @[lsu_dccm_ctl.scala 148:62] + _T_315[2] <= _T_119 @[lsu_dccm_ctl.scala 148:62] + _T_315[3] <= _T_158 @[lsu_dccm_ctl.scala 148:62] + _T_315[4] <= _T_197 @[lsu_dccm_ctl.scala 148:62] + _T_315[5] <= _T_236 @[lsu_dccm_ctl.scala 148:62] + _T_315[6] <= _T_275 @[lsu_dccm_ctl.scala 148:62] + _T_315[7] <= _T_314 @[lsu_dccm_ctl.scala 148:62] + node _T_316 = cat(_T_315[6], _T_315[7]) @[Cat.scala 29:58] + node _T_317 = cat(_T_315[4], _T_315[5]) @[Cat.scala 29:58] + node _T_318 = cat(_T_317, _T_316) @[Cat.scala 29:58] + node _T_319 = cat(_T_315[2], _T_315[3]) @[Cat.scala 29:58] + node _T_320 = cat(_T_315[0], _T_315[1]) @[Cat.scala 29:58] + node _T_321 = cat(_T_320, _T_319) @[Cat.scala 29:58] + node _T_322 = cat(_T_321, _T_318) @[Cat.scala 29:58] + node _T_323 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47] + node _T_324 = xor(UInt<64>("h0ffffffffffffffff"), _T_323) @[Bitwise.scala 102:21] + node _T_325 = shr(_T_322, 32) @[Bitwise.scala 103:21] + node _T_326 = and(_T_325, _T_324) @[Bitwise.scala 103:31] + node _T_327 = bits(_T_322, 31, 0) @[Bitwise.scala 103:46] + node _T_328 = shl(_T_327, 32) @[Bitwise.scala 103:65] + node _T_329 = not(_T_324) @[Bitwise.scala 103:77] + node _T_330 = and(_T_328, _T_329) @[Bitwise.scala 103:75] + node _T_331 = or(_T_326, _T_330) @[Bitwise.scala 103:39] + node _T_332 = bits(_T_324, 47, 0) @[Bitwise.scala 102:28] + node _T_333 = shl(_T_332, 16) @[Bitwise.scala 102:47] + node _T_334 = xor(_T_324, _T_333) @[Bitwise.scala 102:21] + node _T_335 = shr(_T_331, 16) @[Bitwise.scala 103:21] + node _T_336 = and(_T_335, _T_334) @[Bitwise.scala 103:31] + node _T_337 = bits(_T_331, 47, 0) @[Bitwise.scala 103:46] + node _T_338 = shl(_T_337, 16) @[Bitwise.scala 103:65] + node _T_339 = not(_T_334) @[Bitwise.scala 103:77] + node _T_340 = and(_T_338, _T_339) @[Bitwise.scala 103:75] + node _T_341 = or(_T_336, _T_340) @[Bitwise.scala 103:39] + node _T_342 = bits(_T_334, 55, 0) @[Bitwise.scala 102:28] + node _T_343 = shl(_T_342, 8) @[Bitwise.scala 102:47] + node _T_344 = xor(_T_334, _T_343) @[Bitwise.scala 102:21] + node _T_345 = shr(_T_341, 8) @[Bitwise.scala 103:21] + node _T_346 = and(_T_345, _T_344) @[Bitwise.scala 103:31] + node _T_347 = bits(_T_341, 55, 0) @[Bitwise.scala 103:46] + node _T_348 = shl(_T_347, 8) @[Bitwise.scala 103:65] + node _T_349 = not(_T_344) @[Bitwise.scala 103:77] + node _T_350 = and(_T_348, _T_349) @[Bitwise.scala 103:75] + node _T_351 = or(_T_346, _T_350) @[Bitwise.scala 103:39] + node _T_352 = bits(_T_344, 59, 0) @[Bitwise.scala 102:28] + node _T_353 = shl(_T_352, 4) @[Bitwise.scala 102:47] + node _T_354 = xor(_T_344, _T_353) @[Bitwise.scala 102:21] + node _T_355 = shr(_T_351, 4) @[Bitwise.scala 103:21] + node _T_356 = and(_T_355, _T_354) @[Bitwise.scala 103:31] + node _T_357 = bits(_T_351, 59, 0) @[Bitwise.scala 103:46] + node _T_358 = shl(_T_357, 4) @[Bitwise.scala 103:65] + node _T_359 = not(_T_354) @[Bitwise.scala 103:77] + node _T_360 = and(_T_358, _T_359) @[Bitwise.scala 103:75] + node _T_361 = or(_T_356, _T_360) @[Bitwise.scala 103:39] + node _T_362 = bits(_T_354, 61, 0) @[Bitwise.scala 102:28] + node _T_363 = shl(_T_362, 2) @[Bitwise.scala 102:47] + node _T_364 = xor(_T_354, _T_363) @[Bitwise.scala 102:21] + node _T_365 = shr(_T_361, 2) @[Bitwise.scala 103:21] + node _T_366 = and(_T_365, _T_364) @[Bitwise.scala 103:31] + node _T_367 = bits(_T_361, 61, 0) @[Bitwise.scala 103:46] + node _T_368 = shl(_T_367, 2) @[Bitwise.scala 103:65] + node _T_369 = not(_T_364) @[Bitwise.scala 103:77] + node _T_370 = and(_T_368, _T_369) @[Bitwise.scala 103:75] + node _T_371 = or(_T_366, _T_370) @[Bitwise.scala 103:39] + node _T_372 = bits(_T_364, 62, 0) @[Bitwise.scala 102:28] + node _T_373 = shl(_T_372, 1) @[Bitwise.scala 102:47] + node _T_374 = xor(_T_364, _T_373) @[Bitwise.scala 102:21] + node _T_375 = shr(_T_371, 1) @[Bitwise.scala 103:21] + node _T_376 = and(_T_375, _T_374) @[Bitwise.scala 103:31] + node _T_377 = bits(_T_371, 62, 0) @[Bitwise.scala 103:46] + node _T_378 = shl(_T_377, 1) @[Bitwise.scala 103:65] + node _T_379 = not(_T_374) @[Bitwise.scala 103:77] + node _T_380 = and(_T_378, _T_379) @[Bitwise.scala 103:75] + node _T_381 = or(_T_376, _T_380) @[Bitwise.scala 103:39] + lsu_rdata_corr_m <= _T_381 @[lsu_dccm_ctl.scala 148:28] + node _T_382 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_383 = bits(_T_382, 0, 0) @[lsu_dccm_ctl.scala 149:134] + node _T_384 = bits(_T_383, 0, 0) @[lsu_dccm_ctl.scala 149:139] + node _T_385 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_386 = bits(_T_385, 7, 0) @[lsu_dccm_ctl.scala 149:196] + node _T_387 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231] + node _T_388 = bits(picm_rd_data_m, 7, 0) @[lsu_dccm_ctl.scala 149:252] + node _T_389 = bits(dccm_rdata_m, 7, 0) @[lsu_dccm_ctl.scala 149:278] + node _T_390 = mux(_T_387, _T_388, _T_389) @[lsu_dccm_ctl.scala 149:213] + node _T_391 = mux(_T_384, _T_386, _T_390) @[lsu_dccm_ctl.scala 149:78] + node _T_392 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_393 = xor(UInt<8>("h0ff"), _T_392) @[Bitwise.scala 102:21] + node _T_394 = shr(_T_391, 4) @[Bitwise.scala 103:21] + node _T_395 = and(_T_394, _T_393) @[Bitwise.scala 103:31] + node _T_396 = bits(_T_391, 3, 0) @[Bitwise.scala 103:46] + node _T_397 = shl(_T_396, 4) @[Bitwise.scala 103:65] + node _T_398 = not(_T_393) @[Bitwise.scala 103:77] + node _T_399 = and(_T_397, _T_398) @[Bitwise.scala 103:75] + node _T_400 = or(_T_395, _T_399) @[Bitwise.scala 103:39] + node _T_401 = bits(_T_393, 5, 0) @[Bitwise.scala 102:28] + node _T_402 = shl(_T_401, 2) @[Bitwise.scala 102:47] + node _T_403 = xor(_T_393, _T_402) @[Bitwise.scala 102:21] + node _T_404 = shr(_T_400, 2) @[Bitwise.scala 103:21] + node _T_405 = and(_T_404, _T_403) @[Bitwise.scala 103:31] + node _T_406 = bits(_T_400, 5, 0) @[Bitwise.scala 103:46] + node _T_407 = shl(_T_406, 2) @[Bitwise.scala 103:65] + node _T_408 = not(_T_403) @[Bitwise.scala 103:77] + node _T_409 = and(_T_407, _T_408) @[Bitwise.scala 103:75] + node _T_410 = or(_T_405, _T_409) @[Bitwise.scala 103:39] + node _T_411 = bits(_T_403, 6, 0) @[Bitwise.scala 102:28] + node _T_412 = shl(_T_411, 1) @[Bitwise.scala 102:47] + node _T_413 = xor(_T_403, _T_412) @[Bitwise.scala 102:21] + node _T_414 = shr(_T_410, 1) @[Bitwise.scala 103:21] + node _T_415 = and(_T_414, _T_413) @[Bitwise.scala 103:31] + node _T_416 = bits(_T_410, 6, 0) @[Bitwise.scala 103:46] + node _T_417 = shl(_T_416, 1) @[Bitwise.scala 103:65] + node _T_418 = not(_T_413) @[Bitwise.scala 103:77] + node _T_419 = and(_T_417, _T_418) @[Bitwise.scala 103:75] + node _T_420 = or(_T_415, _T_419) @[Bitwise.scala 103:39] + node _T_421 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_422 = bits(_T_421, 1, 1) @[lsu_dccm_ctl.scala 149:134] + node _T_423 = bits(_T_422, 0, 0) @[lsu_dccm_ctl.scala 149:139] + node _T_424 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_425 = bits(_T_424, 15, 8) @[lsu_dccm_ctl.scala 149:196] + node _T_426 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231] + node _T_427 = bits(picm_rd_data_m, 15, 8) @[lsu_dccm_ctl.scala 149:252] + node _T_428 = bits(dccm_rdata_m, 15, 8) @[lsu_dccm_ctl.scala 149:278] + node _T_429 = mux(_T_426, _T_427, _T_428) @[lsu_dccm_ctl.scala 149:213] + node _T_430 = mux(_T_423, _T_425, _T_429) @[lsu_dccm_ctl.scala 149:78] + node _T_431 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_432 = xor(UInt<8>("h0ff"), _T_431) @[Bitwise.scala 102:21] + node _T_433 = shr(_T_430, 4) @[Bitwise.scala 103:21] + node _T_434 = and(_T_433, _T_432) @[Bitwise.scala 103:31] + node _T_435 = bits(_T_430, 3, 0) @[Bitwise.scala 103:46] + node _T_436 = shl(_T_435, 4) @[Bitwise.scala 103:65] + node _T_437 = not(_T_432) @[Bitwise.scala 103:77] + node _T_438 = and(_T_436, _T_437) @[Bitwise.scala 103:75] + node _T_439 = or(_T_434, _T_438) @[Bitwise.scala 103:39] + node _T_440 = bits(_T_432, 5, 0) @[Bitwise.scala 102:28] + node _T_441 = shl(_T_440, 2) @[Bitwise.scala 102:47] + node _T_442 = xor(_T_432, _T_441) @[Bitwise.scala 102:21] + node _T_443 = shr(_T_439, 2) @[Bitwise.scala 103:21] + node _T_444 = and(_T_443, _T_442) @[Bitwise.scala 103:31] + node _T_445 = bits(_T_439, 5, 0) @[Bitwise.scala 103:46] + node _T_446 = shl(_T_445, 2) @[Bitwise.scala 103:65] + node _T_447 = not(_T_442) @[Bitwise.scala 103:77] + node _T_448 = and(_T_446, _T_447) @[Bitwise.scala 103:75] + node _T_449 = or(_T_444, _T_448) @[Bitwise.scala 103:39] + node _T_450 = bits(_T_442, 6, 0) @[Bitwise.scala 102:28] + node _T_451 = shl(_T_450, 1) @[Bitwise.scala 102:47] + node _T_452 = xor(_T_442, _T_451) @[Bitwise.scala 102:21] + node _T_453 = shr(_T_449, 1) @[Bitwise.scala 103:21] + node _T_454 = and(_T_453, _T_452) @[Bitwise.scala 103:31] + node _T_455 = bits(_T_449, 6, 0) @[Bitwise.scala 103:46] + node _T_456 = shl(_T_455, 1) @[Bitwise.scala 103:65] + node _T_457 = not(_T_452) @[Bitwise.scala 103:77] + node _T_458 = and(_T_456, _T_457) @[Bitwise.scala 103:75] + node _T_459 = or(_T_454, _T_458) @[Bitwise.scala 103:39] + node _T_460 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_461 = bits(_T_460, 2, 2) @[lsu_dccm_ctl.scala 149:134] + node _T_462 = bits(_T_461, 0, 0) @[lsu_dccm_ctl.scala 149:139] + node _T_463 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_464 = bits(_T_463, 23, 16) @[lsu_dccm_ctl.scala 149:196] + node _T_465 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231] + node _T_466 = bits(picm_rd_data_m, 23, 16) @[lsu_dccm_ctl.scala 149:252] + node _T_467 = bits(dccm_rdata_m, 23, 16) @[lsu_dccm_ctl.scala 149:278] + node _T_468 = mux(_T_465, _T_466, _T_467) @[lsu_dccm_ctl.scala 149:213] + node _T_469 = mux(_T_462, _T_464, _T_468) @[lsu_dccm_ctl.scala 149:78] + node _T_470 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_471 = xor(UInt<8>("h0ff"), _T_470) @[Bitwise.scala 102:21] + node _T_472 = shr(_T_469, 4) @[Bitwise.scala 103:21] + node _T_473 = and(_T_472, _T_471) @[Bitwise.scala 103:31] + node _T_474 = bits(_T_469, 3, 0) @[Bitwise.scala 103:46] + node _T_475 = shl(_T_474, 4) @[Bitwise.scala 103:65] + node _T_476 = not(_T_471) @[Bitwise.scala 103:77] + node _T_477 = and(_T_475, _T_476) @[Bitwise.scala 103:75] + node _T_478 = or(_T_473, _T_477) @[Bitwise.scala 103:39] + node _T_479 = bits(_T_471, 5, 0) @[Bitwise.scala 102:28] + node _T_480 = shl(_T_479, 2) @[Bitwise.scala 102:47] + node _T_481 = xor(_T_471, _T_480) @[Bitwise.scala 102:21] + node _T_482 = shr(_T_478, 2) @[Bitwise.scala 103:21] + node _T_483 = and(_T_482, _T_481) @[Bitwise.scala 103:31] + node _T_484 = bits(_T_478, 5, 0) @[Bitwise.scala 103:46] + node _T_485 = shl(_T_484, 2) @[Bitwise.scala 103:65] + node _T_486 = not(_T_481) @[Bitwise.scala 103:77] + node _T_487 = and(_T_485, _T_486) @[Bitwise.scala 103:75] + node _T_488 = or(_T_483, _T_487) @[Bitwise.scala 103:39] + node _T_489 = bits(_T_481, 6, 0) @[Bitwise.scala 102:28] + node _T_490 = shl(_T_489, 1) @[Bitwise.scala 102:47] + node _T_491 = xor(_T_481, _T_490) @[Bitwise.scala 102:21] + node _T_492 = shr(_T_488, 1) @[Bitwise.scala 103:21] + node _T_493 = and(_T_492, _T_491) @[Bitwise.scala 103:31] + node _T_494 = bits(_T_488, 6, 0) @[Bitwise.scala 103:46] + node _T_495 = shl(_T_494, 1) @[Bitwise.scala 103:65] + node _T_496 = not(_T_491) @[Bitwise.scala 103:77] + node _T_497 = and(_T_495, _T_496) @[Bitwise.scala 103:75] + node _T_498 = or(_T_493, _T_497) @[Bitwise.scala 103:39] + node _T_499 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_500 = bits(_T_499, 3, 3) @[lsu_dccm_ctl.scala 149:134] + node _T_501 = bits(_T_500, 0, 0) @[lsu_dccm_ctl.scala 149:139] + node _T_502 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_503 = bits(_T_502, 31, 24) @[lsu_dccm_ctl.scala 149:196] + node _T_504 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231] + node _T_505 = bits(picm_rd_data_m, 31, 24) @[lsu_dccm_ctl.scala 149:252] + node _T_506 = bits(dccm_rdata_m, 31, 24) @[lsu_dccm_ctl.scala 149:278] + node _T_507 = mux(_T_504, _T_505, _T_506) @[lsu_dccm_ctl.scala 149:213] + node _T_508 = mux(_T_501, _T_503, _T_507) @[lsu_dccm_ctl.scala 149:78] + node _T_509 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_510 = xor(UInt<8>("h0ff"), _T_509) @[Bitwise.scala 102:21] + node _T_511 = shr(_T_508, 4) @[Bitwise.scala 103:21] + node _T_512 = and(_T_511, _T_510) @[Bitwise.scala 103:31] + node _T_513 = bits(_T_508, 3, 0) @[Bitwise.scala 103:46] + node _T_514 = shl(_T_513, 4) @[Bitwise.scala 103:65] + node _T_515 = not(_T_510) @[Bitwise.scala 103:77] + node _T_516 = and(_T_514, _T_515) @[Bitwise.scala 103:75] + node _T_517 = or(_T_512, _T_516) @[Bitwise.scala 103:39] + node _T_518 = bits(_T_510, 5, 0) @[Bitwise.scala 102:28] + node _T_519 = shl(_T_518, 2) @[Bitwise.scala 102:47] + node _T_520 = xor(_T_510, _T_519) @[Bitwise.scala 102:21] + node _T_521 = shr(_T_517, 2) @[Bitwise.scala 103:21] + node _T_522 = and(_T_521, _T_520) @[Bitwise.scala 103:31] + node _T_523 = bits(_T_517, 5, 0) @[Bitwise.scala 103:46] + node _T_524 = shl(_T_523, 2) @[Bitwise.scala 103:65] + node _T_525 = not(_T_520) @[Bitwise.scala 103:77] + node _T_526 = and(_T_524, _T_525) @[Bitwise.scala 103:75] + node _T_527 = or(_T_522, _T_526) @[Bitwise.scala 103:39] + node _T_528 = bits(_T_520, 6, 0) @[Bitwise.scala 102:28] + node _T_529 = shl(_T_528, 1) @[Bitwise.scala 102:47] + node _T_530 = xor(_T_520, _T_529) @[Bitwise.scala 102:21] + node _T_531 = shr(_T_527, 1) @[Bitwise.scala 103:21] + node _T_532 = and(_T_531, _T_530) @[Bitwise.scala 103:31] + node _T_533 = bits(_T_527, 6, 0) @[Bitwise.scala 103:46] + node _T_534 = shl(_T_533, 1) @[Bitwise.scala 103:65] + node _T_535 = not(_T_530) @[Bitwise.scala 103:77] + node _T_536 = and(_T_534, _T_535) @[Bitwise.scala 103:75] + node _T_537 = or(_T_532, _T_536) @[Bitwise.scala 103:39] + node _T_538 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_539 = bits(_T_538, 4, 4) @[lsu_dccm_ctl.scala 149:134] + node _T_540 = bits(_T_539, 0, 0) @[lsu_dccm_ctl.scala 149:139] + node _T_541 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_542 = bits(_T_541, 39, 32) @[lsu_dccm_ctl.scala 149:196] + node _T_543 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231] + node _T_544 = bits(picm_rd_data_m, 39, 32) @[lsu_dccm_ctl.scala 149:252] + node _T_545 = bits(dccm_rdata_m, 39, 32) @[lsu_dccm_ctl.scala 149:278] + node _T_546 = mux(_T_543, _T_544, _T_545) @[lsu_dccm_ctl.scala 149:213] + node _T_547 = mux(_T_540, _T_542, _T_546) @[lsu_dccm_ctl.scala 149:78] + node _T_548 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_549 = xor(UInt<8>("h0ff"), _T_548) @[Bitwise.scala 102:21] + node _T_550 = shr(_T_547, 4) @[Bitwise.scala 103:21] + node _T_551 = and(_T_550, _T_549) @[Bitwise.scala 103:31] + node _T_552 = bits(_T_547, 3, 0) @[Bitwise.scala 103:46] + node _T_553 = shl(_T_552, 4) @[Bitwise.scala 103:65] + node _T_554 = not(_T_549) @[Bitwise.scala 103:77] + node _T_555 = and(_T_553, _T_554) @[Bitwise.scala 103:75] + node _T_556 = or(_T_551, _T_555) @[Bitwise.scala 103:39] + node _T_557 = bits(_T_549, 5, 0) @[Bitwise.scala 102:28] + node _T_558 = shl(_T_557, 2) @[Bitwise.scala 102:47] + node _T_559 = xor(_T_549, _T_558) @[Bitwise.scala 102:21] + node _T_560 = shr(_T_556, 2) @[Bitwise.scala 103:21] + node _T_561 = and(_T_560, _T_559) @[Bitwise.scala 103:31] + node _T_562 = bits(_T_556, 5, 0) @[Bitwise.scala 103:46] + node _T_563 = shl(_T_562, 2) @[Bitwise.scala 103:65] + node _T_564 = not(_T_559) @[Bitwise.scala 103:77] + node _T_565 = and(_T_563, _T_564) @[Bitwise.scala 103:75] + node _T_566 = or(_T_561, _T_565) @[Bitwise.scala 103:39] + node _T_567 = bits(_T_559, 6, 0) @[Bitwise.scala 102:28] + node _T_568 = shl(_T_567, 1) @[Bitwise.scala 102:47] + node _T_569 = xor(_T_559, _T_568) @[Bitwise.scala 102:21] + node _T_570 = shr(_T_566, 1) @[Bitwise.scala 103:21] + node _T_571 = and(_T_570, _T_569) @[Bitwise.scala 103:31] + node _T_572 = bits(_T_566, 6, 0) @[Bitwise.scala 103:46] + node _T_573 = shl(_T_572, 1) @[Bitwise.scala 103:65] + node _T_574 = not(_T_569) @[Bitwise.scala 103:77] + node _T_575 = and(_T_573, _T_574) @[Bitwise.scala 103:75] + node _T_576 = or(_T_571, _T_575) @[Bitwise.scala 103:39] + node _T_577 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_578 = bits(_T_577, 5, 5) @[lsu_dccm_ctl.scala 149:134] + node _T_579 = bits(_T_578, 0, 0) @[lsu_dccm_ctl.scala 149:139] + node _T_580 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_581 = bits(_T_580, 47, 40) @[lsu_dccm_ctl.scala 149:196] + node _T_582 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231] + node _T_583 = bits(picm_rd_data_m, 47, 40) @[lsu_dccm_ctl.scala 149:252] + node _T_584 = bits(dccm_rdata_m, 47, 40) @[lsu_dccm_ctl.scala 149:278] + node _T_585 = mux(_T_582, _T_583, _T_584) @[lsu_dccm_ctl.scala 149:213] + node _T_586 = mux(_T_579, _T_581, _T_585) @[lsu_dccm_ctl.scala 149:78] + node _T_587 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_588 = xor(UInt<8>("h0ff"), _T_587) @[Bitwise.scala 102:21] + node _T_589 = shr(_T_586, 4) @[Bitwise.scala 103:21] + node _T_590 = and(_T_589, _T_588) @[Bitwise.scala 103:31] + node _T_591 = bits(_T_586, 3, 0) @[Bitwise.scala 103:46] + node _T_592 = shl(_T_591, 4) @[Bitwise.scala 103:65] + node _T_593 = not(_T_588) @[Bitwise.scala 103:77] + node _T_594 = and(_T_592, _T_593) @[Bitwise.scala 103:75] + node _T_595 = or(_T_590, _T_594) @[Bitwise.scala 103:39] + node _T_596 = bits(_T_588, 5, 0) @[Bitwise.scala 102:28] + node _T_597 = shl(_T_596, 2) @[Bitwise.scala 102:47] + node _T_598 = xor(_T_588, _T_597) @[Bitwise.scala 102:21] + node _T_599 = shr(_T_595, 2) @[Bitwise.scala 103:21] + node _T_600 = and(_T_599, _T_598) @[Bitwise.scala 103:31] + node _T_601 = bits(_T_595, 5, 0) @[Bitwise.scala 103:46] + node _T_602 = shl(_T_601, 2) @[Bitwise.scala 103:65] + node _T_603 = not(_T_598) @[Bitwise.scala 103:77] + node _T_604 = and(_T_602, _T_603) @[Bitwise.scala 103:75] + node _T_605 = or(_T_600, _T_604) @[Bitwise.scala 103:39] + node _T_606 = bits(_T_598, 6, 0) @[Bitwise.scala 102:28] + node _T_607 = shl(_T_606, 1) @[Bitwise.scala 102:47] + node _T_608 = xor(_T_598, _T_607) @[Bitwise.scala 102:21] + node _T_609 = shr(_T_605, 1) @[Bitwise.scala 103:21] + node _T_610 = and(_T_609, _T_608) @[Bitwise.scala 103:31] + node _T_611 = bits(_T_605, 6, 0) @[Bitwise.scala 103:46] + node _T_612 = shl(_T_611, 1) @[Bitwise.scala 103:65] + node _T_613 = not(_T_608) @[Bitwise.scala 103:77] + node _T_614 = and(_T_612, _T_613) @[Bitwise.scala 103:75] + node _T_615 = or(_T_610, _T_614) @[Bitwise.scala 103:39] + node _T_616 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_617 = bits(_T_616, 6, 6) @[lsu_dccm_ctl.scala 149:134] + node _T_618 = bits(_T_617, 0, 0) @[lsu_dccm_ctl.scala 149:139] + node _T_619 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_620 = bits(_T_619, 55, 48) @[lsu_dccm_ctl.scala 149:196] + node _T_621 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231] + node _T_622 = bits(picm_rd_data_m, 55, 48) @[lsu_dccm_ctl.scala 149:252] + node _T_623 = bits(dccm_rdata_m, 55, 48) @[lsu_dccm_ctl.scala 149:278] + node _T_624 = mux(_T_621, _T_622, _T_623) @[lsu_dccm_ctl.scala 149:213] + node _T_625 = mux(_T_618, _T_620, _T_624) @[lsu_dccm_ctl.scala 149:78] + node _T_626 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_627 = xor(UInt<8>("h0ff"), _T_626) @[Bitwise.scala 102:21] + node _T_628 = shr(_T_625, 4) @[Bitwise.scala 103:21] + node _T_629 = and(_T_628, _T_627) @[Bitwise.scala 103:31] + node _T_630 = bits(_T_625, 3, 0) @[Bitwise.scala 103:46] + node _T_631 = shl(_T_630, 4) @[Bitwise.scala 103:65] + node _T_632 = not(_T_627) @[Bitwise.scala 103:77] + node _T_633 = and(_T_631, _T_632) @[Bitwise.scala 103:75] + node _T_634 = or(_T_629, _T_633) @[Bitwise.scala 103:39] + node _T_635 = bits(_T_627, 5, 0) @[Bitwise.scala 102:28] + node _T_636 = shl(_T_635, 2) @[Bitwise.scala 102:47] + node _T_637 = xor(_T_627, _T_636) @[Bitwise.scala 102:21] + node _T_638 = shr(_T_634, 2) @[Bitwise.scala 103:21] + node _T_639 = and(_T_638, _T_637) @[Bitwise.scala 103:31] + node _T_640 = bits(_T_634, 5, 0) @[Bitwise.scala 103:46] + node _T_641 = shl(_T_640, 2) @[Bitwise.scala 103:65] + node _T_642 = not(_T_637) @[Bitwise.scala 103:77] + node _T_643 = and(_T_641, _T_642) @[Bitwise.scala 103:75] + node _T_644 = or(_T_639, _T_643) @[Bitwise.scala 103:39] + node _T_645 = bits(_T_637, 6, 0) @[Bitwise.scala 102:28] + node _T_646 = shl(_T_645, 1) @[Bitwise.scala 102:47] + node _T_647 = xor(_T_637, _T_646) @[Bitwise.scala 102:21] + node _T_648 = shr(_T_644, 1) @[Bitwise.scala 103:21] + node _T_649 = and(_T_648, _T_647) @[Bitwise.scala 103:31] + node _T_650 = bits(_T_644, 6, 0) @[Bitwise.scala 103:46] + node _T_651 = shl(_T_650, 1) @[Bitwise.scala 103:65] + node _T_652 = not(_T_647) @[Bitwise.scala 103:77] + node _T_653 = and(_T_651, _T_652) @[Bitwise.scala 103:75] + node _T_654 = or(_T_649, _T_653) @[Bitwise.scala 103:39] + node _T_655 = cat(io.stbuf_fwdbyteen_hi_m, io.stbuf_fwdbyteen_lo_m) @[Cat.scala 29:58] + node _T_656 = bits(_T_655, 7, 7) @[lsu_dccm_ctl.scala 149:134] + node _T_657 = bits(_T_656, 0, 0) @[lsu_dccm_ctl.scala 149:139] + node _T_658 = cat(io.stbuf_fwddata_hi_m, io.stbuf_fwddata_lo_m) @[Cat.scala 29:58] + node _T_659 = bits(_T_658, 63, 56) @[lsu_dccm_ctl.scala 149:196] + node _T_660 = bits(io.addr_in_pic_m, 0, 0) @[lsu_dccm_ctl.scala 149:231] + node _T_661 = bits(picm_rd_data_m, 63, 56) @[lsu_dccm_ctl.scala 149:252] + node _T_662 = bits(dccm_rdata_m, 63, 56) @[lsu_dccm_ctl.scala 149:278] + node _T_663 = mux(_T_660, _T_661, _T_662) @[lsu_dccm_ctl.scala 149:213] + node _T_664 = mux(_T_657, _T_659, _T_663) @[lsu_dccm_ctl.scala 149:78] + node _T_665 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_666 = xor(UInt<8>("h0ff"), _T_665) @[Bitwise.scala 102:21] + node _T_667 = shr(_T_664, 4) @[Bitwise.scala 103:21] + node _T_668 = and(_T_667, _T_666) @[Bitwise.scala 103:31] + node _T_669 = bits(_T_664, 3, 0) @[Bitwise.scala 103:46] + node _T_670 = shl(_T_669, 4) @[Bitwise.scala 103:65] + node _T_671 = not(_T_666) @[Bitwise.scala 103:77] + node _T_672 = and(_T_670, _T_671) @[Bitwise.scala 103:75] + node _T_673 = or(_T_668, _T_672) @[Bitwise.scala 103:39] + node _T_674 = bits(_T_666, 5, 0) @[Bitwise.scala 102:28] + node _T_675 = shl(_T_674, 2) @[Bitwise.scala 102:47] + node _T_676 = xor(_T_666, _T_675) @[Bitwise.scala 102:21] + node _T_677 = shr(_T_673, 2) @[Bitwise.scala 103:21] + node _T_678 = and(_T_677, _T_676) @[Bitwise.scala 103:31] + node _T_679 = bits(_T_673, 5, 0) @[Bitwise.scala 103:46] + node _T_680 = shl(_T_679, 2) @[Bitwise.scala 103:65] + node _T_681 = not(_T_676) @[Bitwise.scala 103:77] + node _T_682 = and(_T_680, _T_681) @[Bitwise.scala 103:75] + node _T_683 = or(_T_678, _T_682) @[Bitwise.scala 103:39] + node _T_684 = bits(_T_676, 6, 0) @[Bitwise.scala 102:28] + node _T_685 = shl(_T_684, 1) @[Bitwise.scala 102:47] + node _T_686 = xor(_T_676, _T_685) @[Bitwise.scala 102:21] + node _T_687 = shr(_T_683, 1) @[Bitwise.scala 103:21] + node _T_688 = and(_T_687, _T_686) @[Bitwise.scala 103:31] + node _T_689 = bits(_T_683, 6, 0) @[Bitwise.scala 103:46] + node _T_690 = shl(_T_689, 1) @[Bitwise.scala 103:65] + node _T_691 = not(_T_686) @[Bitwise.scala 103:77] + node _T_692 = and(_T_690, _T_691) @[Bitwise.scala 103:75] + node _T_693 = or(_T_688, _T_692) @[Bitwise.scala 103:39] + wire _T_694 : UInt<8>[8] @[lsu_dccm_ctl.scala 149:62] + _T_694[0] <= _T_420 @[lsu_dccm_ctl.scala 149:62] + _T_694[1] <= _T_459 @[lsu_dccm_ctl.scala 149:62] + _T_694[2] <= _T_498 @[lsu_dccm_ctl.scala 149:62] + _T_694[3] <= _T_537 @[lsu_dccm_ctl.scala 149:62] + _T_694[4] <= _T_576 @[lsu_dccm_ctl.scala 149:62] + _T_694[5] <= _T_615 @[lsu_dccm_ctl.scala 149:62] + _T_694[6] <= _T_654 @[lsu_dccm_ctl.scala 149:62] + _T_694[7] <= _T_693 @[lsu_dccm_ctl.scala 149:62] + node _T_695 = cat(_T_694[6], _T_694[7]) @[Cat.scala 29:58] + node _T_696 = cat(_T_694[4], _T_694[5]) @[Cat.scala 29:58] + node _T_697 = cat(_T_696, _T_695) @[Cat.scala 29:58] + node _T_698 = cat(_T_694[2], _T_694[3]) @[Cat.scala 29:58] + node _T_699 = cat(_T_694[0], _T_694[1]) @[Cat.scala 29:58] + node _T_700 = cat(_T_699, _T_698) @[Cat.scala 29:58] + node _T_701 = cat(_T_700, _T_697) @[Cat.scala 29:58] + node _T_702 = shl(UInt<32>("h0ffffffff"), 32) @[Bitwise.scala 102:47] + node _T_703 = xor(UInt<64>("h0ffffffffffffffff"), _T_702) @[Bitwise.scala 102:21] + node _T_704 = shr(_T_701, 32) @[Bitwise.scala 103:21] + node _T_705 = and(_T_704, _T_703) @[Bitwise.scala 103:31] + node _T_706 = bits(_T_701, 31, 0) @[Bitwise.scala 103:46] + node _T_707 = shl(_T_706, 32) @[Bitwise.scala 103:65] + node _T_708 = not(_T_703) @[Bitwise.scala 103:77] + node _T_709 = and(_T_707, _T_708) @[Bitwise.scala 103:75] + node _T_710 = or(_T_705, _T_709) @[Bitwise.scala 103:39] + node _T_711 = bits(_T_703, 47, 0) @[Bitwise.scala 102:28] + node _T_712 = shl(_T_711, 16) @[Bitwise.scala 102:47] + node _T_713 = xor(_T_703, _T_712) @[Bitwise.scala 102:21] + node _T_714 = shr(_T_710, 16) @[Bitwise.scala 103:21] + node _T_715 = and(_T_714, _T_713) @[Bitwise.scala 103:31] + node _T_716 = bits(_T_710, 47, 0) @[Bitwise.scala 103:46] + node _T_717 = shl(_T_716, 16) @[Bitwise.scala 103:65] + node _T_718 = not(_T_713) @[Bitwise.scala 103:77] + node _T_719 = and(_T_717, _T_718) @[Bitwise.scala 103:75] + node _T_720 = or(_T_715, _T_719) @[Bitwise.scala 103:39] + node _T_721 = bits(_T_713, 55, 0) @[Bitwise.scala 102:28] + node _T_722 = shl(_T_721, 8) @[Bitwise.scala 102:47] + node _T_723 = xor(_T_713, _T_722) @[Bitwise.scala 102:21] + node _T_724 = shr(_T_720, 8) @[Bitwise.scala 103:21] + node _T_725 = and(_T_724, _T_723) @[Bitwise.scala 103:31] + node _T_726 = bits(_T_720, 55, 0) @[Bitwise.scala 103:46] + node _T_727 = shl(_T_726, 8) @[Bitwise.scala 103:65] + node _T_728 = not(_T_723) @[Bitwise.scala 103:77] + node _T_729 = and(_T_727, _T_728) @[Bitwise.scala 103:75] + node _T_730 = or(_T_725, _T_729) @[Bitwise.scala 103:39] + node _T_731 = bits(_T_723, 59, 0) @[Bitwise.scala 102:28] + node _T_732 = shl(_T_731, 4) @[Bitwise.scala 102:47] + node _T_733 = xor(_T_723, _T_732) @[Bitwise.scala 102:21] + node _T_734 = shr(_T_730, 4) @[Bitwise.scala 103:21] + node _T_735 = and(_T_734, _T_733) @[Bitwise.scala 103:31] + node _T_736 = bits(_T_730, 59, 0) @[Bitwise.scala 103:46] + node _T_737 = shl(_T_736, 4) @[Bitwise.scala 103:65] + node _T_738 = not(_T_733) @[Bitwise.scala 103:77] + node _T_739 = and(_T_737, _T_738) @[Bitwise.scala 103:75] + node _T_740 = or(_T_735, _T_739) @[Bitwise.scala 103:39] + node _T_741 = bits(_T_733, 61, 0) @[Bitwise.scala 102:28] + node _T_742 = shl(_T_741, 2) @[Bitwise.scala 102:47] + node _T_743 = xor(_T_733, _T_742) @[Bitwise.scala 102:21] + node _T_744 = shr(_T_740, 2) @[Bitwise.scala 103:21] + node _T_745 = and(_T_744, _T_743) @[Bitwise.scala 103:31] + node _T_746 = bits(_T_740, 61, 0) @[Bitwise.scala 103:46] + node _T_747 = shl(_T_746, 2) @[Bitwise.scala 103:65] + node _T_748 = not(_T_743) @[Bitwise.scala 103:77] + node _T_749 = and(_T_747, _T_748) @[Bitwise.scala 103:75] + node _T_750 = or(_T_745, _T_749) @[Bitwise.scala 103:39] + node _T_751 = bits(_T_743, 62, 0) @[Bitwise.scala 102:28] + node _T_752 = shl(_T_751, 1) @[Bitwise.scala 102:47] + node _T_753 = xor(_T_743, _T_752) @[Bitwise.scala 102:21] + node _T_754 = shr(_T_750, 1) @[Bitwise.scala 103:21] + node _T_755 = and(_T_754, _T_753) @[Bitwise.scala 103:31] + node _T_756 = bits(_T_750, 62, 0) @[Bitwise.scala 103:46] + node _T_757 = shl(_T_756, 1) @[Bitwise.scala 103:65] + node _T_758 = not(_T_753) @[Bitwise.scala 103:77] + node _T_759 = and(_T_757, _T_758) @[Bitwise.scala 103:75] + node _T_760 = or(_T_755, _T_759) @[Bitwise.scala 103:39] + lsu_rdata_m <= _T_760 @[lsu_dccm_ctl.scala 149:28] + node _T_761 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 150:63] + node _T_762 = mul(UInt<4>("h08"), _T_761) @[lsu_dccm_ctl.scala 150:49] + node _T_763 = dshr(lsu_rdata_m, _T_762) @[lsu_dccm_ctl.scala 150:43] + io.lsu_ld_data_m <= _T_763 @[lsu_dccm_ctl.scala 150:28] + node _T_764 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 151:68] + node _T_765 = mul(UInt<4>("h08"), _T_764) @[lsu_dccm_ctl.scala 151:54] + node _T_766 = dshr(lsu_rdata_corr_m, _T_765) @[lsu_dccm_ctl.scala 151:48] + lsu_ld_data_corr_m <= _T_766 @[lsu_dccm_ctl.scala 151:28] + node _T_767 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 155:44] + node _T_768 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 155:77] + node _T_769 = eq(_T_767, _T_768) @[lsu_dccm_ctl.scala 155:60] + node _T_770 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 155:117] + node _T_771 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 155:150] + node _T_772 = eq(_T_770, _T_771) @[lsu_dccm_ctl.scala 155:133] + node _T_773 = or(_T_769, _T_772) @[lsu_dccm_ctl.scala 155:101] + node _T_774 = and(_T_773, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 155:175] + node _T_775 = and(_T_774, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 155:196] + node _T_776 = and(_T_775, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 155:222] + node _T_777 = and(_T_776, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 155:246] + node _T_778 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 156:21] + node _T_779 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 156:54] + node _T_780 = eq(_T_778, _T_779) @[lsu_dccm_ctl.scala 156:37] + node _T_781 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 156:94] + node _T_782 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 156:127] + node _T_783 = eq(_T_781, _T_782) @[lsu_dccm_ctl.scala 156:110] + node _T_784 = or(_T_780, _T_783) @[lsu_dccm_ctl.scala 156:78] + node _T_785 = and(_T_784, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 156:152] + node _T_786 = and(_T_785, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 156:173] + node _T_787 = and(_T_786, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 156:199] + node _T_788 = and(_T_787, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 156:223] + node kill_ecc_corr_lo_r = or(_T_777, _T_788) @[lsu_dccm_ctl.scala 155:267] + node _T_789 = bits(io.lsu_addr_d, 15, 2) @[lsu_dccm_ctl.scala 158:44] + node _T_790 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 158:77] + node _T_791 = eq(_T_789, _T_790) @[lsu_dccm_ctl.scala 158:60] + node _T_792 = bits(io.end_addr_d, 15, 2) @[lsu_dccm_ctl.scala 158:117] + node _T_793 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 158:150] + node _T_794 = eq(_T_792, _T_793) @[lsu_dccm_ctl.scala 158:133] + node _T_795 = or(_T_791, _T_794) @[lsu_dccm_ctl.scala 158:101] + node _T_796 = and(_T_795, io.lsu_pkt_d.valid) @[lsu_dccm_ctl.scala 158:175] + node _T_797 = and(_T_796, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 158:196] + node _T_798 = and(_T_797, io.lsu_pkt_d.bits.dma) @[lsu_dccm_ctl.scala 158:222] + node _T_799 = and(_T_798, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 158:246] + node _T_800 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 159:21] + node _T_801 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 159:54] + node _T_802 = eq(_T_800, _T_801) @[lsu_dccm_ctl.scala 159:37] + node _T_803 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 159:94] + node _T_804 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 159:127] + node _T_805 = eq(_T_803, _T_804) @[lsu_dccm_ctl.scala 159:110] + node _T_806 = or(_T_802, _T_805) @[lsu_dccm_ctl.scala 159:78] + node _T_807 = and(_T_806, io.lsu_pkt_m.valid) @[lsu_dccm_ctl.scala 159:152] + node _T_808 = and(_T_807, io.lsu_pkt_m.bits.store) @[lsu_dccm_ctl.scala 159:173] + node _T_809 = and(_T_808, io.lsu_pkt_m.bits.dma) @[lsu_dccm_ctl.scala 159:199] + node _T_810 = and(_T_809, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 159:223] + node kill_ecc_corr_hi_r = or(_T_799, _T_810) @[lsu_dccm_ctl.scala 158:267] + node _T_811 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_lo_r) @[lsu_dccm_ctl.scala 161:60] + node _T_812 = eq(io.lsu_raw_fwd_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 161:89] + node ld_single_ecc_error_lo_r = and(_T_811, _T_812) @[lsu_dccm_ctl.scala 161:87] + node _T_813 = and(io.lsu_pkt_r.bits.load, io.single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 162:60] + node _T_814 = eq(io.lsu_raw_fwd_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 162:89] + node ld_single_ecc_error_hi_r = and(_T_813, _T_814) @[lsu_dccm_ctl.scala 162:87] + node _T_815 = or(ld_single_ecc_error_lo_r, ld_single_ecc_error_hi_r) @[lsu_dccm_ctl.scala 163:63] + node _T_816 = eq(io.lsu_double_ecc_error_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 163:93] + node _T_817 = and(_T_815, _T_816) @[lsu_dccm_ctl.scala 163:91] + io.ld_single_ecc_error_r <= _T_817 @[lsu_dccm_ctl.scala 163:34] + node _T_818 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 164:81] + node _T_819 = and(ld_single_ecc_error_lo_r, _T_818) @[lsu_dccm_ctl.scala 164:62] + node _T_820 = eq(kill_ecc_corr_lo_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 164:108] + node ld_single_ecc_error_lo_r_ns = and(_T_819, _T_820) @[lsu_dccm_ctl.scala 164:106] + node _T_821 = or(io.lsu_commit_r, io.lsu_pkt_r.bits.dma) @[lsu_dccm_ctl.scala 165:81] + node _T_822 = and(ld_single_ecc_error_hi_r, _T_821) @[lsu_dccm_ctl.scala 165:62] + node _T_823 = eq(kill_ecc_corr_hi_r, UInt<1>("h00")) @[lsu_dccm_ctl.scala 165:108] + node ld_single_ecc_error_hi_r_ns = and(_T_822, _T_823) @[lsu_dccm_ctl.scala 165:106] + reg lsu_double_ecc_error_r_ff : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 167:74] + lsu_double_ecc_error_r_ff <= io.lsu_double_ecc_error_r @[lsu_dccm_ctl.scala 167:74] + reg ld_single_ecc_error_hi_r_ff : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 168:74] + ld_single_ecc_error_hi_r_ff <= ld_single_ecc_error_hi_r_ns @[lsu_dccm_ctl.scala 168:74] + reg ld_single_ecc_error_lo_r_ff : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 169:74] + ld_single_ecc_error_lo_r_ff <= ld_single_ecc_error_lo_r_ns @[lsu_dccm_ctl.scala 169:74] + node _T_824 = bits(io.end_addr_r, 15, 0) @[lsu_dccm_ctl.scala 171:49] + node _T_825 = bits(io.ld_single_ecc_error_r, 0, 0) @[lsu_dccm_ctl.scala 171:90] + node _T_826 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 171:116] + inst rvclkhdr of rvclkhdr @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_825 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= _T_826 @[lib.scala 372:24] + reg ld_sec_addr_hi_r_ff : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + ld_sec_addr_hi_r_ff <= _T_824 @[lib.scala 374:16] + node _T_827 = bits(io.lsu_addr_r, 15, 0) @[lsu_dccm_ctl.scala 172:49] + node _T_828 = bits(io.ld_single_ecc_error_r, 0, 0) @[lsu_dccm_ctl.scala 172:90] + node _T_829 = bits(io.scan_mode, 0, 0) @[lsu_dccm_ctl.scala 172:116] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_828 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= _T_829 @[lib.scala 372:24] + reg ld_sec_addr_lo_r_ff : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + ld_sec_addr_lo_r_ff <= _T_827 @[lib.scala 374:16] + node _T_830 = or(io.lsu_pkt_d.bits.word, io.lsu_pkt_d.bits.dword) @[lsu_dccm_ctl.scala 173:125] + node _T_831 = eq(_T_830, UInt<1>("h00")) @[lsu_dccm_ctl.scala 173:100] + node _T_832 = bits(io.lsu_addr_d, 1, 0) @[lsu_dccm_ctl.scala 173:168] + node _T_833 = neq(_T_832, UInt<2>("h00")) @[lsu_dccm_ctl.scala 173:174] + node _T_834 = or(_T_831, _T_833) @[lsu_dccm_ctl.scala 173:152] + node _T_835 = and(io.lsu_pkt_d.bits.store, _T_834) @[lsu_dccm_ctl.scala 173:97] + node _T_836 = or(io.lsu_pkt_d.bits.load, _T_835) @[lsu_dccm_ctl.scala 173:70] + node _T_837 = and(io.lsu_pkt_d.valid, _T_836) @[lsu_dccm_ctl.scala 173:44] + node lsu_dccm_rden_d = and(_T_837, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 173:191] + node _T_838 = or(ld_single_ecc_error_lo_r_ff, ld_single_ecc_error_hi_r_ff) @[lsu_dccm_ctl.scala 176:63] + node _T_839 = eq(lsu_double_ecc_error_r_ff, UInt<1>("h00")) @[lsu_dccm_ctl.scala 176:96] + node _T_840 = and(_T_838, _T_839) @[lsu_dccm_ctl.scala 176:94] + io.ld_single_ecc_error_r_ff <= _T_840 @[lsu_dccm_ctl.scala 176:31] + node _T_841 = or(lsu_dccm_rden_d, io.dma_dccm_wen) @[lsu_dccm_ctl.scala 177:75] + node _T_842 = or(_T_841, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 177:93] + node _T_843 = eq(_T_842, UInt<1>("h00")) @[lsu_dccm_ctl.scala 177:57] + node _T_844 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 178:44] + node _T_845 = bits(io.lsu_addr_d, 3, 2) @[lsu_dccm_ctl.scala 178:112] + node _T_846 = eq(_T_844, _T_845) @[lsu_dccm_ctl.scala 178:95] + node _T_847 = bits(io.stbuf_addr_any, 3, 2) @[lsu_dccm_ctl.scala 179:25] + node _T_848 = bits(io.end_addr_d, 3, 2) @[lsu_dccm_ctl.scala 179:93] + node _T_849 = eq(_T_847, _T_848) @[lsu_dccm_ctl.scala 179:76] + node _T_850 = or(_T_846, _T_849) @[lsu_dccm_ctl.scala 178:171] + node _T_851 = eq(_T_850, UInt<1>("h00")) @[lsu_dccm_ctl.scala 178:24] + node _T_852 = and(lsu_dccm_rden_d, _T_851) @[lsu_dccm_ctl.scala 178:22] + node _T_853 = or(_T_843, _T_852) @[lsu_dccm_ctl.scala 177:124] + node _T_854 = and(io.stbuf_reqvld_any, _T_853) @[lsu_dccm_ctl.scala 177:54] + io.lsu_stbuf_commit_any <= _T_854 @[lsu_dccm_ctl.scala 177:31] + node _T_855 = or(io.dma_dccm_wen, io.lsu_stbuf_commit_any) @[lsu_dccm_ctl.scala 183:41] + node _T_856 = or(_T_855, io.ld_single_ecc_error_r_ff) @[lsu_dccm_ctl.scala 183:67] + io.dccm.wren <= _T_856 @[lsu_dccm_ctl.scala 183:22] + node _T_857 = and(lsu_dccm_rden_d, io.addr_in_dccm_d) @[lsu_dccm_ctl.scala 184:41] + io.dccm.rden <= _T_857 @[lsu_dccm_ctl.scala 184:22] + node _T_858 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 186:57] + node _T_859 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 187:36] + node _T_860 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 187:62] + node _T_861 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 187:97] + node _T_862 = mux(_T_859, _T_860, _T_861) @[lsu_dccm_ctl.scala 187:8] + node _T_863 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 188:25] + node _T_864 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 188:45] + node _T_865 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 188:78] + node _T_866 = mux(_T_863, _T_864, _T_865) @[lsu_dccm_ctl.scala 188:8] + node _T_867 = mux(_T_858, _T_862, _T_866) @[lsu_dccm_ctl.scala 186:28] + io.dccm.wr_addr_lo <= _T_867 @[lsu_dccm_ctl.scala 186:22] + node _T_868 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 190:57] + node _T_869 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 191:36] + node _T_870 = bits(ld_sec_addr_hi_r_ff, 15, 0) @[lsu_dccm_ctl.scala 191:63] + node _T_871 = bits(ld_sec_addr_lo_r_ff, 15, 0) @[lsu_dccm_ctl.scala 191:99] + node _T_872 = mux(_T_869, _T_870, _T_871) @[lsu_dccm_ctl.scala 191:8] + node _T_873 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 192:25] + node _T_874 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 192:46] + node _T_875 = bits(io.stbuf_addr_any, 15, 0) @[lsu_dccm_ctl.scala 192:79] + node _T_876 = mux(_T_873, _T_874, _T_875) @[lsu_dccm_ctl.scala 192:8] + node _T_877 = mux(_T_868, _T_872, _T_876) @[lsu_dccm_ctl.scala 190:28] + io.dccm.wr_addr_hi <= _T_877 @[lsu_dccm_ctl.scala 190:22] + node _T_878 = bits(io.lsu_addr_d, 15, 0) @[lsu_dccm_ctl.scala 194:38] + io.dccm.rd_addr_lo <= _T_878 @[lsu_dccm_ctl.scala 194:22] + node _T_879 = bits(io.end_addr_d, 15, 0) @[lsu_dccm_ctl.scala 195:38] + io.dccm.rd_addr_hi <= _T_879 @[lsu_dccm_ctl.scala 195:22] + node _T_880 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 197:57] + node _T_881 = eq(ld_single_ecc_error_lo_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 198:36] + node _T_882 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 198:70] + node _T_883 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 198:110] + node _T_884 = cat(_T_882, _T_883) @[Cat.scala 29:58] + node _T_885 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 199:34] + node _T_886 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 199:74] + node _T_887 = cat(_T_885, _T_886) @[Cat.scala 29:58] + node _T_888 = mux(_T_881, _T_884, _T_887) @[lsu_dccm_ctl.scala 198:8] + node _T_889 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 200:25] + node _T_890 = bits(io.dma_dccm_wdata_ecc_lo, 6, 0) @[lsu_dccm_ctl.scala 200:60] + node _T_891 = bits(io.dma_dccm_wdata_lo, 31, 0) @[lsu_dccm_ctl.scala 200:101] + node _T_892 = cat(_T_890, _T_891) @[Cat.scala 29:58] + node _T_893 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 201:27] + node _T_894 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 201:65] + node _T_895 = cat(_T_893, _T_894) @[Cat.scala 29:58] + node _T_896 = mux(_T_889, _T_892, _T_895) @[lsu_dccm_ctl.scala 200:8] + node _T_897 = mux(_T_880, _T_888, _T_896) @[lsu_dccm_ctl.scala 197:28] + io.dccm.wr_data_lo <= _T_897 @[lsu_dccm_ctl.scala 197:22] + node _T_898 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_dccm_ctl.scala 203:57] + node _T_899 = eq(ld_single_ecc_error_hi_r_ff, UInt<1>("h01")) @[lsu_dccm_ctl.scala 204:36] + node _T_900 = bits(io.sec_data_ecc_hi_r_ff, 6, 0) @[lsu_dccm_ctl.scala 204:71] + node _T_901 = bits(io.sec_data_hi_r_ff, 31, 0) @[lsu_dccm_ctl.scala 204:111] + node _T_902 = cat(_T_900, _T_901) @[Cat.scala 29:58] + node _T_903 = bits(io.sec_data_ecc_lo_r_ff, 6, 0) @[lsu_dccm_ctl.scala 205:34] + node _T_904 = bits(io.sec_data_lo_r_ff, 31, 0) @[lsu_dccm_ctl.scala 205:74] + node _T_905 = cat(_T_903, _T_904) @[Cat.scala 29:58] + node _T_906 = mux(_T_899, _T_902, _T_905) @[lsu_dccm_ctl.scala 204:8] + node _T_907 = bits(io.dma_dccm_wen, 0, 0) @[lsu_dccm_ctl.scala 206:25] + node _T_908 = bits(io.dma_dccm_wdata_ecc_hi, 6, 0) @[lsu_dccm_ctl.scala 206:61] + node _T_909 = bits(io.dma_dccm_wdata_hi, 31, 0) @[lsu_dccm_ctl.scala 206:102] + node _T_910 = cat(_T_908, _T_909) @[Cat.scala 29:58] + node _T_911 = bits(io.stbuf_ecc_any, 6, 0) @[lsu_dccm_ctl.scala 207:27] + node _T_912 = bits(io.stbuf_data_any, 31, 0) @[lsu_dccm_ctl.scala 207:65] + node _T_913 = cat(_T_911, _T_912) @[Cat.scala 29:58] + node _T_914 = mux(_T_907, _T_910, _T_913) @[lsu_dccm_ctl.scala 206:8] + node _T_915 = mux(_T_898, _T_906, _T_914) @[lsu_dccm_ctl.scala 203:28] + io.dccm.wr_data_hi <= _T_915 @[lsu_dccm_ctl.scala 203:22] + node _T_916 = bits(io.lsu_pkt_m.bits.store, 0, 0) @[Bitwise.scala 72:15] + node _T_917 = mux(_T_916, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_918 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[Bitwise.scala 72:15] + node _T_919 = mux(_T_918, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_920 = and(_T_919, UInt<4>("h01")) @[lsu_dccm_ctl.scala 210:94] + node _T_921 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[Bitwise.scala 72:15] + node _T_922 = mux(_T_921, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_923 = and(_T_922, UInt<4>("h03")) @[lsu_dccm_ctl.scala 211:38] + node _T_924 = or(_T_920, _T_923) @[lsu_dccm_ctl.scala 210:107] + node _T_925 = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_926 = mux(_T_925, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_927 = and(_T_926, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 212:38] + node _T_928 = or(_T_924, _T_927) @[lsu_dccm_ctl.scala 211:51] + node store_byteen_m = and(_T_917, _T_928) @[lsu_dccm_ctl.scala 210:58] + node _T_929 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15] + node _T_930 = mux(_T_929, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_931 = bits(io.lsu_pkt_r.bits.by, 0, 0) @[Bitwise.scala 72:15] + node _T_932 = mux(_T_931, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_933 = and(_T_932, UInt<4>("h01")) @[lsu_dccm_ctl.scala 214:94] + node _T_934 = bits(io.lsu_pkt_r.bits.half, 0, 0) @[Bitwise.scala 72:15] + node _T_935 = mux(_T_934, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_936 = and(_T_935, UInt<4>("h03")) @[lsu_dccm_ctl.scala 215:38] + node _T_937 = or(_T_933, _T_936) @[lsu_dccm_ctl.scala 214:107] + node _T_938 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_939 = mux(_T_938, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_940 = and(_T_939, UInt<4>("h0f")) @[lsu_dccm_ctl.scala 216:38] + node _T_941 = or(_T_937, _T_940) @[lsu_dccm_ctl.scala 215:51] + node store_byteen_r = and(_T_930, _T_941) @[lsu_dccm_ctl.scala 214:58] + wire store_byteen_ext_m : UInt<8> + store_byteen_ext_m <= UInt<1>("h00") + node _T_942 = bits(store_byteen_m, 3, 0) @[lsu_dccm_ctl.scala 218:39] + node _T_943 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 218:61] + node _T_944 = dshl(_T_942, _T_943) @[lsu_dccm_ctl.scala 218:45] + store_byteen_ext_m <= _T_944 @[lsu_dccm_ctl.scala 218:22] + wire store_byteen_ext_r : UInt<8> + store_byteen_ext_r <= UInt<1>("h00") + node _T_945 = bits(store_byteen_r, 3, 0) @[lsu_dccm_ctl.scala 220:39] + node _T_946 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 220:61] + node _T_947 = dshl(_T_945, _T_946) @[lsu_dccm_ctl.scala 220:45] + store_byteen_ext_r <= _T_947 @[lsu_dccm_ctl.scala 220:22] + node _T_948 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 223:51] + node _T_949 = bits(io.lsu_addr_m, 15, 2) @[lsu_dccm_ctl.scala 223:84] + node _T_950 = eq(_T_948, _T_949) @[lsu_dccm_ctl.scala 223:67] + node dccm_wr_bypass_d_m_lo = and(_T_950, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 223:101] + node _T_951 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 224:51] + node _T_952 = bits(io.end_addr_m, 15, 2) @[lsu_dccm_ctl.scala 224:84] + node _T_953 = eq(_T_951, _T_952) @[lsu_dccm_ctl.scala 224:67] + node dccm_wr_bypass_d_m_hi = and(_T_953, io.addr_in_dccm_m) @[lsu_dccm_ctl.scala 224:101] + node _T_954 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 226:51] + node _T_955 = bits(io.lsu_addr_r, 15, 2) @[lsu_dccm_ctl.scala 226:84] + node _T_956 = eq(_T_954, _T_955) @[lsu_dccm_ctl.scala 226:67] + node dccm_wr_bypass_d_r_lo = and(_T_956, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 226:101] + node _T_957 = bits(io.stbuf_addr_any, 15, 2) @[lsu_dccm_ctl.scala 227:51] + node _T_958 = bits(io.end_addr_r, 15, 2) @[lsu_dccm_ctl.scala 227:84] + node _T_959 = eq(_T_957, _T_958) @[lsu_dccm_ctl.scala 227:67] + node dccm_wr_bypass_d_r_hi = and(_T_959, io.addr_in_dccm_r) @[lsu_dccm_ctl.scala 227:101] + wire dccm_wr_bypass_d_m_hi_Q : UInt<1> + dccm_wr_bypass_d_m_hi_Q <= UInt<1>("h00") + wire dccm_wr_bypass_d_m_lo_Q : UInt<1> + dccm_wr_bypass_d_m_lo_Q <= UInt<1>("h00") + wire dccm_wren_Q : UInt<1> + dccm_wren_Q <= UInt<1>("h00") + wire dccm_wr_data_Q : UInt<32> + dccm_wr_data_Q <= UInt<32>("h00") + wire store_data_pre_r : UInt<64> + store_data_pre_r <= UInt<64>("h00") + wire store_data_pre_hi_r : UInt<32> + store_data_pre_hi_r <= UInt<32>("h00") + wire store_data_pre_lo_r : UInt<32> + store_data_pre_lo_r <= UInt<32>("h00") + wire store_data_pre_m : UInt<64> + store_data_pre_m <= UInt<64>("h00") + wire store_data_hi_m : UInt<32> + store_data_hi_m <= UInt<32>("h00") + wire store_data_lo_m : UInt<32> + store_data_lo_m <= UInt<32>("h00") + node _T_960 = mux(UInt<1>("h00"), UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_961 = bits(io.store_data_m, 31, 0) @[lsu_dccm_ctl.scala 256:64] + node _T_962 = cat(_T_960, _T_961) @[Cat.scala 29:58] + node _T_963 = bits(io.lsu_addr_m, 1, 0) @[lsu_dccm_ctl.scala 256:92] + node _T_964 = mul(UInt<4>("h08"), _T_963) @[lsu_dccm_ctl.scala 256:78] + node _T_965 = dshl(_T_962, _T_964) @[lsu_dccm_ctl.scala 256:72] + store_data_pre_m <= _T_965 @[lsu_dccm_ctl.scala 256:29] + node _T_966 = bits(store_data_pre_m, 63, 32) @[lsu_dccm_ctl.scala 257:48] + store_data_hi_m <= _T_966 @[lsu_dccm_ctl.scala 257:29] + node _T_967 = bits(store_data_pre_m, 31, 0) @[lsu_dccm_ctl.scala 258:48] + store_data_lo_m <= _T_967 @[lsu_dccm_ctl.scala 258:29] + node _T_968 = bits(store_byteen_ext_m, 0, 0) @[lsu_dccm_ctl.scala 259:139] + node _T_969 = bits(_T_968, 0, 0) @[lsu_dccm_ctl.scala 259:143] + node _T_970 = bits(store_data_lo_m, 7, 0) @[lsu_dccm_ctl.scala 259:167] + node _T_971 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 259:211] + node _T_972 = bits(_T_971, 0, 0) @[lsu_dccm_ctl.scala 259:237] + node _T_973 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 259:262] + node _T_974 = bits(io.sec_data_lo_m, 7, 0) @[lsu_dccm_ctl.scala 259:292] + node _T_975 = mux(_T_972, _T_973, _T_974) @[lsu_dccm_ctl.scala 259:185] + node _T_976 = mux(_T_969, _T_970, _T_975) @[lsu_dccm_ctl.scala 259:120] + node _T_977 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_978 = xor(UInt<8>("h0ff"), _T_977) @[Bitwise.scala 102:21] + node _T_979 = shr(_T_976, 4) @[Bitwise.scala 103:21] + node _T_980 = and(_T_979, _T_978) @[Bitwise.scala 103:31] + node _T_981 = bits(_T_976, 3, 0) @[Bitwise.scala 103:46] + node _T_982 = shl(_T_981, 4) @[Bitwise.scala 103:65] + node _T_983 = not(_T_978) @[Bitwise.scala 103:77] + node _T_984 = and(_T_982, _T_983) @[Bitwise.scala 103:75] + node _T_985 = or(_T_980, _T_984) @[Bitwise.scala 103:39] + node _T_986 = bits(_T_978, 5, 0) @[Bitwise.scala 102:28] + node _T_987 = shl(_T_986, 2) @[Bitwise.scala 102:47] + node _T_988 = xor(_T_978, _T_987) @[Bitwise.scala 102:21] + node _T_989 = shr(_T_985, 2) @[Bitwise.scala 103:21] + node _T_990 = and(_T_989, _T_988) @[Bitwise.scala 103:31] + node _T_991 = bits(_T_985, 5, 0) @[Bitwise.scala 103:46] + node _T_992 = shl(_T_991, 2) @[Bitwise.scala 103:65] + node _T_993 = not(_T_988) @[Bitwise.scala 103:77] + node _T_994 = and(_T_992, _T_993) @[Bitwise.scala 103:75] + node _T_995 = or(_T_990, _T_994) @[Bitwise.scala 103:39] + node _T_996 = bits(_T_988, 6, 0) @[Bitwise.scala 102:28] + node _T_997 = shl(_T_996, 1) @[Bitwise.scala 102:47] + node _T_998 = xor(_T_988, _T_997) @[Bitwise.scala 102:21] + node _T_999 = shr(_T_995, 1) @[Bitwise.scala 103:21] + node _T_1000 = and(_T_999, _T_998) @[Bitwise.scala 103:31] + node _T_1001 = bits(_T_995, 6, 0) @[Bitwise.scala 103:46] + node _T_1002 = shl(_T_1001, 1) @[Bitwise.scala 103:65] + node _T_1003 = not(_T_998) @[Bitwise.scala 103:77] + node _T_1004 = and(_T_1002, _T_1003) @[Bitwise.scala 103:75] + node _T_1005 = or(_T_1000, _T_1004) @[Bitwise.scala 103:39] + node _T_1006 = bits(store_byteen_ext_m, 1, 1) @[lsu_dccm_ctl.scala 259:139] + node _T_1007 = bits(_T_1006, 0, 0) @[lsu_dccm_ctl.scala 259:143] + node _T_1008 = bits(store_data_lo_m, 15, 8) @[lsu_dccm_ctl.scala 259:167] + node _T_1009 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 259:211] + node _T_1010 = bits(_T_1009, 0, 0) @[lsu_dccm_ctl.scala 259:237] + node _T_1011 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 259:262] + node _T_1012 = bits(io.sec_data_lo_m, 15, 8) @[lsu_dccm_ctl.scala 259:292] + node _T_1013 = mux(_T_1010, _T_1011, _T_1012) @[lsu_dccm_ctl.scala 259:185] + node _T_1014 = mux(_T_1007, _T_1008, _T_1013) @[lsu_dccm_ctl.scala 259:120] + node _T_1015 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1016 = xor(UInt<8>("h0ff"), _T_1015) @[Bitwise.scala 102:21] + node _T_1017 = shr(_T_1014, 4) @[Bitwise.scala 103:21] + node _T_1018 = and(_T_1017, _T_1016) @[Bitwise.scala 103:31] + node _T_1019 = bits(_T_1014, 3, 0) @[Bitwise.scala 103:46] + node _T_1020 = shl(_T_1019, 4) @[Bitwise.scala 103:65] + node _T_1021 = not(_T_1016) @[Bitwise.scala 103:77] + node _T_1022 = and(_T_1020, _T_1021) @[Bitwise.scala 103:75] + node _T_1023 = or(_T_1018, _T_1022) @[Bitwise.scala 103:39] + node _T_1024 = bits(_T_1016, 5, 0) @[Bitwise.scala 102:28] + node _T_1025 = shl(_T_1024, 2) @[Bitwise.scala 102:47] + node _T_1026 = xor(_T_1016, _T_1025) @[Bitwise.scala 102:21] + node _T_1027 = shr(_T_1023, 2) @[Bitwise.scala 103:21] + node _T_1028 = and(_T_1027, _T_1026) @[Bitwise.scala 103:31] + node _T_1029 = bits(_T_1023, 5, 0) @[Bitwise.scala 103:46] + node _T_1030 = shl(_T_1029, 2) @[Bitwise.scala 103:65] + node _T_1031 = not(_T_1026) @[Bitwise.scala 103:77] + node _T_1032 = and(_T_1030, _T_1031) @[Bitwise.scala 103:75] + node _T_1033 = or(_T_1028, _T_1032) @[Bitwise.scala 103:39] + node _T_1034 = bits(_T_1026, 6, 0) @[Bitwise.scala 102:28] + node _T_1035 = shl(_T_1034, 1) @[Bitwise.scala 102:47] + node _T_1036 = xor(_T_1026, _T_1035) @[Bitwise.scala 102:21] + node _T_1037 = shr(_T_1033, 1) @[Bitwise.scala 103:21] + node _T_1038 = and(_T_1037, _T_1036) @[Bitwise.scala 103:31] + node _T_1039 = bits(_T_1033, 6, 0) @[Bitwise.scala 103:46] + node _T_1040 = shl(_T_1039, 1) @[Bitwise.scala 103:65] + node _T_1041 = not(_T_1036) @[Bitwise.scala 103:77] + node _T_1042 = and(_T_1040, _T_1041) @[Bitwise.scala 103:75] + node _T_1043 = or(_T_1038, _T_1042) @[Bitwise.scala 103:39] + node _T_1044 = bits(store_byteen_ext_m, 2, 2) @[lsu_dccm_ctl.scala 259:139] + node _T_1045 = bits(_T_1044, 0, 0) @[lsu_dccm_ctl.scala 259:143] + node _T_1046 = bits(store_data_lo_m, 23, 16) @[lsu_dccm_ctl.scala 259:167] + node _T_1047 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 259:211] + node _T_1048 = bits(_T_1047, 0, 0) @[lsu_dccm_ctl.scala 259:237] + node _T_1049 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 259:262] + node _T_1050 = bits(io.sec_data_lo_m, 23, 16) @[lsu_dccm_ctl.scala 259:292] + node _T_1051 = mux(_T_1048, _T_1049, _T_1050) @[lsu_dccm_ctl.scala 259:185] + node _T_1052 = mux(_T_1045, _T_1046, _T_1051) @[lsu_dccm_ctl.scala 259:120] + node _T_1053 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1054 = xor(UInt<8>("h0ff"), _T_1053) @[Bitwise.scala 102:21] + node _T_1055 = shr(_T_1052, 4) @[Bitwise.scala 103:21] + node _T_1056 = and(_T_1055, _T_1054) @[Bitwise.scala 103:31] + node _T_1057 = bits(_T_1052, 3, 0) @[Bitwise.scala 103:46] + node _T_1058 = shl(_T_1057, 4) @[Bitwise.scala 103:65] + node _T_1059 = not(_T_1054) @[Bitwise.scala 103:77] + node _T_1060 = and(_T_1058, _T_1059) @[Bitwise.scala 103:75] + node _T_1061 = or(_T_1056, _T_1060) @[Bitwise.scala 103:39] + node _T_1062 = bits(_T_1054, 5, 0) @[Bitwise.scala 102:28] + node _T_1063 = shl(_T_1062, 2) @[Bitwise.scala 102:47] + node _T_1064 = xor(_T_1054, _T_1063) @[Bitwise.scala 102:21] + node _T_1065 = shr(_T_1061, 2) @[Bitwise.scala 103:21] + node _T_1066 = and(_T_1065, _T_1064) @[Bitwise.scala 103:31] + node _T_1067 = bits(_T_1061, 5, 0) @[Bitwise.scala 103:46] + node _T_1068 = shl(_T_1067, 2) @[Bitwise.scala 103:65] + node _T_1069 = not(_T_1064) @[Bitwise.scala 103:77] + node _T_1070 = and(_T_1068, _T_1069) @[Bitwise.scala 103:75] + node _T_1071 = or(_T_1066, _T_1070) @[Bitwise.scala 103:39] + node _T_1072 = bits(_T_1064, 6, 0) @[Bitwise.scala 102:28] + node _T_1073 = shl(_T_1072, 1) @[Bitwise.scala 102:47] + node _T_1074 = xor(_T_1064, _T_1073) @[Bitwise.scala 102:21] + node _T_1075 = shr(_T_1071, 1) @[Bitwise.scala 103:21] + node _T_1076 = and(_T_1075, _T_1074) @[Bitwise.scala 103:31] + node _T_1077 = bits(_T_1071, 6, 0) @[Bitwise.scala 103:46] + node _T_1078 = shl(_T_1077, 1) @[Bitwise.scala 103:65] + node _T_1079 = not(_T_1074) @[Bitwise.scala 103:77] + node _T_1080 = and(_T_1078, _T_1079) @[Bitwise.scala 103:75] + node _T_1081 = or(_T_1076, _T_1080) @[Bitwise.scala 103:39] + node _T_1082 = bits(store_byteen_ext_m, 3, 3) @[lsu_dccm_ctl.scala 259:139] + node _T_1083 = bits(_T_1082, 0, 0) @[lsu_dccm_ctl.scala 259:143] + node _T_1084 = bits(store_data_lo_m, 31, 24) @[lsu_dccm_ctl.scala 259:167] + node _T_1085 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_lo) @[lsu_dccm_ctl.scala 259:211] + node _T_1086 = bits(_T_1085, 0, 0) @[lsu_dccm_ctl.scala 259:237] + node _T_1087 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 259:262] + node _T_1088 = bits(io.sec_data_lo_m, 31, 24) @[lsu_dccm_ctl.scala 259:292] + node _T_1089 = mux(_T_1086, _T_1087, _T_1088) @[lsu_dccm_ctl.scala 259:185] + node _T_1090 = mux(_T_1083, _T_1084, _T_1089) @[lsu_dccm_ctl.scala 259:120] + node _T_1091 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1092 = xor(UInt<8>("h0ff"), _T_1091) @[Bitwise.scala 102:21] + node _T_1093 = shr(_T_1090, 4) @[Bitwise.scala 103:21] + node _T_1094 = and(_T_1093, _T_1092) @[Bitwise.scala 103:31] + node _T_1095 = bits(_T_1090, 3, 0) @[Bitwise.scala 103:46] + node _T_1096 = shl(_T_1095, 4) @[Bitwise.scala 103:65] + node _T_1097 = not(_T_1092) @[Bitwise.scala 103:77] + node _T_1098 = and(_T_1096, _T_1097) @[Bitwise.scala 103:75] + node _T_1099 = or(_T_1094, _T_1098) @[Bitwise.scala 103:39] + node _T_1100 = bits(_T_1092, 5, 0) @[Bitwise.scala 102:28] + node _T_1101 = shl(_T_1100, 2) @[Bitwise.scala 102:47] + node _T_1102 = xor(_T_1092, _T_1101) @[Bitwise.scala 102:21] + node _T_1103 = shr(_T_1099, 2) @[Bitwise.scala 103:21] + node _T_1104 = and(_T_1103, _T_1102) @[Bitwise.scala 103:31] + node _T_1105 = bits(_T_1099, 5, 0) @[Bitwise.scala 103:46] + node _T_1106 = shl(_T_1105, 2) @[Bitwise.scala 103:65] + node _T_1107 = not(_T_1102) @[Bitwise.scala 103:77] + node _T_1108 = and(_T_1106, _T_1107) @[Bitwise.scala 103:75] + node _T_1109 = or(_T_1104, _T_1108) @[Bitwise.scala 103:39] + node _T_1110 = bits(_T_1102, 6, 0) @[Bitwise.scala 102:28] + node _T_1111 = shl(_T_1110, 1) @[Bitwise.scala 102:47] + node _T_1112 = xor(_T_1102, _T_1111) @[Bitwise.scala 102:21] + node _T_1113 = shr(_T_1109, 1) @[Bitwise.scala 103:21] + node _T_1114 = and(_T_1113, _T_1112) @[Bitwise.scala 103:31] + node _T_1115 = bits(_T_1109, 6, 0) @[Bitwise.scala 103:46] + node _T_1116 = shl(_T_1115, 1) @[Bitwise.scala 103:65] + node _T_1117 = not(_T_1112) @[Bitwise.scala 103:77] + node _T_1118 = and(_T_1116, _T_1117) @[Bitwise.scala 103:75] + node _T_1119 = or(_T_1114, _T_1118) @[Bitwise.scala 103:39] + wire _T_1120 : UInt<8>[4] @[lsu_dccm_ctl.scala 259:104] + _T_1120[0] <= _T_1005 @[lsu_dccm_ctl.scala 259:104] + _T_1120[1] <= _T_1043 @[lsu_dccm_ctl.scala 259:104] + _T_1120[2] <= _T_1081 @[lsu_dccm_ctl.scala 259:104] + _T_1120[3] <= _T_1119 @[lsu_dccm_ctl.scala 259:104] + node _T_1121 = cat(_T_1120[2], _T_1120[3]) @[Cat.scala 29:58] + node _T_1122 = cat(_T_1120[0], _T_1120[1]) @[Cat.scala 29:58] + node _T_1123 = cat(_T_1122, _T_1121) @[Cat.scala 29:58] + node _T_1124 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1125 = xor(UInt<32>("h0ffffffff"), _T_1124) @[Bitwise.scala 102:21] + node _T_1126 = shr(_T_1123, 16) @[Bitwise.scala 103:21] + node _T_1127 = and(_T_1126, _T_1125) @[Bitwise.scala 103:31] + node _T_1128 = bits(_T_1123, 15, 0) @[Bitwise.scala 103:46] + node _T_1129 = shl(_T_1128, 16) @[Bitwise.scala 103:65] + node _T_1130 = not(_T_1125) @[Bitwise.scala 103:77] + node _T_1131 = and(_T_1129, _T_1130) @[Bitwise.scala 103:75] + node _T_1132 = or(_T_1127, _T_1131) @[Bitwise.scala 103:39] + node _T_1133 = bits(_T_1125, 23, 0) @[Bitwise.scala 102:28] + node _T_1134 = shl(_T_1133, 8) @[Bitwise.scala 102:47] + node _T_1135 = xor(_T_1125, _T_1134) @[Bitwise.scala 102:21] + node _T_1136 = shr(_T_1132, 8) @[Bitwise.scala 103:21] + node _T_1137 = and(_T_1136, _T_1135) @[Bitwise.scala 103:31] + node _T_1138 = bits(_T_1132, 23, 0) @[Bitwise.scala 103:46] + node _T_1139 = shl(_T_1138, 8) @[Bitwise.scala 103:65] + node _T_1140 = not(_T_1135) @[Bitwise.scala 103:77] + node _T_1141 = and(_T_1139, _T_1140) @[Bitwise.scala 103:75] + node _T_1142 = or(_T_1137, _T_1141) @[Bitwise.scala 103:39] + node _T_1143 = bits(_T_1135, 27, 0) @[Bitwise.scala 102:28] + node _T_1144 = shl(_T_1143, 4) @[Bitwise.scala 102:47] + node _T_1145 = xor(_T_1135, _T_1144) @[Bitwise.scala 102:21] + node _T_1146 = shr(_T_1142, 4) @[Bitwise.scala 103:21] + node _T_1147 = and(_T_1146, _T_1145) @[Bitwise.scala 103:31] + node _T_1148 = bits(_T_1142, 27, 0) @[Bitwise.scala 103:46] + node _T_1149 = shl(_T_1148, 4) @[Bitwise.scala 103:65] + node _T_1150 = not(_T_1145) @[Bitwise.scala 103:77] + node _T_1151 = and(_T_1149, _T_1150) @[Bitwise.scala 103:75] + node _T_1152 = or(_T_1147, _T_1151) @[Bitwise.scala 103:39] + node _T_1153 = bits(_T_1145, 29, 0) @[Bitwise.scala 102:28] + node _T_1154 = shl(_T_1153, 2) @[Bitwise.scala 102:47] + node _T_1155 = xor(_T_1145, _T_1154) @[Bitwise.scala 102:21] + node _T_1156 = shr(_T_1152, 2) @[Bitwise.scala 103:21] + node _T_1157 = and(_T_1156, _T_1155) @[Bitwise.scala 103:31] + node _T_1158 = bits(_T_1152, 29, 0) @[Bitwise.scala 103:46] + node _T_1159 = shl(_T_1158, 2) @[Bitwise.scala 103:65] + node _T_1160 = not(_T_1155) @[Bitwise.scala 103:77] + node _T_1161 = and(_T_1159, _T_1160) @[Bitwise.scala 103:75] + node _T_1162 = or(_T_1157, _T_1161) @[Bitwise.scala 103:39] + node _T_1163 = bits(_T_1155, 30, 0) @[Bitwise.scala 102:28] + node _T_1164 = shl(_T_1163, 1) @[Bitwise.scala 102:47] + node _T_1165 = xor(_T_1155, _T_1164) @[Bitwise.scala 102:21] + node _T_1166 = shr(_T_1162, 1) @[Bitwise.scala 103:21] + node _T_1167 = and(_T_1166, _T_1165) @[Bitwise.scala 103:31] + node _T_1168 = bits(_T_1162, 30, 0) @[Bitwise.scala 103:46] + node _T_1169 = shl(_T_1168, 1) @[Bitwise.scala 103:65] + node _T_1170 = not(_T_1165) @[Bitwise.scala 103:77] + node _T_1171 = and(_T_1169, _T_1170) @[Bitwise.scala 103:75] + node _T_1172 = or(_T_1167, _T_1171) @[Bitwise.scala 103:39] + reg _T_1173 : UInt, io.lsu_store_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 259:72] + _T_1173 <= _T_1172 @[lsu_dccm_ctl.scala 259:72] + io.store_data_lo_r <= _T_1173 @[lsu_dccm_ctl.scala 259:29] + node _T_1174 = bits(store_byteen_ext_m, 4, 4) @[lsu_dccm_ctl.scala 260:139] + node _T_1175 = bits(_T_1174, 0, 0) @[lsu_dccm_ctl.scala 260:145] + node _T_1176 = bits(store_data_hi_m, 7, 0) @[lsu_dccm_ctl.scala 260:167] + node _T_1177 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 260:211] + node _T_1178 = bits(_T_1177, 0, 0) @[lsu_dccm_ctl.scala 260:237] + node _T_1179 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 260:262] + node _T_1180 = bits(io.sec_data_hi_m, 7, 0) @[lsu_dccm_ctl.scala 260:292] + node _T_1181 = mux(_T_1178, _T_1179, _T_1180) @[lsu_dccm_ctl.scala 260:185] + node _T_1182 = mux(_T_1175, _T_1176, _T_1181) @[lsu_dccm_ctl.scala 260:120] + node _T_1183 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1184 = xor(UInt<8>("h0ff"), _T_1183) @[Bitwise.scala 102:21] + node _T_1185 = shr(_T_1182, 4) @[Bitwise.scala 103:21] + node _T_1186 = and(_T_1185, _T_1184) @[Bitwise.scala 103:31] + node _T_1187 = bits(_T_1182, 3, 0) @[Bitwise.scala 103:46] + node _T_1188 = shl(_T_1187, 4) @[Bitwise.scala 103:65] + node _T_1189 = not(_T_1184) @[Bitwise.scala 103:77] + node _T_1190 = and(_T_1188, _T_1189) @[Bitwise.scala 103:75] + node _T_1191 = or(_T_1186, _T_1190) @[Bitwise.scala 103:39] + node _T_1192 = bits(_T_1184, 5, 0) @[Bitwise.scala 102:28] + node _T_1193 = shl(_T_1192, 2) @[Bitwise.scala 102:47] + node _T_1194 = xor(_T_1184, _T_1193) @[Bitwise.scala 102:21] + node _T_1195 = shr(_T_1191, 2) @[Bitwise.scala 103:21] + node _T_1196 = and(_T_1195, _T_1194) @[Bitwise.scala 103:31] + node _T_1197 = bits(_T_1191, 5, 0) @[Bitwise.scala 103:46] + node _T_1198 = shl(_T_1197, 2) @[Bitwise.scala 103:65] + node _T_1199 = not(_T_1194) @[Bitwise.scala 103:77] + node _T_1200 = and(_T_1198, _T_1199) @[Bitwise.scala 103:75] + node _T_1201 = or(_T_1196, _T_1200) @[Bitwise.scala 103:39] + node _T_1202 = bits(_T_1194, 6, 0) @[Bitwise.scala 102:28] + node _T_1203 = shl(_T_1202, 1) @[Bitwise.scala 102:47] + node _T_1204 = xor(_T_1194, _T_1203) @[Bitwise.scala 102:21] + node _T_1205 = shr(_T_1201, 1) @[Bitwise.scala 103:21] + node _T_1206 = and(_T_1205, _T_1204) @[Bitwise.scala 103:31] + node _T_1207 = bits(_T_1201, 6, 0) @[Bitwise.scala 103:46] + node _T_1208 = shl(_T_1207, 1) @[Bitwise.scala 103:65] + node _T_1209 = not(_T_1204) @[Bitwise.scala 103:77] + node _T_1210 = and(_T_1208, _T_1209) @[Bitwise.scala 103:75] + node _T_1211 = or(_T_1206, _T_1210) @[Bitwise.scala 103:39] + node _T_1212 = bits(store_byteen_ext_m, 5, 5) @[lsu_dccm_ctl.scala 260:139] + node _T_1213 = bits(_T_1212, 0, 0) @[lsu_dccm_ctl.scala 260:145] + node _T_1214 = bits(store_data_hi_m, 15, 8) @[lsu_dccm_ctl.scala 260:167] + node _T_1215 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 260:211] + node _T_1216 = bits(_T_1215, 0, 0) @[lsu_dccm_ctl.scala 260:237] + node _T_1217 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 260:262] + node _T_1218 = bits(io.sec_data_hi_m, 15, 8) @[lsu_dccm_ctl.scala 260:292] + node _T_1219 = mux(_T_1216, _T_1217, _T_1218) @[lsu_dccm_ctl.scala 260:185] + node _T_1220 = mux(_T_1213, _T_1214, _T_1219) @[lsu_dccm_ctl.scala 260:120] + node _T_1221 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1222 = xor(UInt<8>("h0ff"), _T_1221) @[Bitwise.scala 102:21] + node _T_1223 = shr(_T_1220, 4) @[Bitwise.scala 103:21] + node _T_1224 = and(_T_1223, _T_1222) @[Bitwise.scala 103:31] + node _T_1225 = bits(_T_1220, 3, 0) @[Bitwise.scala 103:46] + node _T_1226 = shl(_T_1225, 4) @[Bitwise.scala 103:65] + node _T_1227 = not(_T_1222) @[Bitwise.scala 103:77] + node _T_1228 = and(_T_1226, _T_1227) @[Bitwise.scala 103:75] + node _T_1229 = or(_T_1224, _T_1228) @[Bitwise.scala 103:39] + node _T_1230 = bits(_T_1222, 5, 0) @[Bitwise.scala 102:28] + node _T_1231 = shl(_T_1230, 2) @[Bitwise.scala 102:47] + node _T_1232 = xor(_T_1222, _T_1231) @[Bitwise.scala 102:21] + node _T_1233 = shr(_T_1229, 2) @[Bitwise.scala 103:21] + node _T_1234 = and(_T_1233, _T_1232) @[Bitwise.scala 103:31] + node _T_1235 = bits(_T_1229, 5, 0) @[Bitwise.scala 103:46] + node _T_1236 = shl(_T_1235, 2) @[Bitwise.scala 103:65] + node _T_1237 = not(_T_1232) @[Bitwise.scala 103:77] + node _T_1238 = and(_T_1236, _T_1237) @[Bitwise.scala 103:75] + node _T_1239 = or(_T_1234, _T_1238) @[Bitwise.scala 103:39] + node _T_1240 = bits(_T_1232, 6, 0) @[Bitwise.scala 102:28] + node _T_1241 = shl(_T_1240, 1) @[Bitwise.scala 102:47] + node _T_1242 = xor(_T_1232, _T_1241) @[Bitwise.scala 102:21] + node _T_1243 = shr(_T_1239, 1) @[Bitwise.scala 103:21] + node _T_1244 = and(_T_1243, _T_1242) @[Bitwise.scala 103:31] + node _T_1245 = bits(_T_1239, 6, 0) @[Bitwise.scala 103:46] + node _T_1246 = shl(_T_1245, 1) @[Bitwise.scala 103:65] + node _T_1247 = not(_T_1242) @[Bitwise.scala 103:77] + node _T_1248 = and(_T_1246, _T_1247) @[Bitwise.scala 103:75] + node _T_1249 = or(_T_1244, _T_1248) @[Bitwise.scala 103:39] + node _T_1250 = bits(store_byteen_ext_m, 6, 6) @[lsu_dccm_ctl.scala 260:139] + node _T_1251 = bits(_T_1250, 0, 0) @[lsu_dccm_ctl.scala 260:145] + node _T_1252 = bits(store_data_hi_m, 23, 16) @[lsu_dccm_ctl.scala 260:167] + node _T_1253 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 260:211] + node _T_1254 = bits(_T_1253, 0, 0) @[lsu_dccm_ctl.scala 260:237] + node _T_1255 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 260:262] + node _T_1256 = bits(io.sec_data_hi_m, 23, 16) @[lsu_dccm_ctl.scala 260:292] + node _T_1257 = mux(_T_1254, _T_1255, _T_1256) @[lsu_dccm_ctl.scala 260:185] + node _T_1258 = mux(_T_1251, _T_1252, _T_1257) @[lsu_dccm_ctl.scala 260:120] + node _T_1259 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1260 = xor(UInt<8>("h0ff"), _T_1259) @[Bitwise.scala 102:21] + node _T_1261 = shr(_T_1258, 4) @[Bitwise.scala 103:21] + node _T_1262 = and(_T_1261, _T_1260) @[Bitwise.scala 103:31] + node _T_1263 = bits(_T_1258, 3, 0) @[Bitwise.scala 103:46] + node _T_1264 = shl(_T_1263, 4) @[Bitwise.scala 103:65] + node _T_1265 = not(_T_1260) @[Bitwise.scala 103:77] + node _T_1266 = and(_T_1264, _T_1265) @[Bitwise.scala 103:75] + node _T_1267 = or(_T_1262, _T_1266) @[Bitwise.scala 103:39] + node _T_1268 = bits(_T_1260, 5, 0) @[Bitwise.scala 102:28] + node _T_1269 = shl(_T_1268, 2) @[Bitwise.scala 102:47] + node _T_1270 = xor(_T_1260, _T_1269) @[Bitwise.scala 102:21] + node _T_1271 = shr(_T_1267, 2) @[Bitwise.scala 103:21] + node _T_1272 = and(_T_1271, _T_1270) @[Bitwise.scala 103:31] + node _T_1273 = bits(_T_1267, 5, 0) @[Bitwise.scala 103:46] + node _T_1274 = shl(_T_1273, 2) @[Bitwise.scala 103:65] + node _T_1275 = not(_T_1270) @[Bitwise.scala 103:77] + node _T_1276 = and(_T_1274, _T_1275) @[Bitwise.scala 103:75] + node _T_1277 = or(_T_1272, _T_1276) @[Bitwise.scala 103:39] + node _T_1278 = bits(_T_1270, 6, 0) @[Bitwise.scala 102:28] + node _T_1279 = shl(_T_1278, 1) @[Bitwise.scala 102:47] + node _T_1280 = xor(_T_1270, _T_1279) @[Bitwise.scala 102:21] + node _T_1281 = shr(_T_1277, 1) @[Bitwise.scala 103:21] + node _T_1282 = and(_T_1281, _T_1280) @[Bitwise.scala 103:31] + node _T_1283 = bits(_T_1277, 6, 0) @[Bitwise.scala 103:46] + node _T_1284 = shl(_T_1283, 1) @[Bitwise.scala 103:65] + node _T_1285 = not(_T_1280) @[Bitwise.scala 103:77] + node _T_1286 = and(_T_1284, _T_1285) @[Bitwise.scala 103:75] + node _T_1287 = or(_T_1282, _T_1286) @[Bitwise.scala 103:39] + node _T_1288 = bits(store_byteen_ext_m, 7, 7) @[lsu_dccm_ctl.scala 260:139] + node _T_1289 = bits(_T_1288, 0, 0) @[lsu_dccm_ctl.scala 260:145] + node _T_1290 = bits(store_data_hi_m, 31, 24) @[lsu_dccm_ctl.scala 260:167] + node _T_1291 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_m_hi) @[lsu_dccm_ctl.scala 260:211] + node _T_1292 = bits(_T_1291, 0, 0) @[lsu_dccm_ctl.scala 260:237] + node _T_1293 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 260:262] + node _T_1294 = bits(io.sec_data_hi_m, 31, 24) @[lsu_dccm_ctl.scala 260:292] + node _T_1295 = mux(_T_1292, _T_1293, _T_1294) @[lsu_dccm_ctl.scala 260:185] + node _T_1296 = mux(_T_1289, _T_1290, _T_1295) @[lsu_dccm_ctl.scala 260:120] + node _T_1297 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1298 = xor(UInt<8>("h0ff"), _T_1297) @[Bitwise.scala 102:21] + node _T_1299 = shr(_T_1296, 4) @[Bitwise.scala 103:21] + node _T_1300 = and(_T_1299, _T_1298) @[Bitwise.scala 103:31] + node _T_1301 = bits(_T_1296, 3, 0) @[Bitwise.scala 103:46] + node _T_1302 = shl(_T_1301, 4) @[Bitwise.scala 103:65] + node _T_1303 = not(_T_1298) @[Bitwise.scala 103:77] + node _T_1304 = and(_T_1302, _T_1303) @[Bitwise.scala 103:75] + node _T_1305 = or(_T_1300, _T_1304) @[Bitwise.scala 103:39] + node _T_1306 = bits(_T_1298, 5, 0) @[Bitwise.scala 102:28] + node _T_1307 = shl(_T_1306, 2) @[Bitwise.scala 102:47] + node _T_1308 = xor(_T_1298, _T_1307) @[Bitwise.scala 102:21] + node _T_1309 = shr(_T_1305, 2) @[Bitwise.scala 103:21] + node _T_1310 = and(_T_1309, _T_1308) @[Bitwise.scala 103:31] + node _T_1311 = bits(_T_1305, 5, 0) @[Bitwise.scala 103:46] + node _T_1312 = shl(_T_1311, 2) @[Bitwise.scala 103:65] + node _T_1313 = not(_T_1308) @[Bitwise.scala 103:77] + node _T_1314 = and(_T_1312, _T_1313) @[Bitwise.scala 103:75] + node _T_1315 = or(_T_1310, _T_1314) @[Bitwise.scala 103:39] + node _T_1316 = bits(_T_1308, 6, 0) @[Bitwise.scala 102:28] + node _T_1317 = shl(_T_1316, 1) @[Bitwise.scala 102:47] + node _T_1318 = xor(_T_1308, _T_1317) @[Bitwise.scala 102:21] + node _T_1319 = shr(_T_1315, 1) @[Bitwise.scala 103:21] + node _T_1320 = and(_T_1319, _T_1318) @[Bitwise.scala 103:31] + node _T_1321 = bits(_T_1315, 6, 0) @[Bitwise.scala 103:46] + node _T_1322 = shl(_T_1321, 1) @[Bitwise.scala 103:65] + node _T_1323 = not(_T_1318) @[Bitwise.scala 103:77] + node _T_1324 = and(_T_1322, _T_1323) @[Bitwise.scala 103:75] + node _T_1325 = or(_T_1320, _T_1324) @[Bitwise.scala 103:39] + wire _T_1326 : UInt<8>[4] @[lsu_dccm_ctl.scala 260:104] + _T_1326[0] <= _T_1211 @[lsu_dccm_ctl.scala 260:104] + _T_1326[1] <= _T_1249 @[lsu_dccm_ctl.scala 260:104] + _T_1326[2] <= _T_1287 @[lsu_dccm_ctl.scala 260:104] + _T_1326[3] <= _T_1325 @[lsu_dccm_ctl.scala 260:104] + node _T_1327 = cat(_T_1326[2], _T_1326[3]) @[Cat.scala 29:58] + node _T_1328 = cat(_T_1326[0], _T_1326[1]) @[Cat.scala 29:58] + node _T_1329 = cat(_T_1328, _T_1327) @[Cat.scala 29:58] + node _T_1330 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1331 = xor(UInt<32>("h0ffffffff"), _T_1330) @[Bitwise.scala 102:21] + node _T_1332 = shr(_T_1329, 16) @[Bitwise.scala 103:21] + node _T_1333 = and(_T_1332, _T_1331) @[Bitwise.scala 103:31] + node _T_1334 = bits(_T_1329, 15, 0) @[Bitwise.scala 103:46] + node _T_1335 = shl(_T_1334, 16) @[Bitwise.scala 103:65] + node _T_1336 = not(_T_1331) @[Bitwise.scala 103:77] + node _T_1337 = and(_T_1335, _T_1336) @[Bitwise.scala 103:75] + node _T_1338 = or(_T_1333, _T_1337) @[Bitwise.scala 103:39] + node _T_1339 = bits(_T_1331, 23, 0) @[Bitwise.scala 102:28] + node _T_1340 = shl(_T_1339, 8) @[Bitwise.scala 102:47] + node _T_1341 = xor(_T_1331, _T_1340) @[Bitwise.scala 102:21] + node _T_1342 = shr(_T_1338, 8) @[Bitwise.scala 103:21] + node _T_1343 = and(_T_1342, _T_1341) @[Bitwise.scala 103:31] + node _T_1344 = bits(_T_1338, 23, 0) @[Bitwise.scala 103:46] + node _T_1345 = shl(_T_1344, 8) @[Bitwise.scala 103:65] + node _T_1346 = not(_T_1341) @[Bitwise.scala 103:77] + node _T_1347 = and(_T_1345, _T_1346) @[Bitwise.scala 103:75] + node _T_1348 = or(_T_1343, _T_1347) @[Bitwise.scala 103:39] + node _T_1349 = bits(_T_1341, 27, 0) @[Bitwise.scala 102:28] + node _T_1350 = shl(_T_1349, 4) @[Bitwise.scala 102:47] + node _T_1351 = xor(_T_1341, _T_1350) @[Bitwise.scala 102:21] + node _T_1352 = shr(_T_1348, 4) @[Bitwise.scala 103:21] + node _T_1353 = and(_T_1352, _T_1351) @[Bitwise.scala 103:31] + node _T_1354 = bits(_T_1348, 27, 0) @[Bitwise.scala 103:46] + node _T_1355 = shl(_T_1354, 4) @[Bitwise.scala 103:65] + node _T_1356 = not(_T_1351) @[Bitwise.scala 103:77] + node _T_1357 = and(_T_1355, _T_1356) @[Bitwise.scala 103:75] + node _T_1358 = or(_T_1353, _T_1357) @[Bitwise.scala 103:39] + node _T_1359 = bits(_T_1351, 29, 0) @[Bitwise.scala 102:28] + node _T_1360 = shl(_T_1359, 2) @[Bitwise.scala 102:47] + node _T_1361 = xor(_T_1351, _T_1360) @[Bitwise.scala 102:21] + node _T_1362 = shr(_T_1358, 2) @[Bitwise.scala 103:21] + node _T_1363 = and(_T_1362, _T_1361) @[Bitwise.scala 103:31] + node _T_1364 = bits(_T_1358, 29, 0) @[Bitwise.scala 103:46] + node _T_1365 = shl(_T_1364, 2) @[Bitwise.scala 103:65] + node _T_1366 = not(_T_1361) @[Bitwise.scala 103:77] + node _T_1367 = and(_T_1365, _T_1366) @[Bitwise.scala 103:75] + node _T_1368 = or(_T_1363, _T_1367) @[Bitwise.scala 103:39] + node _T_1369 = bits(_T_1361, 30, 0) @[Bitwise.scala 102:28] + node _T_1370 = shl(_T_1369, 1) @[Bitwise.scala 102:47] + node _T_1371 = xor(_T_1361, _T_1370) @[Bitwise.scala 102:21] + node _T_1372 = shr(_T_1368, 1) @[Bitwise.scala 103:21] + node _T_1373 = and(_T_1372, _T_1371) @[Bitwise.scala 103:31] + node _T_1374 = bits(_T_1368, 30, 0) @[Bitwise.scala 103:46] + node _T_1375 = shl(_T_1374, 1) @[Bitwise.scala 103:65] + node _T_1376 = not(_T_1371) @[Bitwise.scala 103:77] + node _T_1377 = and(_T_1375, _T_1376) @[Bitwise.scala 103:75] + node _T_1378 = or(_T_1373, _T_1377) @[Bitwise.scala 103:39] + reg _T_1379 : UInt, io.lsu_store_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 260:72] + _T_1379 <= _T_1378 @[lsu_dccm_ctl.scala 260:72] + io.store_data_hi_r <= _T_1379 @[lsu_dccm_ctl.scala 260:29] + node _T_1380 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 261:105] + node _T_1381 = bits(store_byteen_ext_r, 0, 0) @[lsu_dccm_ctl.scala 261:150] + node _T_1382 = eq(_T_1381, UInt<1>("h00")) @[lsu_dccm_ctl.scala 261:131] + node _T_1383 = and(_T_1380, _T_1382) @[lsu_dccm_ctl.scala 261:129] + node _T_1384 = bits(_T_1383, 0, 0) @[lsu_dccm_ctl.scala 261:155] + node _T_1385 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 261:179] + node _T_1386 = bits(io.store_data_lo_r, 7, 0) @[lsu_dccm_ctl.scala 261:211] + node _T_1387 = mux(_T_1384, _T_1385, _T_1386) @[lsu_dccm_ctl.scala 261:79] + node _T_1388 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1389 = xor(UInt<8>("h0ff"), _T_1388) @[Bitwise.scala 102:21] + node _T_1390 = shr(_T_1387, 4) @[Bitwise.scala 103:21] + node _T_1391 = and(_T_1390, _T_1389) @[Bitwise.scala 103:31] + node _T_1392 = bits(_T_1387, 3, 0) @[Bitwise.scala 103:46] + node _T_1393 = shl(_T_1392, 4) @[Bitwise.scala 103:65] + node _T_1394 = not(_T_1389) @[Bitwise.scala 103:77] + node _T_1395 = and(_T_1393, _T_1394) @[Bitwise.scala 103:75] + node _T_1396 = or(_T_1391, _T_1395) @[Bitwise.scala 103:39] + node _T_1397 = bits(_T_1389, 5, 0) @[Bitwise.scala 102:28] + node _T_1398 = shl(_T_1397, 2) @[Bitwise.scala 102:47] + node _T_1399 = xor(_T_1389, _T_1398) @[Bitwise.scala 102:21] + node _T_1400 = shr(_T_1396, 2) @[Bitwise.scala 103:21] + node _T_1401 = and(_T_1400, _T_1399) @[Bitwise.scala 103:31] + node _T_1402 = bits(_T_1396, 5, 0) @[Bitwise.scala 103:46] + node _T_1403 = shl(_T_1402, 2) @[Bitwise.scala 103:65] + node _T_1404 = not(_T_1399) @[Bitwise.scala 103:77] + node _T_1405 = and(_T_1403, _T_1404) @[Bitwise.scala 103:75] + node _T_1406 = or(_T_1401, _T_1405) @[Bitwise.scala 103:39] + node _T_1407 = bits(_T_1399, 6, 0) @[Bitwise.scala 102:28] + node _T_1408 = shl(_T_1407, 1) @[Bitwise.scala 102:47] + node _T_1409 = xor(_T_1399, _T_1408) @[Bitwise.scala 102:21] + node _T_1410 = shr(_T_1406, 1) @[Bitwise.scala 103:21] + node _T_1411 = and(_T_1410, _T_1409) @[Bitwise.scala 103:31] + node _T_1412 = bits(_T_1406, 6, 0) @[Bitwise.scala 103:46] + node _T_1413 = shl(_T_1412, 1) @[Bitwise.scala 103:65] + node _T_1414 = not(_T_1409) @[Bitwise.scala 103:77] + node _T_1415 = and(_T_1413, _T_1414) @[Bitwise.scala 103:75] + node _T_1416 = or(_T_1411, _T_1415) @[Bitwise.scala 103:39] + node _T_1417 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 261:105] + node _T_1418 = bits(store_byteen_ext_r, 1, 1) @[lsu_dccm_ctl.scala 261:150] + node _T_1419 = eq(_T_1418, UInt<1>("h00")) @[lsu_dccm_ctl.scala 261:131] + node _T_1420 = and(_T_1417, _T_1419) @[lsu_dccm_ctl.scala 261:129] + node _T_1421 = bits(_T_1420, 0, 0) @[lsu_dccm_ctl.scala 261:155] + node _T_1422 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 261:179] + node _T_1423 = bits(io.store_data_lo_r, 15, 8) @[lsu_dccm_ctl.scala 261:211] + node _T_1424 = mux(_T_1421, _T_1422, _T_1423) @[lsu_dccm_ctl.scala 261:79] + node _T_1425 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1426 = xor(UInt<8>("h0ff"), _T_1425) @[Bitwise.scala 102:21] + node _T_1427 = shr(_T_1424, 4) @[Bitwise.scala 103:21] + node _T_1428 = and(_T_1427, _T_1426) @[Bitwise.scala 103:31] + node _T_1429 = bits(_T_1424, 3, 0) @[Bitwise.scala 103:46] + node _T_1430 = shl(_T_1429, 4) @[Bitwise.scala 103:65] + node _T_1431 = not(_T_1426) @[Bitwise.scala 103:77] + node _T_1432 = and(_T_1430, _T_1431) @[Bitwise.scala 103:75] + node _T_1433 = or(_T_1428, _T_1432) @[Bitwise.scala 103:39] + node _T_1434 = bits(_T_1426, 5, 0) @[Bitwise.scala 102:28] + node _T_1435 = shl(_T_1434, 2) @[Bitwise.scala 102:47] + node _T_1436 = xor(_T_1426, _T_1435) @[Bitwise.scala 102:21] + node _T_1437 = shr(_T_1433, 2) @[Bitwise.scala 103:21] + node _T_1438 = and(_T_1437, _T_1436) @[Bitwise.scala 103:31] + node _T_1439 = bits(_T_1433, 5, 0) @[Bitwise.scala 103:46] + node _T_1440 = shl(_T_1439, 2) @[Bitwise.scala 103:65] + node _T_1441 = not(_T_1436) @[Bitwise.scala 103:77] + node _T_1442 = and(_T_1440, _T_1441) @[Bitwise.scala 103:75] + node _T_1443 = or(_T_1438, _T_1442) @[Bitwise.scala 103:39] + node _T_1444 = bits(_T_1436, 6, 0) @[Bitwise.scala 102:28] + node _T_1445 = shl(_T_1444, 1) @[Bitwise.scala 102:47] + node _T_1446 = xor(_T_1436, _T_1445) @[Bitwise.scala 102:21] + node _T_1447 = shr(_T_1443, 1) @[Bitwise.scala 103:21] + node _T_1448 = and(_T_1447, _T_1446) @[Bitwise.scala 103:31] + node _T_1449 = bits(_T_1443, 6, 0) @[Bitwise.scala 103:46] + node _T_1450 = shl(_T_1449, 1) @[Bitwise.scala 103:65] + node _T_1451 = not(_T_1446) @[Bitwise.scala 103:77] + node _T_1452 = and(_T_1450, _T_1451) @[Bitwise.scala 103:75] + node _T_1453 = or(_T_1448, _T_1452) @[Bitwise.scala 103:39] + node _T_1454 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 261:105] + node _T_1455 = bits(store_byteen_ext_r, 2, 2) @[lsu_dccm_ctl.scala 261:150] + node _T_1456 = eq(_T_1455, UInt<1>("h00")) @[lsu_dccm_ctl.scala 261:131] + node _T_1457 = and(_T_1454, _T_1456) @[lsu_dccm_ctl.scala 261:129] + node _T_1458 = bits(_T_1457, 0, 0) @[lsu_dccm_ctl.scala 261:155] + node _T_1459 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 261:179] + node _T_1460 = bits(io.store_data_lo_r, 23, 16) @[lsu_dccm_ctl.scala 261:211] + node _T_1461 = mux(_T_1458, _T_1459, _T_1460) @[lsu_dccm_ctl.scala 261:79] + node _T_1462 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1463 = xor(UInt<8>("h0ff"), _T_1462) @[Bitwise.scala 102:21] + node _T_1464 = shr(_T_1461, 4) @[Bitwise.scala 103:21] + node _T_1465 = and(_T_1464, _T_1463) @[Bitwise.scala 103:31] + node _T_1466 = bits(_T_1461, 3, 0) @[Bitwise.scala 103:46] + node _T_1467 = shl(_T_1466, 4) @[Bitwise.scala 103:65] + node _T_1468 = not(_T_1463) @[Bitwise.scala 103:77] + node _T_1469 = and(_T_1467, _T_1468) @[Bitwise.scala 103:75] + node _T_1470 = or(_T_1465, _T_1469) @[Bitwise.scala 103:39] + node _T_1471 = bits(_T_1463, 5, 0) @[Bitwise.scala 102:28] + node _T_1472 = shl(_T_1471, 2) @[Bitwise.scala 102:47] + node _T_1473 = xor(_T_1463, _T_1472) @[Bitwise.scala 102:21] + node _T_1474 = shr(_T_1470, 2) @[Bitwise.scala 103:21] + node _T_1475 = and(_T_1474, _T_1473) @[Bitwise.scala 103:31] + node _T_1476 = bits(_T_1470, 5, 0) @[Bitwise.scala 103:46] + node _T_1477 = shl(_T_1476, 2) @[Bitwise.scala 103:65] + node _T_1478 = not(_T_1473) @[Bitwise.scala 103:77] + node _T_1479 = and(_T_1477, _T_1478) @[Bitwise.scala 103:75] + node _T_1480 = or(_T_1475, _T_1479) @[Bitwise.scala 103:39] + node _T_1481 = bits(_T_1473, 6, 0) @[Bitwise.scala 102:28] + node _T_1482 = shl(_T_1481, 1) @[Bitwise.scala 102:47] + node _T_1483 = xor(_T_1473, _T_1482) @[Bitwise.scala 102:21] + node _T_1484 = shr(_T_1480, 1) @[Bitwise.scala 103:21] + node _T_1485 = and(_T_1484, _T_1483) @[Bitwise.scala 103:31] + node _T_1486 = bits(_T_1480, 6, 0) @[Bitwise.scala 103:46] + node _T_1487 = shl(_T_1486, 1) @[Bitwise.scala 103:65] + node _T_1488 = not(_T_1483) @[Bitwise.scala 103:77] + node _T_1489 = and(_T_1487, _T_1488) @[Bitwise.scala 103:75] + node _T_1490 = or(_T_1485, _T_1489) @[Bitwise.scala 103:39] + node _T_1491 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_lo) @[lsu_dccm_ctl.scala 261:105] + node _T_1492 = bits(store_byteen_ext_r, 3, 3) @[lsu_dccm_ctl.scala 261:150] + node _T_1493 = eq(_T_1492, UInt<1>("h00")) @[lsu_dccm_ctl.scala 261:131] + node _T_1494 = and(_T_1491, _T_1493) @[lsu_dccm_ctl.scala 261:129] + node _T_1495 = bits(_T_1494, 0, 0) @[lsu_dccm_ctl.scala 261:155] + node _T_1496 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 261:179] + node _T_1497 = bits(io.store_data_lo_r, 31, 24) @[lsu_dccm_ctl.scala 261:211] + node _T_1498 = mux(_T_1495, _T_1496, _T_1497) @[lsu_dccm_ctl.scala 261:79] + node _T_1499 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1500 = xor(UInt<8>("h0ff"), _T_1499) @[Bitwise.scala 102:21] + node _T_1501 = shr(_T_1498, 4) @[Bitwise.scala 103:21] + node _T_1502 = and(_T_1501, _T_1500) @[Bitwise.scala 103:31] + node _T_1503 = bits(_T_1498, 3, 0) @[Bitwise.scala 103:46] + node _T_1504 = shl(_T_1503, 4) @[Bitwise.scala 103:65] + node _T_1505 = not(_T_1500) @[Bitwise.scala 103:77] + node _T_1506 = and(_T_1504, _T_1505) @[Bitwise.scala 103:75] + node _T_1507 = or(_T_1502, _T_1506) @[Bitwise.scala 103:39] + node _T_1508 = bits(_T_1500, 5, 0) @[Bitwise.scala 102:28] + node _T_1509 = shl(_T_1508, 2) @[Bitwise.scala 102:47] + node _T_1510 = xor(_T_1500, _T_1509) @[Bitwise.scala 102:21] + node _T_1511 = shr(_T_1507, 2) @[Bitwise.scala 103:21] + node _T_1512 = and(_T_1511, _T_1510) @[Bitwise.scala 103:31] + node _T_1513 = bits(_T_1507, 5, 0) @[Bitwise.scala 103:46] + node _T_1514 = shl(_T_1513, 2) @[Bitwise.scala 103:65] + node _T_1515 = not(_T_1510) @[Bitwise.scala 103:77] + node _T_1516 = and(_T_1514, _T_1515) @[Bitwise.scala 103:75] + node _T_1517 = or(_T_1512, _T_1516) @[Bitwise.scala 103:39] + node _T_1518 = bits(_T_1510, 6, 0) @[Bitwise.scala 102:28] + node _T_1519 = shl(_T_1518, 1) @[Bitwise.scala 102:47] + node _T_1520 = xor(_T_1510, _T_1519) @[Bitwise.scala 102:21] + node _T_1521 = shr(_T_1517, 1) @[Bitwise.scala 103:21] + node _T_1522 = and(_T_1521, _T_1520) @[Bitwise.scala 103:31] + node _T_1523 = bits(_T_1517, 6, 0) @[Bitwise.scala 103:46] + node _T_1524 = shl(_T_1523, 1) @[Bitwise.scala 103:65] + node _T_1525 = not(_T_1520) @[Bitwise.scala 103:77] + node _T_1526 = and(_T_1524, _T_1525) @[Bitwise.scala 103:75] + node _T_1527 = or(_T_1522, _T_1526) @[Bitwise.scala 103:39] + wire _T_1528 : UInt<8>[4] @[lsu_dccm_ctl.scala 261:63] + _T_1528[0] <= _T_1416 @[lsu_dccm_ctl.scala 261:63] + _T_1528[1] <= _T_1453 @[lsu_dccm_ctl.scala 261:63] + _T_1528[2] <= _T_1490 @[lsu_dccm_ctl.scala 261:63] + _T_1528[3] <= _T_1527 @[lsu_dccm_ctl.scala 261:63] + node _T_1529 = cat(_T_1528[2], _T_1528[3]) @[Cat.scala 29:58] + node _T_1530 = cat(_T_1528[0], _T_1528[1]) @[Cat.scala 29:58] + node _T_1531 = cat(_T_1530, _T_1529) @[Cat.scala 29:58] + node _T_1532 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1533 = xor(UInt<32>("h0ffffffff"), _T_1532) @[Bitwise.scala 102:21] + node _T_1534 = shr(_T_1531, 16) @[Bitwise.scala 103:21] + node _T_1535 = and(_T_1534, _T_1533) @[Bitwise.scala 103:31] + node _T_1536 = bits(_T_1531, 15, 0) @[Bitwise.scala 103:46] + node _T_1537 = shl(_T_1536, 16) @[Bitwise.scala 103:65] + node _T_1538 = not(_T_1533) @[Bitwise.scala 103:77] + node _T_1539 = and(_T_1537, _T_1538) @[Bitwise.scala 103:75] + node _T_1540 = or(_T_1535, _T_1539) @[Bitwise.scala 103:39] + node _T_1541 = bits(_T_1533, 23, 0) @[Bitwise.scala 102:28] + node _T_1542 = shl(_T_1541, 8) @[Bitwise.scala 102:47] + node _T_1543 = xor(_T_1533, _T_1542) @[Bitwise.scala 102:21] + node _T_1544 = shr(_T_1540, 8) @[Bitwise.scala 103:21] + node _T_1545 = and(_T_1544, _T_1543) @[Bitwise.scala 103:31] + node _T_1546 = bits(_T_1540, 23, 0) @[Bitwise.scala 103:46] + node _T_1547 = shl(_T_1546, 8) @[Bitwise.scala 103:65] + node _T_1548 = not(_T_1543) @[Bitwise.scala 103:77] + node _T_1549 = and(_T_1547, _T_1548) @[Bitwise.scala 103:75] + node _T_1550 = or(_T_1545, _T_1549) @[Bitwise.scala 103:39] + node _T_1551 = bits(_T_1543, 27, 0) @[Bitwise.scala 102:28] + node _T_1552 = shl(_T_1551, 4) @[Bitwise.scala 102:47] + node _T_1553 = xor(_T_1543, _T_1552) @[Bitwise.scala 102:21] + node _T_1554 = shr(_T_1550, 4) @[Bitwise.scala 103:21] + node _T_1555 = and(_T_1554, _T_1553) @[Bitwise.scala 103:31] + node _T_1556 = bits(_T_1550, 27, 0) @[Bitwise.scala 103:46] + node _T_1557 = shl(_T_1556, 4) @[Bitwise.scala 103:65] + node _T_1558 = not(_T_1553) @[Bitwise.scala 103:77] + node _T_1559 = and(_T_1557, _T_1558) @[Bitwise.scala 103:75] + node _T_1560 = or(_T_1555, _T_1559) @[Bitwise.scala 103:39] + node _T_1561 = bits(_T_1553, 29, 0) @[Bitwise.scala 102:28] + node _T_1562 = shl(_T_1561, 2) @[Bitwise.scala 102:47] + node _T_1563 = xor(_T_1553, _T_1562) @[Bitwise.scala 102:21] + node _T_1564 = shr(_T_1560, 2) @[Bitwise.scala 103:21] + node _T_1565 = and(_T_1564, _T_1563) @[Bitwise.scala 103:31] + node _T_1566 = bits(_T_1560, 29, 0) @[Bitwise.scala 103:46] + node _T_1567 = shl(_T_1566, 2) @[Bitwise.scala 103:65] + node _T_1568 = not(_T_1563) @[Bitwise.scala 103:77] + node _T_1569 = and(_T_1567, _T_1568) @[Bitwise.scala 103:75] + node _T_1570 = or(_T_1565, _T_1569) @[Bitwise.scala 103:39] + node _T_1571 = bits(_T_1563, 30, 0) @[Bitwise.scala 102:28] + node _T_1572 = shl(_T_1571, 1) @[Bitwise.scala 102:47] + node _T_1573 = xor(_T_1563, _T_1572) @[Bitwise.scala 102:21] + node _T_1574 = shr(_T_1570, 1) @[Bitwise.scala 103:21] + node _T_1575 = and(_T_1574, _T_1573) @[Bitwise.scala 103:31] + node _T_1576 = bits(_T_1570, 30, 0) @[Bitwise.scala 103:46] + node _T_1577 = shl(_T_1576, 1) @[Bitwise.scala 103:65] + node _T_1578 = not(_T_1573) @[Bitwise.scala 103:77] + node _T_1579 = and(_T_1577, _T_1578) @[Bitwise.scala 103:75] + node _T_1580 = or(_T_1575, _T_1579) @[Bitwise.scala 103:39] + io.store_datafn_lo_r <= _T_1580 @[lsu_dccm_ctl.scala 261:29] + node _T_1581 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 262:105] + node _T_1582 = bits(store_byteen_ext_r, 4, 4) @[lsu_dccm_ctl.scala 262:150] + node _T_1583 = eq(_T_1582, UInt<1>("h00")) @[lsu_dccm_ctl.scala 262:131] + node _T_1584 = and(_T_1581, _T_1583) @[lsu_dccm_ctl.scala 262:129] + node _T_1585 = bits(_T_1584, 0, 0) @[lsu_dccm_ctl.scala 262:157] + node _T_1586 = bits(io.stbuf_data_any, 7, 0) @[lsu_dccm_ctl.scala 262:181] + node _T_1587 = bits(io.store_data_hi_r, 7, 0) @[lsu_dccm_ctl.scala 262:213] + node _T_1588 = mux(_T_1585, _T_1586, _T_1587) @[lsu_dccm_ctl.scala 262:79] + node _T_1589 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1590 = xor(UInt<8>("h0ff"), _T_1589) @[Bitwise.scala 102:21] + node _T_1591 = shr(_T_1588, 4) @[Bitwise.scala 103:21] + node _T_1592 = and(_T_1591, _T_1590) @[Bitwise.scala 103:31] + node _T_1593 = bits(_T_1588, 3, 0) @[Bitwise.scala 103:46] + node _T_1594 = shl(_T_1593, 4) @[Bitwise.scala 103:65] + node _T_1595 = not(_T_1590) @[Bitwise.scala 103:77] + node _T_1596 = and(_T_1594, _T_1595) @[Bitwise.scala 103:75] + node _T_1597 = or(_T_1592, _T_1596) @[Bitwise.scala 103:39] + node _T_1598 = bits(_T_1590, 5, 0) @[Bitwise.scala 102:28] + node _T_1599 = shl(_T_1598, 2) @[Bitwise.scala 102:47] + node _T_1600 = xor(_T_1590, _T_1599) @[Bitwise.scala 102:21] + node _T_1601 = shr(_T_1597, 2) @[Bitwise.scala 103:21] + node _T_1602 = and(_T_1601, _T_1600) @[Bitwise.scala 103:31] + node _T_1603 = bits(_T_1597, 5, 0) @[Bitwise.scala 103:46] + node _T_1604 = shl(_T_1603, 2) @[Bitwise.scala 103:65] + node _T_1605 = not(_T_1600) @[Bitwise.scala 103:77] + node _T_1606 = and(_T_1604, _T_1605) @[Bitwise.scala 103:75] + node _T_1607 = or(_T_1602, _T_1606) @[Bitwise.scala 103:39] + node _T_1608 = bits(_T_1600, 6, 0) @[Bitwise.scala 102:28] + node _T_1609 = shl(_T_1608, 1) @[Bitwise.scala 102:47] + node _T_1610 = xor(_T_1600, _T_1609) @[Bitwise.scala 102:21] + node _T_1611 = shr(_T_1607, 1) @[Bitwise.scala 103:21] + node _T_1612 = and(_T_1611, _T_1610) @[Bitwise.scala 103:31] + node _T_1613 = bits(_T_1607, 6, 0) @[Bitwise.scala 103:46] + node _T_1614 = shl(_T_1613, 1) @[Bitwise.scala 103:65] + node _T_1615 = not(_T_1610) @[Bitwise.scala 103:77] + node _T_1616 = and(_T_1614, _T_1615) @[Bitwise.scala 103:75] + node _T_1617 = or(_T_1612, _T_1616) @[Bitwise.scala 103:39] + node _T_1618 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 262:105] + node _T_1619 = bits(store_byteen_ext_r, 5, 5) @[lsu_dccm_ctl.scala 262:150] + node _T_1620 = eq(_T_1619, UInt<1>("h00")) @[lsu_dccm_ctl.scala 262:131] + node _T_1621 = and(_T_1618, _T_1620) @[lsu_dccm_ctl.scala 262:129] + node _T_1622 = bits(_T_1621, 0, 0) @[lsu_dccm_ctl.scala 262:157] + node _T_1623 = bits(io.stbuf_data_any, 15, 8) @[lsu_dccm_ctl.scala 262:181] + node _T_1624 = bits(io.store_data_hi_r, 15, 8) @[lsu_dccm_ctl.scala 262:213] + node _T_1625 = mux(_T_1622, _T_1623, _T_1624) @[lsu_dccm_ctl.scala 262:79] + node _T_1626 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1627 = xor(UInt<8>("h0ff"), _T_1626) @[Bitwise.scala 102:21] + node _T_1628 = shr(_T_1625, 4) @[Bitwise.scala 103:21] + node _T_1629 = and(_T_1628, _T_1627) @[Bitwise.scala 103:31] + node _T_1630 = bits(_T_1625, 3, 0) @[Bitwise.scala 103:46] + node _T_1631 = shl(_T_1630, 4) @[Bitwise.scala 103:65] + node _T_1632 = not(_T_1627) @[Bitwise.scala 103:77] + node _T_1633 = and(_T_1631, _T_1632) @[Bitwise.scala 103:75] + node _T_1634 = or(_T_1629, _T_1633) @[Bitwise.scala 103:39] + node _T_1635 = bits(_T_1627, 5, 0) @[Bitwise.scala 102:28] + node _T_1636 = shl(_T_1635, 2) @[Bitwise.scala 102:47] + node _T_1637 = xor(_T_1627, _T_1636) @[Bitwise.scala 102:21] + node _T_1638 = shr(_T_1634, 2) @[Bitwise.scala 103:21] + node _T_1639 = and(_T_1638, _T_1637) @[Bitwise.scala 103:31] + node _T_1640 = bits(_T_1634, 5, 0) @[Bitwise.scala 103:46] + node _T_1641 = shl(_T_1640, 2) @[Bitwise.scala 103:65] + node _T_1642 = not(_T_1637) @[Bitwise.scala 103:77] + node _T_1643 = and(_T_1641, _T_1642) @[Bitwise.scala 103:75] + node _T_1644 = or(_T_1639, _T_1643) @[Bitwise.scala 103:39] + node _T_1645 = bits(_T_1637, 6, 0) @[Bitwise.scala 102:28] + node _T_1646 = shl(_T_1645, 1) @[Bitwise.scala 102:47] + node _T_1647 = xor(_T_1637, _T_1646) @[Bitwise.scala 102:21] + node _T_1648 = shr(_T_1644, 1) @[Bitwise.scala 103:21] + node _T_1649 = and(_T_1648, _T_1647) @[Bitwise.scala 103:31] + node _T_1650 = bits(_T_1644, 6, 0) @[Bitwise.scala 103:46] + node _T_1651 = shl(_T_1650, 1) @[Bitwise.scala 103:65] + node _T_1652 = not(_T_1647) @[Bitwise.scala 103:77] + node _T_1653 = and(_T_1651, _T_1652) @[Bitwise.scala 103:75] + node _T_1654 = or(_T_1649, _T_1653) @[Bitwise.scala 103:39] + node _T_1655 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 262:105] + node _T_1656 = bits(store_byteen_ext_r, 6, 6) @[lsu_dccm_ctl.scala 262:150] + node _T_1657 = eq(_T_1656, UInt<1>("h00")) @[lsu_dccm_ctl.scala 262:131] + node _T_1658 = and(_T_1655, _T_1657) @[lsu_dccm_ctl.scala 262:129] + node _T_1659 = bits(_T_1658, 0, 0) @[lsu_dccm_ctl.scala 262:157] + node _T_1660 = bits(io.stbuf_data_any, 23, 16) @[lsu_dccm_ctl.scala 262:181] + node _T_1661 = bits(io.store_data_hi_r, 23, 16) @[lsu_dccm_ctl.scala 262:213] + node _T_1662 = mux(_T_1659, _T_1660, _T_1661) @[lsu_dccm_ctl.scala 262:79] + node _T_1663 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1664 = xor(UInt<8>("h0ff"), _T_1663) @[Bitwise.scala 102:21] + node _T_1665 = shr(_T_1662, 4) @[Bitwise.scala 103:21] + node _T_1666 = and(_T_1665, _T_1664) @[Bitwise.scala 103:31] + node _T_1667 = bits(_T_1662, 3, 0) @[Bitwise.scala 103:46] + node _T_1668 = shl(_T_1667, 4) @[Bitwise.scala 103:65] + node _T_1669 = not(_T_1664) @[Bitwise.scala 103:77] + node _T_1670 = and(_T_1668, _T_1669) @[Bitwise.scala 103:75] + node _T_1671 = or(_T_1666, _T_1670) @[Bitwise.scala 103:39] + node _T_1672 = bits(_T_1664, 5, 0) @[Bitwise.scala 102:28] + node _T_1673 = shl(_T_1672, 2) @[Bitwise.scala 102:47] + node _T_1674 = xor(_T_1664, _T_1673) @[Bitwise.scala 102:21] + node _T_1675 = shr(_T_1671, 2) @[Bitwise.scala 103:21] + node _T_1676 = and(_T_1675, _T_1674) @[Bitwise.scala 103:31] + node _T_1677 = bits(_T_1671, 5, 0) @[Bitwise.scala 103:46] + node _T_1678 = shl(_T_1677, 2) @[Bitwise.scala 103:65] + node _T_1679 = not(_T_1674) @[Bitwise.scala 103:77] + node _T_1680 = and(_T_1678, _T_1679) @[Bitwise.scala 103:75] + node _T_1681 = or(_T_1676, _T_1680) @[Bitwise.scala 103:39] + node _T_1682 = bits(_T_1674, 6, 0) @[Bitwise.scala 102:28] + node _T_1683 = shl(_T_1682, 1) @[Bitwise.scala 102:47] + node _T_1684 = xor(_T_1674, _T_1683) @[Bitwise.scala 102:21] + node _T_1685 = shr(_T_1681, 1) @[Bitwise.scala 103:21] + node _T_1686 = and(_T_1685, _T_1684) @[Bitwise.scala 103:31] + node _T_1687 = bits(_T_1681, 6, 0) @[Bitwise.scala 103:46] + node _T_1688 = shl(_T_1687, 1) @[Bitwise.scala 103:65] + node _T_1689 = not(_T_1684) @[Bitwise.scala 103:77] + node _T_1690 = and(_T_1688, _T_1689) @[Bitwise.scala 103:75] + node _T_1691 = or(_T_1686, _T_1690) @[Bitwise.scala 103:39] + node _T_1692 = and(io.lsu_stbuf_commit_any, dccm_wr_bypass_d_r_hi) @[lsu_dccm_ctl.scala 262:105] + node _T_1693 = bits(store_byteen_ext_r, 7, 7) @[lsu_dccm_ctl.scala 262:150] + node _T_1694 = eq(_T_1693, UInt<1>("h00")) @[lsu_dccm_ctl.scala 262:131] + node _T_1695 = and(_T_1692, _T_1694) @[lsu_dccm_ctl.scala 262:129] + node _T_1696 = bits(_T_1695, 0, 0) @[lsu_dccm_ctl.scala 262:157] + node _T_1697 = bits(io.stbuf_data_any, 31, 24) @[lsu_dccm_ctl.scala 262:181] + node _T_1698 = bits(io.store_data_hi_r, 31, 24) @[lsu_dccm_ctl.scala 262:213] + node _T_1699 = mux(_T_1696, _T_1697, _T_1698) @[lsu_dccm_ctl.scala 262:79] + node _T_1700 = shl(UInt<4>("h0f"), 4) @[Bitwise.scala 102:47] + node _T_1701 = xor(UInt<8>("h0ff"), _T_1700) @[Bitwise.scala 102:21] + node _T_1702 = shr(_T_1699, 4) @[Bitwise.scala 103:21] + node _T_1703 = and(_T_1702, _T_1701) @[Bitwise.scala 103:31] + node _T_1704 = bits(_T_1699, 3, 0) @[Bitwise.scala 103:46] + node _T_1705 = shl(_T_1704, 4) @[Bitwise.scala 103:65] + node _T_1706 = not(_T_1701) @[Bitwise.scala 103:77] + node _T_1707 = and(_T_1705, _T_1706) @[Bitwise.scala 103:75] + node _T_1708 = or(_T_1703, _T_1707) @[Bitwise.scala 103:39] + node _T_1709 = bits(_T_1701, 5, 0) @[Bitwise.scala 102:28] + node _T_1710 = shl(_T_1709, 2) @[Bitwise.scala 102:47] + node _T_1711 = xor(_T_1701, _T_1710) @[Bitwise.scala 102:21] + node _T_1712 = shr(_T_1708, 2) @[Bitwise.scala 103:21] + node _T_1713 = and(_T_1712, _T_1711) @[Bitwise.scala 103:31] + node _T_1714 = bits(_T_1708, 5, 0) @[Bitwise.scala 103:46] + node _T_1715 = shl(_T_1714, 2) @[Bitwise.scala 103:65] + node _T_1716 = not(_T_1711) @[Bitwise.scala 103:77] + node _T_1717 = and(_T_1715, _T_1716) @[Bitwise.scala 103:75] + node _T_1718 = or(_T_1713, _T_1717) @[Bitwise.scala 103:39] + node _T_1719 = bits(_T_1711, 6, 0) @[Bitwise.scala 102:28] + node _T_1720 = shl(_T_1719, 1) @[Bitwise.scala 102:47] + node _T_1721 = xor(_T_1711, _T_1720) @[Bitwise.scala 102:21] + node _T_1722 = shr(_T_1718, 1) @[Bitwise.scala 103:21] + node _T_1723 = and(_T_1722, _T_1721) @[Bitwise.scala 103:31] + node _T_1724 = bits(_T_1718, 6, 0) @[Bitwise.scala 103:46] + node _T_1725 = shl(_T_1724, 1) @[Bitwise.scala 103:65] + node _T_1726 = not(_T_1721) @[Bitwise.scala 103:77] + node _T_1727 = and(_T_1725, _T_1726) @[Bitwise.scala 103:75] + node _T_1728 = or(_T_1723, _T_1727) @[Bitwise.scala 103:39] + wire _T_1729 : UInt<8>[4] @[lsu_dccm_ctl.scala 262:63] + _T_1729[0] <= _T_1617 @[lsu_dccm_ctl.scala 262:63] + _T_1729[1] <= _T_1654 @[lsu_dccm_ctl.scala 262:63] + _T_1729[2] <= _T_1691 @[lsu_dccm_ctl.scala 262:63] + _T_1729[3] <= _T_1728 @[lsu_dccm_ctl.scala 262:63] + node _T_1730 = cat(_T_1729[2], _T_1729[3]) @[Cat.scala 29:58] + node _T_1731 = cat(_T_1729[0], _T_1729[1]) @[Cat.scala 29:58] + node _T_1732 = cat(_T_1731, _T_1730) @[Cat.scala 29:58] + node _T_1733 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1734 = xor(UInt<32>("h0ffffffff"), _T_1733) @[Bitwise.scala 102:21] + node _T_1735 = shr(_T_1732, 16) @[Bitwise.scala 103:21] + node _T_1736 = and(_T_1735, _T_1734) @[Bitwise.scala 103:31] + node _T_1737 = bits(_T_1732, 15, 0) @[Bitwise.scala 103:46] + node _T_1738 = shl(_T_1737, 16) @[Bitwise.scala 103:65] + node _T_1739 = not(_T_1734) @[Bitwise.scala 103:77] + node _T_1740 = and(_T_1738, _T_1739) @[Bitwise.scala 103:75] + node _T_1741 = or(_T_1736, _T_1740) @[Bitwise.scala 103:39] + node _T_1742 = bits(_T_1734, 23, 0) @[Bitwise.scala 102:28] + node _T_1743 = shl(_T_1742, 8) @[Bitwise.scala 102:47] + node _T_1744 = xor(_T_1734, _T_1743) @[Bitwise.scala 102:21] + node _T_1745 = shr(_T_1741, 8) @[Bitwise.scala 103:21] + node _T_1746 = and(_T_1745, _T_1744) @[Bitwise.scala 103:31] + node _T_1747 = bits(_T_1741, 23, 0) @[Bitwise.scala 103:46] + node _T_1748 = shl(_T_1747, 8) @[Bitwise.scala 103:65] + node _T_1749 = not(_T_1744) @[Bitwise.scala 103:77] + node _T_1750 = and(_T_1748, _T_1749) @[Bitwise.scala 103:75] + node _T_1751 = or(_T_1746, _T_1750) @[Bitwise.scala 103:39] + node _T_1752 = bits(_T_1744, 27, 0) @[Bitwise.scala 102:28] + node _T_1753 = shl(_T_1752, 4) @[Bitwise.scala 102:47] + node _T_1754 = xor(_T_1744, _T_1753) @[Bitwise.scala 102:21] + node _T_1755 = shr(_T_1751, 4) @[Bitwise.scala 103:21] + node _T_1756 = and(_T_1755, _T_1754) @[Bitwise.scala 103:31] + node _T_1757 = bits(_T_1751, 27, 0) @[Bitwise.scala 103:46] + node _T_1758 = shl(_T_1757, 4) @[Bitwise.scala 103:65] + node _T_1759 = not(_T_1754) @[Bitwise.scala 103:77] + node _T_1760 = and(_T_1758, _T_1759) @[Bitwise.scala 103:75] + node _T_1761 = or(_T_1756, _T_1760) @[Bitwise.scala 103:39] + node _T_1762 = bits(_T_1754, 29, 0) @[Bitwise.scala 102:28] + node _T_1763 = shl(_T_1762, 2) @[Bitwise.scala 102:47] + node _T_1764 = xor(_T_1754, _T_1763) @[Bitwise.scala 102:21] + node _T_1765 = shr(_T_1761, 2) @[Bitwise.scala 103:21] + node _T_1766 = and(_T_1765, _T_1764) @[Bitwise.scala 103:31] + node _T_1767 = bits(_T_1761, 29, 0) @[Bitwise.scala 103:46] + node _T_1768 = shl(_T_1767, 2) @[Bitwise.scala 103:65] + node _T_1769 = not(_T_1764) @[Bitwise.scala 103:77] + node _T_1770 = and(_T_1768, _T_1769) @[Bitwise.scala 103:75] + node _T_1771 = or(_T_1766, _T_1770) @[Bitwise.scala 103:39] + node _T_1772 = bits(_T_1764, 30, 0) @[Bitwise.scala 102:28] + node _T_1773 = shl(_T_1772, 1) @[Bitwise.scala 102:47] + node _T_1774 = xor(_T_1764, _T_1773) @[Bitwise.scala 102:21] + node _T_1775 = shr(_T_1771, 1) @[Bitwise.scala 103:21] + node _T_1776 = and(_T_1775, _T_1774) @[Bitwise.scala 103:31] + node _T_1777 = bits(_T_1771, 30, 0) @[Bitwise.scala 103:46] + node _T_1778 = shl(_T_1777, 1) @[Bitwise.scala 103:65] + node _T_1779 = not(_T_1774) @[Bitwise.scala 103:77] + node _T_1780 = and(_T_1778, _T_1779) @[Bitwise.scala 103:75] + node _T_1781 = or(_T_1776, _T_1780) @[Bitwise.scala 103:39] + io.store_datafn_hi_r <= _T_1781 @[lsu_dccm_ctl.scala 262:29] + node _T_1782 = bits(io.store_data_hi_r, 31, 0) @[lsu_dccm_ctl.scala 263:55] + node _T_1783 = bits(io.store_data_lo_r, 31, 0) @[lsu_dccm_ctl.scala 263:80] + node _T_1784 = cat(_T_1782, _T_1783) @[Cat.scala 29:58] + node _T_1785 = bits(io.lsu_addr_r, 1, 0) @[lsu_dccm_ctl.scala 263:108] + node _T_1786 = mul(UInt<4>("h08"), _T_1785) @[lsu_dccm_ctl.scala 263:94] + node _T_1787 = dshr(_T_1784, _T_1786) @[lsu_dccm_ctl.scala 263:88] + node _T_1788 = bits(store_byteen_r, 0, 0) @[lsu_dccm_ctl.scala 263:174] + node _T_1789 = bits(_T_1788, 0, 0) @[Bitwise.scala 72:15] + node _T_1790 = mux(_T_1789, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1791 = bits(store_byteen_r, 1, 1) @[lsu_dccm_ctl.scala 263:174] + node _T_1792 = bits(_T_1791, 0, 0) @[Bitwise.scala 72:15] + node _T_1793 = mux(_T_1792, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1794 = bits(store_byteen_r, 2, 2) @[lsu_dccm_ctl.scala 263:174] + node _T_1795 = bits(_T_1794, 0, 0) @[Bitwise.scala 72:15] + node _T_1796 = mux(_T_1795, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1797 = bits(store_byteen_r, 3, 3) @[lsu_dccm_ctl.scala 263:174] + node _T_1798 = bits(_T_1797, 0, 0) @[Bitwise.scala 72:15] + node _T_1799 = mux(_T_1798, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + wire _T_1800 : UInt<8>[4] @[lsu_dccm_ctl.scala 263:148] + _T_1800[0] <= _T_1790 @[lsu_dccm_ctl.scala 263:148] + _T_1800[1] <= _T_1793 @[lsu_dccm_ctl.scala 263:148] + _T_1800[2] <= _T_1796 @[lsu_dccm_ctl.scala 263:148] + _T_1800[3] <= _T_1799 @[lsu_dccm_ctl.scala 263:148] + node _T_1801 = cat(_T_1800[2], _T_1800[3]) @[Cat.scala 29:58] + node _T_1802 = cat(_T_1800[0], _T_1800[1]) @[Cat.scala 29:58] + node _T_1803 = cat(_T_1802, _T_1801) @[Cat.scala 29:58] + node _T_1804 = shl(UInt<16>("h0ffff"), 16) @[Bitwise.scala 102:47] + node _T_1805 = xor(UInt<32>("h0ffffffff"), _T_1804) @[Bitwise.scala 102:21] + node _T_1806 = shr(_T_1803, 16) @[Bitwise.scala 103:21] + node _T_1807 = and(_T_1806, _T_1805) @[Bitwise.scala 103:31] + node _T_1808 = bits(_T_1803, 15, 0) @[Bitwise.scala 103:46] + node _T_1809 = shl(_T_1808, 16) @[Bitwise.scala 103:65] + node _T_1810 = not(_T_1805) @[Bitwise.scala 103:77] + node _T_1811 = and(_T_1809, _T_1810) @[Bitwise.scala 103:75] + node _T_1812 = or(_T_1807, _T_1811) @[Bitwise.scala 103:39] + node _T_1813 = bits(_T_1805, 23, 0) @[Bitwise.scala 102:28] + node _T_1814 = shl(_T_1813, 8) @[Bitwise.scala 102:47] + node _T_1815 = xor(_T_1805, _T_1814) @[Bitwise.scala 102:21] + node _T_1816 = shr(_T_1812, 8) @[Bitwise.scala 103:21] + node _T_1817 = and(_T_1816, _T_1815) @[Bitwise.scala 103:31] + node _T_1818 = bits(_T_1812, 23, 0) @[Bitwise.scala 103:46] + node _T_1819 = shl(_T_1818, 8) @[Bitwise.scala 103:65] + node _T_1820 = not(_T_1815) @[Bitwise.scala 103:77] + node _T_1821 = and(_T_1819, _T_1820) @[Bitwise.scala 103:75] + node _T_1822 = or(_T_1817, _T_1821) @[Bitwise.scala 103:39] + node _T_1823 = bits(_T_1815, 27, 0) @[Bitwise.scala 102:28] + node _T_1824 = shl(_T_1823, 4) @[Bitwise.scala 102:47] + node _T_1825 = xor(_T_1815, _T_1824) @[Bitwise.scala 102:21] + node _T_1826 = shr(_T_1822, 4) @[Bitwise.scala 103:21] + node _T_1827 = and(_T_1826, _T_1825) @[Bitwise.scala 103:31] + node _T_1828 = bits(_T_1822, 27, 0) @[Bitwise.scala 103:46] + node _T_1829 = shl(_T_1828, 4) @[Bitwise.scala 103:65] + node _T_1830 = not(_T_1825) @[Bitwise.scala 103:77] + node _T_1831 = and(_T_1829, _T_1830) @[Bitwise.scala 103:75] + node _T_1832 = or(_T_1827, _T_1831) @[Bitwise.scala 103:39] + node _T_1833 = bits(_T_1825, 29, 0) @[Bitwise.scala 102:28] + node _T_1834 = shl(_T_1833, 2) @[Bitwise.scala 102:47] + node _T_1835 = xor(_T_1825, _T_1834) @[Bitwise.scala 102:21] + node _T_1836 = shr(_T_1832, 2) @[Bitwise.scala 103:21] + node _T_1837 = and(_T_1836, _T_1835) @[Bitwise.scala 103:31] + node _T_1838 = bits(_T_1832, 29, 0) @[Bitwise.scala 103:46] + node _T_1839 = shl(_T_1838, 2) @[Bitwise.scala 103:65] + node _T_1840 = not(_T_1835) @[Bitwise.scala 103:77] + node _T_1841 = and(_T_1839, _T_1840) @[Bitwise.scala 103:75] + node _T_1842 = or(_T_1837, _T_1841) @[Bitwise.scala 103:39] + node _T_1843 = bits(_T_1835, 30, 0) @[Bitwise.scala 102:28] + node _T_1844 = shl(_T_1843, 1) @[Bitwise.scala 102:47] + node _T_1845 = xor(_T_1835, _T_1844) @[Bitwise.scala 102:21] + node _T_1846 = shr(_T_1842, 1) @[Bitwise.scala 103:21] + node _T_1847 = and(_T_1846, _T_1845) @[Bitwise.scala 103:31] + node _T_1848 = bits(_T_1842, 30, 0) @[Bitwise.scala 103:46] + node _T_1849 = shl(_T_1848, 1) @[Bitwise.scala 103:65] + node _T_1850 = not(_T_1845) @[Bitwise.scala 103:77] + node _T_1851 = and(_T_1849, _T_1850) @[Bitwise.scala 103:75] + node _T_1852 = or(_T_1847, _T_1851) @[Bitwise.scala 103:39] + node _T_1853 = and(_T_1787, _T_1852) @[lsu_dccm_ctl.scala 263:115] + io.store_data_r <= _T_1853 @[lsu_dccm_ctl.scala 263:29] + node _T_1854 = bits(io.dccm.rd_data_lo, 31, 0) @[lsu_dccm_ctl.scala 265:48] + io.dccm_rdata_lo_m <= _T_1854 @[lsu_dccm_ctl.scala 265:27] + node _T_1855 = bits(io.dccm.rd_data_hi, 31, 0) @[lsu_dccm_ctl.scala 266:48] + io.dccm_rdata_hi_m <= _T_1855 @[lsu_dccm_ctl.scala 266:27] + node _T_1856 = bits(io.dccm.rd_data_lo, 38, 32) @[lsu_dccm_ctl.scala 267:48] + io.dccm_data_ecc_lo_m <= _T_1856 @[lsu_dccm_ctl.scala 267:27] + node _T_1857 = bits(io.dccm.rd_data_hi, 38, 32) @[lsu_dccm_ctl.scala 268:48] + io.dccm_data_ecc_hi_m <= _T_1857 @[lsu_dccm_ctl.scala 268:27] + node _T_1858 = and(io.lsu_pkt_r.valid, io.lsu_pkt_r.bits.store) @[lsu_dccm_ctl.scala 270:58] + node _T_1859 = and(_T_1858, io.addr_in_pic_r) @[lsu_dccm_ctl.scala 270:84] + node _T_1860 = and(_T_1859, io.lsu_commit_r) @[lsu_dccm_ctl.scala 270:103] + node _T_1861 = or(_T_1860, io.dma_pic_wen) @[lsu_dccm_ctl.scala 270:122] + io.lsu_pic.picm_wren <= _T_1861 @[lsu_dccm_ctl.scala 270:35] + node _T_1862 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.load) @[lsu_dccm_ctl.scala 271:58] + node _T_1863 = and(_T_1862, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 271:84] + io.lsu_pic.picm_rden <= _T_1863 @[lsu_dccm_ctl.scala 271:35] + node _T_1864 = and(io.lsu_pkt_d.valid, io.lsu_pkt_d.bits.store) @[lsu_dccm_ctl.scala 272:58] + node _T_1865 = and(_T_1864, io.addr_in_pic_d) @[lsu_dccm_ctl.scala 272:84] + io.lsu_pic.picm_mken <= _T_1865 @[lsu_dccm_ctl.scala 272:35] + node _T_1866 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_1867 = bits(io.lsu_addr_d, 14, 0) @[lsu_dccm_ctl.scala 273:103] + node _T_1868 = cat(_T_1866, _T_1867) @[Cat.scala 29:58] + node _T_1869 = or(UInt<32>("h0f00c0000"), _T_1868) @[lsu_dccm_ctl.scala 273:62] + io.lsu_pic.picm_rdaddr <= _T_1869 @[lsu_dccm_ctl.scala 273:35] + node _T_1870 = mux(UInt<1>("h00"), UInt<17>("h01ffff"), UInt<17>("h00")) @[Bitwise.scala 72:12] + node _T_1871 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 274:109] + node _T_1872 = bits(io.dma_dccm_ctl.dma_mem_addr, 14, 0) @[lsu_dccm_ctl.scala 274:144] + node _T_1873 = bits(io.lsu_addr_r, 14, 0) @[lsu_dccm_ctl.scala 274:172] + node _T_1874 = mux(_T_1871, _T_1872, _T_1873) @[lsu_dccm_ctl.scala 274:93] + node _T_1875 = cat(_T_1870, _T_1874) @[Cat.scala 29:58] + node _T_1876 = or(UInt<32>("h0f00c0000"), _T_1875) @[lsu_dccm_ctl.scala 274:62] + io.lsu_pic.picm_wraddr <= _T_1876 @[lsu_dccm_ctl.scala 274:35] + node _T_1877 = bits(picm_rd_data_m, 31, 0) @[lsu_dccm_ctl.scala 275:44] + io.picm_mask_data_m <= _T_1877 @[lsu_dccm_ctl.scala 275:27] + node _T_1878 = bits(io.dma_pic_wen, 0, 0) @[lsu_dccm_ctl.scala 276:57] + node _T_1879 = bits(io.dma_dccm_ctl.dma_mem_wdata, 31, 0) @[lsu_dccm_ctl.scala 276:93] + node _T_1880 = bits(io.store_datafn_lo_r, 31, 0) @[lsu_dccm_ctl.scala 276:120] + node _T_1881 = mux(_T_1878, _T_1879, _T_1880) @[lsu_dccm_ctl.scala 276:41] + io.lsu_pic.picm_wr_data <= _T_1881 @[lsu_dccm_ctl.scala 276:35] + reg _T_1882 : UInt, io.lsu_c2_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 279:61] + _T_1882 <= lsu_dccm_rden_d @[lsu_dccm_ctl.scala 279:61] + io.lsu_dccm_rden_m <= _T_1882 @[lsu_dccm_ctl.scala 279:24] + reg _T_1883 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_dccm_ctl.scala 280:61] + _T_1883 <= io.lsu_dccm_rden_m @[lsu_dccm_ctl.scala 280:61] + io.lsu_dccm_rden_r <= _T_1883 @[lsu_dccm_ctl.scala 280:24] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_stbuf : + input clock : Clock + input reset : AsyncReset + output io : {flip lsu_c1_m_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_stbuf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip store_stbuf_reqvld_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip dec_lsu_valid_raw_d : UInt<1>, flip store_data_hi_r : UInt<32>, flip store_data_lo_r : UInt<32>, flip store_datafn_hi_r : UInt<32>, flip store_datafn_lo_r : UInt<32>, flip lsu_stbuf_commit_any : UInt<1>, flip lsu_addr_d : UInt<16>, flip lsu_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_d : UInt<16>, flip end_addr_m : UInt<32>, flip end_addr_r : UInt<32>, flip addr_in_dccm_m : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip lsu_cmpen_m : UInt<1>, flip scan_mode : UInt<1>, stbuf_reqvld_any : UInt<1>, stbuf_reqvld_flushed_any : UInt<1>, stbuf_addr_any : UInt<16>, stbuf_data_any : UInt<32>, lsu_stbuf_full_any : UInt<1>, lsu_stbuf_empty_any : UInt<1>, ldst_stbuf_reqvld_r : UInt<1>, stbuf_fwddata_hi_m : UInt<32>, stbuf_fwddata_lo_m : UInt<32>, stbuf_fwdbyteen_hi_m : UInt<4>, stbuf_fwdbyteen_lo_m : UInt<4>} + + io.stbuf_reqvld_any <= UInt<1>("h00") @[lsu_stbuf.scala 51:47] + io.stbuf_reqvld_flushed_any <= UInt<1>("h00") @[lsu_stbuf.scala 52:35] + io.stbuf_addr_any <= UInt<1>("h00") @[lsu_stbuf.scala 53:35] + io.stbuf_data_any <= UInt<1>("h00") @[lsu_stbuf.scala 54:35] + io.lsu_stbuf_full_any <= UInt<1>("h00") @[lsu_stbuf.scala 55:43] + io.lsu_stbuf_empty_any <= UInt<1>("h00") @[lsu_stbuf.scala 56:43] + io.ldst_stbuf_reqvld_r <= UInt<1>("h00") @[lsu_stbuf.scala 57:43] + io.stbuf_fwddata_hi_m <= UInt<1>("h00") @[lsu_stbuf.scala 58:43] + io.stbuf_fwddata_lo_m <= UInt<1>("h00") @[lsu_stbuf.scala 59:43] + io.stbuf_fwdbyteen_hi_m <= UInt<1>("h00") @[lsu_stbuf.scala 60:37] + io.stbuf_fwdbyteen_lo_m <= UInt<1>("h00") @[lsu_stbuf.scala 61:37] + wire stbuf_vld : UInt<4> + stbuf_vld <= UInt<1>("h00") + wire stbuf_wr_en : UInt<4> + stbuf_wr_en <= UInt<1>("h00") + wire stbuf_dma_kill_en : UInt<4> + stbuf_dma_kill_en <= UInt<1>("h00") + wire stbuf_dma_kill : UInt<4> + stbuf_dma_kill <= UInt<1>("h00") + wire stbuf_reset : UInt<4> + stbuf_reset <= UInt<1>("h00") + wire store_byteen_ext_r : UInt<8> + store_byteen_ext_r <= UInt<1>("h00") + wire stbuf_addr : UInt<16>[4] @[lsu_stbuf.scala 70:38] + stbuf_addr[0] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14] + stbuf_addr[1] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14] + stbuf_addr[2] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14] + stbuf_addr[3] <= UInt<1>("h00") @[lsu_stbuf.scala 71:14] + wire stbuf_byteen : UInt<4>[4] @[lsu_stbuf.scala 72:38] + stbuf_byteen[0] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16] + stbuf_byteen[1] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16] + stbuf_byteen[2] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16] + stbuf_byteen[3] <= UInt<1>("h00") @[lsu_stbuf.scala 73:16] + wire stbuf_data : UInt<32>[4] @[lsu_stbuf.scala 74:38] + stbuf_data[0] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14] + stbuf_data[1] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14] + stbuf_data[2] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14] + stbuf_data[3] <= UInt<1>("h00") @[lsu_stbuf.scala 75:14] + wire stbuf_addrin : UInt<16>[4] @[lsu_stbuf.scala 76:38] + stbuf_addrin[0] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16] + stbuf_addrin[1] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16] + stbuf_addrin[2] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16] + stbuf_addrin[3] <= UInt<1>("h00") @[lsu_stbuf.scala 77:16] + wire stbuf_datain : UInt<32>[4] @[lsu_stbuf.scala 78:38] + stbuf_datain[0] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16] + stbuf_datain[1] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16] + stbuf_datain[2] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16] + stbuf_datain[3] <= UInt<1>("h00") @[lsu_stbuf.scala 79:16] + wire stbuf_byteenin : UInt<4>[4] @[lsu_stbuf.scala 80:38] + stbuf_byteenin[0] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18] + stbuf_byteenin[1] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18] + stbuf_byteenin[2] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18] + stbuf_byteenin[3] <= UInt<1>("h00") @[lsu_stbuf.scala 81:18] + wire WrPtr : UInt<2> + WrPtr <= UInt<1>("h00") + wire RdPtr : UInt<2> + RdPtr <= UInt<1>("h00") + wire ldst_dual_m : UInt<1> + ldst_dual_m <= UInt<1>("h00") + wire ldst_dual_r : UInt<1> + ldst_dual_r <= UInt<1>("h00") + wire cmpaddr_hi_m : UInt<16> + cmpaddr_hi_m <= UInt<16>("h00") + wire stbuf_specvld_m : UInt<2> + stbuf_specvld_m <= UInt<2>("h00") + wire stbuf_specvld_r : UInt<2> + stbuf_specvld_r <= UInt<2>("h00") + wire cmpaddr_lo_m : UInt<16> + cmpaddr_lo_m <= UInt<16>("h00") + wire stbuf_fwdata_hi_pre_m : UInt<32> + stbuf_fwdata_hi_pre_m <= UInt<1>("h00") + wire stbuf_fwdata_lo_pre_m : UInt<32> + stbuf_fwdata_lo_pre_m <= UInt<1>("h00") + wire ld_byte_rhit_lo_lo : UInt<4> + ld_byte_rhit_lo_lo <= UInt<1>("h00") + wire ld_byte_rhit_hi_lo : UInt<4> + ld_byte_rhit_hi_lo <= UInt<1>("h00") + wire ld_byte_rhit_lo_hi : UInt<4> + ld_byte_rhit_lo_hi <= UInt<1>("h00") + wire ld_byte_rhit_hi_hi : UInt<4> + ld_byte_rhit_hi_hi <= UInt<1>("h00") + wire ld_byte_hit_lo : UInt<4> + ld_byte_hit_lo <= UInt<1>("h00") + wire ld_byte_rhit_lo : UInt<4> + ld_byte_rhit_lo <= UInt<1>("h00") + wire ld_byte_hit_hi : UInt<4> + ld_byte_hit_hi <= UInt<1>("h00") + wire ld_byte_rhit_hi : UInt<4> + ld_byte_rhit_hi <= UInt<1>("h00") + wire ldst_byteen_ext_r : UInt<8> + ldst_byteen_ext_r <= UInt<1>("h00") + wire ld_fwddata_rpipe_lo : UInt<32> + ld_fwddata_rpipe_lo <= UInt<1>("h00") + wire ld_fwddata_rpipe_hi : UInt<32> + ld_fwddata_rpipe_hi <= UInt<1>("h00") + wire datain1 : UInt<8>[4] @[lsu_stbuf.scala 105:33] + wire datain2 : UInt<8>[4] @[lsu_stbuf.scala 106:33] + wire datain3 : UInt<8>[4] @[lsu_stbuf.scala 107:33] + wire datain4 : UInt<8>[4] @[lsu_stbuf.scala 108:33] + node _T = bits(io.lsu_pkt_r.bits.by, 0, 0) @[lsu_stbuf.scala 112:26] + node _T_1 = bits(io.lsu_pkt_r.bits.half, 0, 0) @[lsu_stbuf.scala 113:28] + node _T_2 = bits(io.lsu_pkt_r.bits.word, 0, 0) @[lsu_stbuf.scala 114:28] + node _T_3 = bits(io.lsu_pkt_r.bits.dword, 0, 0) @[lsu_stbuf.scala 115:29] + node _T_4 = mux(_T, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5 = mux(_T_1, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_6 = mux(_T_2, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_7 = mux(_T_3, UInt<8>("h0ff"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_8 = or(_T_4, _T_5) @[Mux.scala 27:72] + node _T_9 = or(_T_8, _T_6) @[Mux.scala 27:72] + node _T_10 = or(_T_9, _T_7) @[Mux.scala 27:72] + wire ldst_byteen_r : UInt<8> @[Mux.scala 27:72] + ldst_byteen_r <= _T_10 @[Mux.scala 27:72] + node _T_11 = bits(io.lsu_addr_d, 2, 2) @[lsu_stbuf.scala 117:35] + node _T_12 = bits(io.end_addr_d, 2, 2) @[lsu_stbuf.scala 117:56] + node ldst_dual_d = neq(_T_11, _T_12) @[lsu_stbuf.scala 117:39] + node dual_stbuf_write_r = and(ldst_dual_r, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 118:40] + node _T_13 = bits(io.lsu_addr_r, 1, 0) @[lsu_stbuf.scala 120:55] + node _T_14 = dshl(ldst_byteen_r, _T_13) @[lsu_stbuf.scala 120:39] + store_byteen_ext_r <= _T_14 @[lsu_stbuf.scala 120:22] + node _T_15 = bits(store_byteen_ext_r, 7, 4) @[lsu_stbuf.scala 121:46] + node _T_16 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15] + node _T_17 = mux(_T_16, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node store_byteen_hi_r = and(_T_15, _T_17) @[lsu_stbuf.scala 121:52] + node _T_18 = bits(store_byteen_ext_r, 3, 0) @[lsu_stbuf.scala 122:46] + node _T_19 = bits(io.lsu_pkt_r.bits.store, 0, 0) @[Bitwise.scala 72:15] + node _T_20 = mux(_T_19, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node store_byteen_lo_r = and(_T_18, _T_20) @[lsu_stbuf.scala 122:52] + node _T_21 = add(RdPtr, UInt<1>("h01")) @[lsu_stbuf.scala 124:26] + node RdPtrPlus1 = tail(_T_21, 1) @[lsu_stbuf.scala 124:26] + node _T_22 = add(WrPtr, UInt<1>("h01")) @[lsu_stbuf.scala 125:26] + node WrPtrPlus1 = tail(_T_22, 1) @[lsu_stbuf.scala 125:26] + node _T_23 = add(WrPtr, UInt<2>("h02")) @[lsu_stbuf.scala 126:26] + node WrPtrPlus2 = tail(_T_23, 1) @[lsu_stbuf.scala 126:26] + node _T_24 = and(io.lsu_commit_r, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 128:45] + io.ldst_stbuf_reqvld_r <= _T_24 @[lsu_stbuf.scala 128:26] + node _T_25 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 130:78] + node _T_26 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 130:137] + node _T_27 = eq(_T_25, _T_26) @[lsu_stbuf.scala 130:120] + node _T_28 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 130:191] + node _T_29 = and(_T_27, _T_28) @[lsu_stbuf.scala 130:179] + node _T_30 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 130:212] + node _T_31 = eq(_T_30, UInt<1>("h00")) @[lsu_stbuf.scala 130:197] + node _T_32 = and(_T_29, _T_31) @[lsu_stbuf.scala 130:195] + node _T_33 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 130:230] + node _T_34 = eq(_T_33, UInt<1>("h00")) @[lsu_stbuf.scala 130:218] + node _T_35 = and(_T_32, _T_34) @[lsu_stbuf.scala 130:216] + node _T_36 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 130:78] + node _T_37 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 130:137] + node _T_38 = eq(_T_36, _T_37) @[lsu_stbuf.scala 130:120] + node _T_39 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 130:191] + node _T_40 = and(_T_38, _T_39) @[lsu_stbuf.scala 130:179] + node _T_41 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 130:212] + node _T_42 = eq(_T_41, UInt<1>("h00")) @[lsu_stbuf.scala 130:197] + node _T_43 = and(_T_40, _T_42) @[lsu_stbuf.scala 130:195] + node _T_44 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 130:230] + node _T_45 = eq(_T_44, UInt<1>("h00")) @[lsu_stbuf.scala 130:218] + node _T_46 = and(_T_43, _T_45) @[lsu_stbuf.scala 130:216] + node _T_47 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 130:78] + node _T_48 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 130:137] + node _T_49 = eq(_T_47, _T_48) @[lsu_stbuf.scala 130:120] + node _T_50 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 130:191] + node _T_51 = and(_T_49, _T_50) @[lsu_stbuf.scala 130:179] + node _T_52 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 130:212] + node _T_53 = eq(_T_52, UInt<1>("h00")) @[lsu_stbuf.scala 130:197] + node _T_54 = and(_T_51, _T_53) @[lsu_stbuf.scala 130:195] + node _T_55 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 130:230] + node _T_56 = eq(_T_55, UInt<1>("h00")) @[lsu_stbuf.scala 130:218] + node _T_57 = and(_T_54, _T_56) @[lsu_stbuf.scala 130:216] + node _T_58 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 130:78] + node _T_59 = bits(io.lsu_addr_r, 15, 2) @[lsu_stbuf.scala 130:137] + node _T_60 = eq(_T_58, _T_59) @[lsu_stbuf.scala 130:120] + node _T_61 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 130:191] + node _T_62 = and(_T_60, _T_61) @[lsu_stbuf.scala 130:179] + node _T_63 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 130:212] + node _T_64 = eq(_T_63, UInt<1>("h00")) @[lsu_stbuf.scala 130:197] + node _T_65 = and(_T_62, _T_64) @[lsu_stbuf.scala 130:195] + node _T_66 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 130:230] + node _T_67 = eq(_T_66, UInt<1>("h00")) @[lsu_stbuf.scala 130:218] + node _T_68 = and(_T_65, _T_67) @[lsu_stbuf.scala 130:216] + node _T_69 = cat(_T_68, _T_57) @[Cat.scala 29:58] + node _T_70 = cat(_T_69, _T_46) @[Cat.scala 29:58] + node store_matchvec_lo_r = cat(_T_70, _T_35) @[Cat.scala 29:58] + node _T_71 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 131:78] + node _T_72 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 131:137] + node _T_73 = eq(_T_71, _T_72) @[lsu_stbuf.scala 131:120] + node _T_74 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 131:190] + node _T_75 = and(_T_73, _T_74) @[lsu_stbuf.scala 131:179] + node _T_76 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 131:211] + node _T_77 = eq(_T_76, UInt<1>("h00")) @[lsu_stbuf.scala 131:196] + node _T_78 = and(_T_75, _T_77) @[lsu_stbuf.scala 131:194] + node _T_79 = and(_T_78, dual_stbuf_write_r) @[lsu_stbuf.scala 131:215] + node _T_80 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 131:250] + node _T_81 = eq(_T_80, UInt<1>("h00")) @[lsu_stbuf.scala 131:238] + node _T_82 = and(_T_79, _T_81) @[lsu_stbuf.scala 131:236] + node _T_83 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 131:78] + node _T_84 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 131:137] + node _T_85 = eq(_T_83, _T_84) @[lsu_stbuf.scala 131:120] + node _T_86 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 131:190] + node _T_87 = and(_T_85, _T_86) @[lsu_stbuf.scala 131:179] + node _T_88 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 131:211] + node _T_89 = eq(_T_88, UInt<1>("h00")) @[lsu_stbuf.scala 131:196] + node _T_90 = and(_T_87, _T_89) @[lsu_stbuf.scala 131:194] + node _T_91 = and(_T_90, dual_stbuf_write_r) @[lsu_stbuf.scala 131:215] + node _T_92 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 131:250] + node _T_93 = eq(_T_92, UInt<1>("h00")) @[lsu_stbuf.scala 131:238] + node _T_94 = and(_T_91, _T_93) @[lsu_stbuf.scala 131:236] + node _T_95 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 131:78] + node _T_96 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 131:137] + node _T_97 = eq(_T_95, _T_96) @[lsu_stbuf.scala 131:120] + node _T_98 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 131:190] + node _T_99 = and(_T_97, _T_98) @[lsu_stbuf.scala 131:179] + node _T_100 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 131:211] + node _T_101 = eq(_T_100, UInt<1>("h00")) @[lsu_stbuf.scala 131:196] + node _T_102 = and(_T_99, _T_101) @[lsu_stbuf.scala 131:194] + node _T_103 = and(_T_102, dual_stbuf_write_r) @[lsu_stbuf.scala 131:215] + node _T_104 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 131:250] + node _T_105 = eq(_T_104, UInt<1>("h00")) @[lsu_stbuf.scala 131:238] + node _T_106 = and(_T_103, _T_105) @[lsu_stbuf.scala 131:236] + node _T_107 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 131:78] + node _T_108 = bits(io.end_addr_r, 15, 2) @[lsu_stbuf.scala 131:137] + node _T_109 = eq(_T_107, _T_108) @[lsu_stbuf.scala 131:120] + node _T_110 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 131:190] + node _T_111 = and(_T_109, _T_110) @[lsu_stbuf.scala 131:179] + node _T_112 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 131:211] + node _T_113 = eq(_T_112, UInt<1>("h00")) @[lsu_stbuf.scala 131:196] + node _T_114 = and(_T_111, _T_113) @[lsu_stbuf.scala 131:194] + node _T_115 = and(_T_114, dual_stbuf_write_r) @[lsu_stbuf.scala 131:215] + node _T_116 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 131:250] + node _T_117 = eq(_T_116, UInt<1>("h00")) @[lsu_stbuf.scala 131:238] + node _T_118 = and(_T_115, _T_117) @[lsu_stbuf.scala 131:236] + node _T_119 = cat(_T_118, _T_106) @[Cat.scala 29:58] + node _T_120 = cat(_T_119, _T_94) @[Cat.scala 29:58] + node store_matchvec_hi_r = cat(_T_120, _T_82) @[Cat.scala 29:58] + node store_coalesce_lo_r = orr(store_matchvec_lo_r) @[lsu_stbuf.scala 133:49] + node store_coalesce_hi_r = orr(store_matchvec_hi_r) @[lsu_stbuf.scala 134:49] + node _T_121 = eq(UInt<1>("h00"), WrPtr) @[lsu_stbuf.scala 137:16] + node _T_122 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 137:29] + node _T_123 = and(_T_121, _T_122) @[lsu_stbuf.scala 137:27] + node _T_124 = eq(UInt<1>("h00"), WrPtr) @[lsu_stbuf.scala 138:18] + node _T_125 = and(_T_124, dual_stbuf_write_r) @[lsu_stbuf.scala 138:29] + node _T_126 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 138:52] + node _T_127 = and(_T_125, _T_126) @[lsu_stbuf.scala 138:50] + node _T_128 = or(_T_123, _T_127) @[lsu_stbuf.scala 137:51] + node _T_129 = eq(UInt<1>("h00"), WrPtrPlus1) @[lsu_stbuf.scala 139:18] + node _T_130 = and(_T_129, dual_stbuf_write_r) @[lsu_stbuf.scala 139:34] + node _T_131 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 139:79] + node _T_132 = eq(_T_131, UInt<1>("h00")) @[lsu_stbuf.scala 139:57] + node _T_133 = and(_T_130, _T_132) @[lsu_stbuf.scala 139:55] + node _T_134 = or(_T_128, _T_133) @[lsu_stbuf.scala 138:74] + node _T_135 = bits(store_matchvec_lo_r, 0, 0) @[lsu_stbuf.scala 140:26] + node _T_136 = or(_T_134, _T_135) @[lsu_stbuf.scala 139:103] + node _T_137 = bits(store_matchvec_hi_r, 0, 0) @[lsu_stbuf.scala 140:51] + node _T_138 = or(_T_136, _T_137) @[lsu_stbuf.scala 140:30] + node _T_139 = and(io.ldst_stbuf_reqvld_r, _T_138) @[lsu_stbuf.scala 136:76] + node _T_140 = eq(UInt<1>("h01"), WrPtr) @[lsu_stbuf.scala 137:16] + node _T_141 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 137:29] + node _T_142 = and(_T_140, _T_141) @[lsu_stbuf.scala 137:27] + node _T_143 = eq(UInt<1>("h01"), WrPtr) @[lsu_stbuf.scala 138:18] + node _T_144 = and(_T_143, dual_stbuf_write_r) @[lsu_stbuf.scala 138:29] + node _T_145 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 138:52] + node _T_146 = and(_T_144, _T_145) @[lsu_stbuf.scala 138:50] + node _T_147 = or(_T_142, _T_146) @[lsu_stbuf.scala 137:51] + node _T_148 = eq(UInt<1>("h01"), WrPtrPlus1) @[lsu_stbuf.scala 139:18] + node _T_149 = and(_T_148, dual_stbuf_write_r) @[lsu_stbuf.scala 139:34] + node _T_150 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 139:79] + node _T_151 = eq(_T_150, UInt<1>("h00")) @[lsu_stbuf.scala 139:57] + node _T_152 = and(_T_149, _T_151) @[lsu_stbuf.scala 139:55] + node _T_153 = or(_T_147, _T_152) @[lsu_stbuf.scala 138:74] + node _T_154 = bits(store_matchvec_lo_r, 1, 1) @[lsu_stbuf.scala 140:26] + node _T_155 = or(_T_153, _T_154) @[lsu_stbuf.scala 139:103] + node _T_156 = bits(store_matchvec_hi_r, 1, 1) @[lsu_stbuf.scala 140:51] + node _T_157 = or(_T_155, _T_156) @[lsu_stbuf.scala 140:30] + node _T_158 = and(io.ldst_stbuf_reqvld_r, _T_157) @[lsu_stbuf.scala 136:76] + node _T_159 = eq(UInt<2>("h02"), WrPtr) @[lsu_stbuf.scala 137:16] + node _T_160 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 137:29] + node _T_161 = and(_T_159, _T_160) @[lsu_stbuf.scala 137:27] + node _T_162 = eq(UInt<2>("h02"), WrPtr) @[lsu_stbuf.scala 138:18] + node _T_163 = and(_T_162, dual_stbuf_write_r) @[lsu_stbuf.scala 138:29] + node _T_164 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 138:52] + node _T_165 = and(_T_163, _T_164) @[lsu_stbuf.scala 138:50] + node _T_166 = or(_T_161, _T_165) @[lsu_stbuf.scala 137:51] + node _T_167 = eq(UInt<2>("h02"), WrPtrPlus1) @[lsu_stbuf.scala 139:18] + node _T_168 = and(_T_167, dual_stbuf_write_r) @[lsu_stbuf.scala 139:34] + node _T_169 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 139:79] + node _T_170 = eq(_T_169, UInt<1>("h00")) @[lsu_stbuf.scala 139:57] + node _T_171 = and(_T_168, _T_170) @[lsu_stbuf.scala 139:55] + node _T_172 = or(_T_166, _T_171) @[lsu_stbuf.scala 138:74] + node _T_173 = bits(store_matchvec_lo_r, 2, 2) @[lsu_stbuf.scala 140:26] + node _T_174 = or(_T_172, _T_173) @[lsu_stbuf.scala 139:103] + node _T_175 = bits(store_matchvec_hi_r, 2, 2) @[lsu_stbuf.scala 140:51] + node _T_176 = or(_T_174, _T_175) @[lsu_stbuf.scala 140:30] + node _T_177 = and(io.ldst_stbuf_reqvld_r, _T_176) @[lsu_stbuf.scala 136:76] + node _T_178 = eq(UInt<2>("h03"), WrPtr) @[lsu_stbuf.scala 137:16] + node _T_179 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 137:29] + node _T_180 = and(_T_178, _T_179) @[lsu_stbuf.scala 137:27] + node _T_181 = eq(UInt<2>("h03"), WrPtr) @[lsu_stbuf.scala 138:18] + node _T_182 = and(_T_181, dual_stbuf_write_r) @[lsu_stbuf.scala 138:29] + node _T_183 = eq(store_coalesce_hi_r, UInt<1>("h00")) @[lsu_stbuf.scala 138:52] + node _T_184 = and(_T_182, _T_183) @[lsu_stbuf.scala 138:50] + node _T_185 = or(_T_180, _T_184) @[lsu_stbuf.scala 137:51] + node _T_186 = eq(UInt<2>("h03"), WrPtrPlus1) @[lsu_stbuf.scala 139:18] + node _T_187 = and(_T_186, dual_stbuf_write_r) @[lsu_stbuf.scala 139:34] + node _T_188 = or(store_coalesce_lo_r, store_coalesce_hi_r) @[lsu_stbuf.scala 139:79] + node _T_189 = eq(_T_188, UInt<1>("h00")) @[lsu_stbuf.scala 139:57] + node _T_190 = and(_T_187, _T_189) @[lsu_stbuf.scala 139:55] + node _T_191 = or(_T_185, _T_190) @[lsu_stbuf.scala 138:74] + node _T_192 = bits(store_matchvec_lo_r, 3, 3) @[lsu_stbuf.scala 140:26] + node _T_193 = or(_T_191, _T_192) @[lsu_stbuf.scala 139:103] + node _T_194 = bits(store_matchvec_hi_r, 3, 3) @[lsu_stbuf.scala 140:51] + node _T_195 = or(_T_193, _T_194) @[lsu_stbuf.scala 140:30] + node _T_196 = and(io.ldst_stbuf_reqvld_r, _T_195) @[lsu_stbuf.scala 136:76] + node _T_197 = cat(_T_196, _T_177) @[Cat.scala 29:58] + node _T_198 = cat(_T_197, _T_158) @[Cat.scala 29:58] + node _T_199 = cat(_T_198, _T_139) @[Cat.scala 29:58] + stbuf_wr_en <= _T_199 @[lsu_stbuf.scala 136:15] + node _T_200 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 141:78] + node _T_201 = eq(UInt<1>("h00"), RdPtr) @[lsu_stbuf.scala 141:121] + node _T_202 = bits(_T_201, 0, 0) @[lsu_stbuf.scala 141:132] + node _T_203 = and(_T_200, _T_202) @[lsu_stbuf.scala 141:109] + node _T_204 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 141:78] + node _T_205 = eq(UInt<1>("h01"), RdPtr) @[lsu_stbuf.scala 141:121] + node _T_206 = bits(_T_205, 0, 0) @[lsu_stbuf.scala 141:132] + node _T_207 = and(_T_204, _T_206) @[lsu_stbuf.scala 141:109] + node _T_208 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 141:78] + node _T_209 = eq(UInt<2>("h02"), RdPtr) @[lsu_stbuf.scala 141:121] + node _T_210 = bits(_T_209, 0, 0) @[lsu_stbuf.scala 141:132] + node _T_211 = and(_T_208, _T_210) @[lsu_stbuf.scala 141:109] + node _T_212 = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 141:78] + node _T_213 = eq(UInt<2>("h03"), RdPtr) @[lsu_stbuf.scala 141:121] + node _T_214 = bits(_T_213, 0, 0) @[lsu_stbuf.scala 141:132] + node _T_215 = and(_T_212, _T_214) @[lsu_stbuf.scala 141:109] + node _T_216 = cat(_T_215, _T_211) @[Cat.scala 29:58] + node _T_217 = cat(_T_216, _T_207) @[Cat.scala 29:58] + node _T_218 = cat(_T_217, _T_203) @[Cat.scala 29:58] + stbuf_reset <= _T_218 @[lsu_stbuf.scala 141:15] + node _T_219 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:53] + node _T_220 = or(_T_219, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 142:66] + node _T_221 = eq(UInt<1>("h00"), WrPtr) @[lsu_stbuf.scala 142:105] + node _T_222 = bits(_T_221, 0, 0) @[lsu_stbuf.scala 142:116] + node _T_223 = and(_T_220, _T_222) @[lsu_stbuf.scala 142:93] + node _T_224 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:125] + node _T_225 = and(_T_223, _T_224) @[lsu_stbuf.scala 142:123] + node _T_226 = bits(store_matchvec_lo_r, 0, 0) @[lsu_stbuf.scala 142:168] + node _T_227 = or(_T_225, _T_226) @[lsu_stbuf.scala 142:147] + node _T_228 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:53] + node _T_229 = or(_T_228, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 142:66] + node _T_230 = eq(UInt<1>("h01"), WrPtr) @[lsu_stbuf.scala 142:105] + node _T_231 = bits(_T_230, 0, 0) @[lsu_stbuf.scala 142:116] + node _T_232 = and(_T_229, _T_231) @[lsu_stbuf.scala 142:93] + node _T_233 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:125] + node _T_234 = and(_T_232, _T_233) @[lsu_stbuf.scala 142:123] + node _T_235 = bits(store_matchvec_lo_r, 1, 1) @[lsu_stbuf.scala 142:168] + node _T_236 = or(_T_234, _T_235) @[lsu_stbuf.scala 142:147] + node _T_237 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:53] + node _T_238 = or(_T_237, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 142:66] + node _T_239 = eq(UInt<2>("h02"), WrPtr) @[lsu_stbuf.scala 142:105] + node _T_240 = bits(_T_239, 0, 0) @[lsu_stbuf.scala 142:116] + node _T_241 = and(_T_238, _T_240) @[lsu_stbuf.scala 142:93] + node _T_242 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:125] + node _T_243 = and(_T_241, _T_242) @[lsu_stbuf.scala 142:123] + node _T_244 = bits(store_matchvec_lo_r, 2, 2) @[lsu_stbuf.scala 142:168] + node _T_245 = or(_T_243, _T_244) @[lsu_stbuf.scala 142:147] + node _T_246 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:53] + node _T_247 = or(_T_246, io.store_stbuf_reqvld_r) @[lsu_stbuf.scala 142:66] + node _T_248 = eq(UInt<2>("h03"), WrPtr) @[lsu_stbuf.scala 142:105] + node _T_249 = bits(_T_248, 0, 0) @[lsu_stbuf.scala 142:116] + node _T_250 = and(_T_247, _T_249) @[lsu_stbuf.scala 142:93] + node _T_251 = eq(store_coalesce_lo_r, UInt<1>("h00")) @[lsu_stbuf.scala 142:125] + node _T_252 = and(_T_250, _T_251) @[lsu_stbuf.scala 142:123] + node _T_253 = bits(store_matchvec_lo_r, 3, 3) @[lsu_stbuf.scala 142:168] + node _T_254 = or(_T_252, _T_253) @[lsu_stbuf.scala 142:147] + node _T_255 = cat(_T_254, _T_245) @[Cat.scala 29:58] + node _T_256 = cat(_T_255, _T_236) @[Cat.scala 29:58] + node sel_lo = cat(_T_256, _T_227) @[Cat.scala 29:58] + node _T_257 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 144:63] + node _T_258 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 144:81] + node _T_259 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 144:113] + node _T_260 = mux(_T_257, _T_258, _T_259) @[lsu_stbuf.scala 144:56] + node _T_261 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 144:63] + node _T_262 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 144:81] + node _T_263 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 144:113] + node _T_264 = mux(_T_261, _T_262, _T_263) @[lsu_stbuf.scala 144:56] + node _T_265 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 144:63] + node _T_266 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 144:81] + node _T_267 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 144:113] + node _T_268 = mux(_T_265, _T_266, _T_267) @[lsu_stbuf.scala 144:56] + node _T_269 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 144:63] + node _T_270 = bits(io.lsu_addr_r, 15, 0) @[lsu_stbuf.scala 144:81] + node _T_271 = bits(io.end_addr_r, 15, 0) @[lsu_stbuf.scala 144:113] + node _T_272 = mux(_T_269, _T_270, _T_271) @[lsu_stbuf.scala 144:56] + stbuf_addrin[0] <= _T_260 @[lsu_stbuf.scala 144:16] + stbuf_addrin[1] <= _T_264 @[lsu_stbuf.scala 144:16] + stbuf_addrin[2] <= _T_268 @[lsu_stbuf.scala 144:16] + stbuf_addrin[3] <= _T_272 @[lsu_stbuf.scala 144:16] + node _T_273 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 145:65] + node _T_274 = or(stbuf_byteen[0], store_byteen_lo_r) @[lsu_stbuf.scala 145:86] + node _T_275 = or(stbuf_byteen[0], store_byteen_hi_r) @[lsu_stbuf.scala 145:123] + node _T_276 = mux(_T_273, _T_274, _T_275) @[lsu_stbuf.scala 145:58] + node _T_277 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 145:65] + node _T_278 = or(stbuf_byteen[1], store_byteen_lo_r) @[lsu_stbuf.scala 145:86] + node _T_279 = or(stbuf_byteen[1], store_byteen_hi_r) @[lsu_stbuf.scala 145:123] + node _T_280 = mux(_T_277, _T_278, _T_279) @[lsu_stbuf.scala 145:58] + node _T_281 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 145:65] + node _T_282 = or(stbuf_byteen[2], store_byteen_lo_r) @[lsu_stbuf.scala 145:86] + node _T_283 = or(stbuf_byteen[2], store_byteen_hi_r) @[lsu_stbuf.scala 145:123] + node _T_284 = mux(_T_281, _T_282, _T_283) @[lsu_stbuf.scala 145:58] + node _T_285 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 145:65] + node _T_286 = or(stbuf_byteen[3], store_byteen_lo_r) @[lsu_stbuf.scala 145:86] + node _T_287 = or(stbuf_byteen[3], store_byteen_hi_r) @[lsu_stbuf.scala 145:123] + node _T_288 = mux(_T_285, _T_286, _T_287) @[lsu_stbuf.scala 145:58] + stbuf_byteenin[0] <= _T_276 @[lsu_stbuf.scala 145:18] + stbuf_byteenin[1] <= _T_280 @[lsu_stbuf.scala 145:18] + stbuf_byteenin[2] <= _T_284 @[lsu_stbuf.scala 145:18] + stbuf_byteenin[3] <= _T_288 @[lsu_stbuf.scala 145:18] + node _T_289 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 147:58] + node _T_290 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 147:83] + node _T_291 = eq(_T_290, UInt<1>("h00")) @[lsu_stbuf.scala 147:67] + node _T_292 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 147:106] + node _T_293 = or(_T_291, _T_292) @[lsu_stbuf.scala 147:87] + node _T_294 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 147:131] + node _T_295 = bits(stbuf_data[0], 7, 0) @[lsu_stbuf.scala 147:152] + node _T_296 = mux(_T_293, _T_294, _T_295) @[lsu_stbuf.scala 147:66] + node _T_297 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 148:25] + node _T_298 = eq(_T_297, UInt<1>("h00")) @[lsu_stbuf.scala 148:9] + node _T_299 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 148:48] + node _T_300 = or(_T_298, _T_299) @[lsu_stbuf.scala 148:29] + node _T_301 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 148:73] + node _T_302 = bits(stbuf_data[0], 7, 0) @[lsu_stbuf.scala 148:94] + node _T_303 = mux(_T_300, _T_301, _T_302) @[lsu_stbuf.scala 148:8] + node _T_304 = mux(_T_289, _T_296, _T_303) @[lsu_stbuf.scala 147:51] + node _T_305 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 147:58] + node _T_306 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 147:83] + node _T_307 = eq(_T_306, UInt<1>("h00")) @[lsu_stbuf.scala 147:67] + node _T_308 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 147:106] + node _T_309 = or(_T_307, _T_308) @[lsu_stbuf.scala 147:87] + node _T_310 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 147:131] + node _T_311 = bits(stbuf_data[1], 7, 0) @[lsu_stbuf.scala 147:152] + node _T_312 = mux(_T_309, _T_310, _T_311) @[lsu_stbuf.scala 147:66] + node _T_313 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 148:25] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[lsu_stbuf.scala 148:9] + node _T_315 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 148:48] + node _T_316 = or(_T_314, _T_315) @[lsu_stbuf.scala 148:29] + node _T_317 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 148:73] + node _T_318 = bits(stbuf_data[1], 7, 0) @[lsu_stbuf.scala 148:94] + node _T_319 = mux(_T_316, _T_317, _T_318) @[lsu_stbuf.scala 148:8] + node _T_320 = mux(_T_305, _T_312, _T_319) @[lsu_stbuf.scala 147:51] + node _T_321 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 147:58] + node _T_322 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 147:83] + node _T_323 = eq(_T_322, UInt<1>("h00")) @[lsu_stbuf.scala 147:67] + node _T_324 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 147:106] + node _T_325 = or(_T_323, _T_324) @[lsu_stbuf.scala 147:87] + node _T_326 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 147:131] + node _T_327 = bits(stbuf_data[2], 7, 0) @[lsu_stbuf.scala 147:152] + node _T_328 = mux(_T_325, _T_326, _T_327) @[lsu_stbuf.scala 147:66] + node _T_329 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 148:25] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[lsu_stbuf.scala 148:9] + node _T_331 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 148:48] + node _T_332 = or(_T_330, _T_331) @[lsu_stbuf.scala 148:29] + node _T_333 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 148:73] + node _T_334 = bits(stbuf_data[2], 7, 0) @[lsu_stbuf.scala 148:94] + node _T_335 = mux(_T_332, _T_333, _T_334) @[lsu_stbuf.scala 148:8] + node _T_336 = mux(_T_321, _T_328, _T_335) @[lsu_stbuf.scala 147:51] + node _T_337 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 147:58] + node _T_338 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 147:83] + node _T_339 = eq(_T_338, UInt<1>("h00")) @[lsu_stbuf.scala 147:67] + node _T_340 = bits(store_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 147:106] + node _T_341 = or(_T_339, _T_340) @[lsu_stbuf.scala 147:87] + node _T_342 = bits(io.store_datafn_lo_r, 7, 0) @[lsu_stbuf.scala 147:131] + node _T_343 = bits(stbuf_data[3], 7, 0) @[lsu_stbuf.scala 147:152] + node _T_344 = mux(_T_341, _T_342, _T_343) @[lsu_stbuf.scala 147:66] + node _T_345 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 148:25] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_stbuf.scala 148:9] + node _T_347 = bits(store_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 148:48] + node _T_348 = or(_T_346, _T_347) @[lsu_stbuf.scala 148:29] + node _T_349 = bits(io.store_datafn_hi_r, 7, 0) @[lsu_stbuf.scala 148:73] + node _T_350 = bits(stbuf_data[3], 7, 0) @[lsu_stbuf.scala 148:94] + node _T_351 = mux(_T_348, _T_349, _T_350) @[lsu_stbuf.scala 148:8] + node _T_352 = mux(_T_337, _T_344, _T_351) @[lsu_stbuf.scala 147:51] + datain1[0] <= _T_304 @[lsu_stbuf.scala 147:11] + datain1[1] <= _T_320 @[lsu_stbuf.scala 147:11] + datain1[2] <= _T_336 @[lsu_stbuf.scala 147:11] + datain1[3] <= _T_352 @[lsu_stbuf.scala 147:11] + node _T_353 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 150:59] + node _T_354 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 150:84] + node _T_355 = eq(_T_354, UInt<1>("h00")) @[lsu_stbuf.scala 150:68] + node _T_356 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 150:107] + node _T_357 = or(_T_355, _T_356) @[lsu_stbuf.scala 150:88] + node _T_358 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 150:132] + node _T_359 = bits(stbuf_data[0], 15, 8) @[lsu_stbuf.scala 150:154] + node _T_360 = mux(_T_357, _T_358, _T_359) @[lsu_stbuf.scala 150:67] + node _T_361 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 151:25] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[lsu_stbuf.scala 151:9] + node _T_363 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 151:48] + node _T_364 = or(_T_362, _T_363) @[lsu_stbuf.scala 151:29] + node _T_365 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 151:73] + node _T_366 = bits(stbuf_data[0], 15, 8) @[lsu_stbuf.scala 151:95] + node _T_367 = mux(_T_364, _T_365, _T_366) @[lsu_stbuf.scala 151:8] + node _T_368 = mux(_T_353, _T_360, _T_367) @[lsu_stbuf.scala 150:52] + node _T_369 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 150:59] + node _T_370 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 150:84] + node _T_371 = eq(_T_370, UInt<1>("h00")) @[lsu_stbuf.scala 150:68] + node _T_372 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 150:107] + node _T_373 = or(_T_371, _T_372) @[lsu_stbuf.scala 150:88] + node _T_374 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 150:132] + node _T_375 = bits(stbuf_data[1], 15, 8) @[lsu_stbuf.scala 150:154] + node _T_376 = mux(_T_373, _T_374, _T_375) @[lsu_stbuf.scala 150:67] + node _T_377 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 151:25] + node _T_378 = eq(_T_377, UInt<1>("h00")) @[lsu_stbuf.scala 151:9] + node _T_379 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 151:48] + node _T_380 = or(_T_378, _T_379) @[lsu_stbuf.scala 151:29] + node _T_381 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 151:73] + node _T_382 = bits(stbuf_data[1], 15, 8) @[lsu_stbuf.scala 151:95] + node _T_383 = mux(_T_380, _T_381, _T_382) @[lsu_stbuf.scala 151:8] + node _T_384 = mux(_T_369, _T_376, _T_383) @[lsu_stbuf.scala 150:52] + node _T_385 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 150:59] + node _T_386 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 150:84] + node _T_387 = eq(_T_386, UInt<1>("h00")) @[lsu_stbuf.scala 150:68] + node _T_388 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 150:107] + node _T_389 = or(_T_387, _T_388) @[lsu_stbuf.scala 150:88] + node _T_390 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 150:132] + node _T_391 = bits(stbuf_data[2], 15, 8) @[lsu_stbuf.scala 150:154] + node _T_392 = mux(_T_389, _T_390, _T_391) @[lsu_stbuf.scala 150:67] + node _T_393 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 151:25] + node _T_394 = eq(_T_393, UInt<1>("h00")) @[lsu_stbuf.scala 151:9] + node _T_395 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 151:48] + node _T_396 = or(_T_394, _T_395) @[lsu_stbuf.scala 151:29] + node _T_397 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 151:73] + node _T_398 = bits(stbuf_data[2], 15, 8) @[lsu_stbuf.scala 151:95] + node _T_399 = mux(_T_396, _T_397, _T_398) @[lsu_stbuf.scala 151:8] + node _T_400 = mux(_T_385, _T_392, _T_399) @[lsu_stbuf.scala 150:52] + node _T_401 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 150:59] + node _T_402 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 150:84] + node _T_403 = eq(_T_402, UInt<1>("h00")) @[lsu_stbuf.scala 150:68] + node _T_404 = bits(store_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 150:107] + node _T_405 = or(_T_403, _T_404) @[lsu_stbuf.scala 150:88] + node _T_406 = bits(io.store_datafn_lo_r, 15, 8) @[lsu_stbuf.scala 150:132] + node _T_407 = bits(stbuf_data[3], 15, 8) @[lsu_stbuf.scala 150:154] + node _T_408 = mux(_T_405, _T_406, _T_407) @[lsu_stbuf.scala 150:67] + node _T_409 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 151:25] + node _T_410 = eq(_T_409, UInt<1>("h00")) @[lsu_stbuf.scala 151:9] + node _T_411 = bits(store_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 151:48] + node _T_412 = or(_T_410, _T_411) @[lsu_stbuf.scala 151:29] + node _T_413 = bits(io.store_datafn_hi_r, 15, 8) @[lsu_stbuf.scala 151:73] + node _T_414 = bits(stbuf_data[3], 15, 8) @[lsu_stbuf.scala 151:95] + node _T_415 = mux(_T_412, _T_413, _T_414) @[lsu_stbuf.scala 151:8] + node _T_416 = mux(_T_401, _T_408, _T_415) @[lsu_stbuf.scala 150:52] + datain2[0] <= _T_368 @[lsu_stbuf.scala 150:12] + datain2[1] <= _T_384 @[lsu_stbuf.scala 150:12] + datain2[2] <= _T_400 @[lsu_stbuf.scala 150:12] + datain2[3] <= _T_416 @[lsu_stbuf.scala 150:12] + node _T_417 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 153:59] + node _T_418 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 153:84] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[lsu_stbuf.scala 153:68] + node _T_420 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 153:107] + node _T_421 = or(_T_419, _T_420) @[lsu_stbuf.scala 153:88] + node _T_422 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 153:132] + node _T_423 = bits(stbuf_data[0], 23, 16) @[lsu_stbuf.scala 153:155] + node _T_424 = mux(_T_421, _T_422, _T_423) @[lsu_stbuf.scala 153:67] + node _T_425 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 154:25] + node _T_426 = eq(_T_425, UInt<1>("h00")) @[lsu_stbuf.scala 154:9] + node _T_427 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 154:48] + node _T_428 = or(_T_426, _T_427) @[lsu_stbuf.scala 154:29] + node _T_429 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 154:73] + node _T_430 = bits(stbuf_data[0], 23, 16) @[lsu_stbuf.scala 154:96] + node _T_431 = mux(_T_428, _T_429, _T_430) @[lsu_stbuf.scala 154:8] + node _T_432 = mux(_T_417, _T_424, _T_431) @[lsu_stbuf.scala 153:52] + node _T_433 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 153:59] + node _T_434 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 153:84] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[lsu_stbuf.scala 153:68] + node _T_436 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 153:107] + node _T_437 = or(_T_435, _T_436) @[lsu_stbuf.scala 153:88] + node _T_438 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 153:132] + node _T_439 = bits(stbuf_data[1], 23, 16) @[lsu_stbuf.scala 153:155] + node _T_440 = mux(_T_437, _T_438, _T_439) @[lsu_stbuf.scala 153:67] + node _T_441 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 154:25] + node _T_442 = eq(_T_441, UInt<1>("h00")) @[lsu_stbuf.scala 154:9] + node _T_443 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 154:48] + node _T_444 = or(_T_442, _T_443) @[lsu_stbuf.scala 154:29] + node _T_445 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 154:73] + node _T_446 = bits(stbuf_data[1], 23, 16) @[lsu_stbuf.scala 154:96] + node _T_447 = mux(_T_444, _T_445, _T_446) @[lsu_stbuf.scala 154:8] + node _T_448 = mux(_T_433, _T_440, _T_447) @[lsu_stbuf.scala 153:52] + node _T_449 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 153:59] + node _T_450 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 153:84] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[lsu_stbuf.scala 153:68] + node _T_452 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 153:107] + node _T_453 = or(_T_451, _T_452) @[lsu_stbuf.scala 153:88] + node _T_454 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 153:132] + node _T_455 = bits(stbuf_data[2], 23, 16) @[lsu_stbuf.scala 153:155] + node _T_456 = mux(_T_453, _T_454, _T_455) @[lsu_stbuf.scala 153:67] + node _T_457 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 154:25] + node _T_458 = eq(_T_457, UInt<1>("h00")) @[lsu_stbuf.scala 154:9] + node _T_459 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 154:48] + node _T_460 = or(_T_458, _T_459) @[lsu_stbuf.scala 154:29] + node _T_461 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 154:73] + node _T_462 = bits(stbuf_data[2], 23, 16) @[lsu_stbuf.scala 154:96] + node _T_463 = mux(_T_460, _T_461, _T_462) @[lsu_stbuf.scala 154:8] + node _T_464 = mux(_T_449, _T_456, _T_463) @[lsu_stbuf.scala 153:52] + node _T_465 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 153:59] + node _T_466 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 153:84] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[lsu_stbuf.scala 153:68] + node _T_468 = bits(store_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 153:107] + node _T_469 = or(_T_467, _T_468) @[lsu_stbuf.scala 153:88] + node _T_470 = bits(io.store_datafn_lo_r, 23, 16) @[lsu_stbuf.scala 153:132] + node _T_471 = bits(stbuf_data[3], 23, 16) @[lsu_stbuf.scala 153:155] + node _T_472 = mux(_T_469, _T_470, _T_471) @[lsu_stbuf.scala 153:67] + node _T_473 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 154:25] + node _T_474 = eq(_T_473, UInt<1>("h00")) @[lsu_stbuf.scala 154:9] + node _T_475 = bits(store_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 154:48] + node _T_476 = or(_T_474, _T_475) @[lsu_stbuf.scala 154:29] + node _T_477 = bits(io.store_datafn_hi_r, 23, 16) @[lsu_stbuf.scala 154:73] + node _T_478 = bits(stbuf_data[3], 23, 16) @[lsu_stbuf.scala 154:96] + node _T_479 = mux(_T_476, _T_477, _T_478) @[lsu_stbuf.scala 154:8] + node _T_480 = mux(_T_465, _T_472, _T_479) @[lsu_stbuf.scala 153:52] + datain3[0] <= _T_432 @[lsu_stbuf.scala 153:12] + datain3[1] <= _T_448 @[lsu_stbuf.scala 153:12] + datain3[2] <= _T_464 @[lsu_stbuf.scala 153:12] + datain3[3] <= _T_480 @[lsu_stbuf.scala 153:12] + node _T_481 = bits(sel_lo, 0, 0) @[lsu_stbuf.scala 156:59] + node _T_482 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 156:84] + node _T_483 = eq(_T_482, UInt<1>("h00")) @[lsu_stbuf.scala 156:68] + node _T_484 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 156:107] + node _T_485 = or(_T_483, _T_484) @[lsu_stbuf.scala 156:88] + node _T_486 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 156:132] + node _T_487 = bits(stbuf_data[0], 31, 24) @[lsu_stbuf.scala 156:155] + node _T_488 = mux(_T_485, _T_486, _T_487) @[lsu_stbuf.scala 156:67] + node _T_489 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 157:25] + node _T_490 = eq(_T_489, UInt<1>("h00")) @[lsu_stbuf.scala 157:9] + node _T_491 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 157:48] + node _T_492 = or(_T_490, _T_491) @[lsu_stbuf.scala 157:29] + node _T_493 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 157:73] + node _T_494 = bits(stbuf_data[0], 31, 24) @[lsu_stbuf.scala 157:96] + node _T_495 = mux(_T_492, _T_493, _T_494) @[lsu_stbuf.scala 157:8] + node _T_496 = mux(_T_481, _T_488, _T_495) @[lsu_stbuf.scala 156:52] + node _T_497 = bits(sel_lo, 1, 1) @[lsu_stbuf.scala 156:59] + node _T_498 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 156:84] + node _T_499 = eq(_T_498, UInt<1>("h00")) @[lsu_stbuf.scala 156:68] + node _T_500 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 156:107] + node _T_501 = or(_T_499, _T_500) @[lsu_stbuf.scala 156:88] + node _T_502 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 156:132] + node _T_503 = bits(stbuf_data[1], 31, 24) @[lsu_stbuf.scala 156:155] + node _T_504 = mux(_T_501, _T_502, _T_503) @[lsu_stbuf.scala 156:67] + node _T_505 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 157:25] + node _T_506 = eq(_T_505, UInt<1>("h00")) @[lsu_stbuf.scala 157:9] + node _T_507 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 157:48] + node _T_508 = or(_T_506, _T_507) @[lsu_stbuf.scala 157:29] + node _T_509 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 157:73] + node _T_510 = bits(stbuf_data[1], 31, 24) @[lsu_stbuf.scala 157:96] + node _T_511 = mux(_T_508, _T_509, _T_510) @[lsu_stbuf.scala 157:8] + node _T_512 = mux(_T_497, _T_504, _T_511) @[lsu_stbuf.scala 156:52] + node _T_513 = bits(sel_lo, 2, 2) @[lsu_stbuf.scala 156:59] + node _T_514 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 156:84] + node _T_515 = eq(_T_514, UInt<1>("h00")) @[lsu_stbuf.scala 156:68] + node _T_516 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 156:107] + node _T_517 = or(_T_515, _T_516) @[lsu_stbuf.scala 156:88] + node _T_518 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 156:132] + node _T_519 = bits(stbuf_data[2], 31, 24) @[lsu_stbuf.scala 156:155] + node _T_520 = mux(_T_517, _T_518, _T_519) @[lsu_stbuf.scala 156:67] + node _T_521 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 157:25] + node _T_522 = eq(_T_521, UInt<1>("h00")) @[lsu_stbuf.scala 157:9] + node _T_523 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 157:48] + node _T_524 = or(_T_522, _T_523) @[lsu_stbuf.scala 157:29] + node _T_525 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 157:73] + node _T_526 = bits(stbuf_data[2], 31, 24) @[lsu_stbuf.scala 157:96] + node _T_527 = mux(_T_524, _T_525, _T_526) @[lsu_stbuf.scala 157:8] + node _T_528 = mux(_T_513, _T_520, _T_527) @[lsu_stbuf.scala 156:52] + node _T_529 = bits(sel_lo, 3, 3) @[lsu_stbuf.scala 156:59] + node _T_530 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 156:84] + node _T_531 = eq(_T_530, UInt<1>("h00")) @[lsu_stbuf.scala 156:68] + node _T_532 = bits(store_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 156:107] + node _T_533 = or(_T_531, _T_532) @[lsu_stbuf.scala 156:88] + node _T_534 = bits(io.store_datafn_lo_r, 31, 24) @[lsu_stbuf.scala 156:132] + node _T_535 = bits(stbuf_data[3], 31, 24) @[lsu_stbuf.scala 156:155] + node _T_536 = mux(_T_533, _T_534, _T_535) @[lsu_stbuf.scala 156:67] + node _T_537 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 157:25] + node _T_538 = eq(_T_537, UInt<1>("h00")) @[lsu_stbuf.scala 157:9] + node _T_539 = bits(store_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 157:48] + node _T_540 = or(_T_538, _T_539) @[lsu_stbuf.scala 157:29] + node _T_541 = bits(io.store_datafn_hi_r, 31, 24) @[lsu_stbuf.scala 157:73] + node _T_542 = bits(stbuf_data[3], 31, 24) @[lsu_stbuf.scala 157:96] + node _T_543 = mux(_T_540, _T_541, _T_542) @[lsu_stbuf.scala 157:8] + node _T_544 = mux(_T_529, _T_536, _T_543) @[lsu_stbuf.scala 156:52] + datain4[0] <= _T_496 @[lsu_stbuf.scala 156:12] + datain4[1] <= _T_512 @[lsu_stbuf.scala 156:12] + datain4[2] <= _T_528 @[lsu_stbuf.scala 156:12] + datain4[3] <= _T_544 @[lsu_stbuf.scala 156:12] + node _T_545 = cat(datain2[0], datain1[0]) @[Cat.scala 29:58] + node _T_546 = cat(datain4[0], datain3[0]) @[Cat.scala 29:58] + node _T_547 = cat(_T_546, _T_545) @[Cat.scala 29:58] + node _T_548 = cat(datain2[1], datain1[1]) @[Cat.scala 29:58] + node _T_549 = cat(datain4[1], datain3[1]) @[Cat.scala 29:58] + node _T_550 = cat(_T_549, _T_548) @[Cat.scala 29:58] + node _T_551 = cat(datain2[2], datain1[2]) @[Cat.scala 29:58] + node _T_552 = cat(datain4[2], datain3[2]) @[Cat.scala 29:58] + node _T_553 = cat(_T_552, _T_551) @[Cat.scala 29:58] + node _T_554 = cat(datain2[3], datain1[3]) @[Cat.scala 29:58] + node _T_555 = cat(datain4[3], datain3[3]) @[Cat.scala 29:58] + node _T_556 = cat(_T_555, _T_554) @[Cat.scala 29:58] + stbuf_datain[0] <= _T_547 @[lsu_stbuf.scala 159:16] + stbuf_datain[1] <= _T_550 @[lsu_stbuf.scala 159:16] + stbuf_datain[2] <= _T_553 @[lsu_stbuf.scala 159:16] + stbuf_datain[3] <= _T_556 @[lsu_stbuf.scala 159:16] + node _T_557 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 163:104] + node _T_558 = bits(_T_557, 0, 0) @[lsu_stbuf.scala 163:114] + node _T_559 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 163:131] + node _T_560 = mux(_T_558, UInt<1>("h01"), _T_559) @[lsu_stbuf.scala 163:92] + node _T_561 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 163:150] + node _T_562 = eq(_T_561, UInt<1>("h00")) @[lsu_stbuf.scala 163:138] + node _T_563 = and(_T_560, _T_562) @[lsu_stbuf.scala 163:136] + reg _T_564 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:88] + _T_564 <= _T_563 @[lsu_stbuf.scala 163:88] + node _T_565 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 163:104] + node _T_566 = bits(_T_565, 0, 0) @[lsu_stbuf.scala 163:114] + node _T_567 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 163:131] + node _T_568 = mux(_T_566, UInt<1>("h01"), _T_567) @[lsu_stbuf.scala 163:92] + node _T_569 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 163:150] + node _T_570 = eq(_T_569, UInt<1>("h00")) @[lsu_stbuf.scala 163:138] + node _T_571 = and(_T_568, _T_570) @[lsu_stbuf.scala 163:136] + reg _T_572 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:88] + _T_572 <= _T_571 @[lsu_stbuf.scala 163:88] + node _T_573 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 163:104] + node _T_574 = bits(_T_573, 0, 0) @[lsu_stbuf.scala 163:114] + node _T_575 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 163:131] + node _T_576 = mux(_T_574, UInt<1>("h01"), _T_575) @[lsu_stbuf.scala 163:92] + node _T_577 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 163:150] + node _T_578 = eq(_T_577, UInt<1>("h00")) @[lsu_stbuf.scala 163:138] + node _T_579 = and(_T_576, _T_578) @[lsu_stbuf.scala 163:136] + reg _T_580 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:88] + _T_580 <= _T_579 @[lsu_stbuf.scala 163:88] + node _T_581 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 163:104] + node _T_582 = bits(_T_581, 0, 0) @[lsu_stbuf.scala 163:114] + node _T_583 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 163:131] + node _T_584 = mux(_T_582, UInt<1>("h01"), _T_583) @[lsu_stbuf.scala 163:92] + node _T_585 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 163:150] + node _T_586 = eq(_T_585, UInt<1>("h00")) @[lsu_stbuf.scala 163:138] + node _T_587 = and(_T_584, _T_586) @[lsu_stbuf.scala 163:136] + reg _T_588 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 163:88] + _T_588 <= _T_587 @[lsu_stbuf.scala 163:88] + node _T_589 = cat(_T_588, _T_580) @[Cat.scala 29:58] + node _T_590 = cat(_T_589, _T_572) @[Cat.scala 29:58] + node _T_591 = cat(_T_590, _T_564) @[Cat.scala 29:58] + stbuf_vld <= _T_591 @[lsu_stbuf.scala 163:13] + node _T_592 = bits(stbuf_dma_kill_en, 0, 0) @[lsu_stbuf.scala 164:114] + node _T_593 = bits(_T_592, 0, 0) @[lsu_stbuf.scala 164:118] + node _T_594 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 164:144] + node _T_595 = mux(_T_593, UInt<1>("h01"), _T_594) @[lsu_stbuf.scala 164:96] + node _T_596 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 164:163] + node _T_597 = eq(_T_596, UInt<1>("h00")) @[lsu_stbuf.scala 164:151] + node _T_598 = and(_T_595, _T_597) @[lsu_stbuf.scala 164:149] + reg _T_599 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 164:92] + _T_599 <= _T_598 @[lsu_stbuf.scala 164:92] + node _T_600 = bits(stbuf_dma_kill_en, 1, 1) @[lsu_stbuf.scala 164:114] + node _T_601 = bits(_T_600, 0, 0) @[lsu_stbuf.scala 164:118] + node _T_602 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 164:144] + node _T_603 = mux(_T_601, UInt<1>("h01"), _T_602) @[lsu_stbuf.scala 164:96] + node _T_604 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 164:163] + node _T_605 = eq(_T_604, UInt<1>("h00")) @[lsu_stbuf.scala 164:151] + node _T_606 = and(_T_603, _T_605) @[lsu_stbuf.scala 164:149] + reg _T_607 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 164:92] + _T_607 <= _T_606 @[lsu_stbuf.scala 164:92] + node _T_608 = bits(stbuf_dma_kill_en, 2, 2) @[lsu_stbuf.scala 164:114] + node _T_609 = bits(_T_608, 0, 0) @[lsu_stbuf.scala 164:118] + node _T_610 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 164:144] + node _T_611 = mux(_T_609, UInt<1>("h01"), _T_610) @[lsu_stbuf.scala 164:96] + node _T_612 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 164:163] + node _T_613 = eq(_T_612, UInt<1>("h00")) @[lsu_stbuf.scala 164:151] + node _T_614 = and(_T_611, _T_613) @[lsu_stbuf.scala 164:149] + reg _T_615 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 164:92] + _T_615 <= _T_614 @[lsu_stbuf.scala 164:92] + node _T_616 = bits(stbuf_dma_kill_en, 3, 3) @[lsu_stbuf.scala 164:114] + node _T_617 = bits(_T_616, 0, 0) @[lsu_stbuf.scala 164:118] + node _T_618 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 164:144] + node _T_619 = mux(_T_617, UInt<1>("h01"), _T_618) @[lsu_stbuf.scala 164:96] + node _T_620 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 164:163] + node _T_621 = eq(_T_620, UInt<1>("h00")) @[lsu_stbuf.scala 164:151] + node _T_622 = and(_T_619, _T_621) @[lsu_stbuf.scala 164:149] + reg _T_623 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 164:92] + _T_623 <= _T_622 @[lsu_stbuf.scala 164:92] + node _T_624 = cat(_T_623, _T_615) @[Cat.scala 29:58] + node _T_625 = cat(_T_624, _T_607) @[Cat.scala 29:58] + node _T_626 = cat(_T_625, _T_599) @[Cat.scala 29:58] + stbuf_dma_kill <= _T_626 @[lsu_stbuf.scala 164:18] + node _T_627 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 165:108] + node _T_628 = bits(_T_627, 0, 0) @[lsu_stbuf.scala 165:118] + node _T_629 = mux(_T_628, stbuf_byteenin[0], stbuf_byteen[0]) @[lsu_stbuf.scala 165:96] + node _T_630 = bits(stbuf_reset, 0, 0) @[lsu_stbuf.scala 165:206] + node _T_631 = eq(_T_630, UInt<1>("h00")) @[lsu_stbuf.scala 165:194] + node _T_632 = bits(_T_631, 0, 0) @[Bitwise.scala 72:15] + node _T_633 = mux(_T_632, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_634 = and(_T_629, _T_633) @[lsu_stbuf.scala 165:158] + reg _T_635 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 165:92] + _T_635 <= _T_634 @[lsu_stbuf.scala 165:92] + node _T_636 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 165:108] + node _T_637 = bits(_T_636, 0, 0) @[lsu_stbuf.scala 165:118] + node _T_638 = mux(_T_637, stbuf_byteenin[1], stbuf_byteen[1]) @[lsu_stbuf.scala 165:96] + node _T_639 = bits(stbuf_reset, 1, 1) @[lsu_stbuf.scala 165:206] + node _T_640 = eq(_T_639, UInt<1>("h00")) @[lsu_stbuf.scala 165:194] + node _T_641 = bits(_T_640, 0, 0) @[Bitwise.scala 72:15] + node _T_642 = mux(_T_641, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_643 = and(_T_638, _T_642) @[lsu_stbuf.scala 165:158] + reg _T_644 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 165:92] + _T_644 <= _T_643 @[lsu_stbuf.scala 165:92] + node _T_645 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 165:108] + node _T_646 = bits(_T_645, 0, 0) @[lsu_stbuf.scala 165:118] + node _T_647 = mux(_T_646, stbuf_byteenin[2], stbuf_byteen[2]) @[lsu_stbuf.scala 165:96] + node _T_648 = bits(stbuf_reset, 2, 2) @[lsu_stbuf.scala 165:206] + node _T_649 = eq(_T_648, UInt<1>("h00")) @[lsu_stbuf.scala 165:194] + node _T_650 = bits(_T_649, 0, 0) @[Bitwise.scala 72:15] + node _T_651 = mux(_T_650, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_652 = and(_T_647, _T_651) @[lsu_stbuf.scala 165:158] + reg _T_653 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 165:92] + _T_653 <= _T_652 @[lsu_stbuf.scala 165:92] + node _T_654 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 165:108] + node _T_655 = bits(_T_654, 0, 0) @[lsu_stbuf.scala 165:118] + node _T_656 = mux(_T_655, stbuf_byteenin[3], stbuf_byteen[3]) @[lsu_stbuf.scala 165:96] + node _T_657 = bits(stbuf_reset, 3, 3) @[lsu_stbuf.scala 165:206] + node _T_658 = eq(_T_657, UInt<1>("h00")) @[lsu_stbuf.scala 165:194] + node _T_659 = bits(_T_658, 0, 0) @[Bitwise.scala 72:15] + node _T_660 = mux(_T_659, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_661 = and(_T_656, _T_660) @[lsu_stbuf.scala 165:158] + reg _T_662 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 165:92] + _T_662 <= _T_661 @[lsu_stbuf.scala 165:92] + stbuf_byteen[0] <= _T_635 @[lsu_stbuf.scala 165:16] + stbuf_byteen[1] <= _T_644 @[lsu_stbuf.scala 165:16] + stbuf_byteen[2] <= _T_653 @[lsu_stbuf.scala 165:16] + stbuf_byteen[3] <= _T_662 @[lsu_stbuf.scala 165:16] + node _T_663 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 167:56] + node _T_664 = bits(_T_663, 0, 0) @[lsu_stbuf.scala 167:66] + inst rvclkhdr of rvclkhdr_2 @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= _T_664 @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_665 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_665 <= stbuf_addrin[0] @[lib.scala 374:16] + stbuf_addr[0] <= _T_665 @[lsu_stbuf.scala 167:19] + node _T_666 = bits(stbuf_wr_en, 0, 0) @[lsu_stbuf.scala 168:56] + node _T_667 = bits(_T_666, 0, 0) @[lsu_stbuf.scala 168:66] + inst rvclkhdr_1 of rvclkhdr_3 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= _T_667 @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_668 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_668 <= stbuf_datain[0] @[lib.scala 374:16] + stbuf_data[0] <= _T_668 @[lsu_stbuf.scala 168:19] + node _T_669 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 167:56] + node _T_670 = bits(_T_669, 0, 0) @[lsu_stbuf.scala 167:66] + inst rvclkhdr_2 of rvclkhdr_4 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= _T_670 @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_671 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_671 <= stbuf_addrin[1] @[lib.scala 374:16] + stbuf_addr[1] <= _T_671 @[lsu_stbuf.scala 167:19] + node _T_672 = bits(stbuf_wr_en, 1, 1) @[lsu_stbuf.scala 168:56] + node _T_673 = bits(_T_672, 0, 0) @[lsu_stbuf.scala 168:66] + inst rvclkhdr_3 of rvclkhdr_5 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= _T_673 @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_674 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_674 <= stbuf_datain[1] @[lib.scala 374:16] + stbuf_data[1] <= _T_674 @[lsu_stbuf.scala 168:19] + node _T_675 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 167:56] + node _T_676 = bits(_T_675, 0, 0) @[lsu_stbuf.scala 167:66] + inst rvclkhdr_4 of rvclkhdr_6 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_676 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_677 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_677 <= stbuf_addrin[2] @[lib.scala 374:16] + stbuf_addr[2] <= _T_677 @[lsu_stbuf.scala 167:19] + node _T_678 = bits(stbuf_wr_en, 2, 2) @[lsu_stbuf.scala 168:56] + node _T_679 = bits(_T_678, 0, 0) @[lsu_stbuf.scala 168:66] + inst rvclkhdr_5 of rvclkhdr_7 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_679 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_680 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_680 <= stbuf_datain[2] @[lib.scala 374:16] + stbuf_data[2] <= _T_680 @[lsu_stbuf.scala 168:19] + node _T_681 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 167:56] + node _T_682 = bits(_T_681, 0, 0) @[lsu_stbuf.scala 167:66] + inst rvclkhdr_6 of rvclkhdr_8 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= _T_682 @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_683 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_683 <= stbuf_addrin[3] @[lib.scala 374:16] + stbuf_addr[3] <= _T_683 @[lsu_stbuf.scala 167:19] + node _T_684 = bits(stbuf_wr_en, 3, 3) @[lsu_stbuf.scala 168:56] + node _T_685 = bits(_T_684, 0, 0) @[lsu_stbuf.scala 168:66] + inst rvclkhdr_7 of rvclkhdr_9 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= _T_685 @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_686 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_686 <= stbuf_datain[3] @[lib.scala 374:16] + stbuf_data[3] <= _T_686 @[lsu_stbuf.scala 168:19] + reg _T_687 : UInt<1>, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 170:52] + _T_687 <= ldst_dual_d @[lsu_stbuf.scala 170:52] + ldst_dual_m <= _T_687 @[lsu_stbuf.scala 170:42] + reg _T_688 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_stbuf.scala 171:52] + _T_688 <= ldst_dual_m @[lsu_stbuf.scala 171:52] + ldst_dual_r <= _T_688 @[lsu_stbuf.scala 171:42] + node _T_689 = dshr(stbuf_vld, RdPtr) @[lsu_stbuf.scala 174:43] + node _T_690 = bits(_T_689, 0, 0) @[lsu_stbuf.scala 174:43] + node _T_691 = dshr(stbuf_dma_kill, RdPtr) @[lsu_stbuf.scala 174:67] + node _T_692 = bits(_T_691, 0, 0) @[lsu_stbuf.scala 174:67] + node _T_693 = and(_T_690, _T_692) @[lsu_stbuf.scala 174:51] + io.stbuf_reqvld_flushed_any <= _T_693 @[lsu_stbuf.scala 174:31] + node _T_694 = dshr(stbuf_vld, RdPtr) @[lsu_stbuf.scala 175:36] + node _T_695 = bits(_T_694, 0, 0) @[lsu_stbuf.scala 175:36] + node _T_696 = dshr(stbuf_dma_kill, RdPtr) @[lsu_stbuf.scala 175:61] + node _T_697 = bits(_T_696, 0, 0) @[lsu_stbuf.scala 175:61] + node _T_698 = eq(_T_697, UInt<1>("h00")) @[lsu_stbuf.scala 175:46] + node _T_699 = and(_T_695, _T_698) @[lsu_stbuf.scala 175:44] + node _T_700 = orr(stbuf_dma_kill_en) @[lsu_stbuf.scala 175:91] + node _T_701 = eq(_T_700, UInt<1>("h00")) @[lsu_stbuf.scala 175:71] + node _T_702 = and(_T_699, _T_701) @[lsu_stbuf.scala 175:69] + io.stbuf_reqvld_any <= _T_702 @[lsu_stbuf.scala 175:24] + io.stbuf_addr_any <= stbuf_addr[RdPtr] @[lsu_stbuf.scala 176:22] + io.stbuf_data_any <= stbuf_data[RdPtr] @[lsu_stbuf.scala 177:22] + node _T_703 = eq(dual_stbuf_write_r, UInt<1>("h00")) @[lsu_stbuf.scala 179:44] + node _T_704 = and(io.ldst_stbuf_reqvld_r, _T_703) @[lsu_stbuf.scala 179:42] + node _T_705 = or(store_coalesce_hi_r, store_coalesce_lo_r) @[lsu_stbuf.scala 179:88] + node _T_706 = eq(_T_705, UInt<1>("h00")) @[lsu_stbuf.scala 179:66] + node _T_707 = and(_T_704, _T_706) @[lsu_stbuf.scala 179:64] + node _T_708 = and(io.ldst_stbuf_reqvld_r, dual_stbuf_write_r) @[lsu_stbuf.scala 180:30] + node _T_709 = and(store_coalesce_hi_r, store_coalesce_lo_r) @[lsu_stbuf.scala 180:76] + node _T_710 = eq(_T_709, UInt<1>("h00")) @[lsu_stbuf.scala 180:54] + node _T_711 = and(_T_708, _T_710) @[lsu_stbuf.scala 180:52] + node _T_712 = or(_T_707, _T_711) @[lsu_stbuf.scala 179:113] + node WrPtrEn = bits(_T_712, 0, 0) @[lsu_stbuf.scala 180:101] + node _T_713 = and(io.ldst_stbuf_reqvld_r, dual_stbuf_write_r) @[lsu_stbuf.scala 181:46] + node _T_714 = or(store_coalesce_hi_r, store_coalesce_lo_r) @[lsu_stbuf.scala 181:91] + node _T_715 = eq(_T_714, UInt<1>("h00")) @[lsu_stbuf.scala 181:69] + node _T_716 = and(_T_713, _T_715) @[lsu_stbuf.scala 181:67] + node _T_717 = bits(_T_716, 0, 0) @[lsu_stbuf.scala 181:115] + node NxtWrPtr = mux(_T_717, WrPtrPlus2, WrPtrPlus1) @[lsu_stbuf.scala 181:21] + node RdPtrEn = or(io.lsu_stbuf_commit_any, io.stbuf_reqvld_flushed_any) @[lsu_stbuf.scala 182:42] + reg _T_718 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when WrPtrEn : @[Reg.scala 28:19] + _T_718 <= NxtWrPtr @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + WrPtr <= _T_718 @[lsu_stbuf.scala 185:41] + reg _T_719 : UInt, io.lsu_stbuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when RdPtrEn : @[Reg.scala 28:19] + _T_719 <= RdPtrPlus1 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + RdPtr <= _T_719 @[lsu_stbuf.scala 186:41] + node _T_720 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 188:86] + node _T_721 = cat(UInt<3>("h00"), _T_720) @[Cat.scala 29:58] + node _T_722 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 188:86] + node _T_723 = cat(UInt<3>("h00"), _T_722) @[Cat.scala 29:58] + node _T_724 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 188:86] + node _T_725 = cat(UInt<3>("h00"), _T_724) @[Cat.scala 29:58] + node _T_726 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 188:86] + node _T_727 = cat(UInt<3>("h00"), _T_726) @[Cat.scala 29:58] + wire _T_728 : UInt<4>[4] @[lsu_stbuf.scala 188:59] + _T_728[0] <= _T_721 @[lsu_stbuf.scala 188:59] + _T_728[1] <= _T_723 @[lsu_stbuf.scala 188:59] + _T_728[2] <= _T_725 @[lsu_stbuf.scala 188:59] + _T_728[3] <= _T_727 @[lsu_stbuf.scala 188:59] + node _T_729 = add(_T_728[0], _T_728[1]) @[lsu_stbuf.scala 188:101] + node _T_730 = tail(_T_729, 1) @[lsu_stbuf.scala 188:101] + node _T_731 = add(_T_730, _T_728[2]) @[lsu_stbuf.scala 188:101] + node _T_732 = tail(_T_731, 1) @[lsu_stbuf.scala 188:101] + node _T_733 = add(_T_732, _T_728[3]) @[lsu_stbuf.scala 188:101] + node stbuf_numvld_any = tail(_T_733, 1) @[lsu_stbuf.scala 188:101] + node _T_734 = and(io.lsu_pkt_m.valid, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 189:39] + node _T_735 = and(_T_734, io.addr_in_dccm_m) @[lsu_stbuf.scala 189:65] + node _T_736 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 189:87] + node isdccmst_m = and(_T_735, _T_736) @[lsu_stbuf.scala 189:85] + node _T_737 = and(io.lsu_pkt_r.valid, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 190:39] + node _T_738 = and(_T_737, io.addr_in_dccm_r) @[lsu_stbuf.scala 190:65] + node _T_739 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 190:87] + node isdccmst_r = and(_T_738, _T_739) @[lsu_stbuf.scala 190:85] + node _T_740 = cat(UInt<1>("h00"), isdccmst_m) @[Cat.scala 29:58] + node _T_741 = and(isdccmst_m, ldst_dual_m) @[lsu_stbuf.scala 192:62] + node _T_742 = dshl(_T_740, _T_741) @[lsu_stbuf.scala 192:47] + stbuf_specvld_m <= _T_742 @[lsu_stbuf.scala 192:19] + node _T_743 = cat(UInt<1>("h00"), isdccmst_r) @[Cat.scala 29:58] + node _T_744 = and(isdccmst_r, ldst_dual_r) @[lsu_stbuf.scala 193:62] + node _T_745 = dshl(_T_743, _T_744) @[lsu_stbuf.scala 193:47] + stbuf_specvld_r <= _T_745 @[lsu_stbuf.scala 193:19] + node _T_746 = cat(UInt<2>("h00"), stbuf_specvld_m) @[Cat.scala 29:58] + node _T_747 = add(stbuf_numvld_any, _T_746) @[lsu_stbuf.scala 194:44] + node _T_748 = tail(_T_747, 1) @[lsu_stbuf.scala 194:44] + node _T_749 = cat(UInt<2>("h00"), stbuf_specvld_r) @[Cat.scala 29:58] + node _T_750 = add(_T_748, _T_749) @[lsu_stbuf.scala 194:78] + node stbuf_specvld_any = tail(_T_750, 1) @[lsu_stbuf.scala 194:78] + node _T_751 = eq(ldst_dual_d, UInt<1>("h00")) @[lsu_stbuf.scala 196:34] + node _T_752 = and(_T_751, io.dec_lsu_valid_raw_d) @[lsu_stbuf.scala 196:47] + node _T_753 = bits(_T_752, 0, 0) @[lsu_stbuf.scala 196:73] + node _T_754 = geq(stbuf_specvld_any, UInt<3>("h04")) @[lsu_stbuf.scala 196:99] + node _T_755 = geq(stbuf_specvld_any, UInt<2>("h03")) @[lsu_stbuf.scala 196:140] + node _T_756 = mux(_T_753, _T_754, _T_755) @[lsu_stbuf.scala 196:32] + io.lsu_stbuf_full_any <= _T_756 @[lsu_stbuf.scala 196:26] + node _T_757 = eq(stbuf_numvld_any, UInt<1>("h00")) @[lsu_stbuf.scala 197:46] + io.lsu_stbuf_empty_any <= _T_757 @[lsu_stbuf.scala 197:26] + node cmpen_hi_m = and(io.lsu_cmpen_m, ldst_dual_m) @[lsu_stbuf.scala 199:36] + node _T_758 = bits(io.end_addr_m, 15, 2) @[lsu_stbuf.scala 200:32] + cmpaddr_hi_m <= _T_758 @[lsu_stbuf.scala 200:16] + node _T_759 = bits(io.lsu_addr_m, 15, 2) @[lsu_stbuf.scala 203:33] + cmpaddr_lo_m <= _T_759 @[lsu_stbuf.scala 203:17] + node _T_760 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 206:73] + node _T_761 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 206:131] + node _T_762 = eq(_T_760, _T_761) @[lsu_stbuf.scala 206:115] + node _T_763 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 206:150] + node _T_764 = and(_T_762, _T_763) @[lsu_stbuf.scala 206:139] + node _T_765 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 206:171] + node _T_766 = eq(_T_765, UInt<1>("h00")) @[lsu_stbuf.scala 206:156] + node _T_767 = and(_T_764, _T_766) @[lsu_stbuf.scala 206:154] + node _T_768 = and(_T_767, io.addr_in_dccm_m) @[lsu_stbuf.scala 206:175] + node _T_769 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 206:73] + node _T_770 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 206:131] + node _T_771 = eq(_T_769, _T_770) @[lsu_stbuf.scala 206:115] + node _T_772 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 206:150] + node _T_773 = and(_T_771, _T_772) @[lsu_stbuf.scala 206:139] + node _T_774 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 206:171] + node _T_775 = eq(_T_774, UInt<1>("h00")) @[lsu_stbuf.scala 206:156] + node _T_776 = and(_T_773, _T_775) @[lsu_stbuf.scala 206:154] + node _T_777 = and(_T_776, io.addr_in_dccm_m) @[lsu_stbuf.scala 206:175] + node _T_778 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 206:73] + node _T_779 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 206:131] + node _T_780 = eq(_T_778, _T_779) @[lsu_stbuf.scala 206:115] + node _T_781 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 206:150] + node _T_782 = and(_T_780, _T_781) @[lsu_stbuf.scala 206:139] + node _T_783 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 206:171] + node _T_784 = eq(_T_783, UInt<1>("h00")) @[lsu_stbuf.scala 206:156] + node _T_785 = and(_T_782, _T_784) @[lsu_stbuf.scala 206:154] + node _T_786 = and(_T_785, io.addr_in_dccm_m) @[lsu_stbuf.scala 206:175] + node _T_787 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 206:73] + node _T_788 = bits(cmpaddr_hi_m, 13, 0) @[lsu_stbuf.scala 206:131] + node _T_789 = eq(_T_787, _T_788) @[lsu_stbuf.scala 206:115] + node _T_790 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 206:150] + node _T_791 = and(_T_789, _T_790) @[lsu_stbuf.scala 206:139] + node _T_792 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 206:171] + node _T_793 = eq(_T_792, UInt<1>("h00")) @[lsu_stbuf.scala 206:156] + node _T_794 = and(_T_791, _T_793) @[lsu_stbuf.scala 206:154] + node _T_795 = and(_T_794, io.addr_in_dccm_m) @[lsu_stbuf.scala 206:175] + node _T_796 = cat(_T_795, _T_786) @[Cat.scala 29:58] + node _T_797 = cat(_T_796, _T_777) @[Cat.scala 29:58] + node stbuf_match_hi = cat(_T_797, _T_768) @[Cat.scala 29:58] + node _T_798 = bits(stbuf_addr[0], 15, 2) @[lsu_stbuf.scala 207:73] + node _T_799 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 207:131] + node _T_800 = eq(_T_798, _T_799) @[lsu_stbuf.scala 207:115] + node _T_801 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 207:150] + node _T_802 = and(_T_800, _T_801) @[lsu_stbuf.scala 207:139] + node _T_803 = bits(stbuf_dma_kill, 0, 0) @[lsu_stbuf.scala 207:171] + node _T_804 = eq(_T_803, UInt<1>("h00")) @[lsu_stbuf.scala 207:156] + node _T_805 = and(_T_802, _T_804) @[lsu_stbuf.scala 207:154] + node _T_806 = and(_T_805, io.addr_in_dccm_m) @[lsu_stbuf.scala 207:175] + node _T_807 = bits(stbuf_addr[1], 15, 2) @[lsu_stbuf.scala 207:73] + node _T_808 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 207:131] + node _T_809 = eq(_T_807, _T_808) @[lsu_stbuf.scala 207:115] + node _T_810 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 207:150] + node _T_811 = and(_T_809, _T_810) @[lsu_stbuf.scala 207:139] + node _T_812 = bits(stbuf_dma_kill, 1, 1) @[lsu_stbuf.scala 207:171] + node _T_813 = eq(_T_812, UInt<1>("h00")) @[lsu_stbuf.scala 207:156] + node _T_814 = and(_T_811, _T_813) @[lsu_stbuf.scala 207:154] + node _T_815 = and(_T_814, io.addr_in_dccm_m) @[lsu_stbuf.scala 207:175] + node _T_816 = bits(stbuf_addr[2], 15, 2) @[lsu_stbuf.scala 207:73] + node _T_817 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 207:131] + node _T_818 = eq(_T_816, _T_817) @[lsu_stbuf.scala 207:115] + node _T_819 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 207:150] + node _T_820 = and(_T_818, _T_819) @[lsu_stbuf.scala 207:139] + node _T_821 = bits(stbuf_dma_kill, 2, 2) @[lsu_stbuf.scala 207:171] + node _T_822 = eq(_T_821, UInt<1>("h00")) @[lsu_stbuf.scala 207:156] + node _T_823 = and(_T_820, _T_822) @[lsu_stbuf.scala 207:154] + node _T_824 = and(_T_823, io.addr_in_dccm_m) @[lsu_stbuf.scala 207:175] + node _T_825 = bits(stbuf_addr[3], 15, 2) @[lsu_stbuf.scala 207:73] + node _T_826 = bits(cmpaddr_lo_m, 13, 0) @[lsu_stbuf.scala 207:131] + node _T_827 = eq(_T_825, _T_826) @[lsu_stbuf.scala 207:115] + node _T_828 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 207:150] + node _T_829 = and(_T_827, _T_828) @[lsu_stbuf.scala 207:139] + node _T_830 = bits(stbuf_dma_kill, 3, 3) @[lsu_stbuf.scala 207:171] + node _T_831 = eq(_T_830, UInt<1>("h00")) @[lsu_stbuf.scala 207:156] + node _T_832 = and(_T_829, _T_831) @[lsu_stbuf.scala 207:154] + node _T_833 = and(_T_832, io.addr_in_dccm_m) @[lsu_stbuf.scala 207:175] + node _T_834 = cat(_T_833, _T_824) @[Cat.scala 29:58] + node _T_835 = cat(_T_834, _T_815) @[Cat.scala 29:58] + node stbuf_match_lo = cat(_T_835, _T_806) @[Cat.scala 29:58] + node _T_836 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 208:74] + node _T_837 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 208:94] + node _T_838 = or(_T_836, _T_837) @[lsu_stbuf.scala 208:78] + node _T_839 = and(_T_838, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 208:99] + node _T_840 = and(_T_839, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 208:120] + node _T_841 = and(_T_840, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 208:144] + node _T_842 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 208:74] + node _T_843 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 208:94] + node _T_844 = or(_T_842, _T_843) @[lsu_stbuf.scala 208:78] + node _T_845 = and(_T_844, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 208:99] + node _T_846 = and(_T_845, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 208:120] + node _T_847 = and(_T_846, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 208:144] + node _T_848 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 208:74] + node _T_849 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 208:94] + node _T_850 = or(_T_848, _T_849) @[lsu_stbuf.scala 208:78] + node _T_851 = and(_T_850, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 208:99] + node _T_852 = and(_T_851, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 208:120] + node _T_853 = and(_T_852, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 208:144] + node _T_854 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 208:74] + node _T_855 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 208:94] + node _T_856 = or(_T_854, _T_855) @[lsu_stbuf.scala 208:78] + node _T_857 = and(_T_856, io.lsu_pkt_m.valid) @[lsu_stbuf.scala 208:99] + node _T_858 = and(_T_857, io.lsu_pkt_m.bits.dma) @[lsu_stbuf.scala 208:120] + node _T_859 = and(_T_858, io.lsu_pkt_m.bits.store) @[lsu_stbuf.scala 208:144] + node _T_860 = cat(_T_859, _T_853) @[Cat.scala 29:58] + node _T_861 = cat(_T_860, _T_847) @[Cat.scala 29:58] + node _T_862 = cat(_T_861, _T_841) @[Cat.scala 29:58] + stbuf_dma_kill_en <= _T_862 @[lsu_stbuf.scala 208:21] + node _T_863 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 211:112] + node _T_864 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 211:133] + node _T_865 = and(_T_863, _T_864) @[lsu_stbuf.scala 211:116] + node _T_866 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_0_0 = and(_T_865, _T_866) @[lsu_stbuf.scala 211:137] + node _T_867 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 211:112] + node _T_868 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 211:133] + node _T_869 = and(_T_867, _T_868) @[lsu_stbuf.scala 211:116] + node _T_870 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_0_1 = and(_T_869, _T_870) @[lsu_stbuf.scala 211:137] + node _T_871 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 211:112] + node _T_872 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 211:133] + node _T_873 = and(_T_871, _T_872) @[lsu_stbuf.scala 211:116] + node _T_874 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_0_2 = and(_T_873, _T_874) @[lsu_stbuf.scala 211:137] + node _T_875 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 211:112] + node _T_876 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 211:133] + node _T_877 = and(_T_875, _T_876) @[lsu_stbuf.scala 211:116] + node _T_878 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_0_3 = and(_T_877, _T_878) @[lsu_stbuf.scala 211:137] + node _T_879 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 211:112] + node _T_880 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 211:133] + node _T_881 = and(_T_879, _T_880) @[lsu_stbuf.scala 211:116] + node _T_882 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_1_0 = and(_T_881, _T_882) @[lsu_stbuf.scala 211:137] + node _T_883 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 211:112] + node _T_884 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 211:133] + node _T_885 = and(_T_883, _T_884) @[lsu_stbuf.scala 211:116] + node _T_886 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_1_1 = and(_T_885, _T_886) @[lsu_stbuf.scala 211:137] + node _T_887 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 211:112] + node _T_888 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 211:133] + node _T_889 = and(_T_887, _T_888) @[lsu_stbuf.scala 211:116] + node _T_890 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_1_2 = and(_T_889, _T_890) @[lsu_stbuf.scala 211:137] + node _T_891 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 211:112] + node _T_892 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 211:133] + node _T_893 = and(_T_891, _T_892) @[lsu_stbuf.scala 211:116] + node _T_894 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_1_3 = and(_T_893, _T_894) @[lsu_stbuf.scala 211:137] + node _T_895 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 211:112] + node _T_896 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 211:133] + node _T_897 = and(_T_895, _T_896) @[lsu_stbuf.scala 211:116] + node _T_898 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_2_0 = and(_T_897, _T_898) @[lsu_stbuf.scala 211:137] + node _T_899 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 211:112] + node _T_900 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 211:133] + node _T_901 = and(_T_899, _T_900) @[lsu_stbuf.scala 211:116] + node _T_902 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_2_1 = and(_T_901, _T_902) @[lsu_stbuf.scala 211:137] + node _T_903 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 211:112] + node _T_904 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 211:133] + node _T_905 = and(_T_903, _T_904) @[lsu_stbuf.scala 211:116] + node _T_906 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_2_2 = and(_T_905, _T_906) @[lsu_stbuf.scala 211:137] + node _T_907 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 211:112] + node _T_908 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 211:133] + node _T_909 = and(_T_907, _T_908) @[lsu_stbuf.scala 211:116] + node _T_910 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_2_3 = and(_T_909, _T_910) @[lsu_stbuf.scala 211:137] + node _T_911 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 211:112] + node _T_912 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 211:133] + node _T_913 = and(_T_911, _T_912) @[lsu_stbuf.scala 211:116] + node _T_914 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_3_0 = and(_T_913, _T_914) @[lsu_stbuf.scala 211:137] + node _T_915 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 211:112] + node _T_916 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 211:133] + node _T_917 = and(_T_915, _T_916) @[lsu_stbuf.scala 211:116] + node _T_918 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_3_1 = and(_T_917, _T_918) @[lsu_stbuf.scala 211:137] + node _T_919 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 211:112] + node _T_920 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 211:133] + node _T_921 = and(_T_919, _T_920) @[lsu_stbuf.scala 211:116] + node _T_922 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_3_2 = and(_T_921, _T_922) @[lsu_stbuf.scala 211:137] + node _T_923 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 211:112] + node _T_924 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 211:133] + node _T_925 = and(_T_923, _T_924) @[lsu_stbuf.scala 211:116] + node _T_926 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 211:148] + node stbuf_fwdbyteenvec_hi_3_3 = and(_T_925, _T_926) @[lsu_stbuf.scala 211:137] + node _T_927 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 212:112] + node _T_928 = bits(stbuf_byteen[0], 0, 0) @[lsu_stbuf.scala 212:133] + node _T_929 = and(_T_927, _T_928) @[lsu_stbuf.scala 212:116] + node _T_930 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_0_0 = and(_T_929, _T_930) @[lsu_stbuf.scala 212:137] + node _T_931 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 212:112] + node _T_932 = bits(stbuf_byteen[0], 1, 1) @[lsu_stbuf.scala 212:133] + node _T_933 = and(_T_931, _T_932) @[lsu_stbuf.scala 212:116] + node _T_934 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_0_1 = and(_T_933, _T_934) @[lsu_stbuf.scala 212:137] + node _T_935 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 212:112] + node _T_936 = bits(stbuf_byteen[0], 2, 2) @[lsu_stbuf.scala 212:133] + node _T_937 = and(_T_935, _T_936) @[lsu_stbuf.scala 212:116] + node _T_938 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_0_2 = and(_T_937, _T_938) @[lsu_stbuf.scala 212:137] + node _T_939 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 212:112] + node _T_940 = bits(stbuf_byteen[0], 3, 3) @[lsu_stbuf.scala 212:133] + node _T_941 = and(_T_939, _T_940) @[lsu_stbuf.scala 212:116] + node _T_942 = bits(stbuf_vld, 0, 0) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_0_3 = and(_T_941, _T_942) @[lsu_stbuf.scala 212:137] + node _T_943 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 212:112] + node _T_944 = bits(stbuf_byteen[1], 0, 0) @[lsu_stbuf.scala 212:133] + node _T_945 = and(_T_943, _T_944) @[lsu_stbuf.scala 212:116] + node _T_946 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_1_0 = and(_T_945, _T_946) @[lsu_stbuf.scala 212:137] + node _T_947 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 212:112] + node _T_948 = bits(stbuf_byteen[1], 1, 1) @[lsu_stbuf.scala 212:133] + node _T_949 = and(_T_947, _T_948) @[lsu_stbuf.scala 212:116] + node _T_950 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_1_1 = and(_T_949, _T_950) @[lsu_stbuf.scala 212:137] + node _T_951 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 212:112] + node _T_952 = bits(stbuf_byteen[1], 2, 2) @[lsu_stbuf.scala 212:133] + node _T_953 = and(_T_951, _T_952) @[lsu_stbuf.scala 212:116] + node _T_954 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_1_2 = and(_T_953, _T_954) @[lsu_stbuf.scala 212:137] + node _T_955 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 212:112] + node _T_956 = bits(stbuf_byteen[1], 3, 3) @[lsu_stbuf.scala 212:133] + node _T_957 = and(_T_955, _T_956) @[lsu_stbuf.scala 212:116] + node _T_958 = bits(stbuf_vld, 1, 1) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_1_3 = and(_T_957, _T_958) @[lsu_stbuf.scala 212:137] + node _T_959 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 212:112] + node _T_960 = bits(stbuf_byteen[2], 0, 0) @[lsu_stbuf.scala 212:133] + node _T_961 = and(_T_959, _T_960) @[lsu_stbuf.scala 212:116] + node _T_962 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_2_0 = and(_T_961, _T_962) @[lsu_stbuf.scala 212:137] + node _T_963 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 212:112] + node _T_964 = bits(stbuf_byteen[2], 1, 1) @[lsu_stbuf.scala 212:133] + node _T_965 = and(_T_963, _T_964) @[lsu_stbuf.scala 212:116] + node _T_966 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_2_1 = and(_T_965, _T_966) @[lsu_stbuf.scala 212:137] + node _T_967 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 212:112] + node _T_968 = bits(stbuf_byteen[2], 2, 2) @[lsu_stbuf.scala 212:133] + node _T_969 = and(_T_967, _T_968) @[lsu_stbuf.scala 212:116] + node _T_970 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_2_2 = and(_T_969, _T_970) @[lsu_stbuf.scala 212:137] + node _T_971 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 212:112] + node _T_972 = bits(stbuf_byteen[2], 3, 3) @[lsu_stbuf.scala 212:133] + node _T_973 = and(_T_971, _T_972) @[lsu_stbuf.scala 212:116] + node _T_974 = bits(stbuf_vld, 2, 2) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_2_3 = and(_T_973, _T_974) @[lsu_stbuf.scala 212:137] + node _T_975 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 212:112] + node _T_976 = bits(stbuf_byteen[3], 0, 0) @[lsu_stbuf.scala 212:133] + node _T_977 = and(_T_975, _T_976) @[lsu_stbuf.scala 212:116] + node _T_978 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_3_0 = and(_T_977, _T_978) @[lsu_stbuf.scala 212:137] + node _T_979 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 212:112] + node _T_980 = bits(stbuf_byteen[3], 1, 1) @[lsu_stbuf.scala 212:133] + node _T_981 = and(_T_979, _T_980) @[lsu_stbuf.scala 212:116] + node _T_982 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_3_1 = and(_T_981, _T_982) @[lsu_stbuf.scala 212:137] + node _T_983 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 212:112] + node _T_984 = bits(stbuf_byteen[3], 2, 2) @[lsu_stbuf.scala 212:133] + node _T_985 = and(_T_983, _T_984) @[lsu_stbuf.scala 212:116] + node _T_986 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_3_2 = and(_T_985, _T_986) @[lsu_stbuf.scala 212:137] + node _T_987 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 212:112] + node _T_988 = bits(stbuf_byteen[3], 3, 3) @[lsu_stbuf.scala 212:133] + node _T_989 = and(_T_987, _T_988) @[lsu_stbuf.scala 212:116] + node _T_990 = bits(stbuf_vld, 3, 3) @[lsu_stbuf.scala 212:148] + node stbuf_fwdbyteenvec_lo_3_3 = and(_T_989, _T_990) @[lsu_stbuf.scala 212:137] + node _T_991 = or(stbuf_fwdbyteenvec_hi_0_0, stbuf_fwdbyteenvec_hi_1_0) @[lsu_stbuf.scala 213:147] + node _T_992 = or(_T_991, stbuf_fwdbyteenvec_hi_2_0) @[lsu_stbuf.scala 213:147] + node stbuf_fwdbyteen_hi_pre_m_0 = or(_T_992, stbuf_fwdbyteenvec_hi_3_0) @[lsu_stbuf.scala 213:147] + node _T_993 = or(stbuf_fwdbyteenvec_hi_0_1, stbuf_fwdbyteenvec_hi_1_1) @[lsu_stbuf.scala 213:147] + node _T_994 = or(_T_993, stbuf_fwdbyteenvec_hi_2_1) @[lsu_stbuf.scala 213:147] + node stbuf_fwdbyteen_hi_pre_m_1 = or(_T_994, stbuf_fwdbyteenvec_hi_3_1) @[lsu_stbuf.scala 213:147] + node _T_995 = or(stbuf_fwdbyteenvec_hi_0_2, stbuf_fwdbyteenvec_hi_1_2) @[lsu_stbuf.scala 213:147] + node _T_996 = or(_T_995, stbuf_fwdbyteenvec_hi_2_2) @[lsu_stbuf.scala 213:147] + node stbuf_fwdbyteen_hi_pre_m_2 = or(_T_996, stbuf_fwdbyteenvec_hi_3_2) @[lsu_stbuf.scala 213:147] + node _T_997 = or(stbuf_fwdbyteenvec_hi_0_3, stbuf_fwdbyteenvec_hi_1_3) @[lsu_stbuf.scala 213:147] + node _T_998 = or(_T_997, stbuf_fwdbyteenvec_hi_2_3) @[lsu_stbuf.scala 213:147] + node stbuf_fwdbyteen_hi_pre_m_3 = or(_T_998, stbuf_fwdbyteenvec_hi_3_3) @[lsu_stbuf.scala 213:147] + node _T_999 = or(stbuf_fwdbyteenvec_lo_0_0, stbuf_fwdbyteenvec_lo_1_0) @[lsu_stbuf.scala 214:147] + node _T_1000 = or(_T_999, stbuf_fwdbyteenvec_lo_2_0) @[lsu_stbuf.scala 214:147] + node stbuf_fwdbyteen_lo_pre_m_0 = or(_T_1000, stbuf_fwdbyteenvec_lo_3_0) @[lsu_stbuf.scala 214:147] + node _T_1001 = or(stbuf_fwdbyteenvec_lo_0_1, stbuf_fwdbyteenvec_lo_1_1) @[lsu_stbuf.scala 214:147] + node _T_1002 = or(_T_1001, stbuf_fwdbyteenvec_lo_2_1) @[lsu_stbuf.scala 214:147] + node stbuf_fwdbyteen_lo_pre_m_1 = or(_T_1002, stbuf_fwdbyteenvec_lo_3_1) @[lsu_stbuf.scala 214:147] + node _T_1003 = or(stbuf_fwdbyteenvec_lo_0_2, stbuf_fwdbyteenvec_lo_1_2) @[lsu_stbuf.scala 214:147] + node _T_1004 = or(_T_1003, stbuf_fwdbyteenvec_lo_2_2) @[lsu_stbuf.scala 214:147] + node stbuf_fwdbyteen_lo_pre_m_2 = or(_T_1004, stbuf_fwdbyteenvec_lo_3_2) @[lsu_stbuf.scala 214:147] + node _T_1005 = or(stbuf_fwdbyteenvec_lo_0_3, stbuf_fwdbyteenvec_lo_1_3) @[lsu_stbuf.scala 214:147] + node _T_1006 = or(_T_1005, stbuf_fwdbyteenvec_lo_2_3) @[lsu_stbuf.scala 214:147] + node stbuf_fwdbyteen_lo_pre_m_3 = or(_T_1006, stbuf_fwdbyteenvec_lo_3_3) @[lsu_stbuf.scala 214:147] + node _T_1007 = bits(stbuf_match_hi, 0, 0) @[lsu_stbuf.scala 216:92] + node _T_1008 = bits(_T_1007, 0, 0) @[Bitwise.scala 72:15] + node _T_1009 = mux(_T_1008, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1010 = and(_T_1009, stbuf_data[0]) @[lsu_stbuf.scala 216:97] + node _T_1011 = bits(stbuf_match_hi, 1, 1) @[lsu_stbuf.scala 216:92] + node _T_1012 = bits(_T_1011, 0, 0) @[Bitwise.scala 72:15] + node _T_1013 = mux(_T_1012, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1014 = and(_T_1013, stbuf_data[1]) @[lsu_stbuf.scala 216:97] + node _T_1015 = bits(stbuf_match_hi, 2, 2) @[lsu_stbuf.scala 216:92] + node _T_1016 = bits(_T_1015, 0, 0) @[Bitwise.scala 72:15] + node _T_1017 = mux(_T_1016, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1018 = and(_T_1017, stbuf_data[2]) @[lsu_stbuf.scala 216:97] + node _T_1019 = bits(stbuf_match_hi, 3, 3) @[lsu_stbuf.scala 216:92] + node _T_1020 = bits(_T_1019, 0, 0) @[Bitwise.scala 72:15] + node _T_1021 = mux(_T_1020, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1022 = and(_T_1021, stbuf_data[3]) @[lsu_stbuf.scala 216:97] + wire _T_1023 : UInt<32>[4] @[lsu_stbuf.scala 216:65] + _T_1023[0] <= _T_1010 @[lsu_stbuf.scala 216:65] + _T_1023[1] <= _T_1014 @[lsu_stbuf.scala 216:65] + _T_1023[2] <= _T_1018 @[lsu_stbuf.scala 216:65] + _T_1023[3] <= _T_1022 @[lsu_stbuf.scala 216:65] + node _T_1024 = or(_T_1023[3], _T_1023[2]) @[lsu_stbuf.scala 216:130] + node _T_1025 = or(_T_1024, _T_1023[1]) @[lsu_stbuf.scala 216:130] + node stbuf_fwddata_hi_pre_m = or(_T_1025, _T_1023[0]) @[lsu_stbuf.scala 216:130] + node _T_1026 = bits(stbuf_match_lo, 0, 0) @[lsu_stbuf.scala 217:92] + node _T_1027 = bits(_T_1026, 0, 0) @[Bitwise.scala 72:15] + node _T_1028 = mux(_T_1027, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1029 = and(_T_1028, stbuf_data[0]) @[lsu_stbuf.scala 217:97] + node _T_1030 = bits(stbuf_match_lo, 1, 1) @[lsu_stbuf.scala 217:92] + node _T_1031 = bits(_T_1030, 0, 0) @[Bitwise.scala 72:15] + node _T_1032 = mux(_T_1031, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1033 = and(_T_1032, stbuf_data[1]) @[lsu_stbuf.scala 217:97] + node _T_1034 = bits(stbuf_match_lo, 2, 2) @[lsu_stbuf.scala 217:92] + node _T_1035 = bits(_T_1034, 0, 0) @[Bitwise.scala 72:15] + node _T_1036 = mux(_T_1035, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1037 = and(_T_1036, stbuf_data[2]) @[lsu_stbuf.scala 217:97] + node _T_1038 = bits(stbuf_match_lo, 3, 3) @[lsu_stbuf.scala 217:92] + node _T_1039 = bits(_T_1038, 0, 0) @[Bitwise.scala 72:15] + node _T_1040 = mux(_T_1039, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_1041 = and(_T_1040, stbuf_data[3]) @[lsu_stbuf.scala 217:97] + wire _T_1042 : UInt<32>[4] @[lsu_stbuf.scala 217:65] + _T_1042[0] <= _T_1029 @[lsu_stbuf.scala 217:65] + _T_1042[1] <= _T_1033 @[lsu_stbuf.scala 217:65] + _T_1042[2] <= _T_1037 @[lsu_stbuf.scala 217:65] + _T_1042[3] <= _T_1041 @[lsu_stbuf.scala 217:65] + node _T_1043 = or(_T_1042[3], _T_1042[2]) @[lsu_stbuf.scala 217:130] + node _T_1044 = or(_T_1043, _T_1042[1]) @[lsu_stbuf.scala 217:130] + node stbuf_fwddata_lo_pre_m = or(_T_1044, _T_1042[0]) @[lsu_stbuf.scala 217:130] + node _T_1045 = bits(io.lsu_addr_r, 1, 0) @[lsu_stbuf.scala 220:54] + node _T_1046 = dshl(ldst_byteen_r, _T_1045) @[lsu_stbuf.scala 220:38] + ldst_byteen_ext_r <= _T_1046 @[lsu_stbuf.scala 220:21] + node ldst_byteen_hi_r = bits(ldst_byteen_ext_r, 7, 4) @[lsu_stbuf.scala 221:43] + node ldst_byteen_lo_r = bits(ldst_byteen_ext_r, 3, 0) @[lsu_stbuf.scala 222:43] + node _T_1047 = bits(io.lsu_addr_m, 31, 2) @[lsu_stbuf.scala 224:42] + node _T_1048 = bits(io.lsu_addr_r, 31, 2) @[lsu_stbuf.scala 224:66] + node _T_1049 = eq(_T_1047, _T_1048) @[lsu_stbuf.scala 224:49] + node _T_1050 = and(_T_1049, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 224:74] + node _T_1051 = and(_T_1050, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 224:95] + node _T_1052 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 224:123] + node ld_addr_rhit_lo_lo = and(_T_1051, _T_1052) @[lsu_stbuf.scala 224:121] + node _T_1053 = bits(io.end_addr_m, 31, 2) @[lsu_stbuf.scala 225:42] + node _T_1054 = bits(io.lsu_addr_r, 31, 2) @[lsu_stbuf.scala 225:66] + node _T_1055 = eq(_T_1053, _T_1054) @[lsu_stbuf.scala 225:49] + node _T_1056 = and(_T_1055, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 225:74] + node _T_1057 = and(_T_1056, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 225:95] + node _T_1058 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 225:123] + node ld_addr_rhit_lo_hi = and(_T_1057, _T_1058) @[lsu_stbuf.scala 225:121] + node _T_1059 = bits(io.lsu_addr_m, 31, 2) @[lsu_stbuf.scala 226:42] + node _T_1060 = bits(io.end_addr_r, 31, 2) @[lsu_stbuf.scala 226:66] + node _T_1061 = eq(_T_1059, _T_1060) @[lsu_stbuf.scala 226:49] + node _T_1062 = and(_T_1061, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 226:74] + node _T_1063 = and(_T_1062, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 226:95] + node _T_1064 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 226:123] + node _T_1065 = and(_T_1063, _T_1064) @[lsu_stbuf.scala 226:121] + node ld_addr_rhit_hi_lo = and(_T_1065, dual_stbuf_write_r) @[lsu_stbuf.scala 226:146] + node _T_1066 = bits(io.end_addr_m, 31, 2) @[lsu_stbuf.scala 227:42] + node _T_1067 = bits(io.end_addr_r, 31, 2) @[lsu_stbuf.scala 227:66] + node _T_1068 = eq(_T_1066, _T_1067) @[lsu_stbuf.scala 227:49] + node _T_1069 = and(_T_1068, io.lsu_pkt_r.valid) @[lsu_stbuf.scala 227:74] + node _T_1070 = and(_T_1069, io.lsu_pkt_r.bits.store) @[lsu_stbuf.scala 227:95] + node _T_1071 = eq(io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu_stbuf.scala 227:123] + node _T_1072 = and(_T_1070, _T_1071) @[lsu_stbuf.scala 227:121] + node ld_addr_rhit_hi_hi = and(_T_1072, dual_stbuf_write_r) @[lsu_stbuf.scala 227:146] + node _T_1073 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 229:97] + node _T_1074 = and(ld_addr_rhit_lo_lo, _T_1073) @[lsu_stbuf.scala 229:79] + node _T_1075 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 229:97] + node _T_1076 = and(ld_addr_rhit_lo_lo, _T_1075) @[lsu_stbuf.scala 229:79] + node _T_1077 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 229:97] + node _T_1078 = and(ld_addr_rhit_lo_lo, _T_1077) @[lsu_stbuf.scala 229:79] + node _T_1079 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 229:97] + node _T_1080 = and(ld_addr_rhit_lo_lo, _T_1079) @[lsu_stbuf.scala 229:79] + node _T_1081 = cat(_T_1080, _T_1078) @[Cat.scala 29:58] + node _T_1082 = cat(_T_1081, _T_1076) @[Cat.scala 29:58] + node _T_1083 = cat(_T_1082, _T_1074) @[Cat.scala 29:58] + ld_byte_rhit_lo_lo <= _T_1083 @[lsu_stbuf.scala 229:22] + node _T_1084 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_stbuf.scala 230:97] + node _T_1085 = and(ld_addr_rhit_lo_hi, _T_1084) @[lsu_stbuf.scala 230:79] + node _T_1086 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_stbuf.scala 230:97] + node _T_1087 = and(ld_addr_rhit_lo_hi, _T_1086) @[lsu_stbuf.scala 230:79] + node _T_1088 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_stbuf.scala 230:97] + node _T_1089 = and(ld_addr_rhit_lo_hi, _T_1088) @[lsu_stbuf.scala 230:79] + node _T_1090 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_stbuf.scala 230:97] + node _T_1091 = and(ld_addr_rhit_lo_hi, _T_1090) @[lsu_stbuf.scala 230:79] + node _T_1092 = cat(_T_1091, _T_1089) @[Cat.scala 29:58] + node _T_1093 = cat(_T_1092, _T_1087) @[Cat.scala 29:58] + node _T_1094 = cat(_T_1093, _T_1085) @[Cat.scala 29:58] + ld_byte_rhit_lo_hi <= _T_1094 @[lsu_stbuf.scala 230:22] + node _T_1095 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 231:97] + node _T_1096 = and(ld_addr_rhit_hi_lo, _T_1095) @[lsu_stbuf.scala 231:79] + node _T_1097 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 231:97] + node _T_1098 = and(ld_addr_rhit_hi_lo, _T_1097) @[lsu_stbuf.scala 231:79] + node _T_1099 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 231:97] + node _T_1100 = and(ld_addr_rhit_hi_lo, _T_1099) @[lsu_stbuf.scala 231:79] + node _T_1101 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 231:97] + node _T_1102 = and(ld_addr_rhit_hi_lo, _T_1101) @[lsu_stbuf.scala 231:79] + node _T_1103 = cat(_T_1102, _T_1100) @[Cat.scala 29:58] + node _T_1104 = cat(_T_1103, _T_1098) @[Cat.scala 29:58] + node _T_1105 = cat(_T_1104, _T_1096) @[Cat.scala 29:58] + ld_byte_rhit_hi_lo <= _T_1105 @[lsu_stbuf.scala 231:22] + node _T_1106 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_stbuf.scala 232:97] + node _T_1107 = and(ld_addr_rhit_hi_hi, _T_1106) @[lsu_stbuf.scala 232:79] + node _T_1108 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_stbuf.scala 232:97] + node _T_1109 = and(ld_addr_rhit_hi_hi, _T_1108) @[lsu_stbuf.scala 232:79] + node _T_1110 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_stbuf.scala 232:97] + node _T_1111 = and(ld_addr_rhit_hi_hi, _T_1110) @[lsu_stbuf.scala 232:79] + node _T_1112 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_stbuf.scala 232:97] + node _T_1113 = and(ld_addr_rhit_hi_hi, _T_1112) @[lsu_stbuf.scala 232:79] + node _T_1114 = cat(_T_1113, _T_1111) @[Cat.scala 29:58] + node _T_1115 = cat(_T_1114, _T_1109) @[Cat.scala 29:58] + node _T_1116 = cat(_T_1115, _T_1107) @[Cat.scala 29:58] + ld_byte_rhit_hi_hi <= _T_1116 @[lsu_stbuf.scala 232:22] + node _T_1117 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_stbuf.scala 234:75] + node _T_1118 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_stbuf.scala 234:99] + node _T_1119 = or(_T_1117, _T_1118) @[lsu_stbuf.scala 234:79] + node _T_1120 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_stbuf.scala 234:75] + node _T_1121 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_stbuf.scala 234:99] + node _T_1122 = or(_T_1120, _T_1121) @[lsu_stbuf.scala 234:79] + node _T_1123 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_stbuf.scala 234:75] + node _T_1124 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_stbuf.scala 234:99] + node _T_1125 = or(_T_1123, _T_1124) @[lsu_stbuf.scala 234:79] + node _T_1126 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_stbuf.scala 234:75] + node _T_1127 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_stbuf.scala 234:99] + node _T_1128 = or(_T_1126, _T_1127) @[lsu_stbuf.scala 234:79] + node _T_1129 = cat(_T_1128, _T_1125) @[Cat.scala 29:58] + node _T_1130 = cat(_T_1129, _T_1122) @[Cat.scala 29:58] + node _T_1131 = cat(_T_1130, _T_1119) @[Cat.scala 29:58] + ld_byte_rhit_lo <= _T_1131 @[lsu_stbuf.scala 234:19] + node _T_1132 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_stbuf.scala 235:75] + node _T_1133 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_stbuf.scala 235:99] + node _T_1134 = or(_T_1132, _T_1133) @[lsu_stbuf.scala 235:79] + node _T_1135 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_stbuf.scala 235:75] + node _T_1136 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_stbuf.scala 235:99] + node _T_1137 = or(_T_1135, _T_1136) @[lsu_stbuf.scala 235:79] + node _T_1138 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_stbuf.scala 235:75] + node _T_1139 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_stbuf.scala 235:99] + node _T_1140 = or(_T_1138, _T_1139) @[lsu_stbuf.scala 235:79] + node _T_1141 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_stbuf.scala 235:75] + node _T_1142 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_stbuf.scala 235:99] + node _T_1143 = or(_T_1141, _T_1142) @[lsu_stbuf.scala 235:79] + node _T_1144 = cat(_T_1143, _T_1140) @[Cat.scala 29:58] + node _T_1145 = cat(_T_1144, _T_1137) @[Cat.scala 29:58] + node _T_1146 = cat(_T_1145, _T_1134) @[Cat.scala 29:58] + ld_byte_rhit_hi <= _T_1146 @[lsu_stbuf.scala 235:19] + node _T_1147 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_stbuf.scala 237:48] + node _T_1148 = bits(_T_1147, 0, 0) @[Bitwise.scala 72:15] + node _T_1149 = mux(_T_1148, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1150 = bits(io.store_data_lo_r, 7, 0) @[lsu_stbuf.scala 237:73] + node _T_1151 = and(_T_1149, _T_1150) @[lsu_stbuf.scala 237:53] + node _T_1152 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_stbuf.scala 237:109] + node _T_1153 = bits(_T_1152, 0, 0) @[Bitwise.scala 72:15] + node _T_1154 = mux(_T_1153, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1155 = bits(io.store_data_hi_r, 7, 0) @[lsu_stbuf.scala 237:134] + node _T_1156 = and(_T_1154, _T_1155) @[lsu_stbuf.scala 237:114] + node fwdpipe1_lo = or(_T_1151, _T_1156) @[lsu_stbuf.scala 237:80] + node _T_1157 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_stbuf.scala 238:48] + node _T_1158 = bits(_T_1157, 0, 0) @[Bitwise.scala 72:15] + node _T_1159 = mux(_T_1158, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1160 = bits(io.store_data_lo_r, 15, 8) @[lsu_stbuf.scala 238:73] + node _T_1161 = and(_T_1159, _T_1160) @[lsu_stbuf.scala 238:53] + node _T_1162 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_stbuf.scala 238:110] + node _T_1163 = bits(_T_1162, 0, 0) @[Bitwise.scala 72:15] + node _T_1164 = mux(_T_1163, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1165 = bits(io.store_data_hi_r, 15, 8) @[lsu_stbuf.scala 238:135] + node _T_1166 = and(_T_1164, _T_1165) @[lsu_stbuf.scala 238:115] + node fwdpipe2_lo = or(_T_1161, _T_1166) @[lsu_stbuf.scala 238:81] + node _T_1167 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_stbuf.scala 239:48] + node _T_1168 = bits(_T_1167, 0, 0) @[Bitwise.scala 72:15] + node _T_1169 = mux(_T_1168, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1170 = bits(io.store_data_lo_r, 23, 16) @[lsu_stbuf.scala 239:73] + node _T_1171 = and(_T_1169, _T_1170) @[lsu_stbuf.scala 239:53] + node _T_1172 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_stbuf.scala 239:111] + node _T_1173 = bits(_T_1172, 0, 0) @[Bitwise.scala 72:15] + node _T_1174 = mux(_T_1173, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1175 = bits(io.store_data_hi_r, 23, 16) @[lsu_stbuf.scala 239:136] + node _T_1176 = and(_T_1174, _T_1175) @[lsu_stbuf.scala 239:116] + node fwdpipe3_lo = or(_T_1171, _T_1176) @[lsu_stbuf.scala 239:82] + node _T_1177 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_stbuf.scala 240:48] + node _T_1178 = bits(_T_1177, 0, 0) @[Bitwise.scala 72:15] + node _T_1179 = mux(_T_1178, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1180 = bits(io.store_data_lo_r, 31, 24) @[lsu_stbuf.scala 240:73] + node _T_1181 = and(_T_1179, _T_1180) @[lsu_stbuf.scala 240:53] + node _T_1182 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_stbuf.scala 240:111] + node _T_1183 = bits(_T_1182, 0, 0) @[Bitwise.scala 72:15] + node _T_1184 = mux(_T_1183, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1185 = bits(io.store_data_hi_r, 31, 24) @[lsu_stbuf.scala 240:136] + node _T_1186 = and(_T_1184, _T_1185) @[lsu_stbuf.scala 240:116] + node fwdpipe4_lo = or(_T_1181, _T_1186) @[lsu_stbuf.scala 240:82] + node _T_1187 = cat(fwdpipe2_lo, fwdpipe1_lo) @[Cat.scala 29:58] + node _T_1188 = cat(fwdpipe4_lo, fwdpipe3_lo) @[Cat.scala 29:58] + node _T_1189 = cat(_T_1188, _T_1187) @[Cat.scala 29:58] + ld_fwddata_rpipe_lo <= _T_1189 @[lsu_stbuf.scala 241:23] + node _T_1190 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_stbuf.scala 243:48] + node _T_1191 = bits(_T_1190, 0, 0) @[Bitwise.scala 72:15] + node _T_1192 = mux(_T_1191, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1193 = bits(io.store_data_lo_r, 7, 0) @[lsu_stbuf.scala 243:73] + node _T_1194 = and(_T_1192, _T_1193) @[lsu_stbuf.scala 243:53] + node _T_1195 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_stbuf.scala 243:109] + node _T_1196 = bits(_T_1195, 0, 0) @[Bitwise.scala 72:15] + node _T_1197 = mux(_T_1196, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1198 = bits(io.store_data_hi_r, 7, 0) @[lsu_stbuf.scala 243:134] + node _T_1199 = and(_T_1197, _T_1198) @[lsu_stbuf.scala 243:114] + node fwdpipe1_hi = or(_T_1194, _T_1199) @[lsu_stbuf.scala 243:80] + node _T_1200 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_stbuf.scala 244:48] + node _T_1201 = bits(_T_1200, 0, 0) @[Bitwise.scala 72:15] + node _T_1202 = mux(_T_1201, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1203 = bits(io.store_data_lo_r, 15, 8) @[lsu_stbuf.scala 244:73] + node _T_1204 = and(_T_1202, _T_1203) @[lsu_stbuf.scala 244:53] + node _T_1205 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_stbuf.scala 244:110] + node _T_1206 = bits(_T_1205, 0, 0) @[Bitwise.scala 72:15] + node _T_1207 = mux(_T_1206, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1208 = bits(io.store_data_hi_r, 15, 8) @[lsu_stbuf.scala 244:135] + node _T_1209 = and(_T_1207, _T_1208) @[lsu_stbuf.scala 244:115] + node fwdpipe2_hi = or(_T_1204, _T_1209) @[lsu_stbuf.scala 244:81] + node _T_1210 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_stbuf.scala 245:48] + node _T_1211 = bits(_T_1210, 0, 0) @[Bitwise.scala 72:15] + node _T_1212 = mux(_T_1211, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1213 = bits(io.store_data_lo_r, 23, 16) @[lsu_stbuf.scala 245:73] + node _T_1214 = and(_T_1212, _T_1213) @[lsu_stbuf.scala 245:53] + node _T_1215 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_stbuf.scala 245:111] + node _T_1216 = bits(_T_1215, 0, 0) @[Bitwise.scala 72:15] + node _T_1217 = mux(_T_1216, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1218 = bits(io.store_data_hi_r, 23, 16) @[lsu_stbuf.scala 245:136] + node _T_1219 = and(_T_1217, _T_1218) @[lsu_stbuf.scala 245:116] + node fwdpipe3_hi = or(_T_1214, _T_1219) @[lsu_stbuf.scala 245:82] + node _T_1220 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_stbuf.scala 246:48] + node _T_1221 = bits(_T_1220, 0, 0) @[Bitwise.scala 72:15] + node _T_1222 = mux(_T_1221, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1223 = bits(io.store_data_lo_r, 31, 24) @[lsu_stbuf.scala 246:73] + node _T_1224 = and(_T_1222, _T_1223) @[lsu_stbuf.scala 246:53] + node _T_1225 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_stbuf.scala 246:111] + node _T_1226 = bits(_T_1225, 0, 0) @[Bitwise.scala 72:15] + node _T_1227 = mux(_T_1226, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_1228 = bits(io.store_data_hi_r, 31, 24) @[lsu_stbuf.scala 246:136] + node _T_1229 = and(_T_1227, _T_1228) @[lsu_stbuf.scala 246:116] + node fwdpipe4_hi = or(_T_1224, _T_1229) @[lsu_stbuf.scala 246:82] + node _T_1230 = cat(fwdpipe2_hi, fwdpipe1_hi) @[Cat.scala 29:58] + node _T_1231 = cat(fwdpipe4_hi, fwdpipe3_hi) @[Cat.scala 29:58] + node _T_1232 = cat(_T_1231, _T_1230) @[Cat.scala 29:58] + ld_fwddata_rpipe_hi <= _T_1232 @[lsu_stbuf.scala 247:23] + node _T_1233 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_stbuf.scala 249:74] + node _T_1234 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_stbuf.scala 249:98] + node _T_1235 = or(_T_1233, _T_1234) @[lsu_stbuf.scala 249:78] + node _T_1236 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_stbuf.scala 249:74] + node _T_1237 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_stbuf.scala 249:98] + node _T_1238 = or(_T_1236, _T_1237) @[lsu_stbuf.scala 249:78] + node _T_1239 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_stbuf.scala 249:74] + node _T_1240 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_stbuf.scala 249:98] + node _T_1241 = or(_T_1239, _T_1240) @[lsu_stbuf.scala 249:78] + node _T_1242 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_stbuf.scala 249:74] + node _T_1243 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_stbuf.scala 249:98] + node _T_1244 = or(_T_1242, _T_1243) @[lsu_stbuf.scala 249:78] + node _T_1245 = cat(_T_1244, _T_1241) @[Cat.scala 29:58] + node _T_1246 = cat(_T_1245, _T_1238) @[Cat.scala 29:58] + node _T_1247 = cat(_T_1246, _T_1235) @[Cat.scala 29:58] + ld_byte_hit_lo <= _T_1247 @[lsu_stbuf.scala 249:18] + node _T_1248 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_stbuf.scala 250:74] + node _T_1249 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_stbuf.scala 250:98] + node _T_1250 = or(_T_1248, _T_1249) @[lsu_stbuf.scala 250:78] + node _T_1251 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_stbuf.scala 250:74] + node _T_1252 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_stbuf.scala 250:98] + node _T_1253 = or(_T_1251, _T_1252) @[lsu_stbuf.scala 250:78] + node _T_1254 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_stbuf.scala 250:74] + node _T_1255 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_stbuf.scala 250:98] + node _T_1256 = or(_T_1254, _T_1255) @[lsu_stbuf.scala 250:78] + node _T_1257 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_stbuf.scala 250:74] + node _T_1258 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_stbuf.scala 250:98] + node _T_1259 = or(_T_1257, _T_1258) @[lsu_stbuf.scala 250:78] + node _T_1260 = cat(_T_1259, _T_1256) @[Cat.scala 29:58] + node _T_1261 = cat(_T_1260, _T_1253) @[Cat.scala 29:58] + node _T_1262 = cat(_T_1261, _T_1250) @[Cat.scala 29:58] + ld_byte_hit_hi <= _T_1262 @[lsu_stbuf.scala 250:18] + node _T_1263 = bits(ld_byte_hit_hi, 0, 0) @[lsu_stbuf.scala 252:79] + node _T_1264 = or(_T_1263, stbuf_fwdbyteen_hi_pre_m_0) @[lsu_stbuf.scala 252:83] + node _T_1265 = bits(ld_byte_hit_hi, 1, 1) @[lsu_stbuf.scala 252:79] + node _T_1266 = or(_T_1265, stbuf_fwdbyteen_hi_pre_m_1) @[lsu_stbuf.scala 252:83] + node _T_1267 = bits(ld_byte_hit_hi, 2, 2) @[lsu_stbuf.scala 252:79] + node _T_1268 = or(_T_1267, stbuf_fwdbyteen_hi_pre_m_2) @[lsu_stbuf.scala 252:83] + node _T_1269 = bits(ld_byte_hit_hi, 3, 3) @[lsu_stbuf.scala 252:79] + node _T_1270 = or(_T_1269, stbuf_fwdbyteen_hi_pre_m_3) @[lsu_stbuf.scala 252:83] + node _T_1271 = cat(_T_1270, _T_1268) @[Cat.scala 29:58] + node _T_1272 = cat(_T_1271, _T_1266) @[Cat.scala 29:58] + node _T_1273 = cat(_T_1272, _T_1264) @[Cat.scala 29:58] + io.stbuf_fwdbyteen_hi_m <= _T_1273 @[lsu_stbuf.scala 252:27] + node _T_1274 = bits(ld_byte_hit_lo, 0, 0) @[lsu_stbuf.scala 253:79] + node _T_1275 = or(_T_1274, stbuf_fwdbyteen_lo_pre_m_0) @[lsu_stbuf.scala 253:83] + node _T_1276 = bits(ld_byte_hit_lo, 1, 1) @[lsu_stbuf.scala 253:79] + node _T_1277 = or(_T_1276, stbuf_fwdbyteen_lo_pre_m_1) @[lsu_stbuf.scala 253:83] + node _T_1278 = bits(ld_byte_hit_lo, 2, 2) @[lsu_stbuf.scala 253:79] + node _T_1279 = or(_T_1278, stbuf_fwdbyteen_lo_pre_m_2) @[lsu_stbuf.scala 253:83] + node _T_1280 = bits(ld_byte_hit_lo, 3, 3) @[lsu_stbuf.scala 253:79] + node _T_1281 = or(_T_1280, stbuf_fwdbyteen_lo_pre_m_3) @[lsu_stbuf.scala 253:83] + node _T_1282 = cat(_T_1281, _T_1279) @[Cat.scala 29:58] + node _T_1283 = cat(_T_1282, _T_1277) @[Cat.scala 29:58] + node _T_1284 = cat(_T_1283, _T_1275) @[Cat.scala 29:58] + io.stbuf_fwdbyteen_lo_m <= _T_1284 @[lsu_stbuf.scala 253:27] + node _T_1285 = bits(ld_byte_rhit_lo, 0, 0) @[lsu_stbuf.scala 256:46] + node _T_1286 = bits(ld_fwddata_rpipe_lo, 7, 0) @[lsu_stbuf.scala 256:69] + node _T_1287 = bits(stbuf_fwddata_lo_pre_m, 7, 0) @[lsu_stbuf.scala 256:97] + node stbuf_fwdpipe1_lo = mux(_T_1285, _T_1286, _T_1287) @[lsu_stbuf.scala 256:30] + node _T_1288 = bits(ld_byte_rhit_lo, 1, 1) @[lsu_stbuf.scala 257:46] + node _T_1289 = bits(ld_fwddata_rpipe_lo, 15, 8) @[lsu_stbuf.scala 257:69] + node _T_1290 = bits(stbuf_fwddata_lo_pre_m, 15, 8) @[lsu_stbuf.scala 257:98] + node stbuf_fwdpipe2_lo = mux(_T_1288, _T_1289, _T_1290) @[lsu_stbuf.scala 257:30] + node _T_1291 = bits(ld_byte_rhit_lo, 2, 2) @[lsu_stbuf.scala 258:46] + node _T_1292 = bits(ld_fwddata_rpipe_lo, 23, 16) @[lsu_stbuf.scala 258:69] + node _T_1293 = bits(stbuf_fwddata_lo_pre_m, 23, 16) @[lsu_stbuf.scala 258:99] + node stbuf_fwdpipe3_lo = mux(_T_1291, _T_1292, _T_1293) @[lsu_stbuf.scala 258:30] + node _T_1294 = bits(ld_byte_rhit_lo, 3, 3) @[lsu_stbuf.scala 259:46] + node _T_1295 = bits(ld_fwddata_rpipe_lo, 31, 24) @[lsu_stbuf.scala 259:69] + node _T_1296 = bits(stbuf_fwddata_lo_pre_m, 31, 24) @[lsu_stbuf.scala 259:99] + node stbuf_fwdpipe4_lo = mux(_T_1294, _T_1295, _T_1296) @[lsu_stbuf.scala 259:30] + node _T_1297 = cat(stbuf_fwdpipe2_lo, stbuf_fwdpipe1_lo) @[Cat.scala 29:58] + node _T_1298 = cat(stbuf_fwdpipe4_lo, stbuf_fwdpipe3_lo) @[Cat.scala 29:58] + node _T_1299 = cat(_T_1298, _T_1297) @[Cat.scala 29:58] + io.stbuf_fwddata_lo_m <= _T_1299 @[lsu_stbuf.scala 260:25] + node _T_1300 = bits(ld_byte_rhit_hi, 0, 0) @[lsu_stbuf.scala 262:46] + node _T_1301 = bits(ld_fwddata_rpipe_hi, 7, 0) @[lsu_stbuf.scala 262:69] + node _T_1302 = bits(stbuf_fwddata_hi_pre_m, 7, 0) @[lsu_stbuf.scala 262:97] + node stbuf_fwdpipe1_hi = mux(_T_1300, _T_1301, _T_1302) @[lsu_stbuf.scala 262:30] + node _T_1303 = bits(ld_byte_rhit_hi, 1, 1) @[lsu_stbuf.scala 263:46] + node _T_1304 = bits(ld_fwddata_rpipe_hi, 15, 8) @[lsu_stbuf.scala 263:69] + node _T_1305 = bits(stbuf_fwddata_hi_pre_m, 15, 8) @[lsu_stbuf.scala 263:98] + node stbuf_fwdpipe2_hi = mux(_T_1303, _T_1304, _T_1305) @[lsu_stbuf.scala 263:30] + node _T_1306 = bits(ld_byte_rhit_hi, 2, 2) @[lsu_stbuf.scala 264:46] + node _T_1307 = bits(ld_fwddata_rpipe_hi, 23, 16) @[lsu_stbuf.scala 264:69] + node _T_1308 = bits(stbuf_fwddata_hi_pre_m, 23, 16) @[lsu_stbuf.scala 264:99] + node stbuf_fwdpipe3_hi = mux(_T_1306, _T_1307, _T_1308) @[lsu_stbuf.scala 264:30] + node _T_1309 = bits(ld_byte_rhit_hi, 3, 3) @[lsu_stbuf.scala 265:46] + node _T_1310 = bits(ld_fwddata_rpipe_hi, 31, 24) @[lsu_stbuf.scala 265:69] + node _T_1311 = bits(stbuf_fwddata_hi_pre_m, 31, 24) @[lsu_stbuf.scala 265:99] + node stbuf_fwdpipe4_hi = mux(_T_1309, _T_1310, _T_1311) @[lsu_stbuf.scala 265:30] + node _T_1312 = cat(stbuf_fwdpipe2_hi, stbuf_fwdpipe1_hi) @[Cat.scala 29:58] + node _T_1313 = cat(stbuf_fwdpipe4_hi, stbuf_fwdpipe3_hi) @[Cat.scala 29:58] + node _T_1314 = cat(_T_1313, _T_1312) @[Cat.scala 29:58] + io.stbuf_fwddata_hi_m <= _T_1314 @[lsu_stbuf.scala 266:25] + + extmodule gated_latch_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_10 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_11 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_ecc : + input clock : Clock + input reset : AsyncReset + output io : {flip lsu_c2_r_clk : Clock, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip stbuf_data_any : UInt<32>, flip dec_tlu_core_ecc_disable : UInt<1>, flip lsu_dccm_rden_r : UInt<1>, flip addr_in_dccm_r : UInt<1>, flip lsu_addr_r : UInt<16>, flip end_addr_r : UInt<16>, flip lsu_addr_m : UInt<16>, flip end_addr_m : UInt<16>, flip dccm_rdata_hi_r : UInt<32>, flip dccm_rdata_lo_r : UInt<32>, flip dccm_rdata_hi_m : UInt<32>, flip dccm_rdata_lo_m : UInt<32>, flip dccm_data_ecc_hi_r : UInt<7>, flip dccm_data_ecc_lo_r : UInt<7>, flip dccm_data_ecc_hi_m : UInt<7>, flip dccm_data_ecc_lo_m : UInt<7>, flip ld_single_ecc_error_r : UInt<1>, flip ld_single_ecc_error_r_ff : UInt<1>, flip lsu_dccm_rden_m : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip dma_dccm_wen : UInt<1>, flip dma_dccm_wdata_lo : UInt<32>, flip dma_dccm_wdata_hi : UInt<32>, flip scan_mode : UInt<1>, sec_data_hi_r : UInt<32>, sec_data_lo_r : UInt<32>, sec_data_hi_m : UInt<32>, sec_data_lo_m : UInt<32>, sec_data_hi_r_ff : UInt<32>, sec_data_lo_r_ff : UInt<32>, dma_dccm_wdata_ecc_hi : UInt<7>, dma_dccm_wdata_ecc_lo : UInt<7>, stbuf_ecc_any : UInt<7>, sec_data_ecc_hi_r_ff : UInt<7>, sec_data_ecc_lo_r_ff : UInt<7>, single_ecc_error_hi_r : UInt<1>, single_ecc_error_lo_r : UInt<1>, lsu_single_ecc_error_r : UInt<1>, lsu_double_ecc_error_r : UInt<1>, lsu_single_ecc_error_m : UInt<1>, lsu_double_ecc_error_m : UInt<1>} + + wire is_ldst_r : UInt<1> + is_ldst_r <= UInt<1>("h00") + wire is_ldst_hi_any : UInt<1> + is_ldst_hi_any <= UInt<1>("h00") + wire is_ldst_lo_any : UInt<1> + is_ldst_lo_any <= UInt<1>("h00") + wire dccm_wdata_hi_any : UInt<32> + dccm_wdata_hi_any <= UInt<32>("h00") + wire dccm_wdata_lo_any : UInt<32> + dccm_wdata_lo_any <= UInt<32>("h00") + wire dccm_rdata_hi_any : UInt<32> + dccm_rdata_hi_any <= UInt<32>("h00") + wire dccm_rdata_lo_any : UInt<32> + dccm_rdata_lo_any <= UInt<32>("h00") + wire dccm_data_ecc_hi_any : UInt<7> + dccm_data_ecc_hi_any <= UInt<7>("h00") + wire dccm_data_ecc_lo_any : UInt<7> + dccm_data_ecc_lo_any <= UInt<7>("h00") + wire double_ecc_error_hi_m : UInt<1> + double_ecc_error_hi_m <= UInt<1>("h00") + wire double_ecc_error_lo_m : UInt<1> + double_ecc_error_lo_m <= UInt<1>("h00") + wire double_ecc_error_hi_r : UInt<1> + double_ecc_error_hi_r <= UInt<1>("h00") + wire double_ecc_error_lo_r : UInt<1> + double_ecc_error_lo_r <= UInt<1>("h00") + wire ldst_dual_m : UInt<1> + ldst_dual_m <= UInt<1>("h00") + wire ldst_dual_r : UInt<1> + ldst_dual_r <= UInt<1>("h00") + wire is_ldst_m : UInt<1> + is_ldst_m <= UInt<1>("h00") + wire is_ldst_hi_m : UInt<1> + is_ldst_hi_m <= UInt<1>("h00") + wire is_ldst_lo_m : UInt<1> + is_ldst_lo_m <= UInt<1>("h00") + wire is_ldst_hi_r : UInt<1> + is_ldst_hi_r <= UInt<1>("h00") + wire is_ldst_lo_r : UInt<1> + is_ldst_lo_r <= UInt<1>("h00") + io.sec_data_hi_m <= UInt<1>("h00") @[lsu_ecc.scala 90:32] + io.sec_data_lo_m <= UInt<1>("h00") @[lsu_ecc.scala 91:32] + io.lsu_single_ecc_error_m <= UInt<1>("h00") @[lsu_ecc.scala 92:30] + io.lsu_double_ecc_error_m <= UInt<1>("h00") @[lsu_ecc.scala 93:30] + wire _T : UInt<1>[18] @[lib.scala 173:18] + wire _T_1 : UInt<1>[18] @[lib.scala 174:18] + wire _T_2 : UInt<1>[18] @[lib.scala 175:18] + wire _T_3 : UInt<1>[15] @[lib.scala 176:18] + wire _T_4 : UInt<1>[15] @[lib.scala 177:18] + wire _T_5 : UInt<1>[6] @[lib.scala 178:18] + node _T_6 = bits(dccm_rdata_hi_any, 0, 0) @[lib.scala 185:36] + _T[0] <= _T_6 @[lib.scala 185:30] + node _T_7 = bits(dccm_rdata_hi_any, 0, 0) @[lib.scala 186:36] + _T_1[0] <= _T_7 @[lib.scala 186:30] + node _T_8 = bits(dccm_rdata_hi_any, 1, 1) @[lib.scala 185:36] + _T[1] <= _T_8 @[lib.scala 185:30] + node _T_9 = bits(dccm_rdata_hi_any, 1, 1) @[lib.scala 187:36] + _T_2[0] <= _T_9 @[lib.scala 187:30] + node _T_10 = bits(dccm_rdata_hi_any, 2, 2) @[lib.scala 186:36] + _T_1[1] <= _T_10 @[lib.scala 186:30] + node _T_11 = bits(dccm_rdata_hi_any, 2, 2) @[lib.scala 187:36] + _T_2[1] <= _T_11 @[lib.scala 187:30] + node _T_12 = bits(dccm_rdata_hi_any, 3, 3) @[lib.scala 185:36] + _T[2] <= _T_12 @[lib.scala 185:30] + node _T_13 = bits(dccm_rdata_hi_any, 3, 3) @[lib.scala 186:36] + _T_1[2] <= _T_13 @[lib.scala 186:30] + node _T_14 = bits(dccm_rdata_hi_any, 3, 3) @[lib.scala 187:36] + _T_2[2] <= _T_14 @[lib.scala 187:30] + node _T_15 = bits(dccm_rdata_hi_any, 4, 4) @[lib.scala 185:36] + _T[3] <= _T_15 @[lib.scala 185:30] + node _T_16 = bits(dccm_rdata_hi_any, 4, 4) @[lib.scala 188:36] + _T_3[0] <= _T_16 @[lib.scala 188:30] + node _T_17 = bits(dccm_rdata_hi_any, 5, 5) @[lib.scala 186:36] + _T_1[3] <= _T_17 @[lib.scala 186:30] + node _T_18 = bits(dccm_rdata_hi_any, 5, 5) @[lib.scala 188:36] + _T_3[1] <= _T_18 @[lib.scala 188:30] + node _T_19 = bits(dccm_rdata_hi_any, 6, 6) @[lib.scala 185:36] + _T[4] <= _T_19 @[lib.scala 185:30] + node _T_20 = bits(dccm_rdata_hi_any, 6, 6) @[lib.scala 186:36] + _T_1[4] <= _T_20 @[lib.scala 186:30] + node _T_21 = bits(dccm_rdata_hi_any, 6, 6) @[lib.scala 188:36] + _T_3[2] <= _T_21 @[lib.scala 188:30] + node _T_22 = bits(dccm_rdata_hi_any, 7, 7) @[lib.scala 187:36] + _T_2[3] <= _T_22 @[lib.scala 187:30] + node _T_23 = bits(dccm_rdata_hi_any, 7, 7) @[lib.scala 188:36] + _T_3[3] <= _T_23 @[lib.scala 188:30] + node _T_24 = bits(dccm_rdata_hi_any, 8, 8) @[lib.scala 185:36] + _T[5] <= _T_24 @[lib.scala 185:30] + node _T_25 = bits(dccm_rdata_hi_any, 8, 8) @[lib.scala 187:36] + _T_2[4] <= _T_25 @[lib.scala 187:30] + node _T_26 = bits(dccm_rdata_hi_any, 8, 8) @[lib.scala 188:36] + _T_3[4] <= _T_26 @[lib.scala 188:30] + node _T_27 = bits(dccm_rdata_hi_any, 9, 9) @[lib.scala 186:36] + _T_1[5] <= _T_27 @[lib.scala 186:30] + node _T_28 = bits(dccm_rdata_hi_any, 9, 9) @[lib.scala 187:36] + _T_2[5] <= _T_28 @[lib.scala 187:30] + node _T_29 = bits(dccm_rdata_hi_any, 9, 9) @[lib.scala 188:36] + _T_3[5] <= _T_29 @[lib.scala 188:30] + node _T_30 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 185:36] + _T[6] <= _T_30 @[lib.scala 185:30] + node _T_31 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 186:36] + _T_1[6] <= _T_31 @[lib.scala 186:30] + node _T_32 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 187:36] + _T_2[6] <= _T_32 @[lib.scala 187:30] + node _T_33 = bits(dccm_rdata_hi_any, 10, 10) @[lib.scala 188:36] + _T_3[6] <= _T_33 @[lib.scala 188:30] + node _T_34 = bits(dccm_rdata_hi_any, 11, 11) @[lib.scala 185:36] + _T[7] <= _T_34 @[lib.scala 185:30] + node _T_35 = bits(dccm_rdata_hi_any, 11, 11) @[lib.scala 189:36] + _T_4[0] <= _T_35 @[lib.scala 189:30] + node _T_36 = bits(dccm_rdata_hi_any, 12, 12) @[lib.scala 186:36] + _T_1[7] <= _T_36 @[lib.scala 186:30] + node _T_37 = bits(dccm_rdata_hi_any, 12, 12) @[lib.scala 189:36] + _T_4[1] <= _T_37 @[lib.scala 189:30] + node _T_38 = bits(dccm_rdata_hi_any, 13, 13) @[lib.scala 185:36] + _T[8] <= _T_38 @[lib.scala 185:30] + node _T_39 = bits(dccm_rdata_hi_any, 13, 13) @[lib.scala 186:36] + _T_1[8] <= _T_39 @[lib.scala 186:30] + node _T_40 = bits(dccm_rdata_hi_any, 13, 13) @[lib.scala 189:36] + _T_4[2] <= _T_40 @[lib.scala 189:30] + node _T_41 = bits(dccm_rdata_hi_any, 14, 14) @[lib.scala 187:36] + _T_2[7] <= _T_41 @[lib.scala 187:30] + node _T_42 = bits(dccm_rdata_hi_any, 14, 14) @[lib.scala 189:36] + _T_4[3] <= _T_42 @[lib.scala 189:30] + node _T_43 = bits(dccm_rdata_hi_any, 15, 15) @[lib.scala 185:36] + _T[9] <= _T_43 @[lib.scala 185:30] + node _T_44 = bits(dccm_rdata_hi_any, 15, 15) @[lib.scala 187:36] + _T_2[8] <= _T_44 @[lib.scala 187:30] + node _T_45 = bits(dccm_rdata_hi_any, 15, 15) @[lib.scala 189:36] + _T_4[4] <= _T_45 @[lib.scala 189:30] + node _T_46 = bits(dccm_rdata_hi_any, 16, 16) @[lib.scala 186:36] + _T_1[9] <= _T_46 @[lib.scala 186:30] + node _T_47 = bits(dccm_rdata_hi_any, 16, 16) @[lib.scala 187:36] + _T_2[9] <= _T_47 @[lib.scala 187:30] + node _T_48 = bits(dccm_rdata_hi_any, 16, 16) @[lib.scala 189:36] + _T_4[5] <= _T_48 @[lib.scala 189:30] + node _T_49 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 185:36] + _T[10] <= _T_49 @[lib.scala 185:30] + node _T_50 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 186:36] + _T_1[10] <= _T_50 @[lib.scala 186:30] + node _T_51 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 187:36] + _T_2[10] <= _T_51 @[lib.scala 187:30] + node _T_52 = bits(dccm_rdata_hi_any, 17, 17) @[lib.scala 189:36] + _T_4[6] <= _T_52 @[lib.scala 189:30] + node _T_53 = bits(dccm_rdata_hi_any, 18, 18) @[lib.scala 188:36] + _T_3[7] <= _T_53 @[lib.scala 188:30] + node _T_54 = bits(dccm_rdata_hi_any, 18, 18) @[lib.scala 189:36] + _T_4[7] <= _T_54 @[lib.scala 189:30] + node _T_55 = bits(dccm_rdata_hi_any, 19, 19) @[lib.scala 185:36] + _T[11] <= _T_55 @[lib.scala 185:30] + node _T_56 = bits(dccm_rdata_hi_any, 19, 19) @[lib.scala 188:36] + _T_3[8] <= _T_56 @[lib.scala 188:30] + node _T_57 = bits(dccm_rdata_hi_any, 19, 19) @[lib.scala 189:36] + _T_4[8] <= _T_57 @[lib.scala 189:30] + node _T_58 = bits(dccm_rdata_hi_any, 20, 20) @[lib.scala 186:36] + _T_1[11] <= _T_58 @[lib.scala 186:30] + node _T_59 = bits(dccm_rdata_hi_any, 20, 20) @[lib.scala 188:36] + _T_3[9] <= _T_59 @[lib.scala 188:30] + node _T_60 = bits(dccm_rdata_hi_any, 20, 20) @[lib.scala 189:36] + _T_4[9] <= _T_60 @[lib.scala 189:30] + node _T_61 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 185:36] + _T[12] <= _T_61 @[lib.scala 185:30] + node _T_62 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 186:36] + _T_1[12] <= _T_62 @[lib.scala 186:30] + node _T_63 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 188:36] + _T_3[10] <= _T_63 @[lib.scala 188:30] + node _T_64 = bits(dccm_rdata_hi_any, 21, 21) @[lib.scala 189:36] + _T_4[10] <= _T_64 @[lib.scala 189:30] + node _T_65 = bits(dccm_rdata_hi_any, 22, 22) @[lib.scala 187:36] + _T_2[11] <= _T_65 @[lib.scala 187:30] + node _T_66 = bits(dccm_rdata_hi_any, 22, 22) @[lib.scala 188:36] + _T_3[11] <= _T_66 @[lib.scala 188:30] + node _T_67 = bits(dccm_rdata_hi_any, 22, 22) @[lib.scala 189:36] + _T_4[11] <= _T_67 @[lib.scala 189:30] + node _T_68 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 185:36] + _T[13] <= _T_68 @[lib.scala 185:30] + node _T_69 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 187:36] + _T_2[12] <= _T_69 @[lib.scala 187:30] + node _T_70 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 188:36] + _T_3[12] <= _T_70 @[lib.scala 188:30] + node _T_71 = bits(dccm_rdata_hi_any, 23, 23) @[lib.scala 189:36] + _T_4[12] <= _T_71 @[lib.scala 189:30] + node _T_72 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 186:36] + _T_1[13] <= _T_72 @[lib.scala 186:30] + node _T_73 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 187:36] + _T_2[13] <= _T_73 @[lib.scala 187:30] + node _T_74 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 188:36] + _T_3[13] <= _T_74 @[lib.scala 188:30] + node _T_75 = bits(dccm_rdata_hi_any, 24, 24) @[lib.scala 189:36] + _T_4[13] <= _T_75 @[lib.scala 189:30] + node _T_76 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 185:36] + _T[14] <= _T_76 @[lib.scala 185:30] + node _T_77 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 186:36] + _T_1[14] <= _T_77 @[lib.scala 186:30] + node _T_78 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 187:36] + _T_2[14] <= _T_78 @[lib.scala 187:30] + node _T_79 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 188:36] + _T_3[14] <= _T_79 @[lib.scala 188:30] + node _T_80 = bits(dccm_rdata_hi_any, 25, 25) @[lib.scala 189:36] + _T_4[14] <= _T_80 @[lib.scala 189:30] + node _T_81 = bits(dccm_rdata_hi_any, 26, 26) @[lib.scala 185:36] + _T[15] <= _T_81 @[lib.scala 185:30] + node _T_82 = bits(dccm_rdata_hi_any, 26, 26) @[lib.scala 190:36] + _T_5[0] <= _T_82 @[lib.scala 190:30] + node _T_83 = bits(dccm_rdata_hi_any, 27, 27) @[lib.scala 186:36] + _T_1[15] <= _T_83 @[lib.scala 186:30] + node _T_84 = bits(dccm_rdata_hi_any, 27, 27) @[lib.scala 190:36] + _T_5[1] <= _T_84 @[lib.scala 190:30] + node _T_85 = bits(dccm_rdata_hi_any, 28, 28) @[lib.scala 185:36] + _T[16] <= _T_85 @[lib.scala 185:30] + node _T_86 = bits(dccm_rdata_hi_any, 28, 28) @[lib.scala 186:36] + _T_1[16] <= _T_86 @[lib.scala 186:30] + node _T_87 = bits(dccm_rdata_hi_any, 28, 28) @[lib.scala 190:36] + _T_5[2] <= _T_87 @[lib.scala 190:30] + node _T_88 = bits(dccm_rdata_hi_any, 29, 29) @[lib.scala 187:36] + _T_2[15] <= _T_88 @[lib.scala 187:30] + node _T_89 = bits(dccm_rdata_hi_any, 29, 29) @[lib.scala 190:36] + _T_5[3] <= _T_89 @[lib.scala 190:30] + node _T_90 = bits(dccm_rdata_hi_any, 30, 30) @[lib.scala 185:36] + _T[17] <= _T_90 @[lib.scala 185:30] + node _T_91 = bits(dccm_rdata_hi_any, 30, 30) @[lib.scala 187:36] + _T_2[16] <= _T_91 @[lib.scala 187:30] + node _T_92 = bits(dccm_rdata_hi_any, 30, 30) @[lib.scala 190:36] + _T_5[4] <= _T_92 @[lib.scala 190:30] + node _T_93 = bits(dccm_rdata_hi_any, 31, 31) @[lib.scala 186:36] + _T_1[17] <= _T_93 @[lib.scala 186:30] + node _T_94 = bits(dccm_rdata_hi_any, 31, 31) @[lib.scala 187:36] + _T_2[17] <= _T_94 @[lib.scala 187:30] + node _T_95 = bits(dccm_rdata_hi_any, 31, 31) @[lib.scala 190:36] + _T_5[5] <= _T_95 @[lib.scala 190:30] + node _T_96 = xorr(dccm_rdata_hi_any) @[lib.scala 193:30] + node _T_97 = xorr(dccm_data_ecc_hi_any) @[lib.scala 193:44] + node _T_98 = xor(_T_96, _T_97) @[lib.scala 193:35] + node _T_99 = not(UInt<1>("h00")) @[lib.scala 193:52] + node _T_100 = and(_T_98, _T_99) @[lib.scala 193:50] + node _T_101 = bits(dccm_data_ecc_hi_any, 5, 5) @[lib.scala 193:68] + node _T_102 = cat(_T_5[2], _T_5[1]) @[lib.scala 193:76] + node _T_103 = cat(_T_102, _T_5[0]) @[lib.scala 193:76] + node _T_104 = cat(_T_5[5], _T_5[4]) @[lib.scala 193:76] + node _T_105 = cat(_T_104, _T_5[3]) @[lib.scala 193:76] + node _T_106 = cat(_T_105, _T_103) @[lib.scala 193:76] + node _T_107 = xorr(_T_106) @[lib.scala 193:83] + node _T_108 = xor(_T_101, _T_107) @[lib.scala 193:71] + node _T_109 = bits(dccm_data_ecc_hi_any, 4, 4) @[lib.scala 193:95] + node _T_110 = cat(_T_4[2], _T_4[1]) @[lib.scala 193:103] + node _T_111 = cat(_T_110, _T_4[0]) @[lib.scala 193:103] + node _T_112 = cat(_T_4[4], _T_4[3]) @[lib.scala 193:103] + node _T_113 = cat(_T_4[6], _T_4[5]) @[lib.scala 193:103] + node _T_114 = cat(_T_113, _T_112) @[lib.scala 193:103] + node _T_115 = cat(_T_114, _T_111) @[lib.scala 193:103] + node _T_116 = cat(_T_4[8], _T_4[7]) @[lib.scala 193:103] + node _T_117 = cat(_T_4[10], _T_4[9]) @[lib.scala 193:103] + node _T_118 = cat(_T_117, _T_116) @[lib.scala 193:103] + node _T_119 = cat(_T_4[12], _T_4[11]) @[lib.scala 193:103] + node _T_120 = cat(_T_4[14], _T_4[13]) @[lib.scala 193:103] + node _T_121 = cat(_T_120, _T_119) @[lib.scala 193:103] + node _T_122 = cat(_T_121, _T_118) @[lib.scala 193:103] + node _T_123 = cat(_T_122, _T_115) @[lib.scala 193:103] + node _T_124 = xorr(_T_123) @[lib.scala 193:110] + node _T_125 = xor(_T_109, _T_124) @[lib.scala 193:98] + node _T_126 = bits(dccm_data_ecc_hi_any, 3, 3) @[lib.scala 193:122] + node _T_127 = cat(_T_3[2], _T_3[1]) @[lib.scala 193:130] + node _T_128 = cat(_T_127, _T_3[0]) @[lib.scala 193:130] + node _T_129 = cat(_T_3[4], _T_3[3]) @[lib.scala 193:130] + node _T_130 = cat(_T_3[6], _T_3[5]) @[lib.scala 193:130] + node _T_131 = cat(_T_130, _T_129) @[lib.scala 193:130] + node _T_132 = cat(_T_131, _T_128) @[lib.scala 193:130] + node _T_133 = cat(_T_3[8], _T_3[7]) @[lib.scala 193:130] + node _T_134 = cat(_T_3[10], _T_3[9]) @[lib.scala 193:130] + node _T_135 = cat(_T_134, _T_133) @[lib.scala 193:130] + node _T_136 = cat(_T_3[12], _T_3[11]) @[lib.scala 193:130] + node _T_137 = cat(_T_3[14], _T_3[13]) @[lib.scala 193:130] + node _T_138 = cat(_T_137, _T_136) @[lib.scala 193:130] + node _T_139 = cat(_T_138, _T_135) @[lib.scala 193:130] + node _T_140 = cat(_T_139, _T_132) @[lib.scala 193:130] + node _T_141 = xorr(_T_140) @[lib.scala 193:137] + node _T_142 = xor(_T_126, _T_141) @[lib.scala 193:125] + node _T_143 = bits(dccm_data_ecc_hi_any, 2, 2) @[lib.scala 193:149] + node _T_144 = cat(_T_2[1], _T_2[0]) @[lib.scala 193:157] + node _T_145 = cat(_T_2[3], _T_2[2]) @[lib.scala 193:157] + node _T_146 = cat(_T_145, _T_144) @[lib.scala 193:157] + node _T_147 = cat(_T_2[5], _T_2[4]) @[lib.scala 193:157] + node _T_148 = cat(_T_2[8], _T_2[7]) @[lib.scala 193:157] + node _T_149 = cat(_T_148, _T_2[6]) @[lib.scala 193:157] + node _T_150 = cat(_T_149, _T_147) @[lib.scala 193:157] + node _T_151 = cat(_T_150, _T_146) @[lib.scala 193:157] + node _T_152 = cat(_T_2[10], _T_2[9]) @[lib.scala 193:157] + node _T_153 = cat(_T_2[12], _T_2[11]) @[lib.scala 193:157] + node _T_154 = cat(_T_153, _T_152) @[lib.scala 193:157] + node _T_155 = cat(_T_2[14], _T_2[13]) @[lib.scala 193:157] + node _T_156 = cat(_T_2[17], _T_2[16]) @[lib.scala 193:157] + node _T_157 = cat(_T_156, _T_2[15]) @[lib.scala 193:157] + node _T_158 = cat(_T_157, _T_155) @[lib.scala 193:157] + node _T_159 = cat(_T_158, _T_154) @[lib.scala 193:157] + node _T_160 = cat(_T_159, _T_151) @[lib.scala 193:157] + node _T_161 = xorr(_T_160) @[lib.scala 193:164] + node _T_162 = xor(_T_143, _T_161) @[lib.scala 193:152] + node _T_163 = bits(dccm_data_ecc_hi_any, 1, 1) @[lib.scala 193:176] + node _T_164 = cat(_T_1[1], _T_1[0]) @[lib.scala 193:184] + node _T_165 = cat(_T_1[3], _T_1[2]) @[lib.scala 193:184] + node _T_166 = cat(_T_165, _T_164) @[lib.scala 193:184] + node _T_167 = cat(_T_1[5], _T_1[4]) @[lib.scala 193:184] + node _T_168 = cat(_T_1[8], _T_1[7]) @[lib.scala 193:184] + node _T_169 = cat(_T_168, _T_1[6]) @[lib.scala 193:184] + node _T_170 = cat(_T_169, _T_167) @[lib.scala 193:184] + node _T_171 = cat(_T_170, _T_166) @[lib.scala 193:184] + node _T_172 = cat(_T_1[10], _T_1[9]) @[lib.scala 193:184] + node _T_173 = cat(_T_1[12], _T_1[11]) @[lib.scala 193:184] + node _T_174 = cat(_T_173, _T_172) @[lib.scala 193:184] + node _T_175 = cat(_T_1[14], _T_1[13]) @[lib.scala 193:184] + node _T_176 = cat(_T_1[17], _T_1[16]) @[lib.scala 193:184] + node _T_177 = cat(_T_176, _T_1[15]) @[lib.scala 193:184] + node _T_178 = cat(_T_177, _T_175) @[lib.scala 193:184] + node _T_179 = cat(_T_178, _T_174) @[lib.scala 193:184] + node _T_180 = cat(_T_179, _T_171) @[lib.scala 193:184] + node _T_181 = xorr(_T_180) @[lib.scala 193:191] + node _T_182 = xor(_T_163, _T_181) @[lib.scala 193:179] + node _T_183 = bits(dccm_data_ecc_hi_any, 0, 0) @[lib.scala 193:203] + node _T_184 = cat(_T[1], _T[0]) @[lib.scala 193:211] + node _T_185 = cat(_T[3], _T[2]) @[lib.scala 193:211] + node _T_186 = cat(_T_185, _T_184) @[lib.scala 193:211] + node _T_187 = cat(_T[5], _T[4]) @[lib.scala 193:211] + node _T_188 = cat(_T[8], _T[7]) @[lib.scala 193:211] + node _T_189 = cat(_T_188, _T[6]) @[lib.scala 193:211] + node _T_190 = cat(_T_189, _T_187) @[lib.scala 193:211] + node _T_191 = cat(_T_190, _T_186) @[lib.scala 193:211] + node _T_192 = cat(_T[10], _T[9]) @[lib.scala 193:211] + node _T_193 = cat(_T[12], _T[11]) @[lib.scala 193:211] + node _T_194 = cat(_T_193, _T_192) @[lib.scala 193:211] + node _T_195 = cat(_T[14], _T[13]) @[lib.scala 193:211] + node _T_196 = cat(_T[17], _T[16]) @[lib.scala 193:211] + node _T_197 = cat(_T_196, _T[15]) @[lib.scala 193:211] + node _T_198 = cat(_T_197, _T_195) @[lib.scala 193:211] + node _T_199 = cat(_T_198, _T_194) @[lib.scala 193:211] + node _T_200 = cat(_T_199, _T_191) @[lib.scala 193:211] + node _T_201 = xorr(_T_200) @[lib.scala 193:218] + node _T_202 = xor(_T_183, _T_201) @[lib.scala 193:206] + node _T_203 = cat(_T_162, _T_182) @[Cat.scala 29:58] + node _T_204 = cat(_T_203, _T_202) @[Cat.scala 29:58] + node _T_205 = cat(_T_125, _T_142) @[Cat.scala 29:58] + node _T_206 = cat(_T_100, _T_108) @[Cat.scala 29:58] + node _T_207 = cat(_T_206, _T_205) @[Cat.scala 29:58] + node _T_208 = cat(_T_207, _T_204) @[Cat.scala 29:58] + node _T_209 = neq(_T_208, UInt<1>("h00")) @[lib.scala 194:44] + node _T_210 = and(is_ldst_hi_any, _T_209) @[lib.scala 194:32] + node _T_211 = bits(_T_208, 6, 6) @[lib.scala 194:64] + node single_ecc_error_hi_any = and(_T_210, _T_211) @[lib.scala 194:53] + node _T_212 = neq(_T_208, UInt<1>("h00")) @[lib.scala 195:44] + node _T_213 = and(is_ldst_hi_any, _T_212) @[lib.scala 195:32] + node _T_214 = bits(_T_208, 6, 6) @[lib.scala 195:65] + node _T_215 = not(_T_214) @[lib.scala 195:55] + node double_ecc_error_hi_any = and(_T_213, _T_215) @[lib.scala 195:53] + wire _T_216 : UInt<1>[39] @[lib.scala 196:26] + node _T_217 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_218 = eq(_T_217, UInt<1>("h01")) @[lib.scala 199:41] + _T_216[0] <= _T_218 @[lib.scala 199:23] + node _T_219 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_220 = eq(_T_219, UInt<2>("h02")) @[lib.scala 199:41] + _T_216[1] <= _T_220 @[lib.scala 199:23] + node _T_221 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_222 = eq(_T_221, UInt<2>("h03")) @[lib.scala 199:41] + _T_216[2] <= _T_222 @[lib.scala 199:23] + node _T_223 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_224 = eq(_T_223, UInt<3>("h04")) @[lib.scala 199:41] + _T_216[3] <= _T_224 @[lib.scala 199:23] + node _T_225 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_226 = eq(_T_225, UInt<3>("h05")) @[lib.scala 199:41] + _T_216[4] <= _T_226 @[lib.scala 199:23] + node _T_227 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_228 = eq(_T_227, UInt<3>("h06")) @[lib.scala 199:41] + _T_216[5] <= _T_228 @[lib.scala 199:23] + node _T_229 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_230 = eq(_T_229, UInt<3>("h07")) @[lib.scala 199:41] + _T_216[6] <= _T_230 @[lib.scala 199:23] + node _T_231 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_232 = eq(_T_231, UInt<4>("h08")) @[lib.scala 199:41] + _T_216[7] <= _T_232 @[lib.scala 199:23] + node _T_233 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_234 = eq(_T_233, UInt<4>("h09")) @[lib.scala 199:41] + _T_216[8] <= _T_234 @[lib.scala 199:23] + node _T_235 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_236 = eq(_T_235, UInt<4>("h0a")) @[lib.scala 199:41] + _T_216[9] <= _T_236 @[lib.scala 199:23] + node _T_237 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_238 = eq(_T_237, UInt<4>("h0b")) @[lib.scala 199:41] + _T_216[10] <= _T_238 @[lib.scala 199:23] + node _T_239 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_240 = eq(_T_239, UInt<4>("h0c")) @[lib.scala 199:41] + _T_216[11] <= _T_240 @[lib.scala 199:23] + node _T_241 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_242 = eq(_T_241, UInt<4>("h0d")) @[lib.scala 199:41] + _T_216[12] <= _T_242 @[lib.scala 199:23] + node _T_243 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_244 = eq(_T_243, UInt<4>("h0e")) @[lib.scala 199:41] + _T_216[13] <= _T_244 @[lib.scala 199:23] + node _T_245 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_246 = eq(_T_245, UInt<4>("h0f")) @[lib.scala 199:41] + _T_216[14] <= _T_246 @[lib.scala 199:23] + node _T_247 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_248 = eq(_T_247, UInt<5>("h010")) @[lib.scala 199:41] + _T_216[15] <= _T_248 @[lib.scala 199:23] + node _T_249 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_250 = eq(_T_249, UInt<5>("h011")) @[lib.scala 199:41] + _T_216[16] <= _T_250 @[lib.scala 199:23] + node _T_251 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_252 = eq(_T_251, UInt<5>("h012")) @[lib.scala 199:41] + _T_216[17] <= _T_252 @[lib.scala 199:23] + node _T_253 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_254 = eq(_T_253, UInt<5>("h013")) @[lib.scala 199:41] + _T_216[18] <= _T_254 @[lib.scala 199:23] + node _T_255 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_256 = eq(_T_255, UInt<5>("h014")) @[lib.scala 199:41] + _T_216[19] <= _T_256 @[lib.scala 199:23] + node _T_257 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_258 = eq(_T_257, UInt<5>("h015")) @[lib.scala 199:41] + _T_216[20] <= _T_258 @[lib.scala 199:23] + node _T_259 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_260 = eq(_T_259, UInt<5>("h016")) @[lib.scala 199:41] + _T_216[21] <= _T_260 @[lib.scala 199:23] + node _T_261 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_262 = eq(_T_261, UInt<5>("h017")) @[lib.scala 199:41] + _T_216[22] <= _T_262 @[lib.scala 199:23] + node _T_263 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_264 = eq(_T_263, UInt<5>("h018")) @[lib.scala 199:41] + _T_216[23] <= _T_264 @[lib.scala 199:23] + node _T_265 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_266 = eq(_T_265, UInt<5>("h019")) @[lib.scala 199:41] + _T_216[24] <= _T_266 @[lib.scala 199:23] + node _T_267 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_268 = eq(_T_267, UInt<5>("h01a")) @[lib.scala 199:41] + _T_216[25] <= _T_268 @[lib.scala 199:23] + node _T_269 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_270 = eq(_T_269, UInt<5>("h01b")) @[lib.scala 199:41] + _T_216[26] <= _T_270 @[lib.scala 199:23] + node _T_271 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_272 = eq(_T_271, UInt<5>("h01c")) @[lib.scala 199:41] + _T_216[27] <= _T_272 @[lib.scala 199:23] + node _T_273 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_274 = eq(_T_273, UInt<5>("h01d")) @[lib.scala 199:41] + _T_216[28] <= _T_274 @[lib.scala 199:23] + node _T_275 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_276 = eq(_T_275, UInt<5>("h01e")) @[lib.scala 199:41] + _T_216[29] <= _T_276 @[lib.scala 199:23] + node _T_277 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_278 = eq(_T_277, UInt<5>("h01f")) @[lib.scala 199:41] + _T_216[30] <= _T_278 @[lib.scala 199:23] + node _T_279 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_280 = eq(_T_279, UInt<6>("h020")) @[lib.scala 199:41] + _T_216[31] <= _T_280 @[lib.scala 199:23] + node _T_281 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_282 = eq(_T_281, UInt<6>("h021")) @[lib.scala 199:41] + _T_216[32] <= _T_282 @[lib.scala 199:23] + node _T_283 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_284 = eq(_T_283, UInt<6>("h022")) @[lib.scala 199:41] + _T_216[33] <= _T_284 @[lib.scala 199:23] + node _T_285 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_286 = eq(_T_285, UInt<6>("h023")) @[lib.scala 199:41] + _T_216[34] <= _T_286 @[lib.scala 199:23] + node _T_287 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_288 = eq(_T_287, UInt<6>("h024")) @[lib.scala 199:41] + _T_216[35] <= _T_288 @[lib.scala 199:23] + node _T_289 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_290 = eq(_T_289, UInt<6>("h025")) @[lib.scala 199:41] + _T_216[36] <= _T_290 @[lib.scala 199:23] + node _T_291 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_292 = eq(_T_291, UInt<6>("h026")) @[lib.scala 199:41] + _T_216[37] <= _T_292 @[lib.scala 199:23] + node _T_293 = bits(_T_208, 5, 0) @[lib.scala 199:35] + node _T_294 = eq(_T_293, UInt<6>("h027")) @[lib.scala 199:41] + _T_216[38] <= _T_294 @[lib.scala 199:23] + node _T_295 = bits(dccm_data_ecc_hi_any, 6, 6) @[lib.scala 201:37] + node _T_296 = bits(dccm_rdata_hi_any, 31, 26) @[lib.scala 201:45] + node _T_297 = bits(dccm_data_ecc_hi_any, 5, 5) @[lib.scala 201:60] + node _T_298 = bits(dccm_rdata_hi_any, 25, 11) @[lib.scala 201:68] + node _T_299 = bits(dccm_data_ecc_hi_any, 4, 4) @[lib.scala 201:83] + node _T_300 = bits(dccm_rdata_hi_any, 10, 4) @[lib.scala 201:91] + node _T_301 = bits(dccm_data_ecc_hi_any, 3, 3) @[lib.scala 201:105] + node _T_302 = bits(dccm_rdata_hi_any, 3, 1) @[lib.scala 201:113] + node _T_303 = bits(dccm_data_ecc_hi_any, 2, 2) @[lib.scala 201:126] + node _T_304 = bits(dccm_rdata_hi_any, 0, 0) @[lib.scala 201:134] + node _T_305 = bits(dccm_data_ecc_hi_any, 1, 0) @[lib.scala 201:145] + node _T_306 = cat(_T_304, _T_305) @[Cat.scala 29:58] + node _T_307 = cat(_T_301, _T_302) @[Cat.scala 29:58] + node _T_308 = cat(_T_307, _T_303) @[Cat.scala 29:58] + node _T_309 = cat(_T_308, _T_306) @[Cat.scala 29:58] + node _T_310 = cat(_T_298, _T_299) @[Cat.scala 29:58] + node _T_311 = cat(_T_310, _T_300) @[Cat.scala 29:58] + node _T_312 = cat(_T_295, _T_296) @[Cat.scala 29:58] + node _T_313 = cat(_T_312, _T_297) @[Cat.scala 29:58] + node _T_314 = cat(_T_313, _T_311) @[Cat.scala 29:58] + node _T_315 = cat(_T_314, _T_309) @[Cat.scala 29:58] + node _T_316 = bits(single_ecc_error_hi_any, 0, 0) @[lib.scala 202:49] + node _T_317 = cat(_T_216[1], _T_216[0]) @[lib.scala 202:69] + node _T_318 = cat(_T_216[3], _T_216[2]) @[lib.scala 202:69] + node _T_319 = cat(_T_318, _T_317) @[lib.scala 202:69] + node _T_320 = cat(_T_216[5], _T_216[4]) @[lib.scala 202:69] + node _T_321 = cat(_T_216[8], _T_216[7]) @[lib.scala 202:69] + node _T_322 = cat(_T_321, _T_216[6]) @[lib.scala 202:69] + node _T_323 = cat(_T_322, _T_320) @[lib.scala 202:69] + node _T_324 = cat(_T_323, _T_319) @[lib.scala 202:69] + node _T_325 = cat(_T_216[10], _T_216[9]) @[lib.scala 202:69] + node _T_326 = cat(_T_216[13], _T_216[12]) @[lib.scala 202:69] + node _T_327 = cat(_T_326, _T_216[11]) @[lib.scala 202:69] + node _T_328 = cat(_T_327, _T_325) @[lib.scala 202:69] + node _T_329 = cat(_T_216[15], _T_216[14]) @[lib.scala 202:69] + node _T_330 = cat(_T_216[18], _T_216[17]) @[lib.scala 202:69] + node _T_331 = cat(_T_330, _T_216[16]) @[lib.scala 202:69] + node _T_332 = cat(_T_331, _T_329) @[lib.scala 202:69] + node _T_333 = cat(_T_332, _T_328) @[lib.scala 202:69] + node _T_334 = cat(_T_333, _T_324) @[lib.scala 202:69] + node _T_335 = cat(_T_216[20], _T_216[19]) @[lib.scala 202:69] + node _T_336 = cat(_T_216[23], _T_216[22]) @[lib.scala 202:69] + node _T_337 = cat(_T_336, _T_216[21]) @[lib.scala 202:69] + node _T_338 = cat(_T_337, _T_335) @[lib.scala 202:69] + node _T_339 = cat(_T_216[25], _T_216[24]) @[lib.scala 202:69] + node _T_340 = cat(_T_216[28], _T_216[27]) @[lib.scala 202:69] + node _T_341 = cat(_T_340, _T_216[26]) @[lib.scala 202:69] + node _T_342 = cat(_T_341, _T_339) @[lib.scala 202:69] + node _T_343 = cat(_T_342, _T_338) @[lib.scala 202:69] + node _T_344 = cat(_T_216[30], _T_216[29]) @[lib.scala 202:69] + node _T_345 = cat(_T_216[33], _T_216[32]) @[lib.scala 202:69] + node _T_346 = cat(_T_345, _T_216[31]) @[lib.scala 202:69] + node _T_347 = cat(_T_346, _T_344) @[lib.scala 202:69] + node _T_348 = cat(_T_216[35], _T_216[34]) @[lib.scala 202:69] + node _T_349 = cat(_T_216[38], _T_216[37]) @[lib.scala 202:69] + node _T_350 = cat(_T_349, _T_216[36]) @[lib.scala 202:69] + node _T_351 = cat(_T_350, _T_348) @[lib.scala 202:69] + node _T_352 = cat(_T_351, _T_347) @[lib.scala 202:69] + node _T_353 = cat(_T_352, _T_343) @[lib.scala 202:69] + node _T_354 = cat(_T_353, _T_334) @[lib.scala 202:69] + node _T_355 = xor(_T_354, _T_315) @[lib.scala 202:76] + node _T_356 = mux(_T_316, _T_355, _T_315) @[lib.scala 202:31] + node _T_357 = bits(_T_356, 37, 32) @[lib.scala 204:37] + node _T_358 = bits(_T_356, 30, 16) @[lib.scala 204:61] + node _T_359 = bits(_T_356, 14, 8) @[lib.scala 204:86] + node _T_360 = bits(_T_356, 6, 4) @[lib.scala 204:110] + node _T_361 = bits(_T_356, 2, 2) @[lib.scala 204:133] + node _T_362 = cat(_T_360, _T_361) @[Cat.scala 29:58] + node _T_363 = cat(_T_357, _T_358) @[Cat.scala 29:58] + node _T_364 = cat(_T_363, _T_359) @[Cat.scala 29:58] + node sec_data_hi_any = cat(_T_364, _T_362) @[Cat.scala 29:58] + node _T_365 = bits(_T_356, 38, 38) @[lib.scala 205:39] + node _T_366 = bits(_T_208, 6, 0) @[lib.scala 205:56] + node _T_367 = eq(_T_366, UInt<7>("h040")) @[lib.scala 205:62] + node _T_368 = xor(_T_365, _T_367) @[lib.scala 205:44] + node _T_369 = bits(_T_356, 31, 31) @[lib.scala 205:102] + node _T_370 = bits(_T_356, 15, 15) @[lib.scala 205:124] + node _T_371 = bits(_T_356, 7, 7) @[lib.scala 205:146] + node _T_372 = bits(_T_356, 3, 3) @[lib.scala 205:167] + node _T_373 = bits(_T_356, 1, 0) @[lib.scala 205:188] + node _T_374 = cat(_T_371, _T_372) @[Cat.scala 29:58] + node _T_375 = cat(_T_374, _T_373) @[Cat.scala 29:58] + node _T_376 = cat(_T_368, _T_369) @[Cat.scala 29:58] + node _T_377 = cat(_T_376, _T_370) @[Cat.scala 29:58] + node ecc_out_hi_nc = cat(_T_377, _T_375) @[Cat.scala 29:58] + wire _T_378 : UInt<1>[18] @[lib.scala 173:18] + wire _T_379 : UInt<1>[18] @[lib.scala 174:18] + wire _T_380 : UInt<1>[18] @[lib.scala 175:18] + wire _T_381 : UInt<1>[15] @[lib.scala 176:18] + wire _T_382 : UInt<1>[15] @[lib.scala 177:18] + wire _T_383 : UInt<1>[6] @[lib.scala 178:18] + node _T_384 = bits(dccm_rdata_lo_any, 0, 0) @[lib.scala 185:36] + _T_378[0] <= _T_384 @[lib.scala 185:30] + node _T_385 = bits(dccm_rdata_lo_any, 0, 0) @[lib.scala 186:36] + _T_379[0] <= _T_385 @[lib.scala 186:30] + node _T_386 = bits(dccm_rdata_lo_any, 1, 1) @[lib.scala 185:36] + _T_378[1] <= _T_386 @[lib.scala 185:30] + node _T_387 = bits(dccm_rdata_lo_any, 1, 1) @[lib.scala 187:36] + _T_380[0] <= _T_387 @[lib.scala 187:30] + node _T_388 = bits(dccm_rdata_lo_any, 2, 2) @[lib.scala 186:36] + _T_379[1] <= _T_388 @[lib.scala 186:30] + node _T_389 = bits(dccm_rdata_lo_any, 2, 2) @[lib.scala 187:36] + _T_380[1] <= _T_389 @[lib.scala 187:30] + node _T_390 = bits(dccm_rdata_lo_any, 3, 3) @[lib.scala 185:36] + _T_378[2] <= _T_390 @[lib.scala 185:30] + node _T_391 = bits(dccm_rdata_lo_any, 3, 3) @[lib.scala 186:36] + _T_379[2] <= _T_391 @[lib.scala 186:30] + node _T_392 = bits(dccm_rdata_lo_any, 3, 3) @[lib.scala 187:36] + _T_380[2] <= _T_392 @[lib.scala 187:30] + node _T_393 = bits(dccm_rdata_lo_any, 4, 4) @[lib.scala 185:36] + _T_378[3] <= _T_393 @[lib.scala 185:30] + node _T_394 = bits(dccm_rdata_lo_any, 4, 4) @[lib.scala 188:36] + _T_381[0] <= _T_394 @[lib.scala 188:30] + node _T_395 = bits(dccm_rdata_lo_any, 5, 5) @[lib.scala 186:36] + _T_379[3] <= _T_395 @[lib.scala 186:30] + node _T_396 = bits(dccm_rdata_lo_any, 5, 5) @[lib.scala 188:36] + _T_381[1] <= _T_396 @[lib.scala 188:30] + node _T_397 = bits(dccm_rdata_lo_any, 6, 6) @[lib.scala 185:36] + _T_378[4] <= _T_397 @[lib.scala 185:30] + node _T_398 = bits(dccm_rdata_lo_any, 6, 6) @[lib.scala 186:36] + _T_379[4] <= _T_398 @[lib.scala 186:30] + node _T_399 = bits(dccm_rdata_lo_any, 6, 6) @[lib.scala 188:36] + _T_381[2] <= _T_399 @[lib.scala 188:30] + node _T_400 = bits(dccm_rdata_lo_any, 7, 7) @[lib.scala 187:36] + _T_380[3] <= _T_400 @[lib.scala 187:30] + node _T_401 = bits(dccm_rdata_lo_any, 7, 7) @[lib.scala 188:36] + _T_381[3] <= _T_401 @[lib.scala 188:30] + node _T_402 = bits(dccm_rdata_lo_any, 8, 8) @[lib.scala 185:36] + _T_378[5] <= _T_402 @[lib.scala 185:30] + node _T_403 = bits(dccm_rdata_lo_any, 8, 8) @[lib.scala 187:36] + _T_380[4] <= _T_403 @[lib.scala 187:30] + node _T_404 = bits(dccm_rdata_lo_any, 8, 8) @[lib.scala 188:36] + _T_381[4] <= _T_404 @[lib.scala 188:30] + node _T_405 = bits(dccm_rdata_lo_any, 9, 9) @[lib.scala 186:36] + _T_379[5] <= _T_405 @[lib.scala 186:30] + node _T_406 = bits(dccm_rdata_lo_any, 9, 9) @[lib.scala 187:36] + _T_380[5] <= _T_406 @[lib.scala 187:30] + node _T_407 = bits(dccm_rdata_lo_any, 9, 9) @[lib.scala 188:36] + _T_381[5] <= _T_407 @[lib.scala 188:30] + node _T_408 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 185:36] + _T_378[6] <= _T_408 @[lib.scala 185:30] + node _T_409 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 186:36] + _T_379[6] <= _T_409 @[lib.scala 186:30] + node _T_410 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 187:36] + _T_380[6] <= _T_410 @[lib.scala 187:30] + node _T_411 = bits(dccm_rdata_lo_any, 10, 10) @[lib.scala 188:36] + _T_381[6] <= _T_411 @[lib.scala 188:30] + node _T_412 = bits(dccm_rdata_lo_any, 11, 11) @[lib.scala 185:36] + _T_378[7] <= _T_412 @[lib.scala 185:30] + node _T_413 = bits(dccm_rdata_lo_any, 11, 11) @[lib.scala 189:36] + _T_382[0] <= _T_413 @[lib.scala 189:30] + node _T_414 = bits(dccm_rdata_lo_any, 12, 12) @[lib.scala 186:36] + _T_379[7] <= _T_414 @[lib.scala 186:30] + node _T_415 = bits(dccm_rdata_lo_any, 12, 12) @[lib.scala 189:36] + _T_382[1] <= _T_415 @[lib.scala 189:30] + node _T_416 = bits(dccm_rdata_lo_any, 13, 13) @[lib.scala 185:36] + _T_378[8] <= _T_416 @[lib.scala 185:30] + node _T_417 = bits(dccm_rdata_lo_any, 13, 13) @[lib.scala 186:36] + _T_379[8] <= _T_417 @[lib.scala 186:30] + node _T_418 = bits(dccm_rdata_lo_any, 13, 13) @[lib.scala 189:36] + _T_382[2] <= _T_418 @[lib.scala 189:30] + node _T_419 = bits(dccm_rdata_lo_any, 14, 14) @[lib.scala 187:36] + _T_380[7] <= _T_419 @[lib.scala 187:30] + node _T_420 = bits(dccm_rdata_lo_any, 14, 14) @[lib.scala 189:36] + _T_382[3] <= _T_420 @[lib.scala 189:30] + node _T_421 = bits(dccm_rdata_lo_any, 15, 15) @[lib.scala 185:36] + _T_378[9] <= _T_421 @[lib.scala 185:30] + node _T_422 = bits(dccm_rdata_lo_any, 15, 15) @[lib.scala 187:36] + _T_380[8] <= _T_422 @[lib.scala 187:30] + node _T_423 = bits(dccm_rdata_lo_any, 15, 15) @[lib.scala 189:36] + _T_382[4] <= _T_423 @[lib.scala 189:30] + node _T_424 = bits(dccm_rdata_lo_any, 16, 16) @[lib.scala 186:36] + _T_379[9] <= _T_424 @[lib.scala 186:30] + node _T_425 = bits(dccm_rdata_lo_any, 16, 16) @[lib.scala 187:36] + _T_380[9] <= _T_425 @[lib.scala 187:30] + node _T_426 = bits(dccm_rdata_lo_any, 16, 16) @[lib.scala 189:36] + _T_382[5] <= _T_426 @[lib.scala 189:30] + node _T_427 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 185:36] + _T_378[10] <= _T_427 @[lib.scala 185:30] + node _T_428 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 186:36] + _T_379[10] <= _T_428 @[lib.scala 186:30] + node _T_429 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 187:36] + _T_380[10] <= _T_429 @[lib.scala 187:30] + node _T_430 = bits(dccm_rdata_lo_any, 17, 17) @[lib.scala 189:36] + _T_382[6] <= _T_430 @[lib.scala 189:30] + node _T_431 = bits(dccm_rdata_lo_any, 18, 18) @[lib.scala 188:36] + _T_381[7] <= _T_431 @[lib.scala 188:30] + node _T_432 = bits(dccm_rdata_lo_any, 18, 18) @[lib.scala 189:36] + _T_382[7] <= _T_432 @[lib.scala 189:30] + node _T_433 = bits(dccm_rdata_lo_any, 19, 19) @[lib.scala 185:36] + _T_378[11] <= _T_433 @[lib.scala 185:30] + node _T_434 = bits(dccm_rdata_lo_any, 19, 19) @[lib.scala 188:36] + _T_381[8] <= _T_434 @[lib.scala 188:30] + node _T_435 = bits(dccm_rdata_lo_any, 19, 19) @[lib.scala 189:36] + _T_382[8] <= _T_435 @[lib.scala 189:30] + node _T_436 = bits(dccm_rdata_lo_any, 20, 20) @[lib.scala 186:36] + _T_379[11] <= _T_436 @[lib.scala 186:30] + node _T_437 = bits(dccm_rdata_lo_any, 20, 20) @[lib.scala 188:36] + _T_381[9] <= _T_437 @[lib.scala 188:30] + node _T_438 = bits(dccm_rdata_lo_any, 20, 20) @[lib.scala 189:36] + _T_382[9] <= _T_438 @[lib.scala 189:30] + node _T_439 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 185:36] + _T_378[12] <= _T_439 @[lib.scala 185:30] + node _T_440 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 186:36] + _T_379[12] <= _T_440 @[lib.scala 186:30] + node _T_441 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 188:36] + _T_381[10] <= _T_441 @[lib.scala 188:30] + node _T_442 = bits(dccm_rdata_lo_any, 21, 21) @[lib.scala 189:36] + _T_382[10] <= _T_442 @[lib.scala 189:30] + node _T_443 = bits(dccm_rdata_lo_any, 22, 22) @[lib.scala 187:36] + _T_380[11] <= _T_443 @[lib.scala 187:30] + node _T_444 = bits(dccm_rdata_lo_any, 22, 22) @[lib.scala 188:36] + _T_381[11] <= _T_444 @[lib.scala 188:30] + node _T_445 = bits(dccm_rdata_lo_any, 22, 22) @[lib.scala 189:36] + _T_382[11] <= _T_445 @[lib.scala 189:30] + node _T_446 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 185:36] + _T_378[13] <= _T_446 @[lib.scala 185:30] + node _T_447 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 187:36] + _T_380[12] <= _T_447 @[lib.scala 187:30] + node _T_448 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 188:36] + _T_381[12] <= _T_448 @[lib.scala 188:30] + node _T_449 = bits(dccm_rdata_lo_any, 23, 23) @[lib.scala 189:36] + _T_382[12] <= _T_449 @[lib.scala 189:30] + node _T_450 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 186:36] + _T_379[13] <= _T_450 @[lib.scala 186:30] + node _T_451 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 187:36] + _T_380[13] <= _T_451 @[lib.scala 187:30] + node _T_452 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 188:36] + _T_381[13] <= _T_452 @[lib.scala 188:30] + node _T_453 = bits(dccm_rdata_lo_any, 24, 24) @[lib.scala 189:36] + _T_382[13] <= _T_453 @[lib.scala 189:30] + node _T_454 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 185:36] + _T_378[14] <= _T_454 @[lib.scala 185:30] + node _T_455 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 186:36] + _T_379[14] <= _T_455 @[lib.scala 186:30] + node _T_456 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 187:36] + _T_380[14] <= _T_456 @[lib.scala 187:30] + node _T_457 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 188:36] + _T_381[14] <= _T_457 @[lib.scala 188:30] + node _T_458 = bits(dccm_rdata_lo_any, 25, 25) @[lib.scala 189:36] + _T_382[14] <= _T_458 @[lib.scala 189:30] + node _T_459 = bits(dccm_rdata_lo_any, 26, 26) @[lib.scala 185:36] + _T_378[15] <= _T_459 @[lib.scala 185:30] + node _T_460 = bits(dccm_rdata_lo_any, 26, 26) @[lib.scala 190:36] + _T_383[0] <= _T_460 @[lib.scala 190:30] + node _T_461 = bits(dccm_rdata_lo_any, 27, 27) @[lib.scala 186:36] + _T_379[15] <= _T_461 @[lib.scala 186:30] + node _T_462 = bits(dccm_rdata_lo_any, 27, 27) @[lib.scala 190:36] + _T_383[1] <= _T_462 @[lib.scala 190:30] + node _T_463 = bits(dccm_rdata_lo_any, 28, 28) @[lib.scala 185:36] + _T_378[16] <= _T_463 @[lib.scala 185:30] + node _T_464 = bits(dccm_rdata_lo_any, 28, 28) @[lib.scala 186:36] + _T_379[16] <= _T_464 @[lib.scala 186:30] + node _T_465 = bits(dccm_rdata_lo_any, 28, 28) @[lib.scala 190:36] + _T_383[2] <= _T_465 @[lib.scala 190:30] + node _T_466 = bits(dccm_rdata_lo_any, 29, 29) @[lib.scala 187:36] + _T_380[15] <= _T_466 @[lib.scala 187:30] + node _T_467 = bits(dccm_rdata_lo_any, 29, 29) @[lib.scala 190:36] + _T_383[3] <= _T_467 @[lib.scala 190:30] + node _T_468 = bits(dccm_rdata_lo_any, 30, 30) @[lib.scala 185:36] + _T_378[17] <= _T_468 @[lib.scala 185:30] + node _T_469 = bits(dccm_rdata_lo_any, 30, 30) @[lib.scala 187:36] + _T_380[16] <= _T_469 @[lib.scala 187:30] + node _T_470 = bits(dccm_rdata_lo_any, 30, 30) @[lib.scala 190:36] + _T_383[4] <= _T_470 @[lib.scala 190:30] + node _T_471 = bits(dccm_rdata_lo_any, 31, 31) @[lib.scala 186:36] + _T_379[17] <= _T_471 @[lib.scala 186:30] + node _T_472 = bits(dccm_rdata_lo_any, 31, 31) @[lib.scala 187:36] + _T_380[17] <= _T_472 @[lib.scala 187:30] + node _T_473 = bits(dccm_rdata_lo_any, 31, 31) @[lib.scala 190:36] + _T_383[5] <= _T_473 @[lib.scala 190:30] + node _T_474 = xorr(dccm_rdata_lo_any) @[lib.scala 193:30] + node _T_475 = xorr(dccm_data_ecc_lo_any) @[lib.scala 193:44] + node _T_476 = xor(_T_474, _T_475) @[lib.scala 193:35] + node _T_477 = not(UInt<1>("h00")) @[lib.scala 193:52] + node _T_478 = and(_T_476, _T_477) @[lib.scala 193:50] + node _T_479 = bits(dccm_data_ecc_lo_any, 5, 5) @[lib.scala 193:68] + node _T_480 = cat(_T_383[2], _T_383[1]) @[lib.scala 193:76] + node _T_481 = cat(_T_480, _T_383[0]) @[lib.scala 193:76] + node _T_482 = cat(_T_383[5], _T_383[4]) @[lib.scala 193:76] + node _T_483 = cat(_T_482, _T_383[3]) @[lib.scala 193:76] + node _T_484 = cat(_T_483, _T_481) @[lib.scala 193:76] + node _T_485 = xorr(_T_484) @[lib.scala 193:83] + node _T_486 = xor(_T_479, _T_485) @[lib.scala 193:71] + node _T_487 = bits(dccm_data_ecc_lo_any, 4, 4) @[lib.scala 193:95] + node _T_488 = cat(_T_382[2], _T_382[1]) @[lib.scala 193:103] + node _T_489 = cat(_T_488, _T_382[0]) @[lib.scala 193:103] + node _T_490 = cat(_T_382[4], _T_382[3]) @[lib.scala 193:103] + node _T_491 = cat(_T_382[6], _T_382[5]) @[lib.scala 193:103] + node _T_492 = cat(_T_491, _T_490) @[lib.scala 193:103] + node _T_493 = cat(_T_492, _T_489) @[lib.scala 193:103] + node _T_494 = cat(_T_382[8], _T_382[7]) @[lib.scala 193:103] + node _T_495 = cat(_T_382[10], _T_382[9]) @[lib.scala 193:103] + node _T_496 = cat(_T_495, _T_494) @[lib.scala 193:103] + node _T_497 = cat(_T_382[12], _T_382[11]) @[lib.scala 193:103] + node _T_498 = cat(_T_382[14], _T_382[13]) @[lib.scala 193:103] + node _T_499 = cat(_T_498, _T_497) @[lib.scala 193:103] + node _T_500 = cat(_T_499, _T_496) @[lib.scala 193:103] + node _T_501 = cat(_T_500, _T_493) @[lib.scala 193:103] + node _T_502 = xorr(_T_501) @[lib.scala 193:110] + node _T_503 = xor(_T_487, _T_502) @[lib.scala 193:98] + node _T_504 = bits(dccm_data_ecc_lo_any, 3, 3) @[lib.scala 193:122] + node _T_505 = cat(_T_381[2], _T_381[1]) @[lib.scala 193:130] + node _T_506 = cat(_T_505, _T_381[0]) @[lib.scala 193:130] + node _T_507 = cat(_T_381[4], _T_381[3]) @[lib.scala 193:130] + node _T_508 = cat(_T_381[6], _T_381[5]) @[lib.scala 193:130] + node _T_509 = cat(_T_508, _T_507) @[lib.scala 193:130] + node _T_510 = cat(_T_509, _T_506) @[lib.scala 193:130] + node _T_511 = cat(_T_381[8], _T_381[7]) @[lib.scala 193:130] + node _T_512 = cat(_T_381[10], _T_381[9]) @[lib.scala 193:130] + node _T_513 = cat(_T_512, _T_511) @[lib.scala 193:130] + node _T_514 = cat(_T_381[12], _T_381[11]) @[lib.scala 193:130] + node _T_515 = cat(_T_381[14], _T_381[13]) @[lib.scala 193:130] + node _T_516 = cat(_T_515, _T_514) @[lib.scala 193:130] + node _T_517 = cat(_T_516, _T_513) @[lib.scala 193:130] + node _T_518 = cat(_T_517, _T_510) @[lib.scala 193:130] + node _T_519 = xorr(_T_518) @[lib.scala 193:137] + node _T_520 = xor(_T_504, _T_519) @[lib.scala 193:125] + node _T_521 = bits(dccm_data_ecc_lo_any, 2, 2) @[lib.scala 193:149] + node _T_522 = cat(_T_380[1], _T_380[0]) @[lib.scala 193:157] + node _T_523 = cat(_T_380[3], _T_380[2]) @[lib.scala 193:157] + node _T_524 = cat(_T_523, _T_522) @[lib.scala 193:157] + node _T_525 = cat(_T_380[5], _T_380[4]) @[lib.scala 193:157] + node _T_526 = cat(_T_380[8], _T_380[7]) @[lib.scala 193:157] + node _T_527 = cat(_T_526, _T_380[6]) @[lib.scala 193:157] + node _T_528 = cat(_T_527, _T_525) @[lib.scala 193:157] + node _T_529 = cat(_T_528, _T_524) @[lib.scala 193:157] + node _T_530 = cat(_T_380[10], _T_380[9]) @[lib.scala 193:157] + node _T_531 = cat(_T_380[12], _T_380[11]) @[lib.scala 193:157] + node _T_532 = cat(_T_531, _T_530) @[lib.scala 193:157] + node _T_533 = cat(_T_380[14], _T_380[13]) @[lib.scala 193:157] + node _T_534 = cat(_T_380[17], _T_380[16]) @[lib.scala 193:157] + node _T_535 = cat(_T_534, _T_380[15]) @[lib.scala 193:157] + node _T_536 = cat(_T_535, _T_533) @[lib.scala 193:157] + node _T_537 = cat(_T_536, _T_532) @[lib.scala 193:157] + node _T_538 = cat(_T_537, _T_529) @[lib.scala 193:157] + node _T_539 = xorr(_T_538) @[lib.scala 193:164] + node _T_540 = xor(_T_521, _T_539) @[lib.scala 193:152] + node _T_541 = bits(dccm_data_ecc_lo_any, 1, 1) @[lib.scala 193:176] + node _T_542 = cat(_T_379[1], _T_379[0]) @[lib.scala 193:184] + node _T_543 = cat(_T_379[3], _T_379[2]) @[lib.scala 193:184] + node _T_544 = cat(_T_543, _T_542) @[lib.scala 193:184] + node _T_545 = cat(_T_379[5], _T_379[4]) @[lib.scala 193:184] + node _T_546 = cat(_T_379[8], _T_379[7]) @[lib.scala 193:184] + node _T_547 = cat(_T_546, _T_379[6]) @[lib.scala 193:184] + node _T_548 = cat(_T_547, _T_545) @[lib.scala 193:184] + node _T_549 = cat(_T_548, _T_544) @[lib.scala 193:184] + node _T_550 = cat(_T_379[10], _T_379[9]) @[lib.scala 193:184] + node _T_551 = cat(_T_379[12], _T_379[11]) @[lib.scala 193:184] + node _T_552 = cat(_T_551, _T_550) @[lib.scala 193:184] + node _T_553 = cat(_T_379[14], _T_379[13]) @[lib.scala 193:184] + node _T_554 = cat(_T_379[17], _T_379[16]) @[lib.scala 193:184] + node _T_555 = cat(_T_554, _T_379[15]) @[lib.scala 193:184] + node _T_556 = cat(_T_555, _T_553) @[lib.scala 193:184] + node _T_557 = cat(_T_556, _T_552) @[lib.scala 193:184] + node _T_558 = cat(_T_557, _T_549) @[lib.scala 193:184] + node _T_559 = xorr(_T_558) @[lib.scala 193:191] + node _T_560 = xor(_T_541, _T_559) @[lib.scala 193:179] + node _T_561 = bits(dccm_data_ecc_lo_any, 0, 0) @[lib.scala 193:203] + node _T_562 = cat(_T_378[1], _T_378[0]) @[lib.scala 193:211] + node _T_563 = cat(_T_378[3], _T_378[2]) @[lib.scala 193:211] + node _T_564 = cat(_T_563, _T_562) @[lib.scala 193:211] + node _T_565 = cat(_T_378[5], _T_378[4]) @[lib.scala 193:211] + node _T_566 = cat(_T_378[8], _T_378[7]) @[lib.scala 193:211] + node _T_567 = cat(_T_566, _T_378[6]) @[lib.scala 193:211] + node _T_568 = cat(_T_567, _T_565) @[lib.scala 193:211] + node _T_569 = cat(_T_568, _T_564) @[lib.scala 193:211] + node _T_570 = cat(_T_378[10], _T_378[9]) @[lib.scala 193:211] + node _T_571 = cat(_T_378[12], _T_378[11]) @[lib.scala 193:211] + node _T_572 = cat(_T_571, _T_570) @[lib.scala 193:211] + node _T_573 = cat(_T_378[14], _T_378[13]) @[lib.scala 193:211] + node _T_574 = cat(_T_378[17], _T_378[16]) @[lib.scala 193:211] + node _T_575 = cat(_T_574, _T_378[15]) @[lib.scala 193:211] + node _T_576 = cat(_T_575, _T_573) @[lib.scala 193:211] + node _T_577 = cat(_T_576, _T_572) @[lib.scala 193:211] + node _T_578 = cat(_T_577, _T_569) @[lib.scala 193:211] + node _T_579 = xorr(_T_578) @[lib.scala 193:218] + node _T_580 = xor(_T_561, _T_579) @[lib.scala 193:206] + node _T_581 = cat(_T_540, _T_560) @[Cat.scala 29:58] + node _T_582 = cat(_T_581, _T_580) @[Cat.scala 29:58] + node _T_583 = cat(_T_503, _T_520) @[Cat.scala 29:58] + node _T_584 = cat(_T_478, _T_486) @[Cat.scala 29:58] + node _T_585 = cat(_T_584, _T_583) @[Cat.scala 29:58] + node _T_586 = cat(_T_585, _T_582) @[Cat.scala 29:58] + node _T_587 = neq(_T_586, UInt<1>("h00")) @[lib.scala 194:44] + node _T_588 = and(is_ldst_lo_any, _T_587) @[lib.scala 194:32] + node _T_589 = bits(_T_586, 6, 6) @[lib.scala 194:64] + node single_ecc_error_lo_any = and(_T_588, _T_589) @[lib.scala 194:53] + node _T_590 = neq(_T_586, UInt<1>("h00")) @[lib.scala 195:44] + node _T_591 = and(is_ldst_lo_any, _T_590) @[lib.scala 195:32] + node _T_592 = bits(_T_586, 6, 6) @[lib.scala 195:65] + node _T_593 = not(_T_592) @[lib.scala 195:55] + node double_ecc_error_lo_any = and(_T_591, _T_593) @[lib.scala 195:53] + wire _T_594 : UInt<1>[39] @[lib.scala 196:26] + node _T_595 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_596 = eq(_T_595, UInt<1>("h01")) @[lib.scala 199:41] + _T_594[0] <= _T_596 @[lib.scala 199:23] + node _T_597 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_598 = eq(_T_597, UInt<2>("h02")) @[lib.scala 199:41] + _T_594[1] <= _T_598 @[lib.scala 199:23] + node _T_599 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_600 = eq(_T_599, UInt<2>("h03")) @[lib.scala 199:41] + _T_594[2] <= _T_600 @[lib.scala 199:23] + node _T_601 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_602 = eq(_T_601, UInt<3>("h04")) @[lib.scala 199:41] + _T_594[3] <= _T_602 @[lib.scala 199:23] + node _T_603 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_604 = eq(_T_603, UInt<3>("h05")) @[lib.scala 199:41] + _T_594[4] <= _T_604 @[lib.scala 199:23] + node _T_605 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_606 = eq(_T_605, UInt<3>("h06")) @[lib.scala 199:41] + _T_594[5] <= _T_606 @[lib.scala 199:23] + node _T_607 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_608 = eq(_T_607, UInt<3>("h07")) @[lib.scala 199:41] + _T_594[6] <= _T_608 @[lib.scala 199:23] + node _T_609 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_610 = eq(_T_609, UInt<4>("h08")) @[lib.scala 199:41] + _T_594[7] <= _T_610 @[lib.scala 199:23] + node _T_611 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_612 = eq(_T_611, UInt<4>("h09")) @[lib.scala 199:41] + _T_594[8] <= _T_612 @[lib.scala 199:23] + node _T_613 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_614 = eq(_T_613, UInt<4>("h0a")) @[lib.scala 199:41] + _T_594[9] <= _T_614 @[lib.scala 199:23] + node _T_615 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_616 = eq(_T_615, UInt<4>("h0b")) @[lib.scala 199:41] + _T_594[10] <= _T_616 @[lib.scala 199:23] + node _T_617 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_618 = eq(_T_617, UInt<4>("h0c")) @[lib.scala 199:41] + _T_594[11] <= _T_618 @[lib.scala 199:23] + node _T_619 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_620 = eq(_T_619, UInt<4>("h0d")) @[lib.scala 199:41] + _T_594[12] <= _T_620 @[lib.scala 199:23] + node _T_621 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_622 = eq(_T_621, UInt<4>("h0e")) @[lib.scala 199:41] + _T_594[13] <= _T_622 @[lib.scala 199:23] + node _T_623 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_624 = eq(_T_623, UInt<4>("h0f")) @[lib.scala 199:41] + _T_594[14] <= _T_624 @[lib.scala 199:23] + node _T_625 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_626 = eq(_T_625, UInt<5>("h010")) @[lib.scala 199:41] + _T_594[15] <= _T_626 @[lib.scala 199:23] + node _T_627 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_628 = eq(_T_627, UInt<5>("h011")) @[lib.scala 199:41] + _T_594[16] <= _T_628 @[lib.scala 199:23] + node _T_629 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_630 = eq(_T_629, UInt<5>("h012")) @[lib.scala 199:41] + _T_594[17] <= _T_630 @[lib.scala 199:23] + node _T_631 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_632 = eq(_T_631, UInt<5>("h013")) @[lib.scala 199:41] + _T_594[18] <= _T_632 @[lib.scala 199:23] + node _T_633 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_634 = eq(_T_633, UInt<5>("h014")) @[lib.scala 199:41] + _T_594[19] <= _T_634 @[lib.scala 199:23] + node _T_635 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_636 = eq(_T_635, UInt<5>("h015")) @[lib.scala 199:41] + _T_594[20] <= _T_636 @[lib.scala 199:23] + node _T_637 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_638 = eq(_T_637, UInt<5>("h016")) @[lib.scala 199:41] + _T_594[21] <= _T_638 @[lib.scala 199:23] + node _T_639 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_640 = eq(_T_639, UInt<5>("h017")) @[lib.scala 199:41] + _T_594[22] <= _T_640 @[lib.scala 199:23] + node _T_641 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_642 = eq(_T_641, UInt<5>("h018")) @[lib.scala 199:41] + _T_594[23] <= _T_642 @[lib.scala 199:23] + node _T_643 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_644 = eq(_T_643, UInt<5>("h019")) @[lib.scala 199:41] + _T_594[24] <= _T_644 @[lib.scala 199:23] + node _T_645 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_646 = eq(_T_645, UInt<5>("h01a")) @[lib.scala 199:41] + _T_594[25] <= _T_646 @[lib.scala 199:23] + node _T_647 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_648 = eq(_T_647, UInt<5>("h01b")) @[lib.scala 199:41] + _T_594[26] <= _T_648 @[lib.scala 199:23] + node _T_649 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_650 = eq(_T_649, UInt<5>("h01c")) @[lib.scala 199:41] + _T_594[27] <= _T_650 @[lib.scala 199:23] + node _T_651 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_652 = eq(_T_651, UInt<5>("h01d")) @[lib.scala 199:41] + _T_594[28] <= _T_652 @[lib.scala 199:23] + node _T_653 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_654 = eq(_T_653, UInt<5>("h01e")) @[lib.scala 199:41] + _T_594[29] <= _T_654 @[lib.scala 199:23] + node _T_655 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_656 = eq(_T_655, UInt<5>("h01f")) @[lib.scala 199:41] + _T_594[30] <= _T_656 @[lib.scala 199:23] + node _T_657 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_658 = eq(_T_657, UInt<6>("h020")) @[lib.scala 199:41] + _T_594[31] <= _T_658 @[lib.scala 199:23] + node _T_659 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_660 = eq(_T_659, UInt<6>("h021")) @[lib.scala 199:41] + _T_594[32] <= _T_660 @[lib.scala 199:23] + node _T_661 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_662 = eq(_T_661, UInt<6>("h022")) @[lib.scala 199:41] + _T_594[33] <= _T_662 @[lib.scala 199:23] + node _T_663 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_664 = eq(_T_663, UInt<6>("h023")) @[lib.scala 199:41] + _T_594[34] <= _T_664 @[lib.scala 199:23] + node _T_665 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_666 = eq(_T_665, UInt<6>("h024")) @[lib.scala 199:41] + _T_594[35] <= _T_666 @[lib.scala 199:23] + node _T_667 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_668 = eq(_T_667, UInt<6>("h025")) @[lib.scala 199:41] + _T_594[36] <= _T_668 @[lib.scala 199:23] + node _T_669 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_670 = eq(_T_669, UInt<6>("h026")) @[lib.scala 199:41] + _T_594[37] <= _T_670 @[lib.scala 199:23] + node _T_671 = bits(_T_586, 5, 0) @[lib.scala 199:35] + node _T_672 = eq(_T_671, UInt<6>("h027")) @[lib.scala 199:41] + _T_594[38] <= _T_672 @[lib.scala 199:23] + node _T_673 = bits(dccm_data_ecc_lo_any, 6, 6) @[lib.scala 201:37] + node _T_674 = bits(dccm_rdata_lo_any, 31, 26) @[lib.scala 201:45] + node _T_675 = bits(dccm_data_ecc_lo_any, 5, 5) @[lib.scala 201:60] + node _T_676 = bits(dccm_rdata_lo_any, 25, 11) @[lib.scala 201:68] + node _T_677 = bits(dccm_data_ecc_lo_any, 4, 4) @[lib.scala 201:83] + node _T_678 = bits(dccm_rdata_lo_any, 10, 4) @[lib.scala 201:91] + node _T_679 = bits(dccm_data_ecc_lo_any, 3, 3) @[lib.scala 201:105] + node _T_680 = bits(dccm_rdata_lo_any, 3, 1) @[lib.scala 201:113] + node _T_681 = bits(dccm_data_ecc_lo_any, 2, 2) @[lib.scala 201:126] + node _T_682 = bits(dccm_rdata_lo_any, 0, 0) @[lib.scala 201:134] + node _T_683 = bits(dccm_data_ecc_lo_any, 1, 0) @[lib.scala 201:145] + node _T_684 = cat(_T_682, _T_683) @[Cat.scala 29:58] + node _T_685 = cat(_T_679, _T_680) @[Cat.scala 29:58] + node _T_686 = cat(_T_685, _T_681) @[Cat.scala 29:58] + node _T_687 = cat(_T_686, _T_684) @[Cat.scala 29:58] + node _T_688 = cat(_T_676, _T_677) @[Cat.scala 29:58] + node _T_689 = cat(_T_688, _T_678) @[Cat.scala 29:58] + node _T_690 = cat(_T_673, _T_674) @[Cat.scala 29:58] + node _T_691 = cat(_T_690, _T_675) @[Cat.scala 29:58] + node _T_692 = cat(_T_691, _T_689) @[Cat.scala 29:58] + node _T_693 = cat(_T_692, _T_687) @[Cat.scala 29:58] + node _T_694 = bits(single_ecc_error_lo_any, 0, 0) @[lib.scala 202:49] + node _T_695 = cat(_T_594[1], _T_594[0]) @[lib.scala 202:69] + node _T_696 = cat(_T_594[3], _T_594[2]) @[lib.scala 202:69] + node _T_697 = cat(_T_696, _T_695) @[lib.scala 202:69] + node _T_698 = cat(_T_594[5], _T_594[4]) @[lib.scala 202:69] + node _T_699 = cat(_T_594[8], _T_594[7]) @[lib.scala 202:69] + node _T_700 = cat(_T_699, _T_594[6]) @[lib.scala 202:69] + node _T_701 = cat(_T_700, _T_698) @[lib.scala 202:69] + node _T_702 = cat(_T_701, _T_697) @[lib.scala 202:69] + node _T_703 = cat(_T_594[10], _T_594[9]) @[lib.scala 202:69] + node _T_704 = cat(_T_594[13], _T_594[12]) @[lib.scala 202:69] + node _T_705 = cat(_T_704, _T_594[11]) @[lib.scala 202:69] + node _T_706 = cat(_T_705, _T_703) @[lib.scala 202:69] + node _T_707 = cat(_T_594[15], _T_594[14]) @[lib.scala 202:69] + node _T_708 = cat(_T_594[18], _T_594[17]) @[lib.scala 202:69] + node _T_709 = cat(_T_708, _T_594[16]) @[lib.scala 202:69] + node _T_710 = cat(_T_709, _T_707) @[lib.scala 202:69] + node _T_711 = cat(_T_710, _T_706) @[lib.scala 202:69] + node _T_712 = cat(_T_711, _T_702) @[lib.scala 202:69] + node _T_713 = cat(_T_594[20], _T_594[19]) @[lib.scala 202:69] + node _T_714 = cat(_T_594[23], _T_594[22]) @[lib.scala 202:69] + node _T_715 = cat(_T_714, _T_594[21]) @[lib.scala 202:69] + node _T_716 = cat(_T_715, _T_713) @[lib.scala 202:69] + node _T_717 = cat(_T_594[25], _T_594[24]) @[lib.scala 202:69] + node _T_718 = cat(_T_594[28], _T_594[27]) @[lib.scala 202:69] + node _T_719 = cat(_T_718, _T_594[26]) @[lib.scala 202:69] + node _T_720 = cat(_T_719, _T_717) @[lib.scala 202:69] + node _T_721 = cat(_T_720, _T_716) @[lib.scala 202:69] + node _T_722 = cat(_T_594[30], _T_594[29]) @[lib.scala 202:69] + node _T_723 = cat(_T_594[33], _T_594[32]) @[lib.scala 202:69] + node _T_724 = cat(_T_723, _T_594[31]) @[lib.scala 202:69] + node _T_725 = cat(_T_724, _T_722) @[lib.scala 202:69] + node _T_726 = cat(_T_594[35], _T_594[34]) @[lib.scala 202:69] + node _T_727 = cat(_T_594[38], _T_594[37]) @[lib.scala 202:69] + node _T_728 = cat(_T_727, _T_594[36]) @[lib.scala 202:69] + node _T_729 = cat(_T_728, _T_726) @[lib.scala 202:69] + node _T_730 = cat(_T_729, _T_725) @[lib.scala 202:69] + node _T_731 = cat(_T_730, _T_721) @[lib.scala 202:69] + node _T_732 = cat(_T_731, _T_712) @[lib.scala 202:69] + node _T_733 = xor(_T_732, _T_693) @[lib.scala 202:76] + node _T_734 = mux(_T_694, _T_733, _T_693) @[lib.scala 202:31] + node _T_735 = bits(_T_734, 37, 32) @[lib.scala 204:37] + node _T_736 = bits(_T_734, 30, 16) @[lib.scala 204:61] + node _T_737 = bits(_T_734, 14, 8) @[lib.scala 204:86] + node _T_738 = bits(_T_734, 6, 4) @[lib.scala 204:110] + node _T_739 = bits(_T_734, 2, 2) @[lib.scala 204:133] + node _T_740 = cat(_T_738, _T_739) @[Cat.scala 29:58] + node _T_741 = cat(_T_735, _T_736) @[Cat.scala 29:58] + node _T_742 = cat(_T_741, _T_737) @[Cat.scala 29:58] + node sec_data_lo_any = cat(_T_742, _T_740) @[Cat.scala 29:58] + node _T_743 = bits(_T_734, 38, 38) @[lib.scala 205:39] + node _T_744 = bits(_T_586, 6, 0) @[lib.scala 205:56] + node _T_745 = eq(_T_744, UInt<7>("h040")) @[lib.scala 205:62] + node _T_746 = xor(_T_743, _T_745) @[lib.scala 205:44] + node _T_747 = bits(_T_734, 31, 31) @[lib.scala 205:102] + node _T_748 = bits(_T_734, 15, 15) @[lib.scala 205:124] + node _T_749 = bits(_T_734, 7, 7) @[lib.scala 205:146] + node _T_750 = bits(_T_734, 3, 3) @[lib.scala 205:167] + node _T_751 = bits(_T_734, 1, 0) @[lib.scala 205:188] + node _T_752 = cat(_T_749, _T_750) @[Cat.scala 29:58] + node _T_753 = cat(_T_752, _T_751) @[Cat.scala 29:58] + node _T_754 = cat(_T_746, _T_747) @[Cat.scala 29:58] + node _T_755 = cat(_T_754, _T_748) @[Cat.scala 29:58] + node ecc_out_lo_nc = cat(_T_755, _T_753) @[Cat.scala 29:58] + node _T_756 = bits(dccm_wdata_lo_any, 0, 0) @[lib.scala 119:58] + node _T_757 = bits(dccm_wdata_lo_any, 1, 1) @[lib.scala 119:58] + node _T_758 = bits(dccm_wdata_lo_any, 3, 3) @[lib.scala 119:58] + node _T_759 = bits(dccm_wdata_lo_any, 4, 4) @[lib.scala 119:58] + node _T_760 = bits(dccm_wdata_lo_any, 6, 6) @[lib.scala 119:58] + node _T_761 = bits(dccm_wdata_lo_any, 8, 8) @[lib.scala 119:58] + node _T_762 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 119:58] + node _T_763 = bits(dccm_wdata_lo_any, 11, 11) @[lib.scala 119:58] + node _T_764 = bits(dccm_wdata_lo_any, 13, 13) @[lib.scala 119:58] + node _T_765 = bits(dccm_wdata_lo_any, 15, 15) @[lib.scala 119:58] + node _T_766 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 119:58] + node _T_767 = bits(dccm_wdata_lo_any, 19, 19) @[lib.scala 119:58] + node _T_768 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 119:58] + node _T_769 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 119:58] + node _T_770 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_771 = bits(dccm_wdata_lo_any, 26, 26) @[lib.scala 119:58] + node _T_772 = bits(dccm_wdata_lo_any, 28, 28) @[lib.scala 119:58] + node _T_773 = bits(dccm_wdata_lo_any, 30, 30) @[lib.scala 119:58] + node _T_774 = xor(_T_756, _T_757) @[lib.scala 119:74] + node _T_775 = xor(_T_774, _T_758) @[lib.scala 119:74] + node _T_776 = xor(_T_775, _T_759) @[lib.scala 119:74] + node _T_777 = xor(_T_776, _T_760) @[lib.scala 119:74] + node _T_778 = xor(_T_777, _T_761) @[lib.scala 119:74] + node _T_779 = xor(_T_778, _T_762) @[lib.scala 119:74] + node _T_780 = xor(_T_779, _T_763) @[lib.scala 119:74] + node _T_781 = xor(_T_780, _T_764) @[lib.scala 119:74] + node _T_782 = xor(_T_781, _T_765) @[lib.scala 119:74] + node _T_783 = xor(_T_782, _T_766) @[lib.scala 119:74] + node _T_784 = xor(_T_783, _T_767) @[lib.scala 119:74] + node _T_785 = xor(_T_784, _T_768) @[lib.scala 119:74] + node _T_786 = xor(_T_785, _T_769) @[lib.scala 119:74] + node _T_787 = xor(_T_786, _T_770) @[lib.scala 119:74] + node _T_788 = xor(_T_787, _T_771) @[lib.scala 119:74] + node _T_789 = xor(_T_788, _T_772) @[lib.scala 119:74] + node _T_790 = xor(_T_789, _T_773) @[lib.scala 119:74] + node _T_791 = bits(dccm_wdata_lo_any, 0, 0) @[lib.scala 119:58] + node _T_792 = bits(dccm_wdata_lo_any, 2, 2) @[lib.scala 119:58] + node _T_793 = bits(dccm_wdata_lo_any, 3, 3) @[lib.scala 119:58] + node _T_794 = bits(dccm_wdata_lo_any, 5, 5) @[lib.scala 119:58] + node _T_795 = bits(dccm_wdata_lo_any, 6, 6) @[lib.scala 119:58] + node _T_796 = bits(dccm_wdata_lo_any, 9, 9) @[lib.scala 119:58] + node _T_797 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 119:58] + node _T_798 = bits(dccm_wdata_lo_any, 12, 12) @[lib.scala 119:58] + node _T_799 = bits(dccm_wdata_lo_any, 13, 13) @[lib.scala 119:58] + node _T_800 = bits(dccm_wdata_lo_any, 16, 16) @[lib.scala 119:58] + node _T_801 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 119:58] + node _T_802 = bits(dccm_wdata_lo_any, 20, 20) @[lib.scala 119:58] + node _T_803 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 119:58] + node _T_804 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 119:58] + node _T_805 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_806 = bits(dccm_wdata_lo_any, 27, 27) @[lib.scala 119:58] + node _T_807 = bits(dccm_wdata_lo_any, 28, 28) @[lib.scala 119:58] + node _T_808 = bits(dccm_wdata_lo_any, 31, 31) @[lib.scala 119:58] + node _T_809 = xor(_T_791, _T_792) @[lib.scala 119:74] + node _T_810 = xor(_T_809, _T_793) @[lib.scala 119:74] + node _T_811 = xor(_T_810, _T_794) @[lib.scala 119:74] + node _T_812 = xor(_T_811, _T_795) @[lib.scala 119:74] + node _T_813 = xor(_T_812, _T_796) @[lib.scala 119:74] + node _T_814 = xor(_T_813, _T_797) @[lib.scala 119:74] + node _T_815 = xor(_T_814, _T_798) @[lib.scala 119:74] + node _T_816 = xor(_T_815, _T_799) @[lib.scala 119:74] + node _T_817 = xor(_T_816, _T_800) @[lib.scala 119:74] + node _T_818 = xor(_T_817, _T_801) @[lib.scala 119:74] + node _T_819 = xor(_T_818, _T_802) @[lib.scala 119:74] + node _T_820 = xor(_T_819, _T_803) @[lib.scala 119:74] + node _T_821 = xor(_T_820, _T_804) @[lib.scala 119:74] + node _T_822 = xor(_T_821, _T_805) @[lib.scala 119:74] + node _T_823 = xor(_T_822, _T_806) @[lib.scala 119:74] + node _T_824 = xor(_T_823, _T_807) @[lib.scala 119:74] + node _T_825 = xor(_T_824, _T_808) @[lib.scala 119:74] + node _T_826 = bits(dccm_wdata_lo_any, 1, 1) @[lib.scala 119:58] + node _T_827 = bits(dccm_wdata_lo_any, 2, 2) @[lib.scala 119:58] + node _T_828 = bits(dccm_wdata_lo_any, 3, 3) @[lib.scala 119:58] + node _T_829 = bits(dccm_wdata_lo_any, 7, 7) @[lib.scala 119:58] + node _T_830 = bits(dccm_wdata_lo_any, 8, 8) @[lib.scala 119:58] + node _T_831 = bits(dccm_wdata_lo_any, 9, 9) @[lib.scala 119:58] + node _T_832 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 119:58] + node _T_833 = bits(dccm_wdata_lo_any, 14, 14) @[lib.scala 119:58] + node _T_834 = bits(dccm_wdata_lo_any, 15, 15) @[lib.scala 119:58] + node _T_835 = bits(dccm_wdata_lo_any, 16, 16) @[lib.scala 119:58] + node _T_836 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 119:58] + node _T_837 = bits(dccm_wdata_lo_any, 22, 22) @[lib.scala 119:58] + node _T_838 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 119:58] + node _T_839 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 119:58] + node _T_840 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_841 = bits(dccm_wdata_lo_any, 29, 29) @[lib.scala 119:58] + node _T_842 = bits(dccm_wdata_lo_any, 30, 30) @[lib.scala 119:58] + node _T_843 = bits(dccm_wdata_lo_any, 31, 31) @[lib.scala 119:58] + node _T_844 = xor(_T_826, _T_827) @[lib.scala 119:74] + node _T_845 = xor(_T_844, _T_828) @[lib.scala 119:74] + node _T_846 = xor(_T_845, _T_829) @[lib.scala 119:74] + node _T_847 = xor(_T_846, _T_830) @[lib.scala 119:74] + node _T_848 = xor(_T_847, _T_831) @[lib.scala 119:74] + node _T_849 = xor(_T_848, _T_832) @[lib.scala 119:74] + node _T_850 = xor(_T_849, _T_833) @[lib.scala 119:74] + node _T_851 = xor(_T_850, _T_834) @[lib.scala 119:74] + node _T_852 = xor(_T_851, _T_835) @[lib.scala 119:74] + node _T_853 = xor(_T_852, _T_836) @[lib.scala 119:74] + node _T_854 = xor(_T_853, _T_837) @[lib.scala 119:74] + node _T_855 = xor(_T_854, _T_838) @[lib.scala 119:74] + node _T_856 = xor(_T_855, _T_839) @[lib.scala 119:74] + node _T_857 = xor(_T_856, _T_840) @[lib.scala 119:74] + node _T_858 = xor(_T_857, _T_841) @[lib.scala 119:74] + node _T_859 = xor(_T_858, _T_842) @[lib.scala 119:74] + node _T_860 = xor(_T_859, _T_843) @[lib.scala 119:74] + node _T_861 = bits(dccm_wdata_lo_any, 4, 4) @[lib.scala 119:58] + node _T_862 = bits(dccm_wdata_lo_any, 5, 5) @[lib.scala 119:58] + node _T_863 = bits(dccm_wdata_lo_any, 6, 6) @[lib.scala 119:58] + node _T_864 = bits(dccm_wdata_lo_any, 7, 7) @[lib.scala 119:58] + node _T_865 = bits(dccm_wdata_lo_any, 8, 8) @[lib.scala 119:58] + node _T_866 = bits(dccm_wdata_lo_any, 9, 9) @[lib.scala 119:58] + node _T_867 = bits(dccm_wdata_lo_any, 10, 10) @[lib.scala 119:58] + node _T_868 = bits(dccm_wdata_lo_any, 18, 18) @[lib.scala 119:58] + node _T_869 = bits(dccm_wdata_lo_any, 19, 19) @[lib.scala 119:58] + node _T_870 = bits(dccm_wdata_lo_any, 20, 20) @[lib.scala 119:58] + node _T_871 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 119:58] + node _T_872 = bits(dccm_wdata_lo_any, 22, 22) @[lib.scala 119:58] + node _T_873 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 119:58] + node _T_874 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 119:58] + node _T_875 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_876 = xor(_T_861, _T_862) @[lib.scala 119:74] + node _T_877 = xor(_T_876, _T_863) @[lib.scala 119:74] + node _T_878 = xor(_T_877, _T_864) @[lib.scala 119:74] + node _T_879 = xor(_T_878, _T_865) @[lib.scala 119:74] + node _T_880 = xor(_T_879, _T_866) @[lib.scala 119:74] + node _T_881 = xor(_T_880, _T_867) @[lib.scala 119:74] + node _T_882 = xor(_T_881, _T_868) @[lib.scala 119:74] + node _T_883 = xor(_T_882, _T_869) @[lib.scala 119:74] + node _T_884 = xor(_T_883, _T_870) @[lib.scala 119:74] + node _T_885 = xor(_T_884, _T_871) @[lib.scala 119:74] + node _T_886 = xor(_T_885, _T_872) @[lib.scala 119:74] + node _T_887 = xor(_T_886, _T_873) @[lib.scala 119:74] + node _T_888 = xor(_T_887, _T_874) @[lib.scala 119:74] + node _T_889 = xor(_T_888, _T_875) @[lib.scala 119:74] + node _T_890 = bits(dccm_wdata_lo_any, 11, 11) @[lib.scala 119:58] + node _T_891 = bits(dccm_wdata_lo_any, 12, 12) @[lib.scala 119:58] + node _T_892 = bits(dccm_wdata_lo_any, 13, 13) @[lib.scala 119:58] + node _T_893 = bits(dccm_wdata_lo_any, 14, 14) @[lib.scala 119:58] + node _T_894 = bits(dccm_wdata_lo_any, 15, 15) @[lib.scala 119:58] + node _T_895 = bits(dccm_wdata_lo_any, 16, 16) @[lib.scala 119:58] + node _T_896 = bits(dccm_wdata_lo_any, 17, 17) @[lib.scala 119:58] + node _T_897 = bits(dccm_wdata_lo_any, 18, 18) @[lib.scala 119:58] + node _T_898 = bits(dccm_wdata_lo_any, 19, 19) @[lib.scala 119:58] + node _T_899 = bits(dccm_wdata_lo_any, 20, 20) @[lib.scala 119:58] + node _T_900 = bits(dccm_wdata_lo_any, 21, 21) @[lib.scala 119:58] + node _T_901 = bits(dccm_wdata_lo_any, 22, 22) @[lib.scala 119:58] + node _T_902 = bits(dccm_wdata_lo_any, 23, 23) @[lib.scala 119:58] + node _T_903 = bits(dccm_wdata_lo_any, 24, 24) @[lib.scala 119:58] + node _T_904 = bits(dccm_wdata_lo_any, 25, 25) @[lib.scala 119:58] + node _T_905 = xor(_T_890, _T_891) @[lib.scala 119:74] + node _T_906 = xor(_T_905, _T_892) @[lib.scala 119:74] + node _T_907 = xor(_T_906, _T_893) @[lib.scala 119:74] + node _T_908 = xor(_T_907, _T_894) @[lib.scala 119:74] + node _T_909 = xor(_T_908, _T_895) @[lib.scala 119:74] + node _T_910 = xor(_T_909, _T_896) @[lib.scala 119:74] + node _T_911 = xor(_T_910, _T_897) @[lib.scala 119:74] + node _T_912 = xor(_T_911, _T_898) @[lib.scala 119:74] + node _T_913 = xor(_T_912, _T_899) @[lib.scala 119:74] + node _T_914 = xor(_T_913, _T_900) @[lib.scala 119:74] + node _T_915 = xor(_T_914, _T_901) @[lib.scala 119:74] + node _T_916 = xor(_T_915, _T_902) @[lib.scala 119:74] + node _T_917 = xor(_T_916, _T_903) @[lib.scala 119:74] + node _T_918 = xor(_T_917, _T_904) @[lib.scala 119:74] + node _T_919 = bits(dccm_wdata_lo_any, 26, 26) @[lib.scala 119:58] + node _T_920 = bits(dccm_wdata_lo_any, 27, 27) @[lib.scala 119:58] + node _T_921 = bits(dccm_wdata_lo_any, 28, 28) @[lib.scala 119:58] + node _T_922 = bits(dccm_wdata_lo_any, 29, 29) @[lib.scala 119:58] + node _T_923 = bits(dccm_wdata_lo_any, 30, 30) @[lib.scala 119:58] + node _T_924 = bits(dccm_wdata_lo_any, 31, 31) @[lib.scala 119:58] + node _T_925 = xor(_T_919, _T_920) @[lib.scala 119:74] + node _T_926 = xor(_T_925, _T_921) @[lib.scala 119:74] + node _T_927 = xor(_T_926, _T_922) @[lib.scala 119:74] + node _T_928 = xor(_T_927, _T_923) @[lib.scala 119:74] + node _T_929 = xor(_T_928, _T_924) @[lib.scala 119:74] + node _T_930 = cat(_T_860, _T_825) @[Cat.scala 29:58] + node _T_931 = cat(_T_930, _T_790) @[Cat.scala 29:58] + node _T_932 = cat(_T_929, _T_918) @[Cat.scala 29:58] + node _T_933 = cat(_T_932, _T_889) @[Cat.scala 29:58] + node _T_934 = cat(_T_933, _T_931) @[Cat.scala 29:58] + node _T_935 = xorr(dccm_wdata_lo_any) @[lib.scala 127:13] + node _T_936 = xorr(_T_934) @[lib.scala 127:23] + node _T_937 = xor(_T_935, _T_936) @[lib.scala 127:18] + node dccm_wdata_ecc_lo_any = cat(_T_937, _T_934) @[Cat.scala 29:58] + node _T_938 = bits(dccm_wdata_hi_any, 0, 0) @[lib.scala 119:58] + node _T_939 = bits(dccm_wdata_hi_any, 1, 1) @[lib.scala 119:58] + node _T_940 = bits(dccm_wdata_hi_any, 3, 3) @[lib.scala 119:58] + node _T_941 = bits(dccm_wdata_hi_any, 4, 4) @[lib.scala 119:58] + node _T_942 = bits(dccm_wdata_hi_any, 6, 6) @[lib.scala 119:58] + node _T_943 = bits(dccm_wdata_hi_any, 8, 8) @[lib.scala 119:58] + node _T_944 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 119:58] + node _T_945 = bits(dccm_wdata_hi_any, 11, 11) @[lib.scala 119:58] + node _T_946 = bits(dccm_wdata_hi_any, 13, 13) @[lib.scala 119:58] + node _T_947 = bits(dccm_wdata_hi_any, 15, 15) @[lib.scala 119:58] + node _T_948 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 119:58] + node _T_949 = bits(dccm_wdata_hi_any, 19, 19) @[lib.scala 119:58] + node _T_950 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 119:58] + node _T_951 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 119:58] + node _T_952 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_953 = bits(dccm_wdata_hi_any, 26, 26) @[lib.scala 119:58] + node _T_954 = bits(dccm_wdata_hi_any, 28, 28) @[lib.scala 119:58] + node _T_955 = bits(dccm_wdata_hi_any, 30, 30) @[lib.scala 119:58] + node _T_956 = xor(_T_938, _T_939) @[lib.scala 119:74] + node _T_957 = xor(_T_956, _T_940) @[lib.scala 119:74] + node _T_958 = xor(_T_957, _T_941) @[lib.scala 119:74] + node _T_959 = xor(_T_958, _T_942) @[lib.scala 119:74] + node _T_960 = xor(_T_959, _T_943) @[lib.scala 119:74] + node _T_961 = xor(_T_960, _T_944) @[lib.scala 119:74] + node _T_962 = xor(_T_961, _T_945) @[lib.scala 119:74] + node _T_963 = xor(_T_962, _T_946) @[lib.scala 119:74] + node _T_964 = xor(_T_963, _T_947) @[lib.scala 119:74] + node _T_965 = xor(_T_964, _T_948) @[lib.scala 119:74] + node _T_966 = xor(_T_965, _T_949) @[lib.scala 119:74] + node _T_967 = xor(_T_966, _T_950) @[lib.scala 119:74] + node _T_968 = xor(_T_967, _T_951) @[lib.scala 119:74] + node _T_969 = xor(_T_968, _T_952) @[lib.scala 119:74] + node _T_970 = xor(_T_969, _T_953) @[lib.scala 119:74] + node _T_971 = xor(_T_970, _T_954) @[lib.scala 119:74] + node _T_972 = xor(_T_971, _T_955) @[lib.scala 119:74] + node _T_973 = bits(dccm_wdata_hi_any, 0, 0) @[lib.scala 119:58] + node _T_974 = bits(dccm_wdata_hi_any, 2, 2) @[lib.scala 119:58] + node _T_975 = bits(dccm_wdata_hi_any, 3, 3) @[lib.scala 119:58] + node _T_976 = bits(dccm_wdata_hi_any, 5, 5) @[lib.scala 119:58] + node _T_977 = bits(dccm_wdata_hi_any, 6, 6) @[lib.scala 119:58] + node _T_978 = bits(dccm_wdata_hi_any, 9, 9) @[lib.scala 119:58] + node _T_979 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 119:58] + node _T_980 = bits(dccm_wdata_hi_any, 12, 12) @[lib.scala 119:58] + node _T_981 = bits(dccm_wdata_hi_any, 13, 13) @[lib.scala 119:58] + node _T_982 = bits(dccm_wdata_hi_any, 16, 16) @[lib.scala 119:58] + node _T_983 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 119:58] + node _T_984 = bits(dccm_wdata_hi_any, 20, 20) @[lib.scala 119:58] + node _T_985 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 119:58] + node _T_986 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 119:58] + node _T_987 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_988 = bits(dccm_wdata_hi_any, 27, 27) @[lib.scala 119:58] + node _T_989 = bits(dccm_wdata_hi_any, 28, 28) @[lib.scala 119:58] + node _T_990 = bits(dccm_wdata_hi_any, 31, 31) @[lib.scala 119:58] + node _T_991 = xor(_T_973, _T_974) @[lib.scala 119:74] + node _T_992 = xor(_T_991, _T_975) @[lib.scala 119:74] + node _T_993 = xor(_T_992, _T_976) @[lib.scala 119:74] + node _T_994 = xor(_T_993, _T_977) @[lib.scala 119:74] + node _T_995 = xor(_T_994, _T_978) @[lib.scala 119:74] + node _T_996 = xor(_T_995, _T_979) @[lib.scala 119:74] + node _T_997 = xor(_T_996, _T_980) @[lib.scala 119:74] + node _T_998 = xor(_T_997, _T_981) @[lib.scala 119:74] + node _T_999 = xor(_T_998, _T_982) @[lib.scala 119:74] + node _T_1000 = xor(_T_999, _T_983) @[lib.scala 119:74] + node _T_1001 = xor(_T_1000, _T_984) @[lib.scala 119:74] + node _T_1002 = xor(_T_1001, _T_985) @[lib.scala 119:74] + node _T_1003 = xor(_T_1002, _T_986) @[lib.scala 119:74] + node _T_1004 = xor(_T_1003, _T_987) @[lib.scala 119:74] + node _T_1005 = xor(_T_1004, _T_988) @[lib.scala 119:74] + node _T_1006 = xor(_T_1005, _T_989) @[lib.scala 119:74] + node _T_1007 = xor(_T_1006, _T_990) @[lib.scala 119:74] + node _T_1008 = bits(dccm_wdata_hi_any, 1, 1) @[lib.scala 119:58] + node _T_1009 = bits(dccm_wdata_hi_any, 2, 2) @[lib.scala 119:58] + node _T_1010 = bits(dccm_wdata_hi_any, 3, 3) @[lib.scala 119:58] + node _T_1011 = bits(dccm_wdata_hi_any, 7, 7) @[lib.scala 119:58] + node _T_1012 = bits(dccm_wdata_hi_any, 8, 8) @[lib.scala 119:58] + node _T_1013 = bits(dccm_wdata_hi_any, 9, 9) @[lib.scala 119:58] + node _T_1014 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 119:58] + node _T_1015 = bits(dccm_wdata_hi_any, 14, 14) @[lib.scala 119:58] + node _T_1016 = bits(dccm_wdata_hi_any, 15, 15) @[lib.scala 119:58] + node _T_1017 = bits(dccm_wdata_hi_any, 16, 16) @[lib.scala 119:58] + node _T_1018 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 119:58] + node _T_1019 = bits(dccm_wdata_hi_any, 22, 22) @[lib.scala 119:58] + node _T_1020 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 119:58] + node _T_1021 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 119:58] + node _T_1022 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_1023 = bits(dccm_wdata_hi_any, 29, 29) @[lib.scala 119:58] + node _T_1024 = bits(dccm_wdata_hi_any, 30, 30) @[lib.scala 119:58] + node _T_1025 = bits(dccm_wdata_hi_any, 31, 31) @[lib.scala 119:58] + node _T_1026 = xor(_T_1008, _T_1009) @[lib.scala 119:74] + node _T_1027 = xor(_T_1026, _T_1010) @[lib.scala 119:74] + node _T_1028 = xor(_T_1027, _T_1011) @[lib.scala 119:74] + node _T_1029 = xor(_T_1028, _T_1012) @[lib.scala 119:74] + node _T_1030 = xor(_T_1029, _T_1013) @[lib.scala 119:74] + node _T_1031 = xor(_T_1030, _T_1014) @[lib.scala 119:74] + node _T_1032 = xor(_T_1031, _T_1015) @[lib.scala 119:74] + node _T_1033 = xor(_T_1032, _T_1016) @[lib.scala 119:74] + node _T_1034 = xor(_T_1033, _T_1017) @[lib.scala 119:74] + node _T_1035 = xor(_T_1034, _T_1018) @[lib.scala 119:74] + node _T_1036 = xor(_T_1035, _T_1019) @[lib.scala 119:74] + node _T_1037 = xor(_T_1036, _T_1020) @[lib.scala 119:74] + node _T_1038 = xor(_T_1037, _T_1021) @[lib.scala 119:74] + node _T_1039 = xor(_T_1038, _T_1022) @[lib.scala 119:74] + node _T_1040 = xor(_T_1039, _T_1023) @[lib.scala 119:74] + node _T_1041 = xor(_T_1040, _T_1024) @[lib.scala 119:74] + node _T_1042 = xor(_T_1041, _T_1025) @[lib.scala 119:74] + node _T_1043 = bits(dccm_wdata_hi_any, 4, 4) @[lib.scala 119:58] + node _T_1044 = bits(dccm_wdata_hi_any, 5, 5) @[lib.scala 119:58] + node _T_1045 = bits(dccm_wdata_hi_any, 6, 6) @[lib.scala 119:58] + node _T_1046 = bits(dccm_wdata_hi_any, 7, 7) @[lib.scala 119:58] + node _T_1047 = bits(dccm_wdata_hi_any, 8, 8) @[lib.scala 119:58] + node _T_1048 = bits(dccm_wdata_hi_any, 9, 9) @[lib.scala 119:58] + node _T_1049 = bits(dccm_wdata_hi_any, 10, 10) @[lib.scala 119:58] + node _T_1050 = bits(dccm_wdata_hi_any, 18, 18) @[lib.scala 119:58] + node _T_1051 = bits(dccm_wdata_hi_any, 19, 19) @[lib.scala 119:58] + node _T_1052 = bits(dccm_wdata_hi_any, 20, 20) @[lib.scala 119:58] + node _T_1053 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 119:58] + node _T_1054 = bits(dccm_wdata_hi_any, 22, 22) @[lib.scala 119:58] + node _T_1055 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 119:58] + node _T_1056 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 119:58] + node _T_1057 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_1058 = xor(_T_1043, _T_1044) @[lib.scala 119:74] + node _T_1059 = xor(_T_1058, _T_1045) @[lib.scala 119:74] + node _T_1060 = xor(_T_1059, _T_1046) @[lib.scala 119:74] + node _T_1061 = xor(_T_1060, _T_1047) @[lib.scala 119:74] + node _T_1062 = xor(_T_1061, _T_1048) @[lib.scala 119:74] + node _T_1063 = xor(_T_1062, _T_1049) @[lib.scala 119:74] + node _T_1064 = xor(_T_1063, _T_1050) @[lib.scala 119:74] + node _T_1065 = xor(_T_1064, _T_1051) @[lib.scala 119:74] + node _T_1066 = xor(_T_1065, _T_1052) @[lib.scala 119:74] + node _T_1067 = xor(_T_1066, _T_1053) @[lib.scala 119:74] + node _T_1068 = xor(_T_1067, _T_1054) @[lib.scala 119:74] + node _T_1069 = xor(_T_1068, _T_1055) @[lib.scala 119:74] + node _T_1070 = xor(_T_1069, _T_1056) @[lib.scala 119:74] + node _T_1071 = xor(_T_1070, _T_1057) @[lib.scala 119:74] + node _T_1072 = bits(dccm_wdata_hi_any, 11, 11) @[lib.scala 119:58] + node _T_1073 = bits(dccm_wdata_hi_any, 12, 12) @[lib.scala 119:58] + node _T_1074 = bits(dccm_wdata_hi_any, 13, 13) @[lib.scala 119:58] + node _T_1075 = bits(dccm_wdata_hi_any, 14, 14) @[lib.scala 119:58] + node _T_1076 = bits(dccm_wdata_hi_any, 15, 15) @[lib.scala 119:58] + node _T_1077 = bits(dccm_wdata_hi_any, 16, 16) @[lib.scala 119:58] + node _T_1078 = bits(dccm_wdata_hi_any, 17, 17) @[lib.scala 119:58] + node _T_1079 = bits(dccm_wdata_hi_any, 18, 18) @[lib.scala 119:58] + node _T_1080 = bits(dccm_wdata_hi_any, 19, 19) @[lib.scala 119:58] + node _T_1081 = bits(dccm_wdata_hi_any, 20, 20) @[lib.scala 119:58] + node _T_1082 = bits(dccm_wdata_hi_any, 21, 21) @[lib.scala 119:58] + node _T_1083 = bits(dccm_wdata_hi_any, 22, 22) @[lib.scala 119:58] + node _T_1084 = bits(dccm_wdata_hi_any, 23, 23) @[lib.scala 119:58] + node _T_1085 = bits(dccm_wdata_hi_any, 24, 24) @[lib.scala 119:58] + node _T_1086 = bits(dccm_wdata_hi_any, 25, 25) @[lib.scala 119:58] + node _T_1087 = xor(_T_1072, _T_1073) @[lib.scala 119:74] + node _T_1088 = xor(_T_1087, _T_1074) @[lib.scala 119:74] + node _T_1089 = xor(_T_1088, _T_1075) @[lib.scala 119:74] + node _T_1090 = xor(_T_1089, _T_1076) @[lib.scala 119:74] + node _T_1091 = xor(_T_1090, _T_1077) @[lib.scala 119:74] + node _T_1092 = xor(_T_1091, _T_1078) @[lib.scala 119:74] + node _T_1093 = xor(_T_1092, _T_1079) @[lib.scala 119:74] + node _T_1094 = xor(_T_1093, _T_1080) @[lib.scala 119:74] + node _T_1095 = xor(_T_1094, _T_1081) @[lib.scala 119:74] + node _T_1096 = xor(_T_1095, _T_1082) @[lib.scala 119:74] + node _T_1097 = xor(_T_1096, _T_1083) @[lib.scala 119:74] + node _T_1098 = xor(_T_1097, _T_1084) @[lib.scala 119:74] + node _T_1099 = xor(_T_1098, _T_1085) @[lib.scala 119:74] + node _T_1100 = xor(_T_1099, _T_1086) @[lib.scala 119:74] + node _T_1101 = bits(dccm_wdata_hi_any, 26, 26) @[lib.scala 119:58] + node _T_1102 = bits(dccm_wdata_hi_any, 27, 27) @[lib.scala 119:58] + node _T_1103 = bits(dccm_wdata_hi_any, 28, 28) @[lib.scala 119:58] + node _T_1104 = bits(dccm_wdata_hi_any, 29, 29) @[lib.scala 119:58] + node _T_1105 = bits(dccm_wdata_hi_any, 30, 30) @[lib.scala 119:58] + node _T_1106 = bits(dccm_wdata_hi_any, 31, 31) @[lib.scala 119:58] + node _T_1107 = xor(_T_1101, _T_1102) @[lib.scala 119:74] + node _T_1108 = xor(_T_1107, _T_1103) @[lib.scala 119:74] + node _T_1109 = xor(_T_1108, _T_1104) @[lib.scala 119:74] + node _T_1110 = xor(_T_1109, _T_1105) @[lib.scala 119:74] + node _T_1111 = xor(_T_1110, _T_1106) @[lib.scala 119:74] + node _T_1112 = cat(_T_1042, _T_1007) @[Cat.scala 29:58] + node _T_1113 = cat(_T_1112, _T_972) @[Cat.scala 29:58] + node _T_1114 = cat(_T_1111, _T_1100) @[Cat.scala 29:58] + node _T_1115 = cat(_T_1114, _T_1071) @[Cat.scala 29:58] + node _T_1116 = cat(_T_1115, _T_1113) @[Cat.scala 29:58] + node _T_1117 = xorr(dccm_wdata_hi_any) @[lib.scala 127:13] + node _T_1118 = xorr(_T_1116) @[lib.scala 127:23] + node _T_1119 = xor(_T_1117, _T_1118) @[lib.scala 127:18] + node dccm_wdata_ecc_hi_any = cat(_T_1119, _T_1116) @[Cat.scala 29:58] + when UInt<1>("h00") : @[lsu_ecc.scala 103:30] + node _T_1120 = bits(io.lsu_addr_r, 2, 2) @[lsu_ecc.scala 104:33] + node _T_1121 = bits(io.end_addr_r, 2, 2) @[lsu_ecc.scala 104:54] + node _T_1122 = neq(_T_1120, _T_1121) @[lsu_ecc.scala 104:37] + ldst_dual_r <= _T_1122 @[lsu_ecc.scala 104:17] + node _T_1123 = or(io.lsu_pkt_r.bits.load, io.lsu_pkt_r.bits.store) @[lsu_ecc.scala 105:63] + node _T_1124 = and(io.lsu_pkt_r.valid, _T_1123) @[lsu_ecc.scala 105:37] + node _T_1125 = and(_T_1124, io.addr_in_dccm_r) @[lsu_ecc.scala 105:90] + node _T_1126 = and(_T_1125, io.lsu_dccm_rden_r) @[lsu_ecc.scala 105:110] + is_ldst_r <= _T_1126 @[lsu_ecc.scala 105:15] + node _T_1127 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 106:33] + node _T_1128 = and(is_ldst_r, _T_1127) @[lsu_ecc.scala 106:31] + is_ldst_lo_r <= _T_1128 @[lsu_ecc.scala 106:18] + node _T_1129 = or(ldst_dual_r, io.lsu_pkt_r.bits.dma) @[lsu_ecc.scala 107:46] + node _T_1130 = and(is_ldst_r, _T_1129) @[lsu_ecc.scala 107:31] + node _T_1131 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 107:73] + node _T_1132 = and(_T_1130, _T_1131) @[lsu_ecc.scala 107:71] + is_ldst_hi_r <= _T_1132 @[lsu_ecc.scala 107:18] + is_ldst_hi_any <= is_ldst_hi_r @[lsu_ecc.scala 108:21] + dccm_rdata_hi_any <= io.dccm_rdata_hi_r @[lsu_ecc.scala 109:24] + dccm_data_ecc_hi_any <= io.dccm_data_ecc_hi_r @[lsu_ecc.scala 110:26] + is_ldst_lo_any <= is_ldst_lo_r @[lsu_ecc.scala 111:20] + dccm_rdata_lo_any <= io.dccm_rdata_lo_r @[lsu_ecc.scala 112:25] + dccm_data_ecc_lo_any <= io.dccm_data_ecc_lo_r @[lsu_ecc.scala 113:26] + io.sec_data_hi_r <= sec_data_hi_any @[lsu_ecc.scala 114:22] + io.single_ecc_error_hi_r <= single_ecc_error_hi_any @[lsu_ecc.scala 115:31] + double_ecc_error_hi_r <= double_ecc_error_hi_any @[lsu_ecc.scala 116:28] + io.sec_data_lo_r <= sec_data_lo_any @[lsu_ecc.scala 117:25] + io.single_ecc_error_lo_r <= single_ecc_error_lo_any @[lsu_ecc.scala 118:31] + double_ecc_error_lo_r <= double_ecc_error_lo_any @[lsu_ecc.scala 119:28] + node _T_1133 = or(io.single_ecc_error_hi_r, io.single_ecc_error_lo_r) @[lsu_ecc.scala 120:59] + io.lsu_single_ecc_error_r <= _T_1133 @[lsu_ecc.scala 120:31] + node _T_1134 = or(double_ecc_error_hi_r, double_ecc_error_lo_r) @[lsu_ecc.scala 121:56] + io.lsu_double_ecc_error_r <= _T_1134 @[lsu_ecc.scala 121:31] + skip @[lsu_ecc.scala 103:30] + else : @[lsu_ecc.scala 123:16] + node _T_1135 = bits(io.lsu_addr_m, 2, 2) @[lsu_ecc.scala 124:35] + node _T_1136 = bits(io.end_addr_m, 2, 2) @[lsu_ecc.scala 124:56] + node _T_1137 = neq(_T_1135, _T_1136) @[lsu_ecc.scala 124:39] + ldst_dual_m <= _T_1137 @[lsu_ecc.scala 124:19] + node _T_1138 = or(io.lsu_pkt_m.bits.load, io.lsu_pkt_m.bits.store) @[lsu_ecc.scala 125:65] + node _T_1139 = and(io.lsu_pkt_m.valid, _T_1138) @[lsu_ecc.scala 125:39] + node _T_1140 = and(_T_1139, io.addr_in_dccm_m) @[lsu_ecc.scala 125:92] + node _T_1141 = and(_T_1140, io.lsu_dccm_rden_m) @[lsu_ecc.scala 125:112] + is_ldst_m <= _T_1141 @[lsu_ecc.scala 125:17] + node _T_1142 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 126:35] + node _T_1143 = and(is_ldst_m, _T_1142) @[lsu_ecc.scala 126:33] + is_ldst_lo_m <= _T_1143 @[lsu_ecc.scala 126:20] + node _T_1144 = or(ldst_dual_m, io.lsu_pkt_m.bits.dma) @[lsu_ecc.scala 127:48] + node _T_1145 = and(is_ldst_m, _T_1144) @[lsu_ecc.scala 127:33] + node _T_1146 = eq(io.dec_tlu_core_ecc_disable, UInt<1>("h00")) @[lsu_ecc.scala 127:75] + node _T_1147 = and(_T_1145, _T_1146) @[lsu_ecc.scala 127:73] + is_ldst_hi_m <= _T_1147 @[lsu_ecc.scala 127:20] + is_ldst_hi_any <= is_ldst_hi_m @[lsu_ecc.scala 128:23] + dccm_rdata_hi_any <= io.dccm_rdata_hi_m @[lsu_ecc.scala 129:26] + dccm_data_ecc_hi_any <= io.dccm_data_ecc_hi_m @[lsu_ecc.scala 130:28] + is_ldst_lo_any <= is_ldst_lo_m @[lsu_ecc.scala 131:22] + dccm_rdata_lo_any <= io.dccm_rdata_lo_m @[lsu_ecc.scala 132:27] + dccm_data_ecc_lo_any <= io.dccm_data_ecc_lo_m @[lsu_ecc.scala 133:28] + io.sec_data_hi_m <= sec_data_hi_any @[lsu_ecc.scala 134:27] + double_ecc_error_hi_m <= double_ecc_error_hi_any @[lsu_ecc.scala 135:30] + io.sec_data_lo_m <= sec_data_lo_any @[lsu_ecc.scala 136:27] + double_ecc_error_lo_m <= double_ecc_error_lo_any @[lsu_ecc.scala 137:30] + node _T_1148 = or(single_ecc_error_hi_any, single_ecc_error_lo_any) @[lsu_ecc.scala 138:60] + io.lsu_single_ecc_error_m <= _T_1148 @[lsu_ecc.scala 138:33] + node _T_1149 = or(double_ecc_error_hi_m, double_ecc_error_lo_m) @[lsu_ecc.scala 139:58] + io.lsu_double_ecc_error_m <= _T_1149 @[lsu_ecc.scala 139:33] + reg _T_1150 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 141:72] + _T_1150 <= io.lsu_single_ecc_error_m @[lsu_ecc.scala 141:72] + io.lsu_single_ecc_error_r <= _T_1150 @[lsu_ecc.scala 141:62] + reg _T_1151 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 142:72] + _T_1151 <= io.lsu_double_ecc_error_m @[lsu_ecc.scala 142:72] + io.lsu_double_ecc_error_r <= _T_1151 @[lsu_ecc.scala 142:62] + reg _T_1152 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 143:72] + _T_1152 <= single_ecc_error_lo_any @[lsu_ecc.scala 143:72] + io.single_ecc_error_lo_r <= _T_1152 @[lsu_ecc.scala 143:62] + reg _T_1153 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 144:72] + _T_1153 <= single_ecc_error_hi_any @[lsu_ecc.scala 144:72] + io.single_ecc_error_hi_r <= _T_1153 @[lsu_ecc.scala 144:62] + reg _T_1154 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 145:72] + _T_1154 <= io.sec_data_hi_m @[lsu_ecc.scala 145:72] + io.sec_data_hi_r <= _T_1154 @[lsu_ecc.scala 145:62] + reg _T_1155 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_ecc.scala 146:72] + _T_1155 <= io.sec_data_lo_m @[lsu_ecc.scala 146:72] + io.sec_data_lo_r <= _T_1155 @[lsu_ecc.scala 146:62] + skip @[lsu_ecc.scala 123:16] + node _T_1156 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_ecc.scala 149:56] + node _T_1157 = bits(io.dma_dccm_wen, 0, 0) @[lsu_ecc.scala 149:104] + node _T_1158 = mux(_T_1157, io.dma_dccm_wdata_lo, io.stbuf_data_any) @[lsu_ecc.scala 149:87] + node _T_1159 = mux(_T_1156, io.sec_data_lo_r_ff, _T_1158) @[lsu_ecc.scala 149:27] + dccm_wdata_lo_any <= _T_1159 @[lsu_ecc.scala 149:21] + node _T_1160 = bits(io.ld_single_ecc_error_r_ff, 0, 0) @[lsu_ecc.scala 150:56] + node _T_1161 = bits(io.dma_dccm_wen, 0, 0) @[lsu_ecc.scala 150:104] + node _T_1162 = mux(_T_1161, io.dma_dccm_wdata_hi, io.stbuf_data_any) @[lsu_ecc.scala 150:87] + node _T_1163 = mux(_T_1160, io.sec_data_hi_r_ff, _T_1162) @[lsu_ecc.scala 150:27] + dccm_wdata_hi_any <= _T_1163 @[lsu_ecc.scala 150:21] + io.sec_data_ecc_hi_r_ff <= dccm_wdata_ecc_hi_any @[lsu_ecc.scala 151:28] + io.sec_data_ecc_lo_r_ff <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 152:28] + io.stbuf_ecc_any <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 153:28] + io.dma_dccm_wdata_ecc_hi <= dccm_wdata_ecc_hi_any @[lsu_ecc.scala 154:28] + io.dma_dccm_wdata_ecc_lo <= dccm_wdata_ecc_lo_any @[lsu_ecc.scala 155:28] + inst rvclkhdr of rvclkhdr_10 @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= io.ld_single_ecc_error_r @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1164 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1164 <= io.sec_data_hi_r @[lib.scala 374:16] + io.sec_data_hi_r_ff <= _T_1164 @[lsu_ecc.scala 157:23] + inst rvclkhdr_1 of rvclkhdr_11 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= io.ld_single_ecc_error_r @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1165 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1165 <= io.sec_data_lo_r @[lib.scala 374:16] + io.sec_data_lo_r_ff <= _T_1165 @[lsu_ecc.scala 158:23] + + module lsu_trigger : + input clock : Clock + input reset : AsyncReset + output io : {flip trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_m : UInt<32>, flip store_data_m : UInt<32>, lsu_trigger_match_m : UInt<4>} + + node _T = bits(io.lsu_pkt_m.bits.word, 0, 0) @[Bitwise.scala 72:15] + node _T_1 = mux(_T, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_2 = bits(io.store_data_m, 31, 16) @[lsu_trigger.scala 16:83] + node _T_3 = and(_T_1, _T_2) @[lsu_trigger.scala 16:66] + node _T_4 = or(io.lsu_pkt_m.bits.half, io.lsu_pkt_m.bits.word) @[lsu_trigger.scala 16:124] + node _T_5 = bits(_T_4, 0, 0) @[Bitwise.scala 72:15] + node _T_6 = mux(_T_5, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_7 = bits(io.store_data_m, 15, 8) @[lsu_trigger.scala 16:168] + node _T_8 = and(_T_6, _T_7) @[lsu_trigger.scala 16:151] + node _T_9 = bits(io.store_data_m, 7, 0) @[lsu_trigger.scala 16:192] + node _T_10 = cat(_T_3, _T_8) @[Cat.scala 29:58] + node store_data_trigger_m = cat(_T_10, _T_9) @[Cat.scala 29:58] + node _T_11 = bits(io.trigger_pkt_any[0].select, 0, 0) @[lsu_trigger.scala 17:83] + node _T_12 = eq(_T_11, UInt<1>("h00")) @[lsu_trigger.scala 17:53] + node _T_13 = and(io.trigger_pkt_any[0].select, io.trigger_pkt_any[0].store) @[lsu_trigger.scala 17:136] + node _T_14 = bits(_T_13, 0, 0) @[lsu_trigger.scala 17:167] + node _T_15 = mux(_T_12, io.lsu_addr_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_16 = mux(_T_14, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_17 = or(_T_15, _T_16) @[Mux.scala 27:72] + wire lsu_match_data_0 : UInt<32> @[Mux.scala 27:72] + lsu_match_data_0 <= _T_17 @[Mux.scala 27:72] + node _T_18 = bits(io.trigger_pkt_any[1].select, 0, 0) @[lsu_trigger.scala 17:83] + node _T_19 = eq(_T_18, UInt<1>("h00")) @[lsu_trigger.scala 17:53] + node _T_20 = and(io.trigger_pkt_any[1].select, io.trigger_pkt_any[1].store) @[lsu_trigger.scala 17:136] + node _T_21 = bits(_T_20, 0, 0) @[lsu_trigger.scala 17:167] + node _T_22 = mux(_T_19, io.lsu_addr_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_23 = mux(_T_21, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_24 = or(_T_22, _T_23) @[Mux.scala 27:72] + wire lsu_match_data_1 : UInt<32> @[Mux.scala 27:72] + lsu_match_data_1 <= _T_24 @[Mux.scala 27:72] + node _T_25 = bits(io.trigger_pkt_any[2].select, 0, 0) @[lsu_trigger.scala 17:83] + node _T_26 = eq(_T_25, UInt<1>("h00")) @[lsu_trigger.scala 17:53] + node _T_27 = and(io.trigger_pkt_any[2].select, io.trigger_pkt_any[2].store) @[lsu_trigger.scala 17:136] + node _T_28 = bits(_T_27, 0, 0) @[lsu_trigger.scala 17:167] + node _T_29 = mux(_T_26, io.lsu_addr_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_30 = mux(_T_28, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_31 = or(_T_29, _T_30) @[Mux.scala 27:72] + wire lsu_match_data_2 : UInt<32> @[Mux.scala 27:72] + lsu_match_data_2 <= _T_31 @[Mux.scala 27:72] + node _T_32 = bits(io.trigger_pkt_any[3].select, 0, 0) @[lsu_trigger.scala 17:83] + node _T_33 = eq(_T_32, UInt<1>("h00")) @[lsu_trigger.scala 17:53] + node _T_34 = and(io.trigger_pkt_any[3].select, io.trigger_pkt_any[3].store) @[lsu_trigger.scala 17:136] + node _T_35 = bits(_T_34, 0, 0) @[lsu_trigger.scala 17:167] + node _T_36 = mux(_T_33, io.lsu_addr_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_37 = mux(_T_35, store_data_trigger_m, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_38 = or(_T_36, _T_37) @[Mux.scala 27:72] + wire lsu_match_data_3 : UInt<32> @[Mux.scala 27:72] + lsu_match_data_3 <= _T_38 @[Mux.scala 27:72] + node _T_39 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] + node _T_40 = and(io.lsu_pkt_m.valid, _T_39) @[lsu_trigger.scala 18:69] + node _T_41 = and(io.trigger_pkt_any[0].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] + node _T_42 = and(io.trigger_pkt_any[0].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] + node _T_43 = eq(io.trigger_pkt_any[0].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] + node _T_44 = and(_T_42, _T_43) @[lsu_trigger.scala 19:58] + node _T_45 = or(_T_41, _T_44) @[lsu_trigger.scala 18:152] + node _T_46 = and(_T_40, _T_45) @[lsu_trigger.scala 18:94] + node _T_47 = bits(io.trigger_pkt_any[0].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] + wire _T_48 : UInt<1>[32] @[lib.scala 100:24] + node _T_49 = andr(io.trigger_pkt_any[0].tdata2) @[lib.scala 101:45] + node _T_50 = not(_T_49) @[lib.scala 101:39] + node _T_51 = and(_T_47, _T_50) @[lib.scala 101:37] + node _T_52 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[lib.scala 102:48] + node _T_53 = bits(lsu_match_data_0, 0, 0) @[lib.scala 102:60] + node _T_54 = eq(_T_52, _T_53) @[lib.scala 102:52] + node _T_55 = or(_T_51, _T_54) @[lib.scala 102:41] + _T_48[0] <= _T_55 @[lib.scala 102:18] + node _T_56 = bits(io.trigger_pkt_any[0].tdata2, 0, 0) @[lib.scala 104:28] + node _T_57 = andr(_T_56) @[lib.scala 104:36] + node _T_58 = and(_T_57, _T_51) @[lib.scala 104:41] + node _T_59 = bits(io.trigger_pkt_any[0].tdata2, 1, 1) @[lib.scala 104:74] + node _T_60 = bits(lsu_match_data_0, 1, 1) @[lib.scala 104:86] + node _T_61 = eq(_T_59, _T_60) @[lib.scala 104:78] + node _T_62 = mux(_T_58, UInt<1>("h01"), _T_61) @[lib.scala 104:23] + _T_48[1] <= _T_62 @[lib.scala 104:17] + node _T_63 = bits(io.trigger_pkt_any[0].tdata2, 1, 0) @[lib.scala 104:28] + node _T_64 = andr(_T_63) @[lib.scala 104:36] + node _T_65 = and(_T_64, _T_51) @[lib.scala 104:41] + node _T_66 = bits(io.trigger_pkt_any[0].tdata2, 2, 2) @[lib.scala 104:74] + node _T_67 = bits(lsu_match_data_0, 2, 2) @[lib.scala 104:86] + node _T_68 = eq(_T_66, _T_67) @[lib.scala 104:78] + node _T_69 = mux(_T_65, UInt<1>("h01"), _T_68) @[lib.scala 104:23] + _T_48[2] <= _T_69 @[lib.scala 104:17] + node _T_70 = bits(io.trigger_pkt_any[0].tdata2, 2, 0) @[lib.scala 104:28] + node _T_71 = andr(_T_70) @[lib.scala 104:36] + node _T_72 = and(_T_71, _T_51) @[lib.scala 104:41] + node _T_73 = bits(io.trigger_pkt_any[0].tdata2, 3, 3) @[lib.scala 104:74] + node _T_74 = bits(lsu_match_data_0, 3, 3) @[lib.scala 104:86] + node _T_75 = eq(_T_73, _T_74) @[lib.scala 104:78] + node _T_76 = mux(_T_72, UInt<1>("h01"), _T_75) @[lib.scala 104:23] + _T_48[3] <= _T_76 @[lib.scala 104:17] + node _T_77 = bits(io.trigger_pkt_any[0].tdata2, 3, 0) @[lib.scala 104:28] + node _T_78 = andr(_T_77) @[lib.scala 104:36] + node _T_79 = and(_T_78, _T_51) @[lib.scala 104:41] + node _T_80 = bits(io.trigger_pkt_any[0].tdata2, 4, 4) @[lib.scala 104:74] + node _T_81 = bits(lsu_match_data_0, 4, 4) @[lib.scala 104:86] + node _T_82 = eq(_T_80, _T_81) @[lib.scala 104:78] + node _T_83 = mux(_T_79, UInt<1>("h01"), _T_82) @[lib.scala 104:23] + _T_48[4] <= _T_83 @[lib.scala 104:17] + node _T_84 = bits(io.trigger_pkt_any[0].tdata2, 4, 0) @[lib.scala 104:28] + node _T_85 = andr(_T_84) @[lib.scala 104:36] + node _T_86 = and(_T_85, _T_51) @[lib.scala 104:41] + node _T_87 = bits(io.trigger_pkt_any[0].tdata2, 5, 5) @[lib.scala 104:74] + node _T_88 = bits(lsu_match_data_0, 5, 5) @[lib.scala 104:86] + node _T_89 = eq(_T_87, _T_88) @[lib.scala 104:78] + node _T_90 = mux(_T_86, UInt<1>("h01"), _T_89) @[lib.scala 104:23] + _T_48[5] <= _T_90 @[lib.scala 104:17] + node _T_91 = bits(io.trigger_pkt_any[0].tdata2, 5, 0) @[lib.scala 104:28] + node _T_92 = andr(_T_91) @[lib.scala 104:36] + node _T_93 = and(_T_92, _T_51) @[lib.scala 104:41] + node _T_94 = bits(io.trigger_pkt_any[0].tdata2, 6, 6) @[lib.scala 104:74] + node _T_95 = bits(lsu_match_data_0, 6, 6) @[lib.scala 104:86] + node _T_96 = eq(_T_94, _T_95) @[lib.scala 104:78] + node _T_97 = mux(_T_93, UInt<1>("h01"), _T_96) @[lib.scala 104:23] + _T_48[6] <= _T_97 @[lib.scala 104:17] + node _T_98 = bits(io.trigger_pkt_any[0].tdata2, 6, 0) @[lib.scala 104:28] + node _T_99 = andr(_T_98) @[lib.scala 104:36] + node _T_100 = and(_T_99, _T_51) @[lib.scala 104:41] + node _T_101 = bits(io.trigger_pkt_any[0].tdata2, 7, 7) @[lib.scala 104:74] + node _T_102 = bits(lsu_match_data_0, 7, 7) @[lib.scala 104:86] + node _T_103 = eq(_T_101, _T_102) @[lib.scala 104:78] + node _T_104 = mux(_T_100, UInt<1>("h01"), _T_103) @[lib.scala 104:23] + _T_48[7] <= _T_104 @[lib.scala 104:17] + node _T_105 = bits(io.trigger_pkt_any[0].tdata2, 7, 0) @[lib.scala 104:28] + node _T_106 = andr(_T_105) @[lib.scala 104:36] + node _T_107 = and(_T_106, _T_51) @[lib.scala 104:41] + node _T_108 = bits(io.trigger_pkt_any[0].tdata2, 8, 8) @[lib.scala 104:74] + node _T_109 = bits(lsu_match_data_0, 8, 8) @[lib.scala 104:86] + node _T_110 = eq(_T_108, _T_109) @[lib.scala 104:78] + node _T_111 = mux(_T_107, UInt<1>("h01"), _T_110) @[lib.scala 104:23] + _T_48[8] <= _T_111 @[lib.scala 104:17] + node _T_112 = bits(io.trigger_pkt_any[0].tdata2, 8, 0) @[lib.scala 104:28] + node _T_113 = andr(_T_112) @[lib.scala 104:36] + node _T_114 = and(_T_113, _T_51) @[lib.scala 104:41] + node _T_115 = bits(io.trigger_pkt_any[0].tdata2, 9, 9) @[lib.scala 104:74] + node _T_116 = bits(lsu_match_data_0, 9, 9) @[lib.scala 104:86] + node _T_117 = eq(_T_115, _T_116) @[lib.scala 104:78] + node _T_118 = mux(_T_114, UInt<1>("h01"), _T_117) @[lib.scala 104:23] + _T_48[9] <= _T_118 @[lib.scala 104:17] + node _T_119 = bits(io.trigger_pkt_any[0].tdata2, 9, 0) @[lib.scala 104:28] + node _T_120 = andr(_T_119) @[lib.scala 104:36] + node _T_121 = and(_T_120, _T_51) @[lib.scala 104:41] + node _T_122 = bits(io.trigger_pkt_any[0].tdata2, 10, 10) @[lib.scala 104:74] + node _T_123 = bits(lsu_match_data_0, 10, 10) @[lib.scala 104:86] + node _T_124 = eq(_T_122, _T_123) @[lib.scala 104:78] + node _T_125 = mux(_T_121, UInt<1>("h01"), _T_124) @[lib.scala 104:23] + _T_48[10] <= _T_125 @[lib.scala 104:17] + node _T_126 = bits(io.trigger_pkt_any[0].tdata2, 10, 0) @[lib.scala 104:28] + node _T_127 = andr(_T_126) @[lib.scala 104:36] + node _T_128 = and(_T_127, _T_51) @[lib.scala 104:41] + node _T_129 = bits(io.trigger_pkt_any[0].tdata2, 11, 11) @[lib.scala 104:74] + node _T_130 = bits(lsu_match_data_0, 11, 11) @[lib.scala 104:86] + node _T_131 = eq(_T_129, _T_130) @[lib.scala 104:78] + node _T_132 = mux(_T_128, UInt<1>("h01"), _T_131) @[lib.scala 104:23] + _T_48[11] <= _T_132 @[lib.scala 104:17] + node _T_133 = bits(io.trigger_pkt_any[0].tdata2, 11, 0) @[lib.scala 104:28] + node _T_134 = andr(_T_133) @[lib.scala 104:36] + node _T_135 = and(_T_134, _T_51) @[lib.scala 104:41] + node _T_136 = bits(io.trigger_pkt_any[0].tdata2, 12, 12) @[lib.scala 104:74] + node _T_137 = bits(lsu_match_data_0, 12, 12) @[lib.scala 104:86] + node _T_138 = eq(_T_136, _T_137) @[lib.scala 104:78] + node _T_139 = mux(_T_135, UInt<1>("h01"), _T_138) @[lib.scala 104:23] + _T_48[12] <= _T_139 @[lib.scala 104:17] + node _T_140 = bits(io.trigger_pkt_any[0].tdata2, 12, 0) @[lib.scala 104:28] + node _T_141 = andr(_T_140) @[lib.scala 104:36] + node _T_142 = and(_T_141, _T_51) @[lib.scala 104:41] + node _T_143 = bits(io.trigger_pkt_any[0].tdata2, 13, 13) @[lib.scala 104:74] + node _T_144 = bits(lsu_match_data_0, 13, 13) @[lib.scala 104:86] + node _T_145 = eq(_T_143, _T_144) @[lib.scala 104:78] + node _T_146 = mux(_T_142, UInt<1>("h01"), _T_145) @[lib.scala 104:23] + _T_48[13] <= _T_146 @[lib.scala 104:17] + node _T_147 = bits(io.trigger_pkt_any[0].tdata2, 13, 0) @[lib.scala 104:28] + node _T_148 = andr(_T_147) @[lib.scala 104:36] + node _T_149 = and(_T_148, _T_51) @[lib.scala 104:41] + node _T_150 = bits(io.trigger_pkt_any[0].tdata2, 14, 14) @[lib.scala 104:74] + node _T_151 = bits(lsu_match_data_0, 14, 14) @[lib.scala 104:86] + node _T_152 = eq(_T_150, _T_151) @[lib.scala 104:78] + node _T_153 = mux(_T_149, UInt<1>("h01"), _T_152) @[lib.scala 104:23] + _T_48[14] <= _T_153 @[lib.scala 104:17] + node _T_154 = bits(io.trigger_pkt_any[0].tdata2, 14, 0) @[lib.scala 104:28] + node _T_155 = andr(_T_154) @[lib.scala 104:36] + node _T_156 = and(_T_155, _T_51) @[lib.scala 104:41] + node _T_157 = bits(io.trigger_pkt_any[0].tdata2, 15, 15) @[lib.scala 104:74] + node _T_158 = bits(lsu_match_data_0, 15, 15) @[lib.scala 104:86] + node _T_159 = eq(_T_157, _T_158) @[lib.scala 104:78] + node _T_160 = mux(_T_156, UInt<1>("h01"), _T_159) @[lib.scala 104:23] + _T_48[15] <= _T_160 @[lib.scala 104:17] + node _T_161 = bits(io.trigger_pkt_any[0].tdata2, 15, 0) @[lib.scala 104:28] + node _T_162 = andr(_T_161) @[lib.scala 104:36] + node _T_163 = and(_T_162, _T_51) @[lib.scala 104:41] + node _T_164 = bits(io.trigger_pkt_any[0].tdata2, 16, 16) @[lib.scala 104:74] + node _T_165 = bits(lsu_match_data_0, 16, 16) @[lib.scala 104:86] + node _T_166 = eq(_T_164, _T_165) @[lib.scala 104:78] + node _T_167 = mux(_T_163, UInt<1>("h01"), _T_166) @[lib.scala 104:23] + _T_48[16] <= _T_167 @[lib.scala 104:17] + node _T_168 = bits(io.trigger_pkt_any[0].tdata2, 16, 0) @[lib.scala 104:28] + node _T_169 = andr(_T_168) @[lib.scala 104:36] + node _T_170 = and(_T_169, _T_51) @[lib.scala 104:41] + node _T_171 = bits(io.trigger_pkt_any[0].tdata2, 17, 17) @[lib.scala 104:74] + node _T_172 = bits(lsu_match_data_0, 17, 17) @[lib.scala 104:86] + node _T_173 = eq(_T_171, _T_172) @[lib.scala 104:78] + node _T_174 = mux(_T_170, UInt<1>("h01"), _T_173) @[lib.scala 104:23] + _T_48[17] <= _T_174 @[lib.scala 104:17] + node _T_175 = bits(io.trigger_pkt_any[0].tdata2, 17, 0) @[lib.scala 104:28] + node _T_176 = andr(_T_175) @[lib.scala 104:36] + node _T_177 = and(_T_176, _T_51) @[lib.scala 104:41] + node _T_178 = bits(io.trigger_pkt_any[0].tdata2, 18, 18) @[lib.scala 104:74] + node _T_179 = bits(lsu_match_data_0, 18, 18) @[lib.scala 104:86] + node _T_180 = eq(_T_178, _T_179) @[lib.scala 104:78] + node _T_181 = mux(_T_177, UInt<1>("h01"), _T_180) @[lib.scala 104:23] + _T_48[18] <= _T_181 @[lib.scala 104:17] + node _T_182 = bits(io.trigger_pkt_any[0].tdata2, 18, 0) @[lib.scala 104:28] + node _T_183 = andr(_T_182) @[lib.scala 104:36] + node _T_184 = and(_T_183, _T_51) @[lib.scala 104:41] + node _T_185 = bits(io.trigger_pkt_any[0].tdata2, 19, 19) @[lib.scala 104:74] + node _T_186 = bits(lsu_match_data_0, 19, 19) @[lib.scala 104:86] + node _T_187 = eq(_T_185, _T_186) @[lib.scala 104:78] + node _T_188 = mux(_T_184, UInt<1>("h01"), _T_187) @[lib.scala 104:23] + _T_48[19] <= _T_188 @[lib.scala 104:17] + node _T_189 = bits(io.trigger_pkt_any[0].tdata2, 19, 0) @[lib.scala 104:28] + node _T_190 = andr(_T_189) @[lib.scala 104:36] + node _T_191 = and(_T_190, _T_51) @[lib.scala 104:41] + node _T_192 = bits(io.trigger_pkt_any[0].tdata2, 20, 20) @[lib.scala 104:74] + node _T_193 = bits(lsu_match_data_0, 20, 20) @[lib.scala 104:86] + node _T_194 = eq(_T_192, _T_193) @[lib.scala 104:78] + node _T_195 = mux(_T_191, UInt<1>("h01"), _T_194) @[lib.scala 104:23] + _T_48[20] <= _T_195 @[lib.scala 104:17] + node _T_196 = bits(io.trigger_pkt_any[0].tdata2, 20, 0) @[lib.scala 104:28] + node _T_197 = andr(_T_196) @[lib.scala 104:36] + node _T_198 = and(_T_197, _T_51) @[lib.scala 104:41] + node _T_199 = bits(io.trigger_pkt_any[0].tdata2, 21, 21) @[lib.scala 104:74] + node _T_200 = bits(lsu_match_data_0, 21, 21) @[lib.scala 104:86] + node _T_201 = eq(_T_199, _T_200) @[lib.scala 104:78] + node _T_202 = mux(_T_198, UInt<1>("h01"), _T_201) @[lib.scala 104:23] + _T_48[21] <= _T_202 @[lib.scala 104:17] + node _T_203 = bits(io.trigger_pkt_any[0].tdata2, 21, 0) @[lib.scala 104:28] + node _T_204 = andr(_T_203) @[lib.scala 104:36] + node _T_205 = and(_T_204, _T_51) @[lib.scala 104:41] + node _T_206 = bits(io.trigger_pkt_any[0].tdata2, 22, 22) @[lib.scala 104:74] + node _T_207 = bits(lsu_match_data_0, 22, 22) @[lib.scala 104:86] + node _T_208 = eq(_T_206, _T_207) @[lib.scala 104:78] + node _T_209 = mux(_T_205, UInt<1>("h01"), _T_208) @[lib.scala 104:23] + _T_48[22] <= _T_209 @[lib.scala 104:17] + node _T_210 = bits(io.trigger_pkt_any[0].tdata2, 22, 0) @[lib.scala 104:28] + node _T_211 = andr(_T_210) @[lib.scala 104:36] + node _T_212 = and(_T_211, _T_51) @[lib.scala 104:41] + node _T_213 = bits(io.trigger_pkt_any[0].tdata2, 23, 23) @[lib.scala 104:74] + node _T_214 = bits(lsu_match_data_0, 23, 23) @[lib.scala 104:86] + node _T_215 = eq(_T_213, _T_214) @[lib.scala 104:78] + node _T_216 = mux(_T_212, UInt<1>("h01"), _T_215) @[lib.scala 104:23] + _T_48[23] <= _T_216 @[lib.scala 104:17] + node _T_217 = bits(io.trigger_pkt_any[0].tdata2, 23, 0) @[lib.scala 104:28] + node _T_218 = andr(_T_217) @[lib.scala 104:36] + node _T_219 = and(_T_218, _T_51) @[lib.scala 104:41] + node _T_220 = bits(io.trigger_pkt_any[0].tdata2, 24, 24) @[lib.scala 104:74] + node _T_221 = bits(lsu_match_data_0, 24, 24) @[lib.scala 104:86] + node _T_222 = eq(_T_220, _T_221) @[lib.scala 104:78] + node _T_223 = mux(_T_219, UInt<1>("h01"), _T_222) @[lib.scala 104:23] + _T_48[24] <= _T_223 @[lib.scala 104:17] + node _T_224 = bits(io.trigger_pkt_any[0].tdata2, 24, 0) @[lib.scala 104:28] + node _T_225 = andr(_T_224) @[lib.scala 104:36] + node _T_226 = and(_T_225, _T_51) @[lib.scala 104:41] + node _T_227 = bits(io.trigger_pkt_any[0].tdata2, 25, 25) @[lib.scala 104:74] + node _T_228 = bits(lsu_match_data_0, 25, 25) @[lib.scala 104:86] + node _T_229 = eq(_T_227, _T_228) @[lib.scala 104:78] + node _T_230 = mux(_T_226, UInt<1>("h01"), _T_229) @[lib.scala 104:23] + _T_48[25] <= _T_230 @[lib.scala 104:17] + node _T_231 = bits(io.trigger_pkt_any[0].tdata2, 25, 0) @[lib.scala 104:28] + node _T_232 = andr(_T_231) @[lib.scala 104:36] + node _T_233 = and(_T_232, _T_51) @[lib.scala 104:41] + node _T_234 = bits(io.trigger_pkt_any[0].tdata2, 26, 26) @[lib.scala 104:74] + node _T_235 = bits(lsu_match_data_0, 26, 26) @[lib.scala 104:86] + node _T_236 = eq(_T_234, _T_235) @[lib.scala 104:78] + node _T_237 = mux(_T_233, UInt<1>("h01"), _T_236) @[lib.scala 104:23] + _T_48[26] <= _T_237 @[lib.scala 104:17] + node _T_238 = bits(io.trigger_pkt_any[0].tdata2, 26, 0) @[lib.scala 104:28] + node _T_239 = andr(_T_238) @[lib.scala 104:36] + node _T_240 = and(_T_239, _T_51) @[lib.scala 104:41] + node _T_241 = bits(io.trigger_pkt_any[0].tdata2, 27, 27) @[lib.scala 104:74] + node _T_242 = bits(lsu_match_data_0, 27, 27) @[lib.scala 104:86] + node _T_243 = eq(_T_241, _T_242) @[lib.scala 104:78] + node _T_244 = mux(_T_240, UInt<1>("h01"), _T_243) @[lib.scala 104:23] + _T_48[27] <= _T_244 @[lib.scala 104:17] + node _T_245 = bits(io.trigger_pkt_any[0].tdata2, 27, 0) @[lib.scala 104:28] + node _T_246 = andr(_T_245) @[lib.scala 104:36] + node _T_247 = and(_T_246, _T_51) @[lib.scala 104:41] + node _T_248 = bits(io.trigger_pkt_any[0].tdata2, 28, 28) @[lib.scala 104:74] + node _T_249 = bits(lsu_match_data_0, 28, 28) @[lib.scala 104:86] + node _T_250 = eq(_T_248, _T_249) @[lib.scala 104:78] + node _T_251 = mux(_T_247, UInt<1>("h01"), _T_250) @[lib.scala 104:23] + _T_48[28] <= _T_251 @[lib.scala 104:17] + node _T_252 = bits(io.trigger_pkt_any[0].tdata2, 28, 0) @[lib.scala 104:28] + node _T_253 = andr(_T_252) @[lib.scala 104:36] + node _T_254 = and(_T_253, _T_51) @[lib.scala 104:41] + node _T_255 = bits(io.trigger_pkt_any[0].tdata2, 29, 29) @[lib.scala 104:74] + node _T_256 = bits(lsu_match_data_0, 29, 29) @[lib.scala 104:86] + node _T_257 = eq(_T_255, _T_256) @[lib.scala 104:78] + node _T_258 = mux(_T_254, UInt<1>("h01"), _T_257) @[lib.scala 104:23] + _T_48[29] <= _T_258 @[lib.scala 104:17] + node _T_259 = bits(io.trigger_pkt_any[0].tdata2, 29, 0) @[lib.scala 104:28] + node _T_260 = andr(_T_259) @[lib.scala 104:36] + node _T_261 = and(_T_260, _T_51) @[lib.scala 104:41] + node _T_262 = bits(io.trigger_pkt_any[0].tdata2, 30, 30) @[lib.scala 104:74] + node _T_263 = bits(lsu_match_data_0, 30, 30) @[lib.scala 104:86] + node _T_264 = eq(_T_262, _T_263) @[lib.scala 104:78] + node _T_265 = mux(_T_261, UInt<1>("h01"), _T_264) @[lib.scala 104:23] + _T_48[30] <= _T_265 @[lib.scala 104:17] + node _T_266 = bits(io.trigger_pkt_any[0].tdata2, 30, 0) @[lib.scala 104:28] + node _T_267 = andr(_T_266) @[lib.scala 104:36] + node _T_268 = and(_T_267, _T_51) @[lib.scala 104:41] + node _T_269 = bits(io.trigger_pkt_any[0].tdata2, 31, 31) @[lib.scala 104:74] + node _T_270 = bits(lsu_match_data_0, 31, 31) @[lib.scala 104:86] + node _T_271 = eq(_T_269, _T_270) @[lib.scala 104:78] + node _T_272 = mux(_T_268, UInt<1>("h01"), _T_271) @[lib.scala 104:23] + _T_48[31] <= _T_272 @[lib.scala 104:17] + node _T_273 = cat(_T_48[1], _T_48[0]) @[lib.scala 105:14] + node _T_274 = cat(_T_48[3], _T_48[2]) @[lib.scala 105:14] + node _T_275 = cat(_T_274, _T_273) @[lib.scala 105:14] + node _T_276 = cat(_T_48[5], _T_48[4]) @[lib.scala 105:14] + node _T_277 = cat(_T_48[7], _T_48[6]) @[lib.scala 105:14] + node _T_278 = cat(_T_277, _T_276) @[lib.scala 105:14] + node _T_279 = cat(_T_278, _T_275) @[lib.scala 105:14] + node _T_280 = cat(_T_48[9], _T_48[8]) @[lib.scala 105:14] + node _T_281 = cat(_T_48[11], _T_48[10]) @[lib.scala 105:14] + node _T_282 = cat(_T_281, _T_280) @[lib.scala 105:14] + node _T_283 = cat(_T_48[13], _T_48[12]) @[lib.scala 105:14] + node _T_284 = cat(_T_48[15], _T_48[14]) @[lib.scala 105:14] + node _T_285 = cat(_T_284, _T_283) @[lib.scala 105:14] + node _T_286 = cat(_T_285, _T_282) @[lib.scala 105:14] + node _T_287 = cat(_T_286, _T_279) @[lib.scala 105:14] + node _T_288 = cat(_T_48[17], _T_48[16]) @[lib.scala 105:14] + node _T_289 = cat(_T_48[19], _T_48[18]) @[lib.scala 105:14] + node _T_290 = cat(_T_289, _T_288) @[lib.scala 105:14] + node _T_291 = cat(_T_48[21], _T_48[20]) @[lib.scala 105:14] + node _T_292 = cat(_T_48[23], _T_48[22]) @[lib.scala 105:14] + node _T_293 = cat(_T_292, _T_291) @[lib.scala 105:14] + node _T_294 = cat(_T_293, _T_290) @[lib.scala 105:14] + node _T_295 = cat(_T_48[25], _T_48[24]) @[lib.scala 105:14] + node _T_296 = cat(_T_48[27], _T_48[26]) @[lib.scala 105:14] + node _T_297 = cat(_T_296, _T_295) @[lib.scala 105:14] + node _T_298 = cat(_T_48[29], _T_48[28]) @[lib.scala 105:14] + node _T_299 = cat(_T_48[31], _T_48[30]) @[lib.scala 105:14] + node _T_300 = cat(_T_299, _T_298) @[lib.scala 105:14] + node _T_301 = cat(_T_300, _T_297) @[lib.scala 105:14] + node _T_302 = cat(_T_301, _T_294) @[lib.scala 105:14] + node _T_303 = cat(_T_302, _T_287) @[lib.scala 105:14] + node _T_304 = andr(_T_303) @[lib.scala 105:25] + node _T_305 = and(_T_46, _T_304) @[lsu_trigger.scala 19:92] + node _T_306 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] + node _T_307 = and(io.lsu_pkt_m.valid, _T_306) @[lsu_trigger.scala 18:69] + node _T_308 = and(io.trigger_pkt_any[1].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] + node _T_309 = and(io.trigger_pkt_any[1].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] + node _T_310 = eq(io.trigger_pkt_any[1].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] + node _T_311 = and(_T_309, _T_310) @[lsu_trigger.scala 19:58] + node _T_312 = or(_T_308, _T_311) @[lsu_trigger.scala 18:152] + node _T_313 = and(_T_307, _T_312) @[lsu_trigger.scala 18:94] + node _T_314 = bits(io.trigger_pkt_any[1].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] + wire _T_315 : UInt<1>[32] @[lib.scala 100:24] + node _T_316 = andr(io.trigger_pkt_any[1].tdata2) @[lib.scala 101:45] + node _T_317 = not(_T_316) @[lib.scala 101:39] + node _T_318 = and(_T_314, _T_317) @[lib.scala 101:37] + node _T_319 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 102:48] + node _T_320 = bits(lsu_match_data_1, 0, 0) @[lib.scala 102:60] + node _T_321 = eq(_T_319, _T_320) @[lib.scala 102:52] + node _T_322 = or(_T_318, _T_321) @[lib.scala 102:41] + _T_315[0] <= _T_322 @[lib.scala 102:18] + node _T_323 = bits(io.trigger_pkt_any[1].tdata2, 0, 0) @[lib.scala 104:28] + node _T_324 = andr(_T_323) @[lib.scala 104:36] + node _T_325 = and(_T_324, _T_318) @[lib.scala 104:41] + node _T_326 = bits(io.trigger_pkt_any[1].tdata2, 1, 1) @[lib.scala 104:74] + node _T_327 = bits(lsu_match_data_1, 1, 1) @[lib.scala 104:86] + node _T_328 = eq(_T_326, _T_327) @[lib.scala 104:78] + node _T_329 = mux(_T_325, UInt<1>("h01"), _T_328) @[lib.scala 104:23] + _T_315[1] <= _T_329 @[lib.scala 104:17] + node _T_330 = bits(io.trigger_pkt_any[1].tdata2, 1, 0) @[lib.scala 104:28] + node _T_331 = andr(_T_330) @[lib.scala 104:36] + node _T_332 = and(_T_331, _T_318) @[lib.scala 104:41] + node _T_333 = bits(io.trigger_pkt_any[1].tdata2, 2, 2) @[lib.scala 104:74] + node _T_334 = bits(lsu_match_data_1, 2, 2) @[lib.scala 104:86] + node _T_335 = eq(_T_333, _T_334) @[lib.scala 104:78] + node _T_336 = mux(_T_332, UInt<1>("h01"), _T_335) @[lib.scala 104:23] + _T_315[2] <= _T_336 @[lib.scala 104:17] + node _T_337 = bits(io.trigger_pkt_any[1].tdata2, 2, 0) @[lib.scala 104:28] + node _T_338 = andr(_T_337) @[lib.scala 104:36] + node _T_339 = and(_T_338, _T_318) @[lib.scala 104:41] + node _T_340 = bits(io.trigger_pkt_any[1].tdata2, 3, 3) @[lib.scala 104:74] + node _T_341 = bits(lsu_match_data_1, 3, 3) @[lib.scala 104:86] + node _T_342 = eq(_T_340, _T_341) @[lib.scala 104:78] + node _T_343 = mux(_T_339, UInt<1>("h01"), _T_342) @[lib.scala 104:23] + _T_315[3] <= _T_343 @[lib.scala 104:17] + node _T_344 = bits(io.trigger_pkt_any[1].tdata2, 3, 0) @[lib.scala 104:28] + node _T_345 = andr(_T_344) @[lib.scala 104:36] + node _T_346 = and(_T_345, _T_318) @[lib.scala 104:41] + node _T_347 = bits(io.trigger_pkt_any[1].tdata2, 4, 4) @[lib.scala 104:74] + node _T_348 = bits(lsu_match_data_1, 4, 4) @[lib.scala 104:86] + node _T_349 = eq(_T_347, _T_348) @[lib.scala 104:78] + node _T_350 = mux(_T_346, UInt<1>("h01"), _T_349) @[lib.scala 104:23] + _T_315[4] <= _T_350 @[lib.scala 104:17] + node _T_351 = bits(io.trigger_pkt_any[1].tdata2, 4, 0) @[lib.scala 104:28] + node _T_352 = andr(_T_351) @[lib.scala 104:36] + node _T_353 = and(_T_352, _T_318) @[lib.scala 104:41] + node _T_354 = bits(io.trigger_pkt_any[1].tdata2, 5, 5) @[lib.scala 104:74] + node _T_355 = bits(lsu_match_data_1, 5, 5) @[lib.scala 104:86] + node _T_356 = eq(_T_354, _T_355) @[lib.scala 104:78] + node _T_357 = mux(_T_353, UInt<1>("h01"), _T_356) @[lib.scala 104:23] + _T_315[5] <= _T_357 @[lib.scala 104:17] + node _T_358 = bits(io.trigger_pkt_any[1].tdata2, 5, 0) @[lib.scala 104:28] + node _T_359 = andr(_T_358) @[lib.scala 104:36] + node _T_360 = and(_T_359, _T_318) @[lib.scala 104:41] + node _T_361 = bits(io.trigger_pkt_any[1].tdata2, 6, 6) @[lib.scala 104:74] + node _T_362 = bits(lsu_match_data_1, 6, 6) @[lib.scala 104:86] + node _T_363 = eq(_T_361, _T_362) @[lib.scala 104:78] + node _T_364 = mux(_T_360, UInt<1>("h01"), _T_363) @[lib.scala 104:23] + _T_315[6] <= _T_364 @[lib.scala 104:17] + node _T_365 = bits(io.trigger_pkt_any[1].tdata2, 6, 0) @[lib.scala 104:28] + node _T_366 = andr(_T_365) @[lib.scala 104:36] + node _T_367 = and(_T_366, _T_318) @[lib.scala 104:41] + node _T_368 = bits(io.trigger_pkt_any[1].tdata2, 7, 7) @[lib.scala 104:74] + node _T_369 = bits(lsu_match_data_1, 7, 7) @[lib.scala 104:86] + node _T_370 = eq(_T_368, _T_369) @[lib.scala 104:78] + node _T_371 = mux(_T_367, UInt<1>("h01"), _T_370) @[lib.scala 104:23] + _T_315[7] <= _T_371 @[lib.scala 104:17] + node _T_372 = bits(io.trigger_pkt_any[1].tdata2, 7, 0) @[lib.scala 104:28] + node _T_373 = andr(_T_372) @[lib.scala 104:36] + node _T_374 = and(_T_373, _T_318) @[lib.scala 104:41] + node _T_375 = bits(io.trigger_pkt_any[1].tdata2, 8, 8) @[lib.scala 104:74] + node _T_376 = bits(lsu_match_data_1, 8, 8) @[lib.scala 104:86] + node _T_377 = eq(_T_375, _T_376) @[lib.scala 104:78] + node _T_378 = mux(_T_374, UInt<1>("h01"), _T_377) @[lib.scala 104:23] + _T_315[8] <= _T_378 @[lib.scala 104:17] + node _T_379 = bits(io.trigger_pkt_any[1].tdata2, 8, 0) @[lib.scala 104:28] + node _T_380 = andr(_T_379) @[lib.scala 104:36] + node _T_381 = and(_T_380, _T_318) @[lib.scala 104:41] + node _T_382 = bits(io.trigger_pkt_any[1].tdata2, 9, 9) @[lib.scala 104:74] + node _T_383 = bits(lsu_match_data_1, 9, 9) @[lib.scala 104:86] + node _T_384 = eq(_T_382, _T_383) @[lib.scala 104:78] + node _T_385 = mux(_T_381, UInt<1>("h01"), _T_384) @[lib.scala 104:23] + _T_315[9] <= _T_385 @[lib.scala 104:17] + node _T_386 = bits(io.trigger_pkt_any[1].tdata2, 9, 0) @[lib.scala 104:28] + node _T_387 = andr(_T_386) @[lib.scala 104:36] + node _T_388 = and(_T_387, _T_318) @[lib.scala 104:41] + node _T_389 = bits(io.trigger_pkt_any[1].tdata2, 10, 10) @[lib.scala 104:74] + node _T_390 = bits(lsu_match_data_1, 10, 10) @[lib.scala 104:86] + node _T_391 = eq(_T_389, _T_390) @[lib.scala 104:78] + node _T_392 = mux(_T_388, UInt<1>("h01"), _T_391) @[lib.scala 104:23] + _T_315[10] <= _T_392 @[lib.scala 104:17] + node _T_393 = bits(io.trigger_pkt_any[1].tdata2, 10, 0) @[lib.scala 104:28] + node _T_394 = andr(_T_393) @[lib.scala 104:36] + node _T_395 = and(_T_394, _T_318) @[lib.scala 104:41] + node _T_396 = bits(io.trigger_pkt_any[1].tdata2, 11, 11) @[lib.scala 104:74] + node _T_397 = bits(lsu_match_data_1, 11, 11) @[lib.scala 104:86] + node _T_398 = eq(_T_396, _T_397) @[lib.scala 104:78] + node _T_399 = mux(_T_395, UInt<1>("h01"), _T_398) @[lib.scala 104:23] + _T_315[11] <= _T_399 @[lib.scala 104:17] + node _T_400 = bits(io.trigger_pkt_any[1].tdata2, 11, 0) @[lib.scala 104:28] + node _T_401 = andr(_T_400) @[lib.scala 104:36] + node _T_402 = and(_T_401, _T_318) @[lib.scala 104:41] + node _T_403 = bits(io.trigger_pkt_any[1].tdata2, 12, 12) @[lib.scala 104:74] + node _T_404 = bits(lsu_match_data_1, 12, 12) @[lib.scala 104:86] + node _T_405 = eq(_T_403, _T_404) @[lib.scala 104:78] + node _T_406 = mux(_T_402, UInt<1>("h01"), _T_405) @[lib.scala 104:23] + _T_315[12] <= _T_406 @[lib.scala 104:17] + node _T_407 = bits(io.trigger_pkt_any[1].tdata2, 12, 0) @[lib.scala 104:28] + node _T_408 = andr(_T_407) @[lib.scala 104:36] + node _T_409 = and(_T_408, _T_318) @[lib.scala 104:41] + node _T_410 = bits(io.trigger_pkt_any[1].tdata2, 13, 13) @[lib.scala 104:74] + node _T_411 = bits(lsu_match_data_1, 13, 13) @[lib.scala 104:86] + node _T_412 = eq(_T_410, _T_411) @[lib.scala 104:78] + node _T_413 = mux(_T_409, UInt<1>("h01"), _T_412) @[lib.scala 104:23] + _T_315[13] <= _T_413 @[lib.scala 104:17] + node _T_414 = bits(io.trigger_pkt_any[1].tdata2, 13, 0) @[lib.scala 104:28] + node _T_415 = andr(_T_414) @[lib.scala 104:36] + node _T_416 = and(_T_415, _T_318) @[lib.scala 104:41] + node _T_417 = bits(io.trigger_pkt_any[1].tdata2, 14, 14) @[lib.scala 104:74] + node _T_418 = bits(lsu_match_data_1, 14, 14) @[lib.scala 104:86] + node _T_419 = eq(_T_417, _T_418) @[lib.scala 104:78] + node _T_420 = mux(_T_416, UInt<1>("h01"), _T_419) @[lib.scala 104:23] + _T_315[14] <= _T_420 @[lib.scala 104:17] + node _T_421 = bits(io.trigger_pkt_any[1].tdata2, 14, 0) @[lib.scala 104:28] + node _T_422 = andr(_T_421) @[lib.scala 104:36] + node _T_423 = and(_T_422, _T_318) @[lib.scala 104:41] + node _T_424 = bits(io.trigger_pkt_any[1].tdata2, 15, 15) @[lib.scala 104:74] + node _T_425 = bits(lsu_match_data_1, 15, 15) @[lib.scala 104:86] + node _T_426 = eq(_T_424, _T_425) @[lib.scala 104:78] + node _T_427 = mux(_T_423, UInt<1>("h01"), _T_426) @[lib.scala 104:23] + _T_315[15] <= _T_427 @[lib.scala 104:17] + node _T_428 = bits(io.trigger_pkt_any[1].tdata2, 15, 0) @[lib.scala 104:28] + node _T_429 = andr(_T_428) @[lib.scala 104:36] + node _T_430 = and(_T_429, _T_318) @[lib.scala 104:41] + node _T_431 = bits(io.trigger_pkt_any[1].tdata2, 16, 16) @[lib.scala 104:74] + node _T_432 = bits(lsu_match_data_1, 16, 16) @[lib.scala 104:86] + node _T_433 = eq(_T_431, _T_432) @[lib.scala 104:78] + node _T_434 = mux(_T_430, UInt<1>("h01"), _T_433) @[lib.scala 104:23] + _T_315[16] <= _T_434 @[lib.scala 104:17] + node _T_435 = bits(io.trigger_pkt_any[1].tdata2, 16, 0) @[lib.scala 104:28] + node _T_436 = andr(_T_435) @[lib.scala 104:36] + node _T_437 = and(_T_436, _T_318) @[lib.scala 104:41] + node _T_438 = bits(io.trigger_pkt_any[1].tdata2, 17, 17) @[lib.scala 104:74] + node _T_439 = bits(lsu_match_data_1, 17, 17) @[lib.scala 104:86] + node _T_440 = eq(_T_438, _T_439) @[lib.scala 104:78] + node _T_441 = mux(_T_437, UInt<1>("h01"), _T_440) @[lib.scala 104:23] + _T_315[17] <= _T_441 @[lib.scala 104:17] + node _T_442 = bits(io.trigger_pkt_any[1].tdata2, 17, 0) @[lib.scala 104:28] + node _T_443 = andr(_T_442) @[lib.scala 104:36] + node _T_444 = and(_T_443, _T_318) @[lib.scala 104:41] + node _T_445 = bits(io.trigger_pkt_any[1].tdata2, 18, 18) @[lib.scala 104:74] + node _T_446 = bits(lsu_match_data_1, 18, 18) @[lib.scala 104:86] + node _T_447 = eq(_T_445, _T_446) @[lib.scala 104:78] + node _T_448 = mux(_T_444, UInt<1>("h01"), _T_447) @[lib.scala 104:23] + _T_315[18] <= _T_448 @[lib.scala 104:17] + node _T_449 = bits(io.trigger_pkt_any[1].tdata2, 18, 0) @[lib.scala 104:28] + node _T_450 = andr(_T_449) @[lib.scala 104:36] + node _T_451 = and(_T_450, _T_318) @[lib.scala 104:41] + node _T_452 = bits(io.trigger_pkt_any[1].tdata2, 19, 19) @[lib.scala 104:74] + node _T_453 = bits(lsu_match_data_1, 19, 19) @[lib.scala 104:86] + node _T_454 = eq(_T_452, _T_453) @[lib.scala 104:78] + node _T_455 = mux(_T_451, UInt<1>("h01"), _T_454) @[lib.scala 104:23] + _T_315[19] <= _T_455 @[lib.scala 104:17] + node _T_456 = bits(io.trigger_pkt_any[1].tdata2, 19, 0) @[lib.scala 104:28] + node _T_457 = andr(_T_456) @[lib.scala 104:36] + node _T_458 = and(_T_457, _T_318) @[lib.scala 104:41] + node _T_459 = bits(io.trigger_pkt_any[1].tdata2, 20, 20) @[lib.scala 104:74] + node _T_460 = bits(lsu_match_data_1, 20, 20) @[lib.scala 104:86] + node _T_461 = eq(_T_459, _T_460) @[lib.scala 104:78] + node _T_462 = mux(_T_458, UInt<1>("h01"), _T_461) @[lib.scala 104:23] + _T_315[20] <= _T_462 @[lib.scala 104:17] + node _T_463 = bits(io.trigger_pkt_any[1].tdata2, 20, 0) @[lib.scala 104:28] + node _T_464 = andr(_T_463) @[lib.scala 104:36] + node _T_465 = and(_T_464, _T_318) @[lib.scala 104:41] + node _T_466 = bits(io.trigger_pkt_any[1].tdata2, 21, 21) @[lib.scala 104:74] + node _T_467 = bits(lsu_match_data_1, 21, 21) @[lib.scala 104:86] + node _T_468 = eq(_T_466, _T_467) @[lib.scala 104:78] + node _T_469 = mux(_T_465, UInt<1>("h01"), _T_468) @[lib.scala 104:23] + _T_315[21] <= _T_469 @[lib.scala 104:17] + node _T_470 = bits(io.trigger_pkt_any[1].tdata2, 21, 0) @[lib.scala 104:28] + node _T_471 = andr(_T_470) @[lib.scala 104:36] + node _T_472 = and(_T_471, _T_318) @[lib.scala 104:41] + node _T_473 = bits(io.trigger_pkt_any[1].tdata2, 22, 22) @[lib.scala 104:74] + node _T_474 = bits(lsu_match_data_1, 22, 22) @[lib.scala 104:86] + node _T_475 = eq(_T_473, _T_474) @[lib.scala 104:78] + node _T_476 = mux(_T_472, UInt<1>("h01"), _T_475) @[lib.scala 104:23] + _T_315[22] <= _T_476 @[lib.scala 104:17] + node _T_477 = bits(io.trigger_pkt_any[1].tdata2, 22, 0) @[lib.scala 104:28] + node _T_478 = andr(_T_477) @[lib.scala 104:36] + node _T_479 = and(_T_478, _T_318) @[lib.scala 104:41] + node _T_480 = bits(io.trigger_pkt_any[1].tdata2, 23, 23) @[lib.scala 104:74] + node _T_481 = bits(lsu_match_data_1, 23, 23) @[lib.scala 104:86] + node _T_482 = eq(_T_480, _T_481) @[lib.scala 104:78] + node _T_483 = mux(_T_479, UInt<1>("h01"), _T_482) @[lib.scala 104:23] + _T_315[23] <= _T_483 @[lib.scala 104:17] + node _T_484 = bits(io.trigger_pkt_any[1].tdata2, 23, 0) @[lib.scala 104:28] + node _T_485 = andr(_T_484) @[lib.scala 104:36] + node _T_486 = and(_T_485, _T_318) @[lib.scala 104:41] + node _T_487 = bits(io.trigger_pkt_any[1].tdata2, 24, 24) @[lib.scala 104:74] + node _T_488 = bits(lsu_match_data_1, 24, 24) @[lib.scala 104:86] + node _T_489 = eq(_T_487, _T_488) @[lib.scala 104:78] + node _T_490 = mux(_T_486, UInt<1>("h01"), _T_489) @[lib.scala 104:23] + _T_315[24] <= _T_490 @[lib.scala 104:17] + node _T_491 = bits(io.trigger_pkt_any[1].tdata2, 24, 0) @[lib.scala 104:28] + node _T_492 = andr(_T_491) @[lib.scala 104:36] + node _T_493 = and(_T_492, _T_318) @[lib.scala 104:41] + node _T_494 = bits(io.trigger_pkt_any[1].tdata2, 25, 25) @[lib.scala 104:74] + node _T_495 = bits(lsu_match_data_1, 25, 25) @[lib.scala 104:86] + node _T_496 = eq(_T_494, _T_495) @[lib.scala 104:78] + node _T_497 = mux(_T_493, UInt<1>("h01"), _T_496) @[lib.scala 104:23] + _T_315[25] <= _T_497 @[lib.scala 104:17] + node _T_498 = bits(io.trigger_pkt_any[1].tdata2, 25, 0) @[lib.scala 104:28] + node _T_499 = andr(_T_498) @[lib.scala 104:36] + node _T_500 = and(_T_499, _T_318) @[lib.scala 104:41] + node _T_501 = bits(io.trigger_pkt_any[1].tdata2, 26, 26) @[lib.scala 104:74] + node _T_502 = bits(lsu_match_data_1, 26, 26) @[lib.scala 104:86] + node _T_503 = eq(_T_501, _T_502) @[lib.scala 104:78] + node _T_504 = mux(_T_500, UInt<1>("h01"), _T_503) @[lib.scala 104:23] + _T_315[26] <= _T_504 @[lib.scala 104:17] + node _T_505 = bits(io.trigger_pkt_any[1].tdata2, 26, 0) @[lib.scala 104:28] + node _T_506 = andr(_T_505) @[lib.scala 104:36] + node _T_507 = and(_T_506, _T_318) @[lib.scala 104:41] + node _T_508 = bits(io.trigger_pkt_any[1].tdata2, 27, 27) @[lib.scala 104:74] + node _T_509 = bits(lsu_match_data_1, 27, 27) @[lib.scala 104:86] + node _T_510 = eq(_T_508, _T_509) @[lib.scala 104:78] + node _T_511 = mux(_T_507, UInt<1>("h01"), _T_510) @[lib.scala 104:23] + _T_315[27] <= _T_511 @[lib.scala 104:17] + node _T_512 = bits(io.trigger_pkt_any[1].tdata2, 27, 0) @[lib.scala 104:28] + node _T_513 = andr(_T_512) @[lib.scala 104:36] + node _T_514 = and(_T_513, _T_318) @[lib.scala 104:41] + node _T_515 = bits(io.trigger_pkt_any[1].tdata2, 28, 28) @[lib.scala 104:74] + node _T_516 = bits(lsu_match_data_1, 28, 28) @[lib.scala 104:86] + node _T_517 = eq(_T_515, _T_516) @[lib.scala 104:78] + node _T_518 = mux(_T_514, UInt<1>("h01"), _T_517) @[lib.scala 104:23] + _T_315[28] <= _T_518 @[lib.scala 104:17] + node _T_519 = bits(io.trigger_pkt_any[1].tdata2, 28, 0) @[lib.scala 104:28] + node _T_520 = andr(_T_519) @[lib.scala 104:36] + node _T_521 = and(_T_520, _T_318) @[lib.scala 104:41] + node _T_522 = bits(io.trigger_pkt_any[1].tdata2, 29, 29) @[lib.scala 104:74] + node _T_523 = bits(lsu_match_data_1, 29, 29) @[lib.scala 104:86] + node _T_524 = eq(_T_522, _T_523) @[lib.scala 104:78] + node _T_525 = mux(_T_521, UInt<1>("h01"), _T_524) @[lib.scala 104:23] + _T_315[29] <= _T_525 @[lib.scala 104:17] + node _T_526 = bits(io.trigger_pkt_any[1].tdata2, 29, 0) @[lib.scala 104:28] + node _T_527 = andr(_T_526) @[lib.scala 104:36] + node _T_528 = and(_T_527, _T_318) @[lib.scala 104:41] + node _T_529 = bits(io.trigger_pkt_any[1].tdata2, 30, 30) @[lib.scala 104:74] + node _T_530 = bits(lsu_match_data_1, 30, 30) @[lib.scala 104:86] + node _T_531 = eq(_T_529, _T_530) @[lib.scala 104:78] + node _T_532 = mux(_T_528, UInt<1>("h01"), _T_531) @[lib.scala 104:23] + _T_315[30] <= _T_532 @[lib.scala 104:17] + node _T_533 = bits(io.trigger_pkt_any[1].tdata2, 30, 0) @[lib.scala 104:28] + node _T_534 = andr(_T_533) @[lib.scala 104:36] + node _T_535 = and(_T_534, _T_318) @[lib.scala 104:41] + node _T_536 = bits(io.trigger_pkt_any[1].tdata2, 31, 31) @[lib.scala 104:74] + node _T_537 = bits(lsu_match_data_1, 31, 31) @[lib.scala 104:86] + node _T_538 = eq(_T_536, _T_537) @[lib.scala 104:78] + node _T_539 = mux(_T_535, UInt<1>("h01"), _T_538) @[lib.scala 104:23] + _T_315[31] <= _T_539 @[lib.scala 104:17] + node _T_540 = cat(_T_315[1], _T_315[0]) @[lib.scala 105:14] + node _T_541 = cat(_T_315[3], _T_315[2]) @[lib.scala 105:14] + node _T_542 = cat(_T_541, _T_540) @[lib.scala 105:14] + node _T_543 = cat(_T_315[5], _T_315[4]) @[lib.scala 105:14] + node _T_544 = cat(_T_315[7], _T_315[6]) @[lib.scala 105:14] + node _T_545 = cat(_T_544, _T_543) @[lib.scala 105:14] + node _T_546 = cat(_T_545, _T_542) @[lib.scala 105:14] + node _T_547 = cat(_T_315[9], _T_315[8]) @[lib.scala 105:14] + node _T_548 = cat(_T_315[11], _T_315[10]) @[lib.scala 105:14] + node _T_549 = cat(_T_548, _T_547) @[lib.scala 105:14] + node _T_550 = cat(_T_315[13], _T_315[12]) @[lib.scala 105:14] + node _T_551 = cat(_T_315[15], _T_315[14]) @[lib.scala 105:14] + node _T_552 = cat(_T_551, _T_550) @[lib.scala 105:14] + node _T_553 = cat(_T_552, _T_549) @[lib.scala 105:14] + node _T_554 = cat(_T_553, _T_546) @[lib.scala 105:14] + node _T_555 = cat(_T_315[17], _T_315[16]) @[lib.scala 105:14] + node _T_556 = cat(_T_315[19], _T_315[18]) @[lib.scala 105:14] + node _T_557 = cat(_T_556, _T_555) @[lib.scala 105:14] + node _T_558 = cat(_T_315[21], _T_315[20]) @[lib.scala 105:14] + node _T_559 = cat(_T_315[23], _T_315[22]) @[lib.scala 105:14] + node _T_560 = cat(_T_559, _T_558) @[lib.scala 105:14] + node _T_561 = cat(_T_560, _T_557) @[lib.scala 105:14] + node _T_562 = cat(_T_315[25], _T_315[24]) @[lib.scala 105:14] + node _T_563 = cat(_T_315[27], _T_315[26]) @[lib.scala 105:14] + node _T_564 = cat(_T_563, _T_562) @[lib.scala 105:14] + node _T_565 = cat(_T_315[29], _T_315[28]) @[lib.scala 105:14] + node _T_566 = cat(_T_315[31], _T_315[30]) @[lib.scala 105:14] + node _T_567 = cat(_T_566, _T_565) @[lib.scala 105:14] + node _T_568 = cat(_T_567, _T_564) @[lib.scala 105:14] + node _T_569 = cat(_T_568, _T_561) @[lib.scala 105:14] + node _T_570 = cat(_T_569, _T_554) @[lib.scala 105:14] + node _T_571 = andr(_T_570) @[lib.scala 105:25] + node _T_572 = and(_T_313, _T_571) @[lsu_trigger.scala 19:92] + node _T_573 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] + node _T_574 = and(io.lsu_pkt_m.valid, _T_573) @[lsu_trigger.scala 18:69] + node _T_575 = and(io.trigger_pkt_any[2].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] + node _T_576 = and(io.trigger_pkt_any[2].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] + node _T_577 = eq(io.trigger_pkt_any[2].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] + node _T_578 = and(_T_576, _T_577) @[lsu_trigger.scala 19:58] + node _T_579 = or(_T_575, _T_578) @[lsu_trigger.scala 18:152] + node _T_580 = and(_T_574, _T_579) @[lsu_trigger.scala 18:94] + node _T_581 = bits(io.trigger_pkt_any[2].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] + wire _T_582 : UInt<1>[32] @[lib.scala 100:24] + node _T_583 = andr(io.trigger_pkt_any[2].tdata2) @[lib.scala 101:45] + node _T_584 = not(_T_583) @[lib.scala 101:39] + node _T_585 = and(_T_581, _T_584) @[lib.scala 101:37] + node _T_586 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 102:48] + node _T_587 = bits(lsu_match_data_2, 0, 0) @[lib.scala 102:60] + node _T_588 = eq(_T_586, _T_587) @[lib.scala 102:52] + node _T_589 = or(_T_585, _T_588) @[lib.scala 102:41] + _T_582[0] <= _T_589 @[lib.scala 102:18] + node _T_590 = bits(io.trigger_pkt_any[2].tdata2, 0, 0) @[lib.scala 104:28] + node _T_591 = andr(_T_590) @[lib.scala 104:36] + node _T_592 = and(_T_591, _T_585) @[lib.scala 104:41] + node _T_593 = bits(io.trigger_pkt_any[2].tdata2, 1, 1) @[lib.scala 104:74] + node _T_594 = bits(lsu_match_data_2, 1, 1) @[lib.scala 104:86] + node _T_595 = eq(_T_593, _T_594) @[lib.scala 104:78] + node _T_596 = mux(_T_592, UInt<1>("h01"), _T_595) @[lib.scala 104:23] + _T_582[1] <= _T_596 @[lib.scala 104:17] + node _T_597 = bits(io.trigger_pkt_any[2].tdata2, 1, 0) @[lib.scala 104:28] + node _T_598 = andr(_T_597) @[lib.scala 104:36] + node _T_599 = and(_T_598, _T_585) @[lib.scala 104:41] + node _T_600 = bits(io.trigger_pkt_any[2].tdata2, 2, 2) @[lib.scala 104:74] + node _T_601 = bits(lsu_match_data_2, 2, 2) @[lib.scala 104:86] + node _T_602 = eq(_T_600, _T_601) @[lib.scala 104:78] + node _T_603 = mux(_T_599, UInt<1>("h01"), _T_602) @[lib.scala 104:23] + _T_582[2] <= _T_603 @[lib.scala 104:17] + node _T_604 = bits(io.trigger_pkt_any[2].tdata2, 2, 0) @[lib.scala 104:28] + node _T_605 = andr(_T_604) @[lib.scala 104:36] + node _T_606 = and(_T_605, _T_585) @[lib.scala 104:41] + node _T_607 = bits(io.trigger_pkt_any[2].tdata2, 3, 3) @[lib.scala 104:74] + node _T_608 = bits(lsu_match_data_2, 3, 3) @[lib.scala 104:86] + node _T_609 = eq(_T_607, _T_608) @[lib.scala 104:78] + node _T_610 = mux(_T_606, UInt<1>("h01"), _T_609) @[lib.scala 104:23] + _T_582[3] <= _T_610 @[lib.scala 104:17] + node _T_611 = bits(io.trigger_pkt_any[2].tdata2, 3, 0) @[lib.scala 104:28] + node _T_612 = andr(_T_611) @[lib.scala 104:36] + node _T_613 = and(_T_612, _T_585) @[lib.scala 104:41] + node _T_614 = bits(io.trigger_pkt_any[2].tdata2, 4, 4) @[lib.scala 104:74] + node _T_615 = bits(lsu_match_data_2, 4, 4) @[lib.scala 104:86] + node _T_616 = eq(_T_614, _T_615) @[lib.scala 104:78] + node _T_617 = mux(_T_613, UInt<1>("h01"), _T_616) @[lib.scala 104:23] + _T_582[4] <= _T_617 @[lib.scala 104:17] + node _T_618 = bits(io.trigger_pkt_any[2].tdata2, 4, 0) @[lib.scala 104:28] + node _T_619 = andr(_T_618) @[lib.scala 104:36] + node _T_620 = and(_T_619, _T_585) @[lib.scala 104:41] + node _T_621 = bits(io.trigger_pkt_any[2].tdata2, 5, 5) @[lib.scala 104:74] + node _T_622 = bits(lsu_match_data_2, 5, 5) @[lib.scala 104:86] + node _T_623 = eq(_T_621, _T_622) @[lib.scala 104:78] + node _T_624 = mux(_T_620, UInt<1>("h01"), _T_623) @[lib.scala 104:23] + _T_582[5] <= _T_624 @[lib.scala 104:17] + node _T_625 = bits(io.trigger_pkt_any[2].tdata2, 5, 0) @[lib.scala 104:28] + node _T_626 = andr(_T_625) @[lib.scala 104:36] + node _T_627 = and(_T_626, _T_585) @[lib.scala 104:41] + node _T_628 = bits(io.trigger_pkt_any[2].tdata2, 6, 6) @[lib.scala 104:74] + node _T_629 = bits(lsu_match_data_2, 6, 6) @[lib.scala 104:86] + node _T_630 = eq(_T_628, _T_629) @[lib.scala 104:78] + node _T_631 = mux(_T_627, UInt<1>("h01"), _T_630) @[lib.scala 104:23] + _T_582[6] <= _T_631 @[lib.scala 104:17] + node _T_632 = bits(io.trigger_pkt_any[2].tdata2, 6, 0) @[lib.scala 104:28] + node _T_633 = andr(_T_632) @[lib.scala 104:36] + node _T_634 = and(_T_633, _T_585) @[lib.scala 104:41] + node _T_635 = bits(io.trigger_pkt_any[2].tdata2, 7, 7) @[lib.scala 104:74] + node _T_636 = bits(lsu_match_data_2, 7, 7) @[lib.scala 104:86] + node _T_637 = eq(_T_635, _T_636) @[lib.scala 104:78] + node _T_638 = mux(_T_634, UInt<1>("h01"), _T_637) @[lib.scala 104:23] + _T_582[7] <= _T_638 @[lib.scala 104:17] + node _T_639 = bits(io.trigger_pkt_any[2].tdata2, 7, 0) @[lib.scala 104:28] + node _T_640 = andr(_T_639) @[lib.scala 104:36] + node _T_641 = and(_T_640, _T_585) @[lib.scala 104:41] + node _T_642 = bits(io.trigger_pkt_any[2].tdata2, 8, 8) @[lib.scala 104:74] + node _T_643 = bits(lsu_match_data_2, 8, 8) @[lib.scala 104:86] + node _T_644 = eq(_T_642, _T_643) @[lib.scala 104:78] + node _T_645 = mux(_T_641, UInt<1>("h01"), _T_644) @[lib.scala 104:23] + _T_582[8] <= _T_645 @[lib.scala 104:17] + node _T_646 = bits(io.trigger_pkt_any[2].tdata2, 8, 0) @[lib.scala 104:28] + node _T_647 = andr(_T_646) @[lib.scala 104:36] + node _T_648 = and(_T_647, _T_585) @[lib.scala 104:41] + node _T_649 = bits(io.trigger_pkt_any[2].tdata2, 9, 9) @[lib.scala 104:74] + node _T_650 = bits(lsu_match_data_2, 9, 9) @[lib.scala 104:86] + node _T_651 = eq(_T_649, _T_650) @[lib.scala 104:78] + node _T_652 = mux(_T_648, UInt<1>("h01"), _T_651) @[lib.scala 104:23] + _T_582[9] <= _T_652 @[lib.scala 104:17] + node _T_653 = bits(io.trigger_pkt_any[2].tdata2, 9, 0) @[lib.scala 104:28] + node _T_654 = andr(_T_653) @[lib.scala 104:36] + node _T_655 = and(_T_654, _T_585) @[lib.scala 104:41] + node _T_656 = bits(io.trigger_pkt_any[2].tdata2, 10, 10) @[lib.scala 104:74] + node _T_657 = bits(lsu_match_data_2, 10, 10) @[lib.scala 104:86] + node _T_658 = eq(_T_656, _T_657) @[lib.scala 104:78] + node _T_659 = mux(_T_655, UInt<1>("h01"), _T_658) @[lib.scala 104:23] + _T_582[10] <= _T_659 @[lib.scala 104:17] + node _T_660 = bits(io.trigger_pkt_any[2].tdata2, 10, 0) @[lib.scala 104:28] + node _T_661 = andr(_T_660) @[lib.scala 104:36] + node _T_662 = and(_T_661, _T_585) @[lib.scala 104:41] + node _T_663 = bits(io.trigger_pkt_any[2].tdata2, 11, 11) @[lib.scala 104:74] + node _T_664 = bits(lsu_match_data_2, 11, 11) @[lib.scala 104:86] + node _T_665 = eq(_T_663, _T_664) @[lib.scala 104:78] + node _T_666 = mux(_T_662, UInt<1>("h01"), _T_665) @[lib.scala 104:23] + _T_582[11] <= _T_666 @[lib.scala 104:17] + node _T_667 = bits(io.trigger_pkt_any[2].tdata2, 11, 0) @[lib.scala 104:28] + node _T_668 = andr(_T_667) @[lib.scala 104:36] + node _T_669 = and(_T_668, _T_585) @[lib.scala 104:41] + node _T_670 = bits(io.trigger_pkt_any[2].tdata2, 12, 12) @[lib.scala 104:74] + node _T_671 = bits(lsu_match_data_2, 12, 12) @[lib.scala 104:86] + node _T_672 = eq(_T_670, _T_671) @[lib.scala 104:78] + node _T_673 = mux(_T_669, UInt<1>("h01"), _T_672) @[lib.scala 104:23] + _T_582[12] <= _T_673 @[lib.scala 104:17] + node _T_674 = bits(io.trigger_pkt_any[2].tdata2, 12, 0) @[lib.scala 104:28] + node _T_675 = andr(_T_674) @[lib.scala 104:36] + node _T_676 = and(_T_675, _T_585) @[lib.scala 104:41] + node _T_677 = bits(io.trigger_pkt_any[2].tdata2, 13, 13) @[lib.scala 104:74] + node _T_678 = bits(lsu_match_data_2, 13, 13) @[lib.scala 104:86] + node _T_679 = eq(_T_677, _T_678) @[lib.scala 104:78] + node _T_680 = mux(_T_676, UInt<1>("h01"), _T_679) @[lib.scala 104:23] + _T_582[13] <= _T_680 @[lib.scala 104:17] + node _T_681 = bits(io.trigger_pkt_any[2].tdata2, 13, 0) @[lib.scala 104:28] + node _T_682 = andr(_T_681) @[lib.scala 104:36] + node _T_683 = and(_T_682, _T_585) @[lib.scala 104:41] + node _T_684 = bits(io.trigger_pkt_any[2].tdata2, 14, 14) @[lib.scala 104:74] + node _T_685 = bits(lsu_match_data_2, 14, 14) @[lib.scala 104:86] + node _T_686 = eq(_T_684, _T_685) @[lib.scala 104:78] + node _T_687 = mux(_T_683, UInt<1>("h01"), _T_686) @[lib.scala 104:23] + _T_582[14] <= _T_687 @[lib.scala 104:17] + node _T_688 = bits(io.trigger_pkt_any[2].tdata2, 14, 0) @[lib.scala 104:28] + node _T_689 = andr(_T_688) @[lib.scala 104:36] + node _T_690 = and(_T_689, _T_585) @[lib.scala 104:41] + node _T_691 = bits(io.trigger_pkt_any[2].tdata2, 15, 15) @[lib.scala 104:74] + node _T_692 = bits(lsu_match_data_2, 15, 15) @[lib.scala 104:86] + node _T_693 = eq(_T_691, _T_692) @[lib.scala 104:78] + node _T_694 = mux(_T_690, UInt<1>("h01"), _T_693) @[lib.scala 104:23] + _T_582[15] <= _T_694 @[lib.scala 104:17] + node _T_695 = bits(io.trigger_pkt_any[2].tdata2, 15, 0) @[lib.scala 104:28] + node _T_696 = andr(_T_695) @[lib.scala 104:36] + node _T_697 = and(_T_696, _T_585) @[lib.scala 104:41] + node _T_698 = bits(io.trigger_pkt_any[2].tdata2, 16, 16) @[lib.scala 104:74] + node _T_699 = bits(lsu_match_data_2, 16, 16) @[lib.scala 104:86] + node _T_700 = eq(_T_698, _T_699) @[lib.scala 104:78] + node _T_701 = mux(_T_697, UInt<1>("h01"), _T_700) @[lib.scala 104:23] + _T_582[16] <= _T_701 @[lib.scala 104:17] + node _T_702 = bits(io.trigger_pkt_any[2].tdata2, 16, 0) @[lib.scala 104:28] + node _T_703 = andr(_T_702) @[lib.scala 104:36] + node _T_704 = and(_T_703, _T_585) @[lib.scala 104:41] + node _T_705 = bits(io.trigger_pkt_any[2].tdata2, 17, 17) @[lib.scala 104:74] + node _T_706 = bits(lsu_match_data_2, 17, 17) @[lib.scala 104:86] + node _T_707 = eq(_T_705, _T_706) @[lib.scala 104:78] + node _T_708 = mux(_T_704, UInt<1>("h01"), _T_707) @[lib.scala 104:23] + _T_582[17] <= _T_708 @[lib.scala 104:17] + node _T_709 = bits(io.trigger_pkt_any[2].tdata2, 17, 0) @[lib.scala 104:28] + node _T_710 = andr(_T_709) @[lib.scala 104:36] + node _T_711 = and(_T_710, _T_585) @[lib.scala 104:41] + node _T_712 = bits(io.trigger_pkt_any[2].tdata2, 18, 18) @[lib.scala 104:74] + node _T_713 = bits(lsu_match_data_2, 18, 18) @[lib.scala 104:86] + node _T_714 = eq(_T_712, _T_713) @[lib.scala 104:78] + node _T_715 = mux(_T_711, UInt<1>("h01"), _T_714) @[lib.scala 104:23] + _T_582[18] <= _T_715 @[lib.scala 104:17] + node _T_716 = bits(io.trigger_pkt_any[2].tdata2, 18, 0) @[lib.scala 104:28] + node _T_717 = andr(_T_716) @[lib.scala 104:36] + node _T_718 = and(_T_717, _T_585) @[lib.scala 104:41] + node _T_719 = bits(io.trigger_pkt_any[2].tdata2, 19, 19) @[lib.scala 104:74] + node _T_720 = bits(lsu_match_data_2, 19, 19) @[lib.scala 104:86] + node _T_721 = eq(_T_719, _T_720) @[lib.scala 104:78] + node _T_722 = mux(_T_718, UInt<1>("h01"), _T_721) @[lib.scala 104:23] + _T_582[19] <= _T_722 @[lib.scala 104:17] + node _T_723 = bits(io.trigger_pkt_any[2].tdata2, 19, 0) @[lib.scala 104:28] + node _T_724 = andr(_T_723) @[lib.scala 104:36] + node _T_725 = and(_T_724, _T_585) @[lib.scala 104:41] + node _T_726 = bits(io.trigger_pkt_any[2].tdata2, 20, 20) @[lib.scala 104:74] + node _T_727 = bits(lsu_match_data_2, 20, 20) @[lib.scala 104:86] + node _T_728 = eq(_T_726, _T_727) @[lib.scala 104:78] + node _T_729 = mux(_T_725, UInt<1>("h01"), _T_728) @[lib.scala 104:23] + _T_582[20] <= _T_729 @[lib.scala 104:17] + node _T_730 = bits(io.trigger_pkt_any[2].tdata2, 20, 0) @[lib.scala 104:28] + node _T_731 = andr(_T_730) @[lib.scala 104:36] + node _T_732 = and(_T_731, _T_585) @[lib.scala 104:41] + node _T_733 = bits(io.trigger_pkt_any[2].tdata2, 21, 21) @[lib.scala 104:74] + node _T_734 = bits(lsu_match_data_2, 21, 21) @[lib.scala 104:86] + node _T_735 = eq(_T_733, _T_734) @[lib.scala 104:78] + node _T_736 = mux(_T_732, UInt<1>("h01"), _T_735) @[lib.scala 104:23] + _T_582[21] <= _T_736 @[lib.scala 104:17] + node _T_737 = bits(io.trigger_pkt_any[2].tdata2, 21, 0) @[lib.scala 104:28] + node _T_738 = andr(_T_737) @[lib.scala 104:36] + node _T_739 = and(_T_738, _T_585) @[lib.scala 104:41] + node _T_740 = bits(io.trigger_pkt_any[2].tdata2, 22, 22) @[lib.scala 104:74] + node _T_741 = bits(lsu_match_data_2, 22, 22) @[lib.scala 104:86] + node _T_742 = eq(_T_740, _T_741) @[lib.scala 104:78] + node _T_743 = mux(_T_739, UInt<1>("h01"), _T_742) @[lib.scala 104:23] + _T_582[22] <= _T_743 @[lib.scala 104:17] + node _T_744 = bits(io.trigger_pkt_any[2].tdata2, 22, 0) @[lib.scala 104:28] + node _T_745 = andr(_T_744) @[lib.scala 104:36] + node _T_746 = and(_T_745, _T_585) @[lib.scala 104:41] + node _T_747 = bits(io.trigger_pkt_any[2].tdata2, 23, 23) @[lib.scala 104:74] + node _T_748 = bits(lsu_match_data_2, 23, 23) @[lib.scala 104:86] + node _T_749 = eq(_T_747, _T_748) @[lib.scala 104:78] + node _T_750 = mux(_T_746, UInt<1>("h01"), _T_749) @[lib.scala 104:23] + _T_582[23] <= _T_750 @[lib.scala 104:17] + node _T_751 = bits(io.trigger_pkt_any[2].tdata2, 23, 0) @[lib.scala 104:28] + node _T_752 = andr(_T_751) @[lib.scala 104:36] + node _T_753 = and(_T_752, _T_585) @[lib.scala 104:41] + node _T_754 = bits(io.trigger_pkt_any[2].tdata2, 24, 24) @[lib.scala 104:74] + node _T_755 = bits(lsu_match_data_2, 24, 24) @[lib.scala 104:86] + node _T_756 = eq(_T_754, _T_755) @[lib.scala 104:78] + node _T_757 = mux(_T_753, UInt<1>("h01"), _T_756) @[lib.scala 104:23] + _T_582[24] <= _T_757 @[lib.scala 104:17] + node _T_758 = bits(io.trigger_pkt_any[2].tdata2, 24, 0) @[lib.scala 104:28] + node _T_759 = andr(_T_758) @[lib.scala 104:36] + node _T_760 = and(_T_759, _T_585) @[lib.scala 104:41] + node _T_761 = bits(io.trigger_pkt_any[2].tdata2, 25, 25) @[lib.scala 104:74] + node _T_762 = bits(lsu_match_data_2, 25, 25) @[lib.scala 104:86] + node _T_763 = eq(_T_761, _T_762) @[lib.scala 104:78] + node _T_764 = mux(_T_760, UInt<1>("h01"), _T_763) @[lib.scala 104:23] + _T_582[25] <= _T_764 @[lib.scala 104:17] + node _T_765 = bits(io.trigger_pkt_any[2].tdata2, 25, 0) @[lib.scala 104:28] + node _T_766 = andr(_T_765) @[lib.scala 104:36] + node _T_767 = and(_T_766, _T_585) @[lib.scala 104:41] + node _T_768 = bits(io.trigger_pkt_any[2].tdata2, 26, 26) @[lib.scala 104:74] + node _T_769 = bits(lsu_match_data_2, 26, 26) @[lib.scala 104:86] + node _T_770 = eq(_T_768, _T_769) @[lib.scala 104:78] + node _T_771 = mux(_T_767, UInt<1>("h01"), _T_770) @[lib.scala 104:23] + _T_582[26] <= _T_771 @[lib.scala 104:17] + node _T_772 = bits(io.trigger_pkt_any[2].tdata2, 26, 0) @[lib.scala 104:28] + node _T_773 = andr(_T_772) @[lib.scala 104:36] + node _T_774 = and(_T_773, _T_585) @[lib.scala 104:41] + node _T_775 = bits(io.trigger_pkt_any[2].tdata2, 27, 27) @[lib.scala 104:74] + node _T_776 = bits(lsu_match_data_2, 27, 27) @[lib.scala 104:86] + node _T_777 = eq(_T_775, _T_776) @[lib.scala 104:78] + node _T_778 = mux(_T_774, UInt<1>("h01"), _T_777) @[lib.scala 104:23] + _T_582[27] <= _T_778 @[lib.scala 104:17] + node _T_779 = bits(io.trigger_pkt_any[2].tdata2, 27, 0) @[lib.scala 104:28] + node _T_780 = andr(_T_779) @[lib.scala 104:36] + node _T_781 = and(_T_780, _T_585) @[lib.scala 104:41] + node _T_782 = bits(io.trigger_pkt_any[2].tdata2, 28, 28) @[lib.scala 104:74] + node _T_783 = bits(lsu_match_data_2, 28, 28) @[lib.scala 104:86] + node _T_784 = eq(_T_782, _T_783) @[lib.scala 104:78] + node _T_785 = mux(_T_781, UInt<1>("h01"), _T_784) @[lib.scala 104:23] + _T_582[28] <= _T_785 @[lib.scala 104:17] + node _T_786 = bits(io.trigger_pkt_any[2].tdata2, 28, 0) @[lib.scala 104:28] + node _T_787 = andr(_T_786) @[lib.scala 104:36] + node _T_788 = and(_T_787, _T_585) @[lib.scala 104:41] + node _T_789 = bits(io.trigger_pkt_any[2].tdata2, 29, 29) @[lib.scala 104:74] + node _T_790 = bits(lsu_match_data_2, 29, 29) @[lib.scala 104:86] + node _T_791 = eq(_T_789, _T_790) @[lib.scala 104:78] + node _T_792 = mux(_T_788, UInt<1>("h01"), _T_791) @[lib.scala 104:23] + _T_582[29] <= _T_792 @[lib.scala 104:17] + node _T_793 = bits(io.trigger_pkt_any[2].tdata2, 29, 0) @[lib.scala 104:28] + node _T_794 = andr(_T_793) @[lib.scala 104:36] + node _T_795 = and(_T_794, _T_585) @[lib.scala 104:41] + node _T_796 = bits(io.trigger_pkt_any[2].tdata2, 30, 30) @[lib.scala 104:74] + node _T_797 = bits(lsu_match_data_2, 30, 30) @[lib.scala 104:86] + node _T_798 = eq(_T_796, _T_797) @[lib.scala 104:78] + node _T_799 = mux(_T_795, UInt<1>("h01"), _T_798) @[lib.scala 104:23] + _T_582[30] <= _T_799 @[lib.scala 104:17] + node _T_800 = bits(io.trigger_pkt_any[2].tdata2, 30, 0) @[lib.scala 104:28] + node _T_801 = andr(_T_800) @[lib.scala 104:36] + node _T_802 = and(_T_801, _T_585) @[lib.scala 104:41] + node _T_803 = bits(io.trigger_pkt_any[2].tdata2, 31, 31) @[lib.scala 104:74] + node _T_804 = bits(lsu_match_data_2, 31, 31) @[lib.scala 104:86] + node _T_805 = eq(_T_803, _T_804) @[lib.scala 104:78] + node _T_806 = mux(_T_802, UInt<1>("h01"), _T_805) @[lib.scala 104:23] + _T_582[31] <= _T_806 @[lib.scala 104:17] + node _T_807 = cat(_T_582[1], _T_582[0]) @[lib.scala 105:14] + node _T_808 = cat(_T_582[3], _T_582[2]) @[lib.scala 105:14] + node _T_809 = cat(_T_808, _T_807) @[lib.scala 105:14] + node _T_810 = cat(_T_582[5], _T_582[4]) @[lib.scala 105:14] + node _T_811 = cat(_T_582[7], _T_582[6]) @[lib.scala 105:14] + node _T_812 = cat(_T_811, _T_810) @[lib.scala 105:14] + node _T_813 = cat(_T_812, _T_809) @[lib.scala 105:14] + node _T_814 = cat(_T_582[9], _T_582[8]) @[lib.scala 105:14] + node _T_815 = cat(_T_582[11], _T_582[10]) @[lib.scala 105:14] + node _T_816 = cat(_T_815, _T_814) @[lib.scala 105:14] + node _T_817 = cat(_T_582[13], _T_582[12]) @[lib.scala 105:14] + node _T_818 = cat(_T_582[15], _T_582[14]) @[lib.scala 105:14] + node _T_819 = cat(_T_818, _T_817) @[lib.scala 105:14] + node _T_820 = cat(_T_819, _T_816) @[lib.scala 105:14] + node _T_821 = cat(_T_820, _T_813) @[lib.scala 105:14] + node _T_822 = cat(_T_582[17], _T_582[16]) @[lib.scala 105:14] + node _T_823 = cat(_T_582[19], _T_582[18]) @[lib.scala 105:14] + node _T_824 = cat(_T_823, _T_822) @[lib.scala 105:14] + node _T_825 = cat(_T_582[21], _T_582[20]) @[lib.scala 105:14] + node _T_826 = cat(_T_582[23], _T_582[22]) @[lib.scala 105:14] + node _T_827 = cat(_T_826, _T_825) @[lib.scala 105:14] + node _T_828 = cat(_T_827, _T_824) @[lib.scala 105:14] + node _T_829 = cat(_T_582[25], _T_582[24]) @[lib.scala 105:14] + node _T_830 = cat(_T_582[27], _T_582[26]) @[lib.scala 105:14] + node _T_831 = cat(_T_830, _T_829) @[lib.scala 105:14] + node _T_832 = cat(_T_582[29], _T_582[28]) @[lib.scala 105:14] + node _T_833 = cat(_T_582[31], _T_582[30]) @[lib.scala 105:14] + node _T_834 = cat(_T_833, _T_832) @[lib.scala 105:14] + node _T_835 = cat(_T_834, _T_831) @[lib.scala 105:14] + node _T_836 = cat(_T_835, _T_828) @[lib.scala 105:14] + node _T_837 = cat(_T_836, _T_821) @[lib.scala 105:14] + node _T_838 = andr(_T_837) @[lib.scala 105:25] + node _T_839 = and(_T_580, _T_838) @[lsu_trigger.scala 19:92] + node _T_840 = eq(io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu_trigger.scala 18:71] + node _T_841 = and(io.lsu_pkt_m.valid, _T_840) @[lsu_trigger.scala 18:69] + node _T_842 = and(io.trigger_pkt_any[3].store, io.lsu_pkt_m.bits.store) @[lsu_trigger.scala 18:126] + node _T_843 = and(io.trigger_pkt_any[3].load, io.lsu_pkt_m.bits.load) @[lsu_trigger.scala 19:33] + node _T_844 = eq(io.trigger_pkt_any[3].select, UInt<1>("h00")) @[lsu_trigger.scala 19:60] + node _T_845 = and(_T_843, _T_844) @[lsu_trigger.scala 19:58] + node _T_846 = or(_T_842, _T_845) @[lsu_trigger.scala 18:152] + node _T_847 = and(_T_841, _T_846) @[lsu_trigger.scala 18:94] + node _T_848 = bits(io.trigger_pkt_any[3].match_pkt, 0, 0) @[lsu_trigger.scala 20:107] + wire _T_849 : UInt<1>[32] @[lib.scala 100:24] + node _T_850 = andr(io.trigger_pkt_any[3].tdata2) @[lib.scala 101:45] + node _T_851 = not(_T_850) @[lib.scala 101:39] + node _T_852 = and(_T_848, _T_851) @[lib.scala 101:37] + node _T_853 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 102:48] + node _T_854 = bits(lsu_match_data_3, 0, 0) @[lib.scala 102:60] + node _T_855 = eq(_T_853, _T_854) @[lib.scala 102:52] + node _T_856 = or(_T_852, _T_855) @[lib.scala 102:41] + _T_849[0] <= _T_856 @[lib.scala 102:18] + node _T_857 = bits(io.trigger_pkt_any[3].tdata2, 0, 0) @[lib.scala 104:28] + node _T_858 = andr(_T_857) @[lib.scala 104:36] + node _T_859 = and(_T_858, _T_852) @[lib.scala 104:41] + node _T_860 = bits(io.trigger_pkt_any[3].tdata2, 1, 1) @[lib.scala 104:74] + node _T_861 = bits(lsu_match_data_3, 1, 1) @[lib.scala 104:86] + node _T_862 = eq(_T_860, _T_861) @[lib.scala 104:78] + node _T_863 = mux(_T_859, UInt<1>("h01"), _T_862) @[lib.scala 104:23] + _T_849[1] <= _T_863 @[lib.scala 104:17] + node _T_864 = bits(io.trigger_pkt_any[3].tdata2, 1, 0) @[lib.scala 104:28] + node _T_865 = andr(_T_864) @[lib.scala 104:36] + node _T_866 = and(_T_865, _T_852) @[lib.scala 104:41] + node _T_867 = bits(io.trigger_pkt_any[3].tdata2, 2, 2) @[lib.scala 104:74] + node _T_868 = bits(lsu_match_data_3, 2, 2) @[lib.scala 104:86] + node _T_869 = eq(_T_867, _T_868) @[lib.scala 104:78] + node _T_870 = mux(_T_866, UInt<1>("h01"), _T_869) @[lib.scala 104:23] + _T_849[2] <= _T_870 @[lib.scala 104:17] + node _T_871 = bits(io.trigger_pkt_any[3].tdata2, 2, 0) @[lib.scala 104:28] + node _T_872 = andr(_T_871) @[lib.scala 104:36] + node _T_873 = and(_T_872, _T_852) @[lib.scala 104:41] + node _T_874 = bits(io.trigger_pkt_any[3].tdata2, 3, 3) @[lib.scala 104:74] + node _T_875 = bits(lsu_match_data_3, 3, 3) @[lib.scala 104:86] + node _T_876 = eq(_T_874, _T_875) @[lib.scala 104:78] + node _T_877 = mux(_T_873, UInt<1>("h01"), _T_876) @[lib.scala 104:23] + _T_849[3] <= _T_877 @[lib.scala 104:17] + node _T_878 = bits(io.trigger_pkt_any[3].tdata2, 3, 0) @[lib.scala 104:28] + node _T_879 = andr(_T_878) @[lib.scala 104:36] + node _T_880 = and(_T_879, _T_852) @[lib.scala 104:41] + node _T_881 = bits(io.trigger_pkt_any[3].tdata2, 4, 4) @[lib.scala 104:74] + node _T_882 = bits(lsu_match_data_3, 4, 4) @[lib.scala 104:86] + node _T_883 = eq(_T_881, _T_882) @[lib.scala 104:78] + node _T_884 = mux(_T_880, UInt<1>("h01"), _T_883) @[lib.scala 104:23] + _T_849[4] <= _T_884 @[lib.scala 104:17] + node _T_885 = bits(io.trigger_pkt_any[3].tdata2, 4, 0) @[lib.scala 104:28] + node _T_886 = andr(_T_885) @[lib.scala 104:36] + node _T_887 = and(_T_886, _T_852) @[lib.scala 104:41] + node _T_888 = bits(io.trigger_pkt_any[3].tdata2, 5, 5) @[lib.scala 104:74] + node _T_889 = bits(lsu_match_data_3, 5, 5) @[lib.scala 104:86] + node _T_890 = eq(_T_888, _T_889) @[lib.scala 104:78] + node _T_891 = mux(_T_887, UInt<1>("h01"), _T_890) @[lib.scala 104:23] + _T_849[5] <= _T_891 @[lib.scala 104:17] + node _T_892 = bits(io.trigger_pkt_any[3].tdata2, 5, 0) @[lib.scala 104:28] + node _T_893 = andr(_T_892) @[lib.scala 104:36] + node _T_894 = and(_T_893, _T_852) @[lib.scala 104:41] + node _T_895 = bits(io.trigger_pkt_any[3].tdata2, 6, 6) @[lib.scala 104:74] + node _T_896 = bits(lsu_match_data_3, 6, 6) @[lib.scala 104:86] + node _T_897 = eq(_T_895, _T_896) @[lib.scala 104:78] + node _T_898 = mux(_T_894, UInt<1>("h01"), _T_897) @[lib.scala 104:23] + _T_849[6] <= _T_898 @[lib.scala 104:17] + node _T_899 = bits(io.trigger_pkt_any[3].tdata2, 6, 0) @[lib.scala 104:28] + node _T_900 = andr(_T_899) @[lib.scala 104:36] + node _T_901 = and(_T_900, _T_852) @[lib.scala 104:41] + node _T_902 = bits(io.trigger_pkt_any[3].tdata2, 7, 7) @[lib.scala 104:74] + node _T_903 = bits(lsu_match_data_3, 7, 7) @[lib.scala 104:86] + node _T_904 = eq(_T_902, _T_903) @[lib.scala 104:78] + node _T_905 = mux(_T_901, UInt<1>("h01"), _T_904) @[lib.scala 104:23] + _T_849[7] <= _T_905 @[lib.scala 104:17] + node _T_906 = bits(io.trigger_pkt_any[3].tdata2, 7, 0) @[lib.scala 104:28] + node _T_907 = andr(_T_906) @[lib.scala 104:36] + node _T_908 = and(_T_907, _T_852) @[lib.scala 104:41] + node _T_909 = bits(io.trigger_pkt_any[3].tdata2, 8, 8) @[lib.scala 104:74] + node _T_910 = bits(lsu_match_data_3, 8, 8) @[lib.scala 104:86] + node _T_911 = eq(_T_909, _T_910) @[lib.scala 104:78] + node _T_912 = mux(_T_908, UInt<1>("h01"), _T_911) @[lib.scala 104:23] + _T_849[8] <= _T_912 @[lib.scala 104:17] + node _T_913 = bits(io.trigger_pkt_any[3].tdata2, 8, 0) @[lib.scala 104:28] + node _T_914 = andr(_T_913) @[lib.scala 104:36] + node _T_915 = and(_T_914, _T_852) @[lib.scala 104:41] + node _T_916 = bits(io.trigger_pkt_any[3].tdata2, 9, 9) @[lib.scala 104:74] + node _T_917 = bits(lsu_match_data_3, 9, 9) @[lib.scala 104:86] + node _T_918 = eq(_T_916, _T_917) @[lib.scala 104:78] + node _T_919 = mux(_T_915, UInt<1>("h01"), _T_918) @[lib.scala 104:23] + _T_849[9] <= _T_919 @[lib.scala 104:17] + node _T_920 = bits(io.trigger_pkt_any[3].tdata2, 9, 0) @[lib.scala 104:28] + node _T_921 = andr(_T_920) @[lib.scala 104:36] + node _T_922 = and(_T_921, _T_852) @[lib.scala 104:41] + node _T_923 = bits(io.trigger_pkt_any[3].tdata2, 10, 10) @[lib.scala 104:74] + node _T_924 = bits(lsu_match_data_3, 10, 10) @[lib.scala 104:86] + node _T_925 = eq(_T_923, _T_924) @[lib.scala 104:78] + node _T_926 = mux(_T_922, UInt<1>("h01"), _T_925) @[lib.scala 104:23] + _T_849[10] <= _T_926 @[lib.scala 104:17] + node _T_927 = bits(io.trigger_pkt_any[3].tdata2, 10, 0) @[lib.scala 104:28] + node _T_928 = andr(_T_927) @[lib.scala 104:36] + node _T_929 = and(_T_928, _T_852) @[lib.scala 104:41] + node _T_930 = bits(io.trigger_pkt_any[3].tdata2, 11, 11) @[lib.scala 104:74] + node _T_931 = bits(lsu_match_data_3, 11, 11) @[lib.scala 104:86] + node _T_932 = eq(_T_930, _T_931) @[lib.scala 104:78] + node _T_933 = mux(_T_929, UInt<1>("h01"), _T_932) @[lib.scala 104:23] + _T_849[11] <= _T_933 @[lib.scala 104:17] + node _T_934 = bits(io.trigger_pkt_any[3].tdata2, 11, 0) @[lib.scala 104:28] + node _T_935 = andr(_T_934) @[lib.scala 104:36] + node _T_936 = and(_T_935, _T_852) @[lib.scala 104:41] + node _T_937 = bits(io.trigger_pkt_any[3].tdata2, 12, 12) @[lib.scala 104:74] + node _T_938 = bits(lsu_match_data_3, 12, 12) @[lib.scala 104:86] + node _T_939 = eq(_T_937, _T_938) @[lib.scala 104:78] + node _T_940 = mux(_T_936, UInt<1>("h01"), _T_939) @[lib.scala 104:23] + _T_849[12] <= _T_940 @[lib.scala 104:17] + node _T_941 = bits(io.trigger_pkt_any[3].tdata2, 12, 0) @[lib.scala 104:28] + node _T_942 = andr(_T_941) @[lib.scala 104:36] + node _T_943 = and(_T_942, _T_852) @[lib.scala 104:41] + node _T_944 = bits(io.trigger_pkt_any[3].tdata2, 13, 13) @[lib.scala 104:74] + node _T_945 = bits(lsu_match_data_3, 13, 13) @[lib.scala 104:86] + node _T_946 = eq(_T_944, _T_945) @[lib.scala 104:78] + node _T_947 = mux(_T_943, UInt<1>("h01"), _T_946) @[lib.scala 104:23] + _T_849[13] <= _T_947 @[lib.scala 104:17] + node _T_948 = bits(io.trigger_pkt_any[3].tdata2, 13, 0) @[lib.scala 104:28] + node _T_949 = andr(_T_948) @[lib.scala 104:36] + node _T_950 = and(_T_949, _T_852) @[lib.scala 104:41] + node _T_951 = bits(io.trigger_pkt_any[3].tdata2, 14, 14) @[lib.scala 104:74] + node _T_952 = bits(lsu_match_data_3, 14, 14) @[lib.scala 104:86] + node _T_953 = eq(_T_951, _T_952) @[lib.scala 104:78] + node _T_954 = mux(_T_950, UInt<1>("h01"), _T_953) @[lib.scala 104:23] + _T_849[14] <= _T_954 @[lib.scala 104:17] + node _T_955 = bits(io.trigger_pkt_any[3].tdata2, 14, 0) @[lib.scala 104:28] + node _T_956 = andr(_T_955) @[lib.scala 104:36] + node _T_957 = and(_T_956, _T_852) @[lib.scala 104:41] + node _T_958 = bits(io.trigger_pkt_any[3].tdata2, 15, 15) @[lib.scala 104:74] + node _T_959 = bits(lsu_match_data_3, 15, 15) @[lib.scala 104:86] + node _T_960 = eq(_T_958, _T_959) @[lib.scala 104:78] + node _T_961 = mux(_T_957, UInt<1>("h01"), _T_960) @[lib.scala 104:23] + _T_849[15] <= _T_961 @[lib.scala 104:17] + node _T_962 = bits(io.trigger_pkt_any[3].tdata2, 15, 0) @[lib.scala 104:28] + node _T_963 = andr(_T_962) @[lib.scala 104:36] + node _T_964 = and(_T_963, _T_852) @[lib.scala 104:41] + node _T_965 = bits(io.trigger_pkt_any[3].tdata2, 16, 16) @[lib.scala 104:74] + node _T_966 = bits(lsu_match_data_3, 16, 16) @[lib.scala 104:86] + node _T_967 = eq(_T_965, _T_966) @[lib.scala 104:78] + node _T_968 = mux(_T_964, UInt<1>("h01"), _T_967) @[lib.scala 104:23] + _T_849[16] <= _T_968 @[lib.scala 104:17] + node _T_969 = bits(io.trigger_pkt_any[3].tdata2, 16, 0) @[lib.scala 104:28] + node _T_970 = andr(_T_969) @[lib.scala 104:36] + node _T_971 = and(_T_970, _T_852) @[lib.scala 104:41] + node _T_972 = bits(io.trigger_pkt_any[3].tdata2, 17, 17) @[lib.scala 104:74] + node _T_973 = bits(lsu_match_data_3, 17, 17) @[lib.scala 104:86] + node _T_974 = eq(_T_972, _T_973) @[lib.scala 104:78] + node _T_975 = mux(_T_971, UInt<1>("h01"), _T_974) @[lib.scala 104:23] + _T_849[17] <= _T_975 @[lib.scala 104:17] + node _T_976 = bits(io.trigger_pkt_any[3].tdata2, 17, 0) @[lib.scala 104:28] + node _T_977 = andr(_T_976) @[lib.scala 104:36] + node _T_978 = and(_T_977, _T_852) @[lib.scala 104:41] + node _T_979 = bits(io.trigger_pkt_any[3].tdata2, 18, 18) @[lib.scala 104:74] + node _T_980 = bits(lsu_match_data_3, 18, 18) @[lib.scala 104:86] + node _T_981 = eq(_T_979, _T_980) @[lib.scala 104:78] + node _T_982 = mux(_T_978, UInt<1>("h01"), _T_981) @[lib.scala 104:23] + _T_849[18] <= _T_982 @[lib.scala 104:17] + node _T_983 = bits(io.trigger_pkt_any[3].tdata2, 18, 0) @[lib.scala 104:28] + node _T_984 = andr(_T_983) @[lib.scala 104:36] + node _T_985 = and(_T_984, _T_852) @[lib.scala 104:41] + node _T_986 = bits(io.trigger_pkt_any[3].tdata2, 19, 19) @[lib.scala 104:74] + node _T_987 = bits(lsu_match_data_3, 19, 19) @[lib.scala 104:86] + node _T_988 = eq(_T_986, _T_987) @[lib.scala 104:78] + node _T_989 = mux(_T_985, UInt<1>("h01"), _T_988) @[lib.scala 104:23] + _T_849[19] <= _T_989 @[lib.scala 104:17] + node _T_990 = bits(io.trigger_pkt_any[3].tdata2, 19, 0) @[lib.scala 104:28] + node _T_991 = andr(_T_990) @[lib.scala 104:36] + node _T_992 = and(_T_991, _T_852) @[lib.scala 104:41] + node _T_993 = bits(io.trigger_pkt_any[3].tdata2, 20, 20) @[lib.scala 104:74] + node _T_994 = bits(lsu_match_data_3, 20, 20) @[lib.scala 104:86] + node _T_995 = eq(_T_993, _T_994) @[lib.scala 104:78] + node _T_996 = mux(_T_992, UInt<1>("h01"), _T_995) @[lib.scala 104:23] + _T_849[20] <= _T_996 @[lib.scala 104:17] + node _T_997 = bits(io.trigger_pkt_any[3].tdata2, 20, 0) @[lib.scala 104:28] + node _T_998 = andr(_T_997) @[lib.scala 104:36] + node _T_999 = and(_T_998, _T_852) @[lib.scala 104:41] + node _T_1000 = bits(io.trigger_pkt_any[3].tdata2, 21, 21) @[lib.scala 104:74] + node _T_1001 = bits(lsu_match_data_3, 21, 21) @[lib.scala 104:86] + node _T_1002 = eq(_T_1000, _T_1001) @[lib.scala 104:78] + node _T_1003 = mux(_T_999, UInt<1>("h01"), _T_1002) @[lib.scala 104:23] + _T_849[21] <= _T_1003 @[lib.scala 104:17] + node _T_1004 = bits(io.trigger_pkt_any[3].tdata2, 21, 0) @[lib.scala 104:28] + node _T_1005 = andr(_T_1004) @[lib.scala 104:36] + node _T_1006 = and(_T_1005, _T_852) @[lib.scala 104:41] + node _T_1007 = bits(io.trigger_pkt_any[3].tdata2, 22, 22) @[lib.scala 104:74] + node _T_1008 = bits(lsu_match_data_3, 22, 22) @[lib.scala 104:86] + node _T_1009 = eq(_T_1007, _T_1008) @[lib.scala 104:78] + node _T_1010 = mux(_T_1006, UInt<1>("h01"), _T_1009) @[lib.scala 104:23] + _T_849[22] <= _T_1010 @[lib.scala 104:17] + node _T_1011 = bits(io.trigger_pkt_any[3].tdata2, 22, 0) @[lib.scala 104:28] + node _T_1012 = andr(_T_1011) @[lib.scala 104:36] + node _T_1013 = and(_T_1012, _T_852) @[lib.scala 104:41] + node _T_1014 = bits(io.trigger_pkt_any[3].tdata2, 23, 23) @[lib.scala 104:74] + node _T_1015 = bits(lsu_match_data_3, 23, 23) @[lib.scala 104:86] + node _T_1016 = eq(_T_1014, _T_1015) @[lib.scala 104:78] + node _T_1017 = mux(_T_1013, UInt<1>("h01"), _T_1016) @[lib.scala 104:23] + _T_849[23] <= _T_1017 @[lib.scala 104:17] + node _T_1018 = bits(io.trigger_pkt_any[3].tdata2, 23, 0) @[lib.scala 104:28] + node _T_1019 = andr(_T_1018) @[lib.scala 104:36] + node _T_1020 = and(_T_1019, _T_852) @[lib.scala 104:41] + node _T_1021 = bits(io.trigger_pkt_any[3].tdata2, 24, 24) @[lib.scala 104:74] + node _T_1022 = bits(lsu_match_data_3, 24, 24) @[lib.scala 104:86] + node _T_1023 = eq(_T_1021, _T_1022) @[lib.scala 104:78] + node _T_1024 = mux(_T_1020, UInt<1>("h01"), _T_1023) @[lib.scala 104:23] + _T_849[24] <= _T_1024 @[lib.scala 104:17] + node _T_1025 = bits(io.trigger_pkt_any[3].tdata2, 24, 0) @[lib.scala 104:28] + node _T_1026 = andr(_T_1025) @[lib.scala 104:36] + node _T_1027 = and(_T_1026, _T_852) @[lib.scala 104:41] + node _T_1028 = bits(io.trigger_pkt_any[3].tdata2, 25, 25) @[lib.scala 104:74] + node _T_1029 = bits(lsu_match_data_3, 25, 25) @[lib.scala 104:86] + node _T_1030 = eq(_T_1028, _T_1029) @[lib.scala 104:78] + node _T_1031 = mux(_T_1027, UInt<1>("h01"), _T_1030) @[lib.scala 104:23] + _T_849[25] <= _T_1031 @[lib.scala 104:17] + node _T_1032 = bits(io.trigger_pkt_any[3].tdata2, 25, 0) @[lib.scala 104:28] + node _T_1033 = andr(_T_1032) @[lib.scala 104:36] + node _T_1034 = and(_T_1033, _T_852) @[lib.scala 104:41] + node _T_1035 = bits(io.trigger_pkt_any[3].tdata2, 26, 26) @[lib.scala 104:74] + node _T_1036 = bits(lsu_match_data_3, 26, 26) @[lib.scala 104:86] + node _T_1037 = eq(_T_1035, _T_1036) @[lib.scala 104:78] + node _T_1038 = mux(_T_1034, UInt<1>("h01"), _T_1037) @[lib.scala 104:23] + _T_849[26] <= _T_1038 @[lib.scala 104:17] + node _T_1039 = bits(io.trigger_pkt_any[3].tdata2, 26, 0) @[lib.scala 104:28] + node _T_1040 = andr(_T_1039) @[lib.scala 104:36] + node _T_1041 = and(_T_1040, _T_852) @[lib.scala 104:41] + node _T_1042 = bits(io.trigger_pkt_any[3].tdata2, 27, 27) @[lib.scala 104:74] + node _T_1043 = bits(lsu_match_data_3, 27, 27) @[lib.scala 104:86] + node _T_1044 = eq(_T_1042, _T_1043) @[lib.scala 104:78] + node _T_1045 = mux(_T_1041, UInt<1>("h01"), _T_1044) @[lib.scala 104:23] + _T_849[27] <= _T_1045 @[lib.scala 104:17] + node _T_1046 = bits(io.trigger_pkt_any[3].tdata2, 27, 0) @[lib.scala 104:28] + node _T_1047 = andr(_T_1046) @[lib.scala 104:36] + node _T_1048 = and(_T_1047, _T_852) @[lib.scala 104:41] + node _T_1049 = bits(io.trigger_pkt_any[3].tdata2, 28, 28) @[lib.scala 104:74] + node _T_1050 = bits(lsu_match_data_3, 28, 28) @[lib.scala 104:86] + node _T_1051 = eq(_T_1049, _T_1050) @[lib.scala 104:78] + node _T_1052 = mux(_T_1048, UInt<1>("h01"), _T_1051) @[lib.scala 104:23] + _T_849[28] <= _T_1052 @[lib.scala 104:17] + node _T_1053 = bits(io.trigger_pkt_any[3].tdata2, 28, 0) @[lib.scala 104:28] + node _T_1054 = andr(_T_1053) @[lib.scala 104:36] + node _T_1055 = and(_T_1054, _T_852) @[lib.scala 104:41] + node _T_1056 = bits(io.trigger_pkt_any[3].tdata2, 29, 29) @[lib.scala 104:74] + node _T_1057 = bits(lsu_match_data_3, 29, 29) @[lib.scala 104:86] + node _T_1058 = eq(_T_1056, _T_1057) @[lib.scala 104:78] + node _T_1059 = mux(_T_1055, UInt<1>("h01"), _T_1058) @[lib.scala 104:23] + _T_849[29] <= _T_1059 @[lib.scala 104:17] + node _T_1060 = bits(io.trigger_pkt_any[3].tdata2, 29, 0) @[lib.scala 104:28] + node _T_1061 = andr(_T_1060) @[lib.scala 104:36] + node _T_1062 = and(_T_1061, _T_852) @[lib.scala 104:41] + node _T_1063 = bits(io.trigger_pkt_any[3].tdata2, 30, 30) @[lib.scala 104:74] + node _T_1064 = bits(lsu_match_data_3, 30, 30) @[lib.scala 104:86] + node _T_1065 = eq(_T_1063, _T_1064) @[lib.scala 104:78] + node _T_1066 = mux(_T_1062, UInt<1>("h01"), _T_1065) @[lib.scala 104:23] + _T_849[30] <= _T_1066 @[lib.scala 104:17] + node _T_1067 = bits(io.trigger_pkt_any[3].tdata2, 30, 0) @[lib.scala 104:28] + node _T_1068 = andr(_T_1067) @[lib.scala 104:36] + node _T_1069 = and(_T_1068, _T_852) @[lib.scala 104:41] + node _T_1070 = bits(io.trigger_pkt_any[3].tdata2, 31, 31) @[lib.scala 104:74] + node _T_1071 = bits(lsu_match_data_3, 31, 31) @[lib.scala 104:86] + node _T_1072 = eq(_T_1070, _T_1071) @[lib.scala 104:78] + node _T_1073 = mux(_T_1069, UInt<1>("h01"), _T_1072) @[lib.scala 104:23] + _T_849[31] <= _T_1073 @[lib.scala 104:17] + node _T_1074 = cat(_T_849[1], _T_849[0]) @[lib.scala 105:14] + node _T_1075 = cat(_T_849[3], _T_849[2]) @[lib.scala 105:14] + node _T_1076 = cat(_T_1075, _T_1074) @[lib.scala 105:14] + node _T_1077 = cat(_T_849[5], _T_849[4]) @[lib.scala 105:14] + node _T_1078 = cat(_T_849[7], _T_849[6]) @[lib.scala 105:14] + node _T_1079 = cat(_T_1078, _T_1077) @[lib.scala 105:14] + node _T_1080 = cat(_T_1079, _T_1076) @[lib.scala 105:14] + node _T_1081 = cat(_T_849[9], _T_849[8]) @[lib.scala 105:14] + node _T_1082 = cat(_T_849[11], _T_849[10]) @[lib.scala 105:14] + node _T_1083 = cat(_T_1082, _T_1081) @[lib.scala 105:14] + node _T_1084 = cat(_T_849[13], _T_849[12]) @[lib.scala 105:14] + node _T_1085 = cat(_T_849[15], _T_849[14]) @[lib.scala 105:14] + node _T_1086 = cat(_T_1085, _T_1084) @[lib.scala 105:14] + node _T_1087 = cat(_T_1086, _T_1083) @[lib.scala 105:14] + node _T_1088 = cat(_T_1087, _T_1080) @[lib.scala 105:14] + node _T_1089 = cat(_T_849[17], _T_849[16]) @[lib.scala 105:14] + node _T_1090 = cat(_T_849[19], _T_849[18]) @[lib.scala 105:14] + node _T_1091 = cat(_T_1090, _T_1089) @[lib.scala 105:14] + node _T_1092 = cat(_T_849[21], _T_849[20]) @[lib.scala 105:14] + node _T_1093 = cat(_T_849[23], _T_849[22]) @[lib.scala 105:14] + node _T_1094 = cat(_T_1093, _T_1092) @[lib.scala 105:14] + node _T_1095 = cat(_T_1094, _T_1091) @[lib.scala 105:14] + node _T_1096 = cat(_T_849[25], _T_849[24]) @[lib.scala 105:14] + node _T_1097 = cat(_T_849[27], _T_849[26]) @[lib.scala 105:14] + node _T_1098 = cat(_T_1097, _T_1096) @[lib.scala 105:14] + node _T_1099 = cat(_T_849[29], _T_849[28]) @[lib.scala 105:14] + node _T_1100 = cat(_T_849[31], _T_849[30]) @[lib.scala 105:14] + node _T_1101 = cat(_T_1100, _T_1099) @[lib.scala 105:14] + node _T_1102 = cat(_T_1101, _T_1098) @[lib.scala 105:14] + node _T_1103 = cat(_T_1102, _T_1095) @[lib.scala 105:14] + node _T_1104 = cat(_T_1103, _T_1088) @[lib.scala 105:14] + node _T_1105 = andr(_T_1104) @[lib.scala 105:25] + node _T_1106 = and(_T_847, _T_1105) @[lsu_trigger.scala 19:92] + node _T_1107 = cat(_T_1106, _T_839) @[Cat.scala 29:58] + node _T_1108 = cat(_T_1107, _T_572) @[Cat.scala 29:58] + node _T_1109 = cat(_T_1108, _T_305) @[Cat.scala 29:58] + io.lsu_trigger_match_m <= _T_1109 @[lsu_trigger.scala 18:26] + + extmodule gated_latch_12 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_12 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_12 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_13 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_13 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_13 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_14 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_14 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_14 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_15 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_15 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_15 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_16 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_16 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_16 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_17 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_17 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_17 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_18 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_18 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_18 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_19 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_19 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_19 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_20 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_20 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_20 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_21 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_21 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_21 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_22 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_22 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_22 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_23 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_23 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_23 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_clkdomain : + input clock : Clock + input reset : AsyncReset + output io : {flip free_clk : Clock, flip clk_override : UInt<1>, flip addr_in_dccm_m : UInt<1>, flip dma_dccm_req : UInt<1>, flip ldst_stbuf_reqvld_r : UInt<1>, flip stbuf_reqvld_any : UInt<1>, flip stbuf_reqvld_flushed_any : UInt<1>, flip lsu_busreq_r : UInt<1>, flip lsu_bus_buffer_pend_any : UInt<1>, flip lsu_bus_buffer_empty_any : UInt<1>, flip lsu_stbuf_empty_any : UInt<1>, flip lsu_bus_clk_en : UInt<1>, flip lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_d : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, lsu_c1_m_clk : Clock, lsu_c1_r_clk : Clock, lsu_c2_m_clk : Clock, lsu_c2_r_clk : Clock, lsu_store_c1_m_clk : Clock, lsu_store_c1_r_clk : Clock, lsu_stbuf_c1_clk : Clock, lsu_bus_obuf_c1_clk : Clock, lsu_bus_ibuf_c1_clk : Clock, lsu_bus_buf_c1_clk : Clock, lsu_busm_clk : Clock, lsu_free_c2_clk : Clock, flip scan_mode : UInt<1>} + + wire lsu_c1_d_clken_q : UInt<1> @[lsu_clkdomain.scala 57:36] + wire lsu_c1_m_clken_q : UInt<1> @[lsu_clkdomain.scala 58:36] + wire lsu_c1_r_clken_q : UInt<1> @[lsu_clkdomain.scala 59:36] + wire lsu_free_c1_clken_q : UInt<1> @[lsu_clkdomain.scala 60:36] + node _T = or(io.lsu_p.valid, io.dma_dccm_req) @[lsu_clkdomain.scala 62:51] + node lsu_c1_d_clken = or(_T, io.clk_override) @[lsu_clkdomain.scala 62:70] + node _T_1 = or(io.lsu_pkt_d.valid, lsu_c1_d_clken_q) @[lsu_clkdomain.scala 63:51] + node lsu_c1_m_clken = or(_T_1, io.clk_override) @[lsu_clkdomain.scala 63:70] + node _T_2 = or(io.lsu_pkt_m.valid, lsu_c1_m_clken_q) @[lsu_clkdomain.scala 64:51] + node lsu_c1_r_clken = or(_T_2, io.clk_override) @[lsu_clkdomain.scala 64:70] + node _T_3 = or(lsu_c1_m_clken, lsu_c1_m_clken_q) @[lsu_clkdomain.scala 66:47] + node lsu_c2_m_clken = or(_T_3, io.clk_override) @[lsu_clkdomain.scala 66:66] + node _T_4 = or(lsu_c1_r_clken, lsu_c1_r_clken_q) @[lsu_clkdomain.scala 67:47] + node lsu_c2_r_clken = or(_T_4, io.clk_override) @[lsu_clkdomain.scala 67:66] + node _T_5 = and(lsu_c1_m_clken, io.lsu_pkt_d.bits.store) @[lsu_clkdomain.scala 69:49] + node lsu_store_c1_m_clken = or(_T_5, io.clk_override) @[lsu_clkdomain.scala 69:76] + node _T_6 = and(lsu_c1_r_clken, io.lsu_pkt_m.bits.store) @[lsu_clkdomain.scala 70:49] + node lsu_store_c1_r_clken = or(_T_6, io.clk_override) @[lsu_clkdomain.scala 70:76] + node _T_7 = or(io.ldst_stbuf_reqvld_r, io.stbuf_reqvld_any) @[lsu_clkdomain.scala 71:55] + node _T_8 = or(_T_7, io.stbuf_reqvld_flushed_any) @[lsu_clkdomain.scala 71:77] + node lsu_stbuf_c1_clken = or(_T_8, io.clk_override) @[lsu_clkdomain.scala 71:107] + node lsu_bus_ibuf_c1_clken = or(io.lsu_busreq_r, io.clk_override) @[lsu_clkdomain.scala 72:49] + node _T_9 = or(io.lsu_bus_buffer_pend_any, io.lsu_busreq_r) @[lsu_clkdomain.scala 73:61] + node _T_10 = or(_T_9, io.clk_override) @[lsu_clkdomain.scala 73:79] + node lsu_bus_obuf_c1_clken = and(_T_10, io.lsu_bus_clk_en) @[lsu_clkdomain.scala 73:98] + node _T_11 = eq(io.lsu_bus_buffer_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 74:32] + node _T_12 = or(_T_11, io.lsu_busreq_r) @[lsu_clkdomain.scala 74:61] + node lsu_bus_buf_c1_clken = or(_T_12, io.clk_override) @[lsu_clkdomain.scala 74:79] + node _T_13 = or(io.lsu_p.valid, io.lsu_pkt_d.valid) @[lsu_clkdomain.scala 76:48] + node _T_14 = or(_T_13, io.lsu_pkt_m.valid) @[lsu_clkdomain.scala 76:69] + node _T_15 = or(_T_14, io.lsu_pkt_r.valid) @[lsu_clkdomain.scala 76:90] + node _T_16 = eq(io.lsu_bus_buffer_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 76:114] + node _T_17 = or(_T_15, _T_16) @[lsu_clkdomain.scala 76:112] + node _T_18 = eq(io.lsu_stbuf_empty_any, UInt<1>("h00")) @[lsu_clkdomain.scala 76:145] + node _T_19 = or(_T_17, _T_18) @[lsu_clkdomain.scala 76:143] + node lsu_free_c1_clken = or(_T_19, io.clk_override) @[lsu_clkdomain.scala 76:169] + node _T_20 = or(lsu_free_c1_clken, lsu_free_c1_clken_q) @[lsu_clkdomain.scala 77:50] + node lsu_free_c2_clken = or(_T_20, io.clk_override) @[lsu_clkdomain.scala 77:72] + reg _T_21 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 80:60] + _T_21 <= lsu_free_c1_clken @[lsu_clkdomain.scala 80:60] + lsu_free_c1_clken_q <= _T_21 @[lsu_clkdomain.scala 80:26] + reg _T_22 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 81:67] + _T_22 <= lsu_c1_d_clken @[lsu_clkdomain.scala 81:67] + lsu_c1_d_clken_q <= _T_22 @[lsu_clkdomain.scala 81:26] + reg _T_23 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 82:67] + _T_23 <= lsu_c1_m_clken @[lsu_clkdomain.scala 82:67] + lsu_c1_m_clken_q <= _T_23 @[lsu_clkdomain.scala 82:26] + reg _T_24 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_clkdomain.scala 83:67] + _T_24 <= lsu_c1_r_clken @[lsu_clkdomain.scala 83:67] + lsu_c1_r_clken_q <= _T_24 @[lsu_clkdomain.scala 83:26] + node _T_25 = bits(lsu_c1_m_clken, 0, 0) @[lsu_clkdomain.scala 85:59] + inst rvclkhdr of rvclkhdr_12 @[lib.scala 343:22] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 344:17] + rvclkhdr.io.en <= _T_25 @[lib.scala 345:16] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_c1_m_clk <= rvclkhdr.io.l1clk @[lsu_clkdomain.scala 85:26] + node _T_26 = bits(lsu_c1_r_clken, 0, 0) @[lsu_clkdomain.scala 86:59] + inst rvclkhdr_1 of rvclkhdr_13 @[lib.scala 343:22] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_1.io.en <= _T_26 @[lib.scala 345:16] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_c1_r_clk <= rvclkhdr_1.io.l1clk @[lsu_clkdomain.scala 86:26] + node _T_27 = bits(lsu_c2_m_clken, 0, 0) @[lsu_clkdomain.scala 87:59] + inst rvclkhdr_2 of rvclkhdr_14 @[lib.scala 343:22] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_2.io.en <= _T_27 @[lib.scala 345:16] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_c2_m_clk <= rvclkhdr_2.io.l1clk @[lsu_clkdomain.scala 87:26] + node _T_28 = bits(lsu_c2_r_clken, 0, 0) @[lsu_clkdomain.scala 88:59] + inst rvclkhdr_3 of rvclkhdr_15 @[lib.scala 343:22] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_3.io.en <= _T_28 @[lib.scala 345:16] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_c2_r_clk <= rvclkhdr_3.io.l1clk @[lsu_clkdomain.scala 88:26] + node _T_29 = bits(lsu_store_c1_m_clken, 0, 0) @[lsu_clkdomain.scala 89:65] + inst rvclkhdr_4 of rvclkhdr_16 @[lib.scala 343:22] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_4.io.en <= _T_29 @[lib.scala 345:16] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_store_c1_m_clk <= rvclkhdr_4.io.l1clk @[lsu_clkdomain.scala 89:26] + node _T_30 = bits(lsu_store_c1_r_clken, 0, 0) @[lsu_clkdomain.scala 90:65] + inst rvclkhdr_5 of rvclkhdr_17 @[lib.scala 343:22] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_5.io.en <= _T_30 @[lib.scala 345:16] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_store_c1_r_clk <= rvclkhdr_5.io.l1clk @[lsu_clkdomain.scala 90:26] + node _T_31 = bits(lsu_stbuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 91:63] + inst rvclkhdr_6 of rvclkhdr_18 @[lib.scala 343:22] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_6.io.en <= _T_31 @[lib.scala 345:16] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_stbuf_c1_clk <= rvclkhdr_6.io.l1clk @[lsu_clkdomain.scala 91:26] + node _T_32 = bits(lsu_bus_ibuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 92:66] + inst rvclkhdr_7 of rvclkhdr_19 @[lib.scala 343:22] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_7.io.en <= _T_32 @[lib.scala 345:16] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_bus_ibuf_c1_clk <= rvclkhdr_7.io.l1clk @[lsu_clkdomain.scala 92:26] + node _T_33 = bits(lsu_bus_obuf_c1_clken, 0, 0) @[lsu_clkdomain.scala 93:66] + inst rvclkhdr_8 of rvclkhdr_20 @[lib.scala 343:22] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_8.io.en <= _T_33 @[lib.scala 345:16] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_bus_obuf_c1_clk <= rvclkhdr_8.io.l1clk @[lsu_clkdomain.scala 93:26] + node _T_34 = bits(lsu_bus_buf_c1_clken, 0, 0) @[lsu_clkdomain.scala 94:65] + inst rvclkhdr_9 of rvclkhdr_21 @[lib.scala 343:22] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_9.io.en <= _T_34 @[lib.scala 345:16] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_bus_buf_c1_clk <= rvclkhdr_9.io.l1clk @[lsu_clkdomain.scala 94:26] + node _T_35 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_clkdomain.scala 95:62] + inst rvclkhdr_10 of rvclkhdr_22 @[lib.scala 343:22] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_10.io.en <= _T_35 @[lib.scala 345:16] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_busm_clk <= rvclkhdr_10.io.l1clk @[lsu_clkdomain.scala 95:26] + node _T_36 = bits(lsu_free_c2_clken, 0, 0) @[lsu_clkdomain.scala 96:62] + inst rvclkhdr_11 of rvclkhdr_23 @[lib.scala 343:22] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 344:17] + rvclkhdr_11.io.en <= _T_36 @[lib.scala 345:16] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 346:23] + io.lsu_free_c2_clk <= rvclkhdr_11.io.l1clk @[lsu_clkdomain.scala 96:26] + + extmodule gated_latch_24 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_24 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_24 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_25 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_25 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_25 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_26 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_26 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_26 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_27 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_27 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_27 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_28 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_28 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_28 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_29 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_29 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_29 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_30 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_30 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_30 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_31 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_31 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_31 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_32 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_32 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_32 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_33 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_33 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_33 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_34 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_34 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_34 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_35 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_35 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_35 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_bus_buffer : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, lsu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>} + + wire buf_addr : UInt<32>[4] @[lsu_bus_buffer.scala 67:22] + wire buf_state : UInt<3>[4] @[lsu_bus_buffer.scala 68:23] + wire buf_write : UInt<4> + buf_write <= UInt<1>("h00") + wire CmdPtr0 : UInt<2> + CmdPtr0 <= UInt<1>("h00") + node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[lsu_bus_buffer.scala 73:46] + node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[lsu_bus_buffer.scala 74:46] + node _T = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_1 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_2 = eq(_T, _T_1) @[lsu_bus_buffer.scala 76:74] + node _T_3 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 76:109] + node _T_4 = and(_T_2, _T_3) @[lsu_bus_buffer.scala 76:98] + node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_6 = and(_T_4, _T_5) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_7 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_8 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_9 = eq(_T_7, _T_8) @[lsu_bus_buffer.scala 76:74] + node _T_10 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 76:109] + node _T_11 = and(_T_9, _T_10) @[lsu_bus_buffer.scala 76:98] + node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_13 = and(_T_11, _T_12) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_14 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_15 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_16 = eq(_T_14, _T_15) @[lsu_bus_buffer.scala 76:74] + node _T_17 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 76:109] + node _T_18 = and(_T_16, _T_17) @[lsu_bus_buffer.scala 76:98] + node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_20 = and(_T_18, _T_19) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_21 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_22 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_23 = eq(_T_21, _T_22) @[lsu_bus_buffer.scala 76:74] + node _T_24 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 76:109] + node _T_25 = and(_T_23, _T_24) @[lsu_bus_buffer.scala 76:98] + node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_27 = and(_T_25, _T_26) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_28 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_29 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_30 = eq(_T_28, _T_29) @[lsu_bus_buffer.scala 77:74] + node _T_31 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 77:109] + node _T_32 = and(_T_30, _T_31) @[lsu_bus_buffer.scala 77:98] + node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_34 = and(_T_32, _T_33) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + node _T_35 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_36 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_37 = eq(_T_35, _T_36) @[lsu_bus_buffer.scala 77:74] + node _T_38 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 77:109] + node _T_39 = and(_T_37, _T_38) @[lsu_bus_buffer.scala 77:98] + node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_41 = and(_T_39, _T_40) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + node _T_42 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_43 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_44 = eq(_T_42, _T_43) @[lsu_bus_buffer.scala 77:74] + node _T_45 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 77:109] + node _T_46 = and(_T_44, _T_45) @[lsu_bus_buffer.scala 77:98] + node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_48 = and(_T_46, _T_47) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + node _T_49 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_50 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_51 = eq(_T_49, _T_50) @[lsu_bus_buffer.scala 77:74] + node _T_52 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 77:109] + node _T_53 = and(_T_51, _T_52) @[lsu_bus_buffer.scala 77:98] + node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_55 = and(_T_53, _T_54) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + wire ld_byte_hitvecfn_lo : UInt<4>[4] @[lsu_bus_buffer.scala 78:33] + wire ld_byte_ibuf_hit_lo : UInt<4> + ld_byte_ibuf_hit_lo <= UInt<1>("h00") + wire ld_byte_hitvecfn_hi : UInt<4>[4] @[lsu_bus_buffer.scala 80:33] + wire ld_byte_ibuf_hit_hi : UInt<4> + ld_byte_ibuf_hit_hi <= UInt<1>("h00") + wire buf_byteen : UInt<4>[4] @[lsu_bus_buffer.scala 82:24] + buf_byteen[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + buf_byteen[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + buf_byteen[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + buf_byteen[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + wire buf_nxtstate : UInt<3>[4] @[lsu_bus_buffer.scala 84:26] + buf_nxtstate[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + buf_nxtstate[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + buf_nxtstate[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + buf_nxtstate[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + wire buf_wr_en : UInt<1>[4] @[lsu_bus_buffer.scala 86:23] + buf_wr_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + buf_wr_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + buf_wr_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + buf_wr_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + wire buf_data_en : UInt<1>[4] @[lsu_bus_buffer.scala 88:25] + buf_data_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + buf_data_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + buf_data_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + buf_data_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + wire buf_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 90:30] + buf_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + buf_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + buf_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + buf_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + wire buf_ldfwd_in : UInt<1>[4] @[lsu_bus_buffer.scala 92:26] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + wire buf_ldfwd_en : UInt<1>[4] @[lsu_bus_buffer.scala 94:26] + buf_ldfwd_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + buf_ldfwd_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + buf_ldfwd_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + buf_ldfwd_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + wire buf_data_in : UInt<32>[4] @[lsu_bus_buffer.scala 96:25] + buf_data_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + buf_data_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + buf_data_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + buf_data_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + wire buf_ldfwdtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 98:29] + buf_ldfwdtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + buf_ldfwdtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + buf_ldfwdtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + buf_ldfwdtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + wire buf_error_en : UInt<1>[4] @[lsu_bus_buffer.scala 100:26] + buf_error_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + buf_error_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + buf_error_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + buf_error_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + wire bus_rsp_read_error : UInt<1> + bus_rsp_read_error <= UInt<1>("h00") + wire bus_rsp_rdata : UInt<64> + bus_rsp_rdata <= UInt<1>("h00") + wire bus_rsp_write_error : UInt<1> + bus_rsp_write_error <= UInt<1>("h00") + wire buf_dualtag : UInt<2>[4] @[lsu_bus_buffer.scala 105:25] + buf_dualtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + buf_dualtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + buf_dualtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + buf_dualtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + wire buf_ldfwd : UInt<4> + buf_ldfwd <= UInt<1>("h00") + wire buf_resp_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 108:35] + buf_resp_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + buf_resp_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + buf_resp_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + buf_resp_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + wire any_done_wait_state : UInt<1> + any_done_wait_state <= UInt<1>("h00") + wire bus_rsp_write : UInt<1> + bus_rsp_write <= UInt<1>("h00") + wire bus_rsp_write_tag : UInt<3> + bus_rsp_write_tag <= UInt<1>("h00") + wire buf_ldfwdtag : UInt<2>[4] @[lsu_bus_buffer.scala 113:26] + buf_ldfwdtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + buf_ldfwdtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + buf_ldfwdtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + buf_ldfwdtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + wire buf_rst : UInt<1>[4] @[lsu_bus_buffer.scala 115:21] + buf_rst[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + buf_rst[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + buf_rst[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + buf_rst[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + wire ibuf_drainvec_vld : UInt<4> + ibuf_drainvec_vld <= UInt<1>("h00") + wire buf_byteen_in : UInt<4>[4] @[lsu_bus_buffer.scala 118:27] + buf_byteen_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + buf_byteen_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + buf_byteen_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + buf_byteen_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + wire buf_addr_in : UInt<32>[4] @[lsu_bus_buffer.scala 120:25] + buf_addr_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + buf_addr_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + buf_addr_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + buf_addr_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + wire buf_dual_in : UInt<4> + buf_dual_in <= UInt<1>("h00") + wire buf_samedw_in : UInt<4> + buf_samedw_in <= UInt<1>("h00") + wire buf_nomerge_in : UInt<4> + buf_nomerge_in <= UInt<1>("h00") + wire buf_dualhi_in : UInt<4> + buf_dualhi_in <= UInt<1>("h00") + wire buf_dualtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 126:28] + buf_dualtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + buf_dualtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + buf_dualtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + buf_dualtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + wire buf_sideeffect_in : UInt<4> + buf_sideeffect_in <= UInt<1>("h00") + wire buf_unsign_in : UInt<4> + buf_unsign_in <= UInt<1>("h00") + wire buf_sz_in : UInt<2>[4] @[lsu_bus_buffer.scala 130:23] + buf_sz_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + buf_sz_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + buf_sz_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + buf_sz_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + wire buf_write_in : UInt<4> + buf_write_in <= UInt<1>("h00") + wire buf_unsign : UInt<4> + buf_unsign <= UInt<1>("h00") + wire buf_error : UInt<4> + buf_error <= UInt<1>("h00") + wire CmdPtr1 : UInt<2> + CmdPtr1 <= UInt<1>("h00") + wire ibuf_data : UInt<32> + ibuf_data <= UInt<1>("h00") + node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[lsu_bus_buffer.scala 138:73] + node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 138:98] + node _T_58 = or(_T_56, _T_57) @[lsu_bus_buffer.scala 138:77] + node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[lsu_bus_buffer.scala 138:73] + node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 138:98] + node _T_61 = or(_T_59, _T_60) @[lsu_bus_buffer.scala 138:77] + node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[lsu_bus_buffer.scala 138:73] + node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 138:98] + node _T_64 = or(_T_62, _T_63) @[lsu_bus_buffer.scala 138:77] + node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[lsu_bus_buffer.scala 138:73] + node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 138:98] + node _T_67 = or(_T_65, _T_66) @[lsu_bus_buffer.scala 138:77] + node _T_68 = cat(_T_67, _T_64) @[Cat.scala 29:58] + node _T_69 = cat(_T_68, _T_61) @[Cat.scala 29:58] + node _T_70 = cat(_T_69, _T_58) @[Cat.scala 29:58] + io.ld_byte_hit_buf_lo <= _T_70 @[lsu_bus_buffer.scala 138:25] + node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[lsu_bus_buffer.scala 139:73] + node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 139:98] + node _T_73 = or(_T_71, _T_72) @[lsu_bus_buffer.scala 139:77] + node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[lsu_bus_buffer.scala 139:73] + node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 139:98] + node _T_76 = or(_T_74, _T_75) @[lsu_bus_buffer.scala 139:77] + node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[lsu_bus_buffer.scala 139:73] + node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 139:98] + node _T_79 = or(_T_77, _T_78) @[lsu_bus_buffer.scala 139:77] + node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[lsu_bus_buffer.scala 139:73] + node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 139:98] + node _T_82 = or(_T_80, _T_81) @[lsu_bus_buffer.scala 139:77] + node _T_83 = cat(_T_82, _T_79) @[Cat.scala 29:58] + node _T_84 = cat(_T_83, _T_76) @[Cat.scala 29:58] + node _T_85 = cat(_T_84, _T_73) @[Cat.scala 29:58] + io.ld_byte_hit_buf_hi <= _T_85 @[lsu_bus_buffer.scala 139:25] + node _T_86 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[lsu_bus_buffer.scala 141:95] + node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_89 = and(_T_87, _T_88) @[lsu_bus_buffer.scala 141:114] + node _T_90 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[lsu_bus_buffer.scala 141:95] + node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_93 = and(_T_91, _T_92) @[lsu_bus_buffer.scala 141:114] + node _T_94 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[lsu_bus_buffer.scala 141:95] + node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_97 = and(_T_95, _T_96) @[lsu_bus_buffer.scala 141:114] + node _T_98 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[lsu_bus_buffer.scala 141:95] + node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_101 = and(_T_99, _T_100) @[lsu_bus_buffer.scala 141:114] + node _T_102 = cat(_T_101, _T_97) @[Cat.scala 29:58] + node _T_103 = cat(_T_102, _T_93) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_0 = cat(_T_103, _T_89) @[Cat.scala 29:58] + node _T_104 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[lsu_bus_buffer.scala 141:95] + node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_107 = and(_T_105, _T_106) @[lsu_bus_buffer.scala 141:114] + node _T_108 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[lsu_bus_buffer.scala 141:95] + node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_111 = and(_T_109, _T_110) @[lsu_bus_buffer.scala 141:114] + node _T_112 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[lsu_bus_buffer.scala 141:95] + node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_115 = and(_T_113, _T_114) @[lsu_bus_buffer.scala 141:114] + node _T_116 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[lsu_bus_buffer.scala 141:95] + node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_119 = and(_T_117, _T_118) @[lsu_bus_buffer.scala 141:114] + node _T_120 = cat(_T_119, _T_115) @[Cat.scala 29:58] + node _T_121 = cat(_T_120, _T_111) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_1 = cat(_T_121, _T_107) @[Cat.scala 29:58] + node _T_122 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[lsu_bus_buffer.scala 141:95] + node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_125 = and(_T_123, _T_124) @[lsu_bus_buffer.scala 141:114] + node _T_126 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[lsu_bus_buffer.scala 141:95] + node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_129 = and(_T_127, _T_128) @[lsu_bus_buffer.scala 141:114] + node _T_130 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[lsu_bus_buffer.scala 141:95] + node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_133 = and(_T_131, _T_132) @[lsu_bus_buffer.scala 141:114] + node _T_134 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[lsu_bus_buffer.scala 141:95] + node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_137 = and(_T_135, _T_136) @[lsu_bus_buffer.scala 141:114] + node _T_138 = cat(_T_137, _T_133) @[Cat.scala 29:58] + node _T_139 = cat(_T_138, _T_129) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_2 = cat(_T_139, _T_125) @[Cat.scala 29:58] + node _T_140 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[lsu_bus_buffer.scala 141:95] + node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_143 = and(_T_141, _T_142) @[lsu_bus_buffer.scala 141:114] + node _T_144 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[lsu_bus_buffer.scala 141:95] + node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_147 = and(_T_145, _T_146) @[lsu_bus_buffer.scala 141:114] + node _T_148 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[lsu_bus_buffer.scala 141:95] + node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_151 = and(_T_149, _T_150) @[lsu_bus_buffer.scala 141:114] + node _T_152 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[lsu_bus_buffer.scala 141:95] + node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_155 = and(_T_153, _T_154) @[lsu_bus_buffer.scala 141:114] + node _T_156 = cat(_T_155, _T_151) @[Cat.scala 29:58] + node _T_157 = cat(_T_156, _T_147) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_3 = cat(_T_157, _T_143) @[Cat.scala 29:58] + node _T_158 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[lsu_bus_buffer.scala 142:95] + node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_161 = and(_T_159, _T_160) @[lsu_bus_buffer.scala 142:114] + node _T_162 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[lsu_bus_buffer.scala 142:95] + node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_165 = and(_T_163, _T_164) @[lsu_bus_buffer.scala 142:114] + node _T_166 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[lsu_bus_buffer.scala 142:95] + node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_169 = and(_T_167, _T_168) @[lsu_bus_buffer.scala 142:114] + node _T_170 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[lsu_bus_buffer.scala 142:95] + node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_173 = and(_T_171, _T_172) @[lsu_bus_buffer.scala 142:114] + node _T_174 = cat(_T_173, _T_169) @[Cat.scala 29:58] + node _T_175 = cat(_T_174, _T_165) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_0 = cat(_T_175, _T_161) @[Cat.scala 29:58] + node _T_176 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[lsu_bus_buffer.scala 142:95] + node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_179 = and(_T_177, _T_178) @[lsu_bus_buffer.scala 142:114] + node _T_180 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[lsu_bus_buffer.scala 142:95] + node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_183 = and(_T_181, _T_182) @[lsu_bus_buffer.scala 142:114] + node _T_184 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[lsu_bus_buffer.scala 142:95] + node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_187 = and(_T_185, _T_186) @[lsu_bus_buffer.scala 142:114] + node _T_188 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[lsu_bus_buffer.scala 142:95] + node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_191 = and(_T_189, _T_190) @[lsu_bus_buffer.scala 142:114] + node _T_192 = cat(_T_191, _T_187) @[Cat.scala 29:58] + node _T_193 = cat(_T_192, _T_183) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_1 = cat(_T_193, _T_179) @[Cat.scala 29:58] + node _T_194 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[lsu_bus_buffer.scala 142:95] + node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_197 = and(_T_195, _T_196) @[lsu_bus_buffer.scala 142:114] + node _T_198 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[lsu_bus_buffer.scala 142:95] + node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_201 = and(_T_199, _T_200) @[lsu_bus_buffer.scala 142:114] + node _T_202 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[lsu_bus_buffer.scala 142:95] + node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_205 = and(_T_203, _T_204) @[lsu_bus_buffer.scala 142:114] + node _T_206 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[lsu_bus_buffer.scala 142:95] + node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_209 = and(_T_207, _T_208) @[lsu_bus_buffer.scala 142:114] + node _T_210 = cat(_T_209, _T_205) @[Cat.scala 29:58] + node _T_211 = cat(_T_210, _T_201) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_2 = cat(_T_211, _T_197) @[Cat.scala 29:58] + node _T_212 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[lsu_bus_buffer.scala 142:95] + node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_215 = and(_T_213, _T_214) @[lsu_bus_buffer.scala 142:114] + node _T_216 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[lsu_bus_buffer.scala 142:95] + node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_219 = and(_T_217, _T_218) @[lsu_bus_buffer.scala 142:114] + node _T_220 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[lsu_bus_buffer.scala 142:95] + node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_223 = and(_T_221, _T_222) @[lsu_bus_buffer.scala 142:114] + node _T_224 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[lsu_bus_buffer.scala 142:95] + node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_227 = and(_T_225, _T_226) @[lsu_bus_buffer.scala 142:114] + node _T_228 = cat(_T_227, _T_223) @[Cat.scala 29:58] + node _T_229 = cat(_T_228, _T_219) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_3 = cat(_T_229, _T_215) @[Cat.scala 29:58] + wire buf_age_younger : UInt<4>[4] @[lsu_bus_buffer.scala 144:29] + buf_age_younger[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + buf_age_younger[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + buf_age_younger[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + buf_age_younger[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_232 = orr(_T_231) @[lsu_bus_buffer.scala 146:144] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_234 = and(_T_230, _T_233) @[lsu_bus_buffer.scala 146:97] + node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_236 = eq(_T_235, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_237 = and(_T_234, _T_236) @[lsu_bus_buffer.scala 146:148] + node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_240 = orr(_T_239) @[lsu_bus_buffer.scala 146:144] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_242 = and(_T_238, _T_241) @[lsu_bus_buffer.scala 146:97] + node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_244 = eq(_T_243, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_245 = and(_T_242, _T_244) @[lsu_bus_buffer.scala 146:148] + node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_248 = orr(_T_247) @[lsu_bus_buffer.scala 146:144] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_250 = and(_T_246, _T_249) @[lsu_bus_buffer.scala 146:97] + node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_253 = and(_T_250, _T_252) @[lsu_bus_buffer.scala 146:148] + node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_256 = orr(_T_255) @[lsu_bus_buffer.scala 146:144] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_258 = and(_T_254, _T_257) @[lsu_bus_buffer.scala 146:97] + node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_261 = and(_T_258, _T_260) @[lsu_bus_buffer.scala 146:148] + node _T_262 = cat(_T_261, _T_253) @[Cat.scala 29:58] + node _T_263 = cat(_T_262, _T_245) @[Cat.scala 29:58] + node _T_264 = cat(_T_263, _T_237) @[Cat.scala 29:58] + node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_267 = orr(_T_266) @[lsu_bus_buffer.scala 146:144] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_269 = and(_T_265, _T_268) @[lsu_bus_buffer.scala 146:97] + node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_272 = and(_T_269, _T_271) @[lsu_bus_buffer.scala 146:148] + node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_275 = orr(_T_274) @[lsu_bus_buffer.scala 146:144] + node _T_276 = eq(_T_275, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_277 = and(_T_273, _T_276) @[lsu_bus_buffer.scala 146:97] + node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_280 = and(_T_277, _T_279) @[lsu_bus_buffer.scala 146:148] + node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_283 = orr(_T_282) @[lsu_bus_buffer.scala 146:144] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_285 = and(_T_281, _T_284) @[lsu_bus_buffer.scala 146:97] + node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_288 = and(_T_285, _T_287) @[lsu_bus_buffer.scala 146:148] + node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_291 = orr(_T_290) @[lsu_bus_buffer.scala 146:144] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_293 = and(_T_289, _T_292) @[lsu_bus_buffer.scala 146:97] + node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_295 = eq(_T_294, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_296 = and(_T_293, _T_295) @[lsu_bus_buffer.scala 146:148] + node _T_297 = cat(_T_296, _T_288) @[Cat.scala 29:58] + node _T_298 = cat(_T_297, _T_280) @[Cat.scala 29:58] + node _T_299 = cat(_T_298, _T_272) @[Cat.scala 29:58] + node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_302 = orr(_T_301) @[lsu_bus_buffer.scala 146:144] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_304 = and(_T_300, _T_303) @[lsu_bus_buffer.scala 146:97] + node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_307 = and(_T_304, _T_306) @[lsu_bus_buffer.scala 146:148] + node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_310 = orr(_T_309) @[lsu_bus_buffer.scala 146:144] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_312 = and(_T_308, _T_311) @[lsu_bus_buffer.scala 146:97] + node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_315 = and(_T_312, _T_314) @[lsu_bus_buffer.scala 146:148] + node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_318 = orr(_T_317) @[lsu_bus_buffer.scala 146:144] + node _T_319 = eq(_T_318, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_320 = and(_T_316, _T_319) @[lsu_bus_buffer.scala 146:97] + node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_323 = and(_T_320, _T_322) @[lsu_bus_buffer.scala 146:148] + node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_326 = orr(_T_325) @[lsu_bus_buffer.scala 146:144] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_328 = and(_T_324, _T_327) @[lsu_bus_buffer.scala 146:97] + node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_331 = and(_T_328, _T_330) @[lsu_bus_buffer.scala 146:148] + node _T_332 = cat(_T_331, _T_323) @[Cat.scala 29:58] + node _T_333 = cat(_T_332, _T_315) @[Cat.scala 29:58] + node _T_334 = cat(_T_333, _T_307) @[Cat.scala 29:58] + node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_337 = orr(_T_336) @[lsu_bus_buffer.scala 146:144] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_339 = and(_T_335, _T_338) @[lsu_bus_buffer.scala 146:97] + node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_342 = and(_T_339, _T_341) @[lsu_bus_buffer.scala 146:148] + node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_345 = orr(_T_344) @[lsu_bus_buffer.scala 146:144] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_347 = and(_T_343, _T_346) @[lsu_bus_buffer.scala 146:97] + node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_350 = and(_T_347, _T_349) @[lsu_bus_buffer.scala 146:148] + node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_353 = orr(_T_352) @[lsu_bus_buffer.scala 146:144] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_355 = and(_T_351, _T_354) @[lsu_bus_buffer.scala 146:97] + node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_358 = and(_T_355, _T_357) @[lsu_bus_buffer.scala 146:148] + node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_361 = orr(_T_360) @[lsu_bus_buffer.scala 146:144] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_363 = and(_T_359, _T_362) @[lsu_bus_buffer.scala 146:97] + node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_366 = and(_T_363, _T_365) @[lsu_bus_buffer.scala 146:148] + node _T_367 = cat(_T_366, _T_358) @[Cat.scala 29:58] + node _T_368 = cat(_T_367, _T_350) @[Cat.scala 29:58] + node _T_369 = cat(_T_368, _T_342) @[Cat.scala 29:58] + ld_byte_hitvecfn_lo[0] <= _T_264 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_lo[1] <= _T_299 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_lo[2] <= _T_334 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_lo[3] <= _T_369 @[lsu_bus_buffer.scala 146:23] + node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_372 = orr(_T_371) @[lsu_bus_buffer.scala 147:144] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_374 = and(_T_370, _T_373) @[lsu_bus_buffer.scala 147:97] + node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_377 = and(_T_374, _T_376) @[lsu_bus_buffer.scala 147:148] + node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_380 = orr(_T_379) @[lsu_bus_buffer.scala 147:144] + node _T_381 = eq(_T_380, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_382 = and(_T_378, _T_381) @[lsu_bus_buffer.scala 147:97] + node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_385 = and(_T_382, _T_384) @[lsu_bus_buffer.scala 147:148] + node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_388 = orr(_T_387) @[lsu_bus_buffer.scala 147:144] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_390 = and(_T_386, _T_389) @[lsu_bus_buffer.scala 147:97] + node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_393 = and(_T_390, _T_392) @[lsu_bus_buffer.scala 147:148] + node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_396 = orr(_T_395) @[lsu_bus_buffer.scala 147:144] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_398 = and(_T_394, _T_397) @[lsu_bus_buffer.scala 147:97] + node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_401 = and(_T_398, _T_400) @[lsu_bus_buffer.scala 147:148] + node _T_402 = cat(_T_401, _T_393) @[Cat.scala 29:58] + node _T_403 = cat(_T_402, _T_385) @[Cat.scala 29:58] + node _T_404 = cat(_T_403, _T_377) @[Cat.scala 29:58] + node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_407 = orr(_T_406) @[lsu_bus_buffer.scala 147:144] + node _T_408 = eq(_T_407, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_409 = and(_T_405, _T_408) @[lsu_bus_buffer.scala 147:97] + node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_411 = eq(_T_410, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_412 = and(_T_409, _T_411) @[lsu_bus_buffer.scala 147:148] + node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_415 = orr(_T_414) @[lsu_bus_buffer.scala 147:144] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_417 = and(_T_413, _T_416) @[lsu_bus_buffer.scala 147:97] + node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_420 = and(_T_417, _T_419) @[lsu_bus_buffer.scala 147:148] + node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_423 = orr(_T_422) @[lsu_bus_buffer.scala 147:144] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_425 = and(_T_421, _T_424) @[lsu_bus_buffer.scala 147:97] + node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_428 = and(_T_425, _T_427) @[lsu_bus_buffer.scala 147:148] + node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_431 = orr(_T_430) @[lsu_bus_buffer.scala 147:144] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_433 = and(_T_429, _T_432) @[lsu_bus_buffer.scala 147:97] + node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_436 = and(_T_433, _T_435) @[lsu_bus_buffer.scala 147:148] + node _T_437 = cat(_T_436, _T_428) @[Cat.scala 29:58] + node _T_438 = cat(_T_437, _T_420) @[Cat.scala 29:58] + node _T_439 = cat(_T_438, _T_412) @[Cat.scala 29:58] + node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_442 = orr(_T_441) @[lsu_bus_buffer.scala 147:144] + node _T_443 = eq(_T_442, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_444 = and(_T_440, _T_443) @[lsu_bus_buffer.scala 147:97] + node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_447 = and(_T_444, _T_446) @[lsu_bus_buffer.scala 147:148] + node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_450 = orr(_T_449) @[lsu_bus_buffer.scala 147:144] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_452 = and(_T_448, _T_451) @[lsu_bus_buffer.scala 147:97] + node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_455 = and(_T_452, _T_454) @[lsu_bus_buffer.scala 147:148] + node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_458 = orr(_T_457) @[lsu_bus_buffer.scala 147:144] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_460 = and(_T_456, _T_459) @[lsu_bus_buffer.scala 147:97] + node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_463 = and(_T_460, _T_462) @[lsu_bus_buffer.scala 147:148] + node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_466 = orr(_T_465) @[lsu_bus_buffer.scala 147:144] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_468 = and(_T_464, _T_467) @[lsu_bus_buffer.scala 147:97] + node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_471 = and(_T_468, _T_470) @[lsu_bus_buffer.scala 147:148] + node _T_472 = cat(_T_471, _T_463) @[Cat.scala 29:58] + node _T_473 = cat(_T_472, _T_455) @[Cat.scala 29:58] + node _T_474 = cat(_T_473, _T_447) @[Cat.scala 29:58] + node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_477 = orr(_T_476) @[lsu_bus_buffer.scala 147:144] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_479 = and(_T_475, _T_478) @[lsu_bus_buffer.scala 147:97] + node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_482 = and(_T_479, _T_481) @[lsu_bus_buffer.scala 147:148] + node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_485 = orr(_T_484) @[lsu_bus_buffer.scala 147:144] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_487 = and(_T_483, _T_486) @[lsu_bus_buffer.scala 147:97] + node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_490 = and(_T_487, _T_489) @[lsu_bus_buffer.scala 147:148] + node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_493 = orr(_T_492) @[lsu_bus_buffer.scala 147:144] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_495 = and(_T_491, _T_494) @[lsu_bus_buffer.scala 147:97] + node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_498 = and(_T_495, _T_497) @[lsu_bus_buffer.scala 147:148] + node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_501 = orr(_T_500) @[lsu_bus_buffer.scala 147:144] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_503 = and(_T_499, _T_502) @[lsu_bus_buffer.scala 147:97] + node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_506 = and(_T_503, _T_505) @[lsu_bus_buffer.scala 147:148] + node _T_507 = cat(_T_506, _T_498) @[Cat.scala 29:58] + node _T_508 = cat(_T_507, _T_490) @[Cat.scala 29:58] + node _T_509 = cat(_T_508, _T_482) @[Cat.scala 29:58] + ld_byte_hitvecfn_hi[0] <= _T_404 @[lsu_bus_buffer.scala 147:23] + ld_byte_hitvecfn_hi[1] <= _T_439 @[lsu_bus_buffer.scala 147:23] + ld_byte_hitvecfn_hi[2] <= _T_474 @[lsu_bus_buffer.scala 147:23] + ld_byte_hitvecfn_hi[3] <= _T_509 @[lsu_bus_buffer.scala 147:23] + wire ibuf_addr : UInt<32> + ibuf_addr <= UInt<1>("h00") + wire ibuf_write : UInt<1> + ibuf_write <= UInt<1>("h00") + wire ibuf_valid : UInt<1> + ibuf_valid <= UInt<1>("h00") + node _T_510 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 152:43] + node _T_511 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 152:64] + node _T_512 = eq(_T_510, _T_511) @[lsu_bus_buffer.scala 152:51] + node _T_513 = and(_T_512, ibuf_write) @[lsu_bus_buffer.scala 152:73] + node _T_514 = and(_T_513, ibuf_valid) @[lsu_bus_buffer.scala 152:86] + node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[lsu_bus_buffer.scala 152:99] + node _T_515 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 153:43] + node _T_516 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 153:64] + node _T_517 = eq(_T_515, _T_516) @[lsu_bus_buffer.scala 153:51] + node _T_518 = and(_T_517, ibuf_write) @[lsu_bus_buffer.scala 153:73] + node _T_519 = and(_T_518, ibuf_valid) @[lsu_bus_buffer.scala 153:86] + node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[lsu_bus_buffer.scala 153:99] + wire ibuf_byteen : UInt<4> + ibuf_byteen <= UInt<1>("h00") + node _T_520 = bits(ld_addr_ibuf_hit_lo, 0, 0) @[Bitwise.scala 72:15] + node _T_521 = mux(_T_520, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_522 = and(_T_521, ibuf_byteen) @[lsu_bus_buffer.scala 157:55] + node _T_523 = and(_T_522, ldst_byteen_lo_m) @[lsu_bus_buffer.scala 157:69] + ld_byte_ibuf_hit_lo <= _T_523 @[lsu_bus_buffer.scala 157:23] + node _T_524 = bits(ld_addr_ibuf_hit_hi, 0, 0) @[Bitwise.scala 72:15] + node _T_525 = mux(_T_524, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_526 = and(_T_525, ibuf_byteen) @[lsu_bus_buffer.scala 158:55] + node _T_527 = and(_T_526, ldst_byteen_hi_m) @[lsu_bus_buffer.scala 158:69] + ld_byte_ibuf_hit_hi <= _T_527 @[lsu_bus_buffer.scala 158:23] + wire buf_data : UInt<32>[4] @[lsu_bus_buffer.scala 160:22] + buf_data[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + buf_data[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + buf_data[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + buf_data[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + wire fwd_data : UInt<32> + fwd_data <= UInt<1>("h00") + node _T_528 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 163:81] + node _T_529 = bits(_T_528, 0, 0) @[Bitwise.scala 72:15] + node _T_530 = mux(_T_529, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_531 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 163:81] + node _T_532 = bits(_T_531, 0, 0) @[Bitwise.scala 72:15] + node _T_533 = mux(_T_532, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_534 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 163:81] + node _T_535 = bits(_T_534, 0, 0) @[Bitwise.scala 72:15] + node _T_536 = mux(_T_535, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_537 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 163:81] + node _T_538 = bits(_T_537, 0, 0) @[Bitwise.scala 72:15] + node _T_539 = mux(_T_538, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_540 = cat(_T_539, _T_536) @[Cat.scala 29:58] + node _T_541 = cat(_T_540, _T_533) @[Cat.scala 29:58] + node ld_fwddata_buf_lo_initial = cat(_T_541, _T_530) @[Cat.scala 29:58] + node _T_542 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 164:81] + node _T_543 = bits(_T_542, 0, 0) @[Bitwise.scala 72:15] + node _T_544 = mux(_T_543, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_545 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 164:81] + node _T_546 = bits(_T_545, 0, 0) @[Bitwise.scala 72:15] + node _T_547 = mux(_T_546, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_548 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 164:81] + node _T_549 = bits(_T_548, 0, 0) @[Bitwise.scala 72:15] + node _T_550 = mux(_T_549, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_551 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 164:81] + node _T_552 = bits(_T_551, 0, 0) @[Bitwise.scala 72:15] + node _T_553 = mux(_T_552, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_554 = cat(_T_553, _T_550) @[Cat.scala 29:58] + node _T_555 = cat(_T_554, _T_547) @[Cat.scala 29:58] + node ld_fwddata_buf_hi_initial = cat(_T_555, _T_544) @[Cat.scala 29:58] + node _T_556 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[lsu_bus_buffer.scala 165:86] + node _T_557 = bits(_T_556, 0, 0) @[Bitwise.scala 72:15] + node _T_558 = mux(_T_557, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_559 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_560 = and(_T_558, _T_559) @[lsu_bus_buffer.scala 165:91] + node _T_561 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[lsu_bus_buffer.scala 165:86] + node _T_562 = bits(_T_561, 0, 0) @[Bitwise.scala 72:15] + node _T_563 = mux(_T_562, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_564 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_565 = and(_T_563, _T_564) @[lsu_bus_buffer.scala 165:91] + node _T_566 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[lsu_bus_buffer.scala 165:86] + node _T_567 = bits(_T_566, 0, 0) @[Bitwise.scala 72:15] + node _T_568 = mux(_T_567, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_569 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_570 = and(_T_568, _T_569) @[lsu_bus_buffer.scala 165:91] + node _T_571 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[lsu_bus_buffer.scala 165:86] + node _T_572 = bits(_T_571, 0, 0) @[Bitwise.scala 72:15] + node _T_573 = mux(_T_572, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_574 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_575 = and(_T_573, _T_574) @[lsu_bus_buffer.scala 165:91] + node _T_576 = or(_T_560, _T_565) @[lsu_bus_buffer.scala 165:123] + node _T_577 = or(_T_576, _T_570) @[lsu_bus_buffer.scala 165:123] + node _T_578 = or(_T_577, _T_575) @[lsu_bus_buffer.scala 165:123] + node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[lsu_bus_buffer.scala 166:60] + node _T_580 = bits(_T_579, 0, 0) @[Bitwise.scala 72:15] + node _T_581 = mux(_T_580, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_582 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_583 = and(_T_581, _T_582) @[lsu_bus_buffer.scala 166:65] + node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[lsu_bus_buffer.scala 166:60] + node _T_585 = bits(_T_584, 0, 0) @[Bitwise.scala 72:15] + node _T_586 = mux(_T_585, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_587 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_588 = and(_T_586, _T_587) @[lsu_bus_buffer.scala 166:65] + node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[lsu_bus_buffer.scala 166:60] + node _T_590 = bits(_T_589, 0, 0) @[Bitwise.scala 72:15] + node _T_591 = mux(_T_590, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_592 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_593 = and(_T_591, _T_592) @[lsu_bus_buffer.scala 166:65] + node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[lsu_bus_buffer.scala 166:60] + node _T_595 = bits(_T_594, 0, 0) @[Bitwise.scala 72:15] + node _T_596 = mux(_T_595, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_597 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_598 = and(_T_596, _T_597) @[lsu_bus_buffer.scala 166:65] + node _T_599 = or(_T_583, _T_588) @[lsu_bus_buffer.scala 166:97] + node _T_600 = or(_T_599, _T_593) @[lsu_bus_buffer.scala 166:97] + node _T_601 = or(_T_600, _T_598) @[lsu_bus_buffer.scala 166:97] + node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[lsu_bus_buffer.scala 167:60] + node _T_603 = bits(_T_602, 0, 0) @[Bitwise.scala 72:15] + node _T_604 = mux(_T_603, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_605 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_606 = and(_T_604, _T_605) @[lsu_bus_buffer.scala 167:65] + node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[lsu_bus_buffer.scala 167:60] + node _T_608 = bits(_T_607, 0, 0) @[Bitwise.scala 72:15] + node _T_609 = mux(_T_608, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_610 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_611 = and(_T_609, _T_610) @[lsu_bus_buffer.scala 167:65] + node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[lsu_bus_buffer.scala 167:60] + node _T_613 = bits(_T_612, 0, 0) @[Bitwise.scala 72:15] + node _T_614 = mux(_T_613, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_615 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_616 = and(_T_614, _T_615) @[lsu_bus_buffer.scala 167:65] + node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[lsu_bus_buffer.scala 167:60] + node _T_618 = bits(_T_617, 0, 0) @[Bitwise.scala 72:15] + node _T_619 = mux(_T_618, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_620 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_621 = and(_T_619, _T_620) @[lsu_bus_buffer.scala 167:65] + node _T_622 = or(_T_606, _T_611) @[lsu_bus_buffer.scala 167:97] + node _T_623 = or(_T_622, _T_616) @[lsu_bus_buffer.scala 167:97] + node _T_624 = or(_T_623, _T_621) @[lsu_bus_buffer.scala 167:97] + node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[lsu_bus_buffer.scala 168:60] + node _T_626 = bits(_T_625, 0, 0) @[Bitwise.scala 72:15] + node _T_627 = mux(_T_626, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_628 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_629 = and(_T_627, _T_628) @[lsu_bus_buffer.scala 168:65] + node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[lsu_bus_buffer.scala 168:60] + node _T_631 = bits(_T_630, 0, 0) @[Bitwise.scala 72:15] + node _T_632 = mux(_T_631, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_633 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_634 = and(_T_632, _T_633) @[lsu_bus_buffer.scala 168:65] + node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[lsu_bus_buffer.scala 168:60] + node _T_636 = bits(_T_635, 0, 0) @[Bitwise.scala 72:15] + node _T_637 = mux(_T_636, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_638 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_639 = and(_T_637, _T_638) @[lsu_bus_buffer.scala 168:65] + node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[lsu_bus_buffer.scala 168:60] + node _T_641 = bits(_T_640, 0, 0) @[Bitwise.scala 72:15] + node _T_642 = mux(_T_641, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_643 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_644 = and(_T_642, _T_643) @[lsu_bus_buffer.scala 168:65] + node _T_645 = or(_T_629, _T_634) @[lsu_bus_buffer.scala 168:97] + node _T_646 = or(_T_645, _T_639) @[lsu_bus_buffer.scala 168:97] + node _T_647 = or(_T_646, _T_644) @[lsu_bus_buffer.scala 168:97] + node _T_648 = cat(_T_624, _T_647) @[Cat.scala 29:58] + node _T_649 = cat(_T_578, _T_601) @[Cat.scala 29:58] + node _T_650 = cat(_T_649, _T_648) @[Cat.scala 29:58] + node _T_651 = and(ld_fwddata_buf_lo_initial, ibuf_data) @[lsu_bus_buffer.scala 169:32] + node _T_652 = or(_T_650, _T_651) @[lsu_bus_buffer.scala 168:103] + io.ld_fwddata_buf_lo <= _T_652 @[lsu_bus_buffer.scala 165:24] + node _T_653 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[lsu_bus_buffer.scala 171:86] + node _T_654 = bits(_T_653, 0, 0) @[Bitwise.scala 72:15] + node _T_655 = mux(_T_654, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_656 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_657 = and(_T_655, _T_656) @[lsu_bus_buffer.scala 171:91] + node _T_658 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[lsu_bus_buffer.scala 171:86] + node _T_659 = bits(_T_658, 0, 0) @[Bitwise.scala 72:15] + node _T_660 = mux(_T_659, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_661 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_662 = and(_T_660, _T_661) @[lsu_bus_buffer.scala 171:91] + node _T_663 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[lsu_bus_buffer.scala 171:86] + node _T_664 = bits(_T_663, 0, 0) @[Bitwise.scala 72:15] + node _T_665 = mux(_T_664, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_666 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_667 = and(_T_665, _T_666) @[lsu_bus_buffer.scala 171:91] + node _T_668 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[lsu_bus_buffer.scala 171:86] + node _T_669 = bits(_T_668, 0, 0) @[Bitwise.scala 72:15] + node _T_670 = mux(_T_669, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_671 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_672 = and(_T_670, _T_671) @[lsu_bus_buffer.scala 171:91] + node _T_673 = or(_T_657, _T_662) @[lsu_bus_buffer.scala 171:123] + node _T_674 = or(_T_673, _T_667) @[lsu_bus_buffer.scala 171:123] + node _T_675 = or(_T_674, _T_672) @[lsu_bus_buffer.scala 171:123] + node _T_676 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[lsu_bus_buffer.scala 172:60] + node _T_677 = bits(_T_676, 0, 0) @[Bitwise.scala 72:15] + node _T_678 = mux(_T_677, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_679 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_680 = and(_T_678, _T_679) @[lsu_bus_buffer.scala 172:65] + node _T_681 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[lsu_bus_buffer.scala 172:60] + node _T_682 = bits(_T_681, 0, 0) @[Bitwise.scala 72:15] + node _T_683 = mux(_T_682, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_684 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_685 = and(_T_683, _T_684) @[lsu_bus_buffer.scala 172:65] + node _T_686 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[lsu_bus_buffer.scala 172:60] + node _T_687 = bits(_T_686, 0, 0) @[Bitwise.scala 72:15] + node _T_688 = mux(_T_687, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_689 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_690 = and(_T_688, _T_689) @[lsu_bus_buffer.scala 172:65] + node _T_691 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[lsu_bus_buffer.scala 172:60] + node _T_692 = bits(_T_691, 0, 0) @[Bitwise.scala 72:15] + node _T_693 = mux(_T_692, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_694 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_695 = and(_T_693, _T_694) @[lsu_bus_buffer.scala 172:65] + node _T_696 = or(_T_680, _T_685) @[lsu_bus_buffer.scala 172:97] + node _T_697 = or(_T_696, _T_690) @[lsu_bus_buffer.scala 172:97] + node _T_698 = or(_T_697, _T_695) @[lsu_bus_buffer.scala 172:97] + node _T_699 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[lsu_bus_buffer.scala 173:60] + node _T_700 = bits(_T_699, 0, 0) @[Bitwise.scala 72:15] + node _T_701 = mux(_T_700, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_702 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_703 = and(_T_701, _T_702) @[lsu_bus_buffer.scala 173:65] + node _T_704 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[lsu_bus_buffer.scala 173:60] + node _T_705 = bits(_T_704, 0, 0) @[Bitwise.scala 72:15] + node _T_706 = mux(_T_705, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_707 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_708 = and(_T_706, _T_707) @[lsu_bus_buffer.scala 173:65] + node _T_709 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[lsu_bus_buffer.scala 173:60] + node _T_710 = bits(_T_709, 0, 0) @[Bitwise.scala 72:15] + node _T_711 = mux(_T_710, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_712 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_713 = and(_T_711, _T_712) @[lsu_bus_buffer.scala 173:65] + node _T_714 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[lsu_bus_buffer.scala 173:60] + node _T_715 = bits(_T_714, 0, 0) @[Bitwise.scala 72:15] + node _T_716 = mux(_T_715, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_717 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_718 = and(_T_716, _T_717) @[lsu_bus_buffer.scala 173:65] + node _T_719 = or(_T_703, _T_708) @[lsu_bus_buffer.scala 173:97] + node _T_720 = or(_T_719, _T_713) @[lsu_bus_buffer.scala 173:97] + node _T_721 = or(_T_720, _T_718) @[lsu_bus_buffer.scala 173:97] + node _T_722 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[lsu_bus_buffer.scala 174:60] + node _T_723 = bits(_T_722, 0, 0) @[Bitwise.scala 72:15] + node _T_724 = mux(_T_723, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_725 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_726 = and(_T_724, _T_725) @[lsu_bus_buffer.scala 174:65] + node _T_727 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[lsu_bus_buffer.scala 174:60] + node _T_728 = bits(_T_727, 0, 0) @[Bitwise.scala 72:15] + node _T_729 = mux(_T_728, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_730 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_731 = and(_T_729, _T_730) @[lsu_bus_buffer.scala 174:65] + node _T_732 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[lsu_bus_buffer.scala 174:60] + node _T_733 = bits(_T_732, 0, 0) @[Bitwise.scala 72:15] + node _T_734 = mux(_T_733, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_735 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_736 = and(_T_734, _T_735) @[lsu_bus_buffer.scala 174:65] + node _T_737 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[lsu_bus_buffer.scala 174:60] + node _T_738 = bits(_T_737, 0, 0) @[Bitwise.scala 72:15] + node _T_739 = mux(_T_738, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_740 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_741 = and(_T_739, _T_740) @[lsu_bus_buffer.scala 174:65] + node _T_742 = or(_T_726, _T_731) @[lsu_bus_buffer.scala 174:97] + node _T_743 = or(_T_742, _T_736) @[lsu_bus_buffer.scala 174:97] + node _T_744 = or(_T_743, _T_741) @[lsu_bus_buffer.scala 174:97] + node _T_745 = cat(_T_721, _T_744) @[Cat.scala 29:58] + node _T_746 = cat(_T_675, _T_698) @[Cat.scala 29:58] + node _T_747 = cat(_T_746, _T_745) @[Cat.scala 29:58] + node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[lsu_bus_buffer.scala 175:32] + node _T_749 = or(_T_747, _T_748) @[lsu_bus_buffer.scala 174:103] + io.ld_fwddata_buf_hi <= _T_749 @[lsu_bus_buffer.scala 171:24] + node bus_coalescing_disable = or(io.tlu_busbuff.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 177:77] + node _T_750 = mux(io.lsu_pkt_r.bits.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_751 = mux(io.lsu_pkt_r.bits.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_752 = mux(io.lsu_pkt_r.bits.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_753 = or(_T_750, _T_751) @[Mux.scala 27:72] + node _T_754 = or(_T_753, _T_752) @[Mux.scala 27:72] + wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_r <= _T_754 @[Mux.scala 27:72] + node _T_755 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 182:50] + node _T_756 = eq(_T_755, UInt<1>("h00")) @[lsu_bus_buffer.scala 182:55] + node _T_757 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 183:19] + node _T_758 = eq(_T_757, UInt<1>("h01")) @[lsu_bus_buffer.scala 183:24] + node _T_759 = bits(ldst_byteen_r, 3, 3) @[lsu_bus_buffer.scala 183:60] + node _T_760 = cat(UInt<3>("h00"), _T_759) @[Cat.scala 29:58] + node _T_761 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 184:19] + node _T_762 = eq(_T_761, UInt<2>("h02")) @[lsu_bus_buffer.scala 184:24] + node _T_763 = bits(ldst_byteen_r, 3, 2) @[lsu_bus_buffer.scala 184:60] + node _T_764 = cat(UInt<2>("h00"), _T_763) @[Cat.scala 29:58] + node _T_765 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 185:19] + node _T_766 = eq(_T_765, UInt<2>("h03")) @[lsu_bus_buffer.scala 185:24] + node _T_767 = bits(ldst_byteen_r, 3, 1) @[lsu_bus_buffer.scala 185:60] + node _T_768 = cat(UInt<1>("h00"), _T_767) @[Cat.scala 29:58] + node _T_769 = mux(_T_756, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_770 = mux(_T_758, _T_760, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_771 = mux(_T_762, _T_764, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_772 = mux(_T_766, _T_768, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_773 = or(_T_769, _T_770) @[Mux.scala 27:72] + node _T_774 = or(_T_773, _T_771) @[Mux.scala 27:72] + node _T_775 = or(_T_774, _T_772) @[Mux.scala 27:72] + wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_hi_r <= _T_775 @[Mux.scala 27:72] + node _T_776 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 187:50] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[lsu_bus_buffer.scala 187:55] + node _T_778 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 188:19] + node _T_779 = eq(_T_778, UInt<1>("h01")) @[lsu_bus_buffer.scala 188:24] + node _T_780 = bits(ldst_byteen_r, 2, 0) @[lsu_bus_buffer.scala 188:50] + node _T_781 = cat(_T_780, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_782 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 189:19] + node _T_783 = eq(_T_782, UInt<2>("h02")) @[lsu_bus_buffer.scala 189:24] + node _T_784 = bits(ldst_byteen_r, 1, 0) @[lsu_bus_buffer.scala 189:50] + node _T_785 = cat(_T_784, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_786 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 190:19] + node _T_787 = eq(_T_786, UInt<2>("h03")) @[lsu_bus_buffer.scala 190:24] + node _T_788 = bits(ldst_byteen_r, 0, 0) @[lsu_bus_buffer.scala 190:50] + node _T_789 = cat(_T_788, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_790 = mux(_T_777, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_791 = mux(_T_779, _T_781, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_792 = mux(_T_783, _T_785, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_793 = mux(_T_787, _T_789, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_794 = or(_T_790, _T_791) @[Mux.scala 27:72] + node _T_795 = or(_T_794, _T_792) @[Mux.scala 27:72] + node _T_796 = or(_T_795, _T_793) @[Mux.scala 27:72] + wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_lo_r <= _T_796 @[Mux.scala 27:72] + node _T_797 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 192:49] + node _T_798 = eq(_T_797, UInt<1>("h00")) @[lsu_bus_buffer.scala 192:54] + node _T_799 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 193:19] + node _T_800 = eq(_T_799, UInt<1>("h01")) @[lsu_bus_buffer.scala 193:24] + node _T_801 = bits(io.store_data_r, 31, 24) @[lsu_bus_buffer.scala 193:64] + node _T_802 = cat(UInt<24>("h00"), _T_801) @[Cat.scala 29:58] + node _T_803 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 194:19] + node _T_804 = eq(_T_803, UInt<2>("h02")) @[lsu_bus_buffer.scala 194:24] + node _T_805 = bits(io.store_data_r, 31, 16) @[lsu_bus_buffer.scala 194:63] + node _T_806 = cat(UInt<16>("h00"), _T_805) @[Cat.scala 29:58] + node _T_807 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 195:19] + node _T_808 = eq(_T_807, UInt<2>("h03")) @[lsu_bus_buffer.scala 195:24] + node _T_809 = bits(io.store_data_r, 31, 8) @[lsu_bus_buffer.scala 195:62] + node _T_810 = cat(UInt<8>("h00"), _T_809) @[Cat.scala 29:58] + node _T_811 = mux(_T_798, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_812 = mux(_T_800, _T_802, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_813 = mux(_T_804, _T_806, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_814 = mux(_T_808, _T_810, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_815 = or(_T_811, _T_812) @[Mux.scala 27:72] + node _T_816 = or(_T_815, _T_813) @[Mux.scala 27:72] + node _T_817 = or(_T_816, _T_814) @[Mux.scala 27:72] + wire store_data_hi_r : UInt<32> @[Mux.scala 27:72] + store_data_hi_r <= _T_817 @[Mux.scala 27:72] + node _T_818 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 197:49] + node _T_819 = eq(_T_818, UInt<1>("h00")) @[lsu_bus_buffer.scala 197:54] + node _T_820 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 198:19] + node _T_821 = eq(_T_820, UInt<1>("h01")) @[lsu_bus_buffer.scala 198:24] + node _T_822 = bits(io.store_data_r, 23, 0) @[lsu_bus_buffer.scala 198:52] + node _T_823 = cat(_T_822, UInt<8>("h00")) @[Cat.scala 29:58] + node _T_824 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 199:19] + node _T_825 = eq(_T_824, UInt<2>("h02")) @[lsu_bus_buffer.scala 199:24] + node _T_826 = bits(io.store_data_r, 15, 0) @[lsu_bus_buffer.scala 199:52] + node _T_827 = cat(_T_826, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_828 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 200:19] + node _T_829 = eq(_T_828, UInt<2>("h03")) @[lsu_bus_buffer.scala 200:24] + node _T_830 = bits(io.store_data_r, 7, 0) @[lsu_bus_buffer.scala 200:52] + node _T_831 = cat(_T_830, UInt<24>("h00")) @[Cat.scala 29:58] + node _T_832 = mux(_T_819, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_833 = mux(_T_821, _T_823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_834 = mux(_T_825, _T_827, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_835 = mux(_T_829, _T_831, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_836 = or(_T_832, _T_833) @[Mux.scala 27:72] + node _T_837 = or(_T_836, _T_834) @[Mux.scala 27:72] + node _T_838 = or(_T_837, _T_835) @[Mux.scala 27:72] + wire store_data_lo_r : UInt<32> @[Mux.scala 27:72] + store_data_lo_r <= _T_838 @[Mux.scala 27:72] + node _T_839 = bits(io.lsu_addr_r, 3, 3) @[lsu_bus_buffer.scala 203:36] + node _T_840 = bits(io.end_addr_r, 3, 3) @[lsu_bus_buffer.scala 203:57] + node ldst_samedw_r = eq(_T_839, _T_840) @[lsu_bus_buffer.scala 203:40] + node _T_841 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 204:72] + node _T_842 = eq(_T_841, UInt<1>("h00")) @[lsu_bus_buffer.scala 204:79] + node _T_843 = bits(io.lsu_addr_r, 0, 0) @[lsu_bus_buffer.scala 205:45] + node _T_844 = eq(_T_843, UInt<1>("h00")) @[lsu_bus_buffer.scala 205:31] + node _T_845 = mux(io.lsu_pkt_r.bits.word, _T_842, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_846 = mux(io.lsu_pkt_r.bits.half, _T_844, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_847 = mux(io.lsu_pkt_r.bits.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_848 = or(_T_845, _T_846) @[Mux.scala 27:72] + node _T_849 = or(_T_848, _T_847) @[Mux.scala 27:72] + wire is_aligned_r : UInt<1> @[Mux.scala 27:72] + is_aligned_r <= _T_849 @[Mux.scala 27:72] + node _T_850 = or(io.lsu_pkt_r.bits.load, io.no_word_merge_r) @[lsu_bus_buffer.scala 207:60] + node _T_851 = and(io.lsu_busreq_r, _T_850) @[lsu_bus_buffer.scala 207:34] + node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 207:84] + node ibuf_byp = and(_T_851, _T_852) @[lsu_bus_buffer.scala 207:82] + node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 208:36] + node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[lsu_bus_buffer.scala 208:56] + node ibuf_wr_en = and(_T_853, _T_854) @[lsu_bus_buffer.scala 208:54] + wire ibuf_drain_vld : UInt<1> + ibuf_drain_vld <= UInt<1>("h00") + node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 210:36] + node _T_856 = and(ibuf_drain_vld, _T_855) @[lsu_bus_buffer.scala 210:34] + node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 210:49] + node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 211:44] + node _T_858 = and(io.lsu_busreq_m, _T_857) @[lsu_bus_buffer.scala 211:42] + node _T_859 = and(_T_858, ibuf_valid) @[lsu_bus_buffer.scala 211:61] + node _T_860 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 211:112] + node _T_861 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 211:137] + node _T_862 = neq(_T_860, _T_861) @[lsu_bus_buffer.scala 211:120] + node _T_863 = or(io.lsu_pkt_m.bits.load, _T_862) @[lsu_bus_buffer.scala 211:100] + node ibuf_force_drain = and(_T_859, _T_863) @[lsu_bus_buffer.scala 211:74] + wire ibuf_sideeffect : UInt<1> + ibuf_sideeffect <= UInt<1>("h00") + wire ibuf_timer : UInt<3> + ibuf_timer <= UInt<1>("h00") + wire ibuf_merge_en : UInt<1> + ibuf_merge_en <= UInt<1>("h00") + wire ibuf_merge_in : UInt<1> + ibuf_merge_in <= UInt<1>("h00") + node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 216:62] + node _T_865 = or(ibuf_wr_en, _T_864) @[lsu_bus_buffer.scala 216:48] + node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 216:98] + node _T_867 = eq(_T_866, UInt<1>("h00")) @[lsu_bus_buffer.scala 216:82] + node _T_868 = and(_T_865, _T_867) @[lsu_bus_buffer.scala 216:80] + node _T_869 = or(_T_868, ibuf_byp) @[lsu_bus_buffer.scala 217:5] + node _T_870 = or(_T_869, ibuf_force_drain) @[lsu_bus_buffer.scala 217:16] + node _T_871 = or(_T_870, ibuf_sideeffect) @[lsu_bus_buffer.scala 217:35] + node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 217:55] + node _T_873 = or(_T_871, _T_872) @[lsu_bus_buffer.scala 217:53] + node _T_874 = or(_T_873, bus_coalescing_disable) @[lsu_bus_buffer.scala 217:67] + node _T_875 = and(ibuf_valid, _T_874) @[lsu_bus_buffer.scala 216:32] + ibuf_drain_vld <= _T_875 @[lsu_bus_buffer.scala 216:18] + wire ibuf_tag : UInt<2> + ibuf_tag <= UInt<1>("h00") + wire WrPtr1_r : UInt<2> + WrPtr1_r <= UInt<1>("h00") + wire WrPtr0_r : UInt<2> + WrPtr0_r <= UInt<1>("h00") + node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 222:39] + node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[lsu_bus_buffer.scala 222:69] + node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[lsu_bus_buffer.scala 222:24] + node ibuf_sz_in = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 225:25] + node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 226:42] + node _T_879 = bits(ibuf_byteen, 3, 0) @[lsu_bus_buffer.scala 226:70] + node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 226:95] + node _T_881 = or(_T_879, _T_880) @[lsu_bus_buffer.scala 226:77] + node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 227:41] + node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 227:65] + node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[lsu_bus_buffer.scala 227:8] + node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[lsu_bus_buffer.scala 226:27] + node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 230:61] + node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 231:25] + node _T_887 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 231:45] + node _T_888 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 231:76] + node _T_889 = mux(_T_886, _T_887, _T_888) @[lsu_bus_buffer.scala 231:8] + node _T_890 = bits(store_data_hi_r, 7, 0) @[lsu_bus_buffer.scala 232:40] + node _T_891 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 232:77] + node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[lsu_bus_buffer.scala 232:8] + node _T_893 = mux(_T_885, _T_889, _T_892) @[lsu_bus_buffer.scala 230:46] + node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 230:61] + node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 231:25] + node _T_896 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 231:45] + node _T_897 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 231:76] + node _T_898 = mux(_T_895, _T_896, _T_897) @[lsu_bus_buffer.scala 231:8] + node _T_899 = bits(store_data_hi_r, 15, 8) @[lsu_bus_buffer.scala 232:40] + node _T_900 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 232:77] + node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[lsu_bus_buffer.scala 232:8] + node _T_902 = mux(_T_894, _T_898, _T_901) @[lsu_bus_buffer.scala 230:46] + node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 230:61] + node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 231:25] + node _T_905 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 231:45] + node _T_906 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 231:76] + node _T_907 = mux(_T_904, _T_905, _T_906) @[lsu_bus_buffer.scala 231:8] + node _T_908 = bits(store_data_hi_r, 23, 16) @[lsu_bus_buffer.scala 232:40] + node _T_909 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 232:77] + node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[lsu_bus_buffer.scala 232:8] + node _T_911 = mux(_T_903, _T_907, _T_910) @[lsu_bus_buffer.scala 230:46] + node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 230:61] + node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 231:25] + node _T_914 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 231:45] + node _T_915 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 231:76] + node _T_916 = mux(_T_913, _T_914, _T_915) @[lsu_bus_buffer.scala 231:8] + node _T_917 = bits(store_data_hi_r, 31, 24) @[lsu_bus_buffer.scala 232:40] + node _T_918 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 232:77] + node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[lsu_bus_buffer.scala 232:8] + node _T_920 = mux(_T_912, _T_916, _T_919) @[lsu_bus_buffer.scala 230:46] + node _T_921 = cat(_T_920, _T_911) @[Cat.scala 29:58] + node _T_922 = cat(_T_921, _T_902) @[Cat.scala 29:58] + node ibuf_data_in = cat(_T_922, _T_893) @[Cat.scala 29:58] + node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 233:59] + node _T_924 = bits(_T_923, 0, 0) @[lsu_bus_buffer.scala 233:79] + node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 233:93] + node _T_926 = tail(_T_925, 1) @[lsu_bus_buffer.scala 233:93] + node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[lsu_bus_buffer.scala 233:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[lsu_bus_buffer.scala 233:26] + node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 235:36] + node _T_929 = and(_T_928, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 235:54] + node _T_930 = and(_T_929, ibuf_valid) @[lsu_bus_buffer.scala 235:80] + node _T_931 = and(_T_930, ibuf_write) @[lsu_bus_buffer.scala 235:93] + node _T_932 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_buffer.scala 235:122] + node _T_933 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 235:142] + node _T_934 = eq(_T_932, _T_933) @[lsu_bus_buffer.scala 235:129] + node _T_935 = and(_T_931, _T_934) @[lsu_bus_buffer.scala 235:106] + node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:152] + node _T_937 = and(_T_935, _T_936) @[lsu_bus_buffer.scala 235:150] + node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:175] + node _T_939 = and(_T_937, _T_938) @[lsu_bus_buffer.scala 235:173] + ibuf_merge_en <= _T_939 @[lsu_bus_buffer.scala 235:17] + node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:20] + ibuf_merge_in <= _T_940 @[lsu_bus_buffer.scala 236:17] + node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:65] + node _T_942 = and(ibuf_merge_en, _T_941) @[lsu_bus_buffer.scala 237:63] + node _T_943 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 237:92] + node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 237:114] + node _T_945 = or(_T_943, _T_944) @[lsu_bus_buffer.scala 237:96] + node _T_946 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 237:130] + node _T_947 = mux(_T_942, _T_945, _T_946) @[lsu_bus_buffer.scala 237:48] + node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:65] + node _T_949 = and(ibuf_merge_en, _T_948) @[lsu_bus_buffer.scala 237:63] + node _T_950 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 237:92] + node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 237:114] + node _T_952 = or(_T_950, _T_951) @[lsu_bus_buffer.scala 237:96] + node _T_953 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 237:130] + node _T_954 = mux(_T_949, _T_952, _T_953) @[lsu_bus_buffer.scala 237:48] + node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:65] + node _T_956 = and(ibuf_merge_en, _T_955) @[lsu_bus_buffer.scala 237:63] + node _T_957 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 237:92] + node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 237:114] + node _T_959 = or(_T_957, _T_958) @[lsu_bus_buffer.scala 237:96] + node _T_960 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 237:130] + node _T_961 = mux(_T_956, _T_959, _T_960) @[lsu_bus_buffer.scala 237:48] + node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:65] + node _T_963 = and(ibuf_merge_en, _T_962) @[lsu_bus_buffer.scala 237:63] + node _T_964 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 237:92] + node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 237:114] + node _T_966 = or(_T_964, _T_965) @[lsu_bus_buffer.scala 237:96] + node _T_967 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 237:130] + node _T_968 = mux(_T_963, _T_966, _T_967) @[lsu_bus_buffer.scala 237:48] + node _T_969 = cat(_T_968, _T_961) @[Cat.scala 29:58] + node _T_970 = cat(_T_969, _T_954) @[Cat.scala 29:58] + node ibuf_byteen_out = cat(_T_970, _T_947) @[Cat.scala 29:58] + node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:62] + node _T_972 = and(ibuf_merge_en, _T_971) @[lsu_bus_buffer.scala 238:60] + node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 238:98] + node _T_974 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 238:118] + node _T_975 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 238:143] + node _T_976 = mux(_T_973, _T_974, _T_975) @[lsu_bus_buffer.scala 238:81] + node _T_977 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 238:169] + node _T_978 = mux(_T_972, _T_976, _T_977) @[lsu_bus_buffer.scala 238:45] + node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:62] + node _T_980 = and(ibuf_merge_en, _T_979) @[lsu_bus_buffer.scala 238:60] + node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 238:98] + node _T_982 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 238:118] + node _T_983 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 238:143] + node _T_984 = mux(_T_981, _T_982, _T_983) @[lsu_bus_buffer.scala 238:81] + node _T_985 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 238:169] + node _T_986 = mux(_T_980, _T_984, _T_985) @[lsu_bus_buffer.scala 238:45] + node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:62] + node _T_988 = and(ibuf_merge_en, _T_987) @[lsu_bus_buffer.scala 238:60] + node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 238:98] + node _T_990 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 238:118] + node _T_991 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 238:143] + node _T_992 = mux(_T_989, _T_990, _T_991) @[lsu_bus_buffer.scala 238:81] + node _T_993 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 238:169] + node _T_994 = mux(_T_988, _T_992, _T_993) @[lsu_bus_buffer.scala 238:45] + node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:62] + node _T_996 = and(ibuf_merge_en, _T_995) @[lsu_bus_buffer.scala 238:60] + node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 238:98] + node _T_998 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 238:118] + node _T_999 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 238:143] + node _T_1000 = mux(_T_997, _T_998, _T_999) @[lsu_bus_buffer.scala 238:81] + node _T_1001 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 238:169] + node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[lsu_bus_buffer.scala 238:45] + node _T_1003 = cat(_T_1002, _T_994) @[Cat.scala 29:58] + node _T_1004 = cat(_T_1003, _T_986) @[Cat.scala 29:58] + node ibuf_data_out = cat(_T_1004, _T_978) @[Cat.scala 29:58] + node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[lsu_bus_buffer.scala 240:58] + node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 240:93] + node _T_1007 = and(_T_1005, _T_1006) @[lsu_bus_buffer.scala 240:91] + reg _T_1008 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 240:54] + _T_1008 <= _T_1007 @[lsu_bus_buffer.scala 240:54] + ibuf_valid <= _T_1008 @[lsu_bus_buffer.scala 240:14] + reg _T_1009 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1009 <= ibuf_tag_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_tag <= _T_1009 @[lsu_bus_buffer.scala 241:12] + reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1010 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1010 <= io.is_sideeffects_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_sideeffect <= _T_1010 @[lsu_bus_buffer.scala 246:19] + reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_unsign <= io.lsu_pkt_r.bits.unsign @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1011 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1011 <= io.lsu_pkt_r.bits.store @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_write <= _T_1011 @[lsu_bus_buffer.scala 248:14] + reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr of rvclkhdr_24 @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= ibuf_wr_en @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1012 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1012 <= ibuf_addr_in @[lib.scala 374:16] + ibuf_addr <= _T_1012 @[lsu_bus_buffer.scala 250:13] + reg _T_1013 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1013 <= ibuf_byteen_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_byteen <= _T_1013 @[lsu_bus_buffer.scala 251:15] + inst rvclkhdr_1 of rvclkhdr_25 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= ibuf_wr_en @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1014 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1014 <= ibuf_data_in @[lib.scala 374:16] + ibuf_data <= _T_1014 @[lsu_bus_buffer.scala 252:13] + reg _T_1015 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 253:55] + _T_1015 <= ibuf_timer_in @[lsu_bus_buffer.scala 253:55] + ibuf_timer <= _T_1015 @[lsu_bus_buffer.scala 253:14] + wire buf_numvld_wrcmd_any : UInt<4> + buf_numvld_wrcmd_any <= UInt<1>("h00") + wire buf_numvld_cmd_any : UInt<4> + buf_numvld_cmd_any <= UInt<1>("h00") + wire obuf_wr_timer : UInt<3> + obuf_wr_timer <= UInt<1>("h00") + wire buf_nomerge : UInt<1>[4] @[lsu_bus_buffer.scala 257:25] + buf_nomerge[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 258:15] + buf_nomerge[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 258:15] + buf_nomerge[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 258:15] + buf_nomerge[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 258:15] + wire buf_sideeffect : UInt<4> + buf_sideeffect <= UInt<1>("h00") + wire obuf_force_wr_en : UInt<1> + obuf_force_wr_en <= UInt<1>("h00") + wire obuf_wr_en : UInt<1> + obuf_wr_en <= UInt<1>("h00") + node _T_1016 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 263:43] + node _T_1017 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 263:72] + node _T_1018 = and(_T_1016, _T_1017) @[lsu_bus_buffer.scala 263:51] + node _T_1019 = neq(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 263:97] + node _T_1020 = and(_T_1018, _T_1019) @[lsu_bus_buffer.scala 263:80] + node _T_1021 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:5] + node _T_1022 = and(_T_1020, _T_1021) @[lsu_bus_buffer.scala 263:114] + node _T_1023 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:114] + node _T_1024 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 264:114] + node _T_1025 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 264:114] + node _T_1026 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 264:114] + node _T_1027 = mux(_T_1023, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1028 = mux(_T_1024, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1029 = mux(_T_1025, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1030 = mux(_T_1026, buf_nomerge[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1031 = or(_T_1027, _T_1028) @[Mux.scala 27:72] + node _T_1032 = or(_T_1031, _T_1029) @[Mux.scala 27:72] + node _T_1033 = or(_T_1032, _T_1030) @[Mux.scala 27:72] + wire _T_1034 : UInt<1> @[Mux.scala 27:72] + _T_1034 <= _T_1033 @[Mux.scala 27:72] + node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:31] + node _T_1036 = and(_T_1022, _T_1035) @[lsu_bus_buffer.scala 264:29] + node _T_1037 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 265:88] + node _T_1038 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 265:111] + node _T_1039 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 265:88] + node _T_1040 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 265:111] + node _T_1041 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 265:88] + node _T_1042 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 265:111] + node _T_1043 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 265:88] + node _T_1044 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 265:111] + node _T_1045 = mux(_T_1037, _T_1038, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1046 = mux(_T_1039, _T_1040, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1047 = mux(_T_1041, _T_1042, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1048 = mux(_T_1043, _T_1044, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1049 = or(_T_1045, _T_1046) @[Mux.scala 27:72] + node _T_1050 = or(_T_1049, _T_1047) @[Mux.scala 27:72] + node _T_1051 = or(_T_1050, _T_1048) @[Mux.scala 27:72] + wire _T_1052 : UInt<1> @[Mux.scala 27:72] + _T_1052 <= _T_1051 @[Mux.scala 27:72] + node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[lsu_bus_buffer.scala 265:5] + node _T_1054 = and(_T_1036, _T_1053) @[lsu_bus_buffer.scala 264:140] + node _T_1055 = eq(obuf_force_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 265:119] + node obuf_wr_wait = and(_T_1054, _T_1055) @[lsu_bus_buffer.scala 265:117] + node _T_1056 = orr(buf_numvld_cmd_any) @[lsu_bus_buffer.scala 266:75] + node _T_1057 = lt(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 266:95] + node _T_1058 = and(_T_1056, _T_1057) @[lsu_bus_buffer.scala 266:79] + node _T_1059 = add(obuf_wr_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:123] + node _T_1060 = tail(_T_1059, 1) @[lsu_bus_buffer.scala 266:123] + node _T_1061 = mux(_T_1058, _T_1060, obuf_wr_timer) @[lsu_bus_buffer.scala 266:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1061) @[lsu_bus_buffer.scala 266:29] + node _T_1062 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:41] + node _T_1063 = and(io.lsu_busreq_m, _T_1062) @[lsu_bus_buffer.scala 267:39] + node _T_1064 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:60] + node _T_1065 = and(_T_1063, _T_1064) @[lsu_bus_buffer.scala 267:58] + node _T_1066 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 267:93] + node _T_1067 = and(_T_1065, _T_1066) @[lsu_bus_buffer.scala 267:72] + node _T_1068 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 267:117] + node _T_1069 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:208] + node _T_1070 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 267:228] + node _T_1071 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 267:208] + node _T_1072 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 267:228] + node _T_1073 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 267:208] + node _T_1074 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 267:228] + node _T_1075 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 267:208] + node _T_1076 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 267:228] + node _T_1077 = mux(_T_1069, _T_1070, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1078 = mux(_T_1071, _T_1072, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1079 = mux(_T_1073, _T_1074, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1080 = mux(_T_1075, _T_1076, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1081 = or(_T_1077, _T_1078) @[Mux.scala 27:72] + node _T_1082 = or(_T_1081, _T_1079) @[Mux.scala 27:72] + node _T_1083 = or(_T_1082, _T_1080) @[Mux.scala 27:72] + wire _T_1084 : UInt<30> @[Mux.scala 27:72] + _T_1084 <= _T_1083 @[Mux.scala 27:72] + node _T_1085 = neq(_T_1068, _T_1084) @[lsu_bus_buffer.scala 267:123] + node _T_1086 = and(_T_1067, _T_1085) @[lsu_bus_buffer.scala 267:101] + obuf_force_wr_en <= _T_1086 @[lsu_bus_buffer.scala 267:20] + wire buf_numvld_pend_any : UInt<4> + buf_numvld_pend_any <= UInt<1>("h00") + node _T_1087 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 269:53] + node _T_1088 = and(ibuf_byp, _T_1087) @[lsu_bus_buffer.scala 269:31] + node _T_1089 = eq(io.lsu_pkt_r.bits.store, UInt<1>("h00")) @[lsu_bus_buffer.scala 269:64] + node _T_1090 = or(_T_1089, io.no_dword_merge_r) @[lsu_bus_buffer.scala 269:89] + node ibuf_buf_byp = and(_T_1088, _T_1090) @[lsu_bus_buffer.scala 269:61] + wire bus_sideeffect_pend : UInt<1> + bus_sideeffect_pend <= UInt<1>("h00") + wire found_cmdptr0 : UInt<1> + found_cmdptr0 <= UInt<1>("h00") + wire buf_cmd_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 272:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:24] + wire buf_dual : UInt<1>[4] @[lsu_bus_buffer.scala 274:22] + buf_dual[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:12] + buf_dual[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:12] + buf_dual[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:12] + buf_dual[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:12] + wire buf_samedw : UInt<1>[4] @[lsu_bus_buffer.scala 276:24] + buf_samedw[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 277:14] + buf_samedw[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 277:14] + buf_samedw[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 277:14] + buf_samedw[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 277:14] + wire found_cmdptr1 : UInt<1> + found_cmdptr1 <= UInt<1>("h00") + wire bus_cmd_ready : UInt<1> + bus_cmd_ready <= UInt<1>("h00") + wire obuf_valid : UInt<1> + obuf_valid <= UInt<1>("h00") + wire obuf_nosend : UInt<1> + obuf_nosend <= UInt<1>("h00") + wire lsu_bus_cntr_overflow : UInt<1> + lsu_bus_cntr_overflow <= UInt<1>("h00") + wire bus_addr_match_pending : UInt<1> + bus_addr_match_pending <= UInt<1>("h00") + node _T_1091 = and(ibuf_buf_byp, io.lsu_commit_r) @[lsu_bus_buffer.scala 284:32] + node _T_1092 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[lsu_bus_buffer.scala 284:74] + node _T_1093 = eq(_T_1092, UInt<1>("h00")) @[lsu_bus_buffer.scala 284:52] + node _T_1094 = and(_T_1091, _T_1093) @[lsu_bus_buffer.scala 284:50] + node _T_1095 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1096 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1097 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1098 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1099 = mux(_T_1095, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1100 = mux(_T_1096, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1101 = mux(_T_1097, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1102 = mux(_T_1098, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1103 = or(_T_1099, _T_1100) @[Mux.scala 27:72] + node _T_1104 = or(_T_1103, _T_1101) @[Mux.scala 27:72] + node _T_1105 = or(_T_1104, _T_1102) @[Mux.scala 27:72] + wire _T_1106 : UInt<3> @[Mux.scala 27:72] + _T_1106 <= _T_1105 @[Mux.scala 27:72] + node _T_1107 = eq(_T_1106, UInt<3>("h02")) @[lsu_bus_buffer.scala 285:36] + node _T_1108 = and(_T_1107, found_cmdptr0) @[lsu_bus_buffer.scala 285:47] + node _T_1109 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1110 = cat(_T_1109, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1111 = cat(_T_1110, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1112 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1113 = bits(_T_1111, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1114 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1115 = bits(_T_1111, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1116 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1117 = bits(_T_1111, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1118 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1119 = bits(_T_1111, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1120 = mux(_T_1112, _T_1113, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1121 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1122 = mux(_T_1116, _T_1117, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1123 = mux(_T_1118, _T_1119, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1124 = or(_T_1120, _T_1121) @[Mux.scala 27:72] + node _T_1125 = or(_T_1124, _T_1122) @[Mux.scala 27:72] + node _T_1126 = or(_T_1125, _T_1123) @[Mux.scala 27:72] + wire _T_1127 : UInt<1> @[Mux.scala 27:72] + _T_1127 <= _T_1126 @[Mux.scala 27:72] + node _T_1128 = eq(_T_1127, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:23] + node _T_1129 = and(_T_1108, _T_1128) @[lsu_bus_buffer.scala 286:21] + node _T_1130 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1131 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1132 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1133 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1134 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1135 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1136 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1137 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1138 = mux(_T_1130, _T_1131, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1139 = mux(_T_1132, _T_1133, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1140 = mux(_T_1134, _T_1135, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1141 = mux(_T_1136, _T_1137, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1142 = or(_T_1138, _T_1139) @[Mux.scala 27:72] + node _T_1143 = or(_T_1142, _T_1140) @[Mux.scala 27:72] + node _T_1144 = or(_T_1143, _T_1141) @[Mux.scala 27:72] + wire _T_1145 : UInt<1> @[Mux.scala 27:72] + _T_1145 <= _T_1144 @[Mux.scala 27:72] + node _T_1146 = and(_T_1145, bus_sideeffect_pend) @[lsu_bus_buffer.scala 286:141] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:105] + node _T_1148 = and(_T_1129, _T_1147) @[lsu_bus_buffer.scala 286:103] + node _T_1149 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1150 = cat(_T_1149, buf_dual[1]) @[Cat.scala 29:58] + node _T_1151 = cat(_T_1150, buf_dual[0]) @[Cat.scala 29:58] + node _T_1152 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1153 = bits(_T_1151, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1154 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1155 = bits(_T_1151, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1156 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1157 = bits(_T_1151, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1158 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1159 = bits(_T_1151, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1160 = mux(_T_1152, _T_1153, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1161 = mux(_T_1154, _T_1155, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1162 = mux(_T_1156, _T_1157, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1163 = mux(_T_1158, _T_1159, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1164 = or(_T_1160, _T_1161) @[Mux.scala 27:72] + node _T_1165 = or(_T_1164, _T_1162) @[Mux.scala 27:72] + node _T_1166 = or(_T_1165, _T_1163) @[Mux.scala 27:72] + wire _T_1167 : UInt<1> @[Mux.scala 27:72] + _T_1167 <= _T_1166 @[Mux.scala 27:72] + node _T_1168 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1169 = cat(_T_1168, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1170 = cat(_T_1169, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1171 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1172 = bits(_T_1170, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1173 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1174 = bits(_T_1170, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1175 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1176 = bits(_T_1170, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1177 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1178 = bits(_T_1170, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1179 = mux(_T_1171, _T_1172, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1180 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1181 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1182 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1183 = or(_T_1179, _T_1180) @[Mux.scala 27:72] + node _T_1184 = or(_T_1183, _T_1181) @[Mux.scala 27:72] + node _T_1185 = or(_T_1184, _T_1182) @[Mux.scala 27:72] + wire _T_1186 : UInt<1> @[Mux.scala 27:72] + _T_1186 <= _T_1185 @[Mux.scala 27:72] + node _T_1187 = and(_T_1167, _T_1186) @[lsu_bus_buffer.scala 287:77] + node _T_1188 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1189 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1190 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1191 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1192 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1193 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1194 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1195 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1196 = mux(_T_1188, _T_1189, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1197 = mux(_T_1190, _T_1191, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1198 = mux(_T_1192, _T_1193, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1199 = mux(_T_1194, _T_1195, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1200 = or(_T_1196, _T_1197) @[Mux.scala 27:72] + node _T_1201 = or(_T_1200, _T_1198) @[Mux.scala 27:72] + node _T_1202 = or(_T_1201, _T_1199) @[Mux.scala 27:72] + wire _T_1203 : UInt<1> @[Mux.scala 27:72] + _T_1203 <= _T_1202 @[Mux.scala 27:72] + node _T_1204 = eq(_T_1203, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:150] + node _T_1205 = and(_T_1187, _T_1204) @[lsu_bus_buffer.scala 287:148] + node _T_1206 = eq(_T_1205, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:8] + node _T_1207 = or(_T_1206, found_cmdptr1) @[lsu_bus_buffer.scala 287:181] + node _T_1208 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] + node _T_1209 = cat(_T_1208, buf_nomerge[1]) @[Cat.scala 29:58] + node _T_1210 = cat(_T_1209, buf_nomerge[0]) @[Cat.scala 29:58] + node _T_1211 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1212 = bits(_T_1210, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1213 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1214 = bits(_T_1210, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1215 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1216 = bits(_T_1210, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1217 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1218 = bits(_T_1210, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1219 = mux(_T_1211, _T_1212, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1220 = mux(_T_1213, _T_1214, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1221 = mux(_T_1215, _T_1216, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1222 = mux(_T_1217, _T_1218, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1223 = or(_T_1219, _T_1220) @[Mux.scala 27:72] + node _T_1224 = or(_T_1223, _T_1221) @[Mux.scala 27:72] + node _T_1225 = or(_T_1224, _T_1222) @[Mux.scala 27:72] + wire _T_1226 : UInt<1> @[Mux.scala 27:72] + _T_1226 <= _T_1225 @[Mux.scala 27:72] + node _T_1227 = or(_T_1207, _T_1226) @[lsu_bus_buffer.scala 287:197] + node _T_1228 = or(_T_1227, obuf_force_wr_en) @[lsu_bus_buffer.scala 287:269] + node _T_1229 = and(_T_1148, _T_1228) @[lsu_bus_buffer.scala 286:164] + node _T_1230 = or(_T_1094, _T_1229) @[lsu_bus_buffer.scala 284:98] + node _T_1231 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 288:48] + node _T_1232 = or(bus_cmd_ready, _T_1231) @[lsu_bus_buffer.scala 288:46] + node _T_1233 = or(_T_1232, obuf_nosend) @[lsu_bus_buffer.scala 288:60] + node _T_1234 = and(_T_1230, _T_1233) @[lsu_bus_buffer.scala 288:29] + node _T_1235 = eq(obuf_wr_wait, UInt<1>("h00")) @[lsu_bus_buffer.scala 288:77] + node _T_1236 = and(_T_1234, _T_1235) @[lsu_bus_buffer.scala 288:75] + node _T_1237 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[lsu_bus_buffer.scala 288:93] + node _T_1238 = and(_T_1236, _T_1237) @[lsu_bus_buffer.scala 288:91] + node _T_1239 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 288:118] + node _T_1240 = and(_T_1238, _T_1239) @[lsu_bus_buffer.scala 288:116] + node _T_1241 = and(_T_1240, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 288:142] + obuf_wr_en <= _T_1241 @[lsu_bus_buffer.scala 284:14] + wire bus_cmd_sent : UInt<1> + bus_cmd_sent <= UInt<1>("h00") + node _T_1242 = and(obuf_valid, obuf_nosend) @[lsu_bus_buffer.scala 290:47] + node _T_1243 = or(bus_cmd_sent, _T_1242) @[lsu_bus_buffer.scala 290:33] + node _T_1244 = eq(obuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 290:65] + node _T_1245 = and(_T_1243, _T_1244) @[lsu_bus_buffer.scala 290:63] + node _T_1246 = and(_T_1245, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 290:77] + node obuf_rst = or(_T_1246, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 290:98] + node _T_1247 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1248 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1249 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1250 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1251 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1252 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1253 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1254 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1255 = mux(_T_1247, _T_1248, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1256 = mux(_T_1249, _T_1250, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1257 = mux(_T_1251, _T_1252, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1258 = mux(_T_1253, _T_1254, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1259 = or(_T_1255, _T_1256) @[Mux.scala 27:72] + node _T_1260 = or(_T_1259, _T_1257) @[Mux.scala 27:72] + node _T_1261 = or(_T_1260, _T_1258) @[Mux.scala 27:72] + wire _T_1262 : UInt<1> @[Mux.scala 27:72] + _T_1262 <= _T_1261 @[Mux.scala 27:72] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.bits.store, _T_1262) @[lsu_bus_buffer.scala 291:26] + node _T_1263 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1264 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1265 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1266 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1267 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1268 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1269 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1270 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1271 = mux(_T_1263, _T_1264, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1272 = mux(_T_1265, _T_1266, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1273 = mux(_T_1267, _T_1268, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1274 = mux(_T_1269, _T_1270, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1275 = or(_T_1271, _T_1272) @[Mux.scala 27:72] + node _T_1276 = or(_T_1275, _T_1273) @[Mux.scala 27:72] + node _T_1277 = or(_T_1276, _T_1274) @[Mux.scala 27:72] + wire _T_1278 : UInt<1> @[Mux.scala 27:72] + _T_1278 <= _T_1277 @[Mux.scala 27:72] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1278) @[lsu_bus_buffer.scala 292:31] + node _T_1279 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1280 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1281 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1282 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1283 = mux(_T_1279, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1284 = mux(_T_1280, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1285 = mux(_T_1281, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1286 = mux(_T_1282, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1287 = or(_T_1283, _T_1284) @[Mux.scala 27:72] + node _T_1288 = or(_T_1287, _T_1285) @[Mux.scala 27:72] + node _T_1289 = or(_T_1288, _T_1286) @[Mux.scala 27:72] + wire _T_1290 : UInt<32> @[Mux.scala 27:72] + _T_1290 <= _T_1289 @[Mux.scala 27:72] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1290) @[lsu_bus_buffer.scala 293:25] + wire buf_sz : UInt<2>[4] @[lsu_bus_buffer.scala 294:20] + buf_sz[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 295:10] + buf_sz[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 295:10] + buf_sz[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 295:10] + buf_sz[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 295:10] + node _T_1291 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_1292 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1293 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1294 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1295 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1296 = mux(_T_1292, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1297 = mux(_T_1293, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1298 = mux(_T_1294, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1299 = mux(_T_1295, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1300 = or(_T_1296, _T_1297) @[Mux.scala 27:72] + node _T_1301 = or(_T_1300, _T_1298) @[Mux.scala 27:72] + node _T_1302 = or(_T_1301, _T_1299) @[Mux.scala 27:72] + wire _T_1303 : UInt<2> @[Mux.scala 27:72] + _T_1303 <= _T_1302 @[Mux.scala 27:72] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1291, _T_1303) @[lsu_bus_buffer.scala 296:23] + wire obuf_merge_en : UInt<1> + obuf_merge_en <= UInt<1>("h00") + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[lsu_bus_buffer.scala 299:25] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, CmdPtr1) @[lsu_bus_buffer.scala 301:25] + wire obuf_cmd_done : UInt<1> + obuf_cmd_done <= UInt<1>("h00") + wire bus_wcmd_sent : UInt<1> + bus_wcmd_sent <= UInt<1>("h00") + node _T_1304 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 304:39] + node _T_1305 = eq(_T_1304, UInt<1>("h00")) @[lsu_bus_buffer.scala 304:26] + node _T_1306 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 304:68] + node obuf_cmd_done_in = and(_T_1305, _T_1306) @[lsu_bus_buffer.scala 304:51] + wire obuf_data_done : UInt<1> + obuf_data_done <= UInt<1>("h00") + wire bus_wdata_sent : UInt<1> + bus_wdata_sent <= UInt<1>("h00") + node _T_1307 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 307:40] + node _T_1308 = eq(_T_1307, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:27] + node _T_1309 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 307:70] + node obuf_data_done_in = and(_T_1308, _T_1309) @[lsu_bus_buffer.scala 307:52] + node _T_1310 = bits(obuf_sz_in, 1, 0) @[lsu_bus_buffer.scala 308:67] + node _T_1311 = eq(_T_1310, UInt<1>("h00")) @[lsu_bus_buffer.scala 308:72] + node _T_1312 = bits(obuf_sz_in, 0, 0) @[lsu_bus_buffer.scala 308:92] + node _T_1313 = bits(obuf_addr_in, 0, 0) @[lsu_bus_buffer.scala 308:111] + node _T_1314 = eq(_T_1313, UInt<1>("h00")) @[lsu_bus_buffer.scala 308:98] + node _T_1315 = and(_T_1312, _T_1314) @[lsu_bus_buffer.scala 308:96] + node _T_1316 = or(_T_1311, _T_1315) @[lsu_bus_buffer.scala 308:79] + node _T_1317 = bits(obuf_sz_in, 1, 1) @[lsu_bus_buffer.scala 308:129] + node _T_1318 = bits(obuf_addr_in, 1, 0) @[lsu_bus_buffer.scala 308:147] + node _T_1319 = orr(_T_1318) @[lsu_bus_buffer.scala 308:153] + node _T_1320 = eq(_T_1319, UInt<1>("h00")) @[lsu_bus_buffer.scala 308:134] + node _T_1321 = and(_T_1317, _T_1320) @[lsu_bus_buffer.scala 308:132] + node _T_1322 = or(_T_1316, _T_1321) @[lsu_bus_buffer.scala 308:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1322) @[lsu_bus_buffer.scala 308:28] + wire obuf_nosend_in : UInt<1> + obuf_nosend_in <= UInt<1>("h00") + wire obuf_rdrsp_pend : UInt<1> + obuf_rdrsp_pend <= UInt<1>("h00") + wire bus_rsp_read : UInt<1> + bus_rsp_read <= UInt<1>("h00") + wire bus_rsp_read_tag : UInt<3> + bus_rsp_read_tag <= UInt<1>("h00") + wire obuf_rdrsp_tag : UInt<3> + obuf_rdrsp_tag <= UInt<1>("h00") + wire obuf_write : UInt<1> + obuf_write <= UInt<1>("h00") + node _T_1323 = eq(obuf_nosend_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:44] + node _T_1324 = and(obuf_wr_en, _T_1323) @[lsu_bus_buffer.scala 316:42] + node _T_1325 = eq(_T_1324, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:29] + node _T_1326 = and(_T_1325, obuf_rdrsp_pend) @[lsu_bus_buffer.scala 316:61] + node _T_1327 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 316:116] + node _T_1328 = and(bus_rsp_read, _T_1327) @[lsu_bus_buffer.scala 316:96] + node _T_1329 = eq(_T_1328, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:81] + node _T_1330 = and(_T_1326, _T_1329) @[lsu_bus_buffer.scala 316:79] + node _T_1331 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 317:22] + node _T_1332 = and(bus_cmd_sent, _T_1331) @[lsu_bus_buffer.scala 317:20] + node _T_1333 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 317:37] + node _T_1334 = and(_T_1332, _T_1333) @[lsu_bus_buffer.scala 317:35] + node obuf_rdrsp_pend_in = or(_T_1330, _T_1334) @[lsu_bus_buffer.scala 316:138] + wire obuf_tag0 : UInt<3> + obuf_tag0 <= UInt<1>("h00") + node _T_1335 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 319:46] + node _T_1336 = and(bus_cmd_sent, _T_1335) @[lsu_bus_buffer.scala 319:44] + node obuf_rdrsp_tag_in = mux(_T_1336, obuf_tag0, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 319:30] + wire obuf_addr : UInt<32> + obuf_addr <= UInt<1>("h00") + wire obuf_sideeffect : UInt<1> + obuf_sideeffect <= UInt<1>("h00") + node _T_1337 = bits(obuf_addr_in, 31, 3) @[lsu_bus_buffer.scala 322:34] + node _T_1338 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 322:52] + node _T_1339 = eq(_T_1337, _T_1338) @[lsu_bus_buffer.scala 322:40] + node _T_1340 = and(_T_1339, obuf_aligned_in) @[lsu_bus_buffer.scala 322:60] + node _T_1341 = eq(obuf_sideeffect, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:80] + node _T_1342 = and(_T_1340, _T_1341) @[lsu_bus_buffer.scala 322:78] + node _T_1343 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:99] + node _T_1344 = and(_T_1342, _T_1343) @[lsu_bus_buffer.scala 322:97] + node _T_1345 = eq(obuf_write_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:113] + node _T_1346 = and(_T_1344, _T_1345) @[lsu_bus_buffer.scala 322:111] + node _T_1347 = eq(io.tlu_busbuff.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:130] + node _T_1348 = and(_T_1346, _T_1347) @[lsu_bus_buffer.scala 322:128] + node _T_1349 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 323:20] + node _T_1350 = and(obuf_valid, _T_1349) @[lsu_bus_buffer.scala 323:18] + node _T_1351 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 323:90] + node _T_1352 = and(bus_rsp_read, _T_1351) @[lsu_bus_buffer.scala 323:70] + node _T_1353 = eq(_T_1352, UInt<1>("h00")) @[lsu_bus_buffer.scala 323:55] + node _T_1354 = and(obuf_rdrsp_pend, _T_1353) @[lsu_bus_buffer.scala 323:53] + node _T_1355 = or(_T_1350, _T_1354) @[lsu_bus_buffer.scala 323:34] + node _T_1356 = and(_T_1348, _T_1355) @[lsu_bus_buffer.scala 322:177] + obuf_nosend_in <= _T_1356 @[lsu_bus_buffer.scala 322:18] + node _T_1357 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 324:60] + node _T_1358 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1359 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] + node _T_1360 = mux(_T_1357, _T_1358, _T_1359) @[lsu_bus_buffer.scala 324:46] + node _T_1361 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1362 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1363 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1364 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1365 = mux(_T_1361, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1366 = mux(_T_1362, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1367 = mux(_T_1363, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1368 = mux(_T_1364, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1369 = or(_T_1365, _T_1366) @[Mux.scala 27:72] + node _T_1370 = or(_T_1369, _T_1367) @[Mux.scala 27:72] + node _T_1371 = or(_T_1370, _T_1368) @[Mux.scala 27:72] + wire _T_1372 : UInt<32> @[Mux.scala 27:72] + _T_1372 <= _T_1371 @[Mux.scala 27:72] + node _T_1373 = bits(_T_1372, 2, 2) @[lsu_bus_buffer.scala 325:36] + node _T_1374 = bits(_T_1373, 0, 0) @[lsu_bus_buffer.scala 325:46] + node _T_1375 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1376 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1377 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1378 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1379 = mux(_T_1375, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1380 = mux(_T_1376, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1381 = mux(_T_1377, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1382 = mux(_T_1378, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1383 = or(_T_1379, _T_1380) @[Mux.scala 27:72] + node _T_1384 = or(_T_1383, _T_1381) @[Mux.scala 27:72] + node _T_1385 = or(_T_1384, _T_1382) @[Mux.scala 27:72] + wire _T_1386 : UInt<4> @[Mux.scala 27:72] + _T_1386 <= _T_1385 @[Mux.scala 27:72] + node _T_1387 = cat(_T_1386, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1388 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1389 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1390 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1391 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1392 = mux(_T_1388, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1393 = mux(_T_1389, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1394 = mux(_T_1390, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1395 = mux(_T_1391, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1396 = or(_T_1392, _T_1393) @[Mux.scala 27:72] + node _T_1397 = or(_T_1396, _T_1394) @[Mux.scala 27:72] + node _T_1398 = or(_T_1397, _T_1395) @[Mux.scala 27:72] + wire _T_1399 : UInt<4> @[Mux.scala 27:72] + _T_1399 <= _T_1398 @[Mux.scala 27:72] + node _T_1400 = cat(UInt<4>("h00"), _T_1399) @[Cat.scala 29:58] + node _T_1401 = mux(_T_1374, _T_1387, _T_1400) @[lsu_bus_buffer.scala 325:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1360, _T_1401) @[lsu_bus_buffer.scala 324:28] + node _T_1402 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 326:60] + node _T_1403 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1404 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] + node _T_1405 = mux(_T_1402, _T_1403, _T_1404) @[lsu_bus_buffer.scala 326:46] + node _T_1406 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1407 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1408 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1409 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1410 = mux(_T_1406, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1411 = mux(_T_1407, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1412 = mux(_T_1408, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1413 = mux(_T_1409, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1414 = or(_T_1410, _T_1411) @[Mux.scala 27:72] + node _T_1415 = or(_T_1414, _T_1412) @[Mux.scala 27:72] + node _T_1416 = or(_T_1415, _T_1413) @[Mux.scala 27:72] + wire _T_1417 : UInt<32> @[Mux.scala 27:72] + _T_1417 <= _T_1416 @[Mux.scala 27:72] + node _T_1418 = bits(_T_1417, 2, 2) @[lsu_bus_buffer.scala 327:36] + node _T_1419 = bits(_T_1418, 0, 0) @[lsu_bus_buffer.scala 327:46] + node _T_1420 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1421 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1422 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1423 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1424 = mux(_T_1420, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1425 = mux(_T_1421, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1426 = mux(_T_1422, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1427 = mux(_T_1423, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1428 = or(_T_1424, _T_1425) @[Mux.scala 27:72] + node _T_1429 = or(_T_1428, _T_1426) @[Mux.scala 27:72] + node _T_1430 = or(_T_1429, _T_1427) @[Mux.scala 27:72] + wire _T_1431 : UInt<4> @[Mux.scala 27:72] + _T_1431 <= _T_1430 @[Mux.scala 27:72] + node _T_1432 = cat(_T_1431, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1433 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1434 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1435 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1436 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1437 = mux(_T_1433, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1438 = mux(_T_1434, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1439 = mux(_T_1435, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1440 = mux(_T_1436, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1441 = or(_T_1437, _T_1438) @[Mux.scala 27:72] + node _T_1442 = or(_T_1441, _T_1439) @[Mux.scala 27:72] + node _T_1443 = or(_T_1442, _T_1440) @[Mux.scala 27:72] + wire _T_1444 : UInt<4> @[Mux.scala 27:72] + _T_1444 <= _T_1443 @[Mux.scala 27:72] + node _T_1445 = cat(UInt<4>("h00"), _T_1444) @[Cat.scala 29:58] + node _T_1446 = mux(_T_1419, _T_1432, _T_1445) @[lsu_bus_buffer.scala 327:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1405, _T_1446) @[lsu_bus_buffer.scala 326:28] + node _T_1447 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 329:58] + node _T_1448 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1449 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] + node _T_1450 = mux(_T_1447, _T_1448, _T_1449) @[lsu_bus_buffer.scala 329:44] + node _T_1451 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1452 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1453 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1454 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1455 = mux(_T_1451, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1456 = mux(_T_1452, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1457 = mux(_T_1453, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1458 = mux(_T_1454, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1459 = or(_T_1455, _T_1456) @[Mux.scala 27:72] + node _T_1460 = or(_T_1459, _T_1457) @[Mux.scala 27:72] + node _T_1461 = or(_T_1460, _T_1458) @[Mux.scala 27:72] + wire _T_1462 : UInt<32> @[Mux.scala 27:72] + _T_1462 <= _T_1461 @[Mux.scala 27:72] + node _T_1463 = bits(_T_1462, 2, 2) @[lsu_bus_buffer.scala 330:36] + node _T_1464 = bits(_T_1463, 0, 0) @[lsu_bus_buffer.scala 330:46] + node _T_1465 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1466 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1467 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1468 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1469 = mux(_T_1465, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1470 = mux(_T_1466, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1471 = mux(_T_1467, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1472 = mux(_T_1468, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1473 = or(_T_1469, _T_1470) @[Mux.scala 27:72] + node _T_1474 = or(_T_1473, _T_1471) @[Mux.scala 27:72] + node _T_1475 = or(_T_1474, _T_1472) @[Mux.scala 27:72] + wire _T_1476 : UInt<32> @[Mux.scala 27:72] + _T_1476 <= _T_1475 @[Mux.scala 27:72] + node _T_1477 = cat(_T_1476, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1478 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1479 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1480 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1481 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1482 = mux(_T_1478, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1483 = mux(_T_1479, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1484 = mux(_T_1480, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1485 = mux(_T_1481, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1486 = or(_T_1482, _T_1483) @[Mux.scala 27:72] + node _T_1487 = or(_T_1486, _T_1484) @[Mux.scala 27:72] + node _T_1488 = or(_T_1487, _T_1485) @[Mux.scala 27:72] + wire _T_1489 : UInt<32> @[Mux.scala 27:72] + _T_1489 <= _T_1488 @[Mux.scala 27:72] + node _T_1490 = cat(UInt<32>("h00"), _T_1489) @[Cat.scala 29:58] + node _T_1491 = mux(_T_1464, _T_1477, _T_1490) @[lsu_bus_buffer.scala 330:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1450, _T_1491) @[lsu_bus_buffer.scala 329:26] + node _T_1492 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 331:58] + node _T_1493 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1494 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] + node _T_1495 = mux(_T_1492, _T_1493, _T_1494) @[lsu_bus_buffer.scala 331:44] + node _T_1496 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1497 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1498 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1499 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1500 = mux(_T_1496, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1501 = mux(_T_1497, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1502 = mux(_T_1498, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1503 = mux(_T_1499, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1504 = or(_T_1500, _T_1501) @[Mux.scala 27:72] + node _T_1505 = or(_T_1504, _T_1502) @[Mux.scala 27:72] + node _T_1506 = or(_T_1505, _T_1503) @[Mux.scala 27:72] + wire _T_1507 : UInt<32> @[Mux.scala 27:72] + _T_1507 <= _T_1506 @[Mux.scala 27:72] + node _T_1508 = bits(_T_1507, 2, 2) @[lsu_bus_buffer.scala 332:36] + node _T_1509 = bits(_T_1508, 0, 0) @[lsu_bus_buffer.scala 332:46] + node _T_1510 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1511 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1512 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1513 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1514 = mux(_T_1510, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1515 = mux(_T_1511, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1516 = mux(_T_1512, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1517 = mux(_T_1513, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1518 = or(_T_1514, _T_1515) @[Mux.scala 27:72] + node _T_1519 = or(_T_1518, _T_1516) @[Mux.scala 27:72] + node _T_1520 = or(_T_1519, _T_1517) @[Mux.scala 27:72] + wire _T_1521 : UInt<32> @[Mux.scala 27:72] + _T_1521 <= _T_1520 @[Mux.scala 27:72] + node _T_1522 = cat(_T_1521, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1523 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1524 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1525 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1526 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1527 = mux(_T_1523, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1528 = mux(_T_1524, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1529 = mux(_T_1525, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1530 = mux(_T_1526, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1531 = or(_T_1527, _T_1528) @[Mux.scala 27:72] + node _T_1532 = or(_T_1531, _T_1529) @[Mux.scala 27:72] + node _T_1533 = or(_T_1532, _T_1530) @[Mux.scala 27:72] + wire _T_1534 : UInt<32> @[Mux.scala 27:72] + _T_1534 <= _T_1533 @[Mux.scala 27:72] + node _T_1535 = cat(UInt<32>("h00"), _T_1534) @[Cat.scala 29:58] + node _T_1536 = mux(_T_1509, _T_1522, _T_1535) @[lsu_bus_buffer.scala 332:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1495, _T_1536) @[lsu_bus_buffer.scala 331:26] + node _T_1537 = bits(obuf_byteen0_in, 0, 0) @[lsu_bus_buffer.scala 333:59] + node _T_1538 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 333:97] + node _T_1539 = and(obuf_merge_en, _T_1538) @[lsu_bus_buffer.scala 333:80] + node _T_1540 = or(_T_1537, _T_1539) @[lsu_bus_buffer.scala 333:63] + node _T_1541 = bits(obuf_byteen0_in, 1, 1) @[lsu_bus_buffer.scala 333:59] + node _T_1542 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 333:97] + node _T_1543 = and(obuf_merge_en, _T_1542) @[lsu_bus_buffer.scala 333:80] + node _T_1544 = or(_T_1541, _T_1543) @[lsu_bus_buffer.scala 333:63] + node _T_1545 = bits(obuf_byteen0_in, 2, 2) @[lsu_bus_buffer.scala 333:59] + node _T_1546 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 333:97] + node _T_1547 = and(obuf_merge_en, _T_1546) @[lsu_bus_buffer.scala 333:80] + node _T_1548 = or(_T_1545, _T_1547) @[lsu_bus_buffer.scala 333:63] + node _T_1549 = bits(obuf_byteen0_in, 3, 3) @[lsu_bus_buffer.scala 333:59] + node _T_1550 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 333:97] + node _T_1551 = and(obuf_merge_en, _T_1550) @[lsu_bus_buffer.scala 333:80] + node _T_1552 = or(_T_1549, _T_1551) @[lsu_bus_buffer.scala 333:63] + node _T_1553 = bits(obuf_byteen0_in, 4, 4) @[lsu_bus_buffer.scala 333:59] + node _T_1554 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 333:97] + node _T_1555 = and(obuf_merge_en, _T_1554) @[lsu_bus_buffer.scala 333:80] + node _T_1556 = or(_T_1553, _T_1555) @[lsu_bus_buffer.scala 333:63] + node _T_1557 = bits(obuf_byteen0_in, 5, 5) @[lsu_bus_buffer.scala 333:59] + node _T_1558 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 333:97] + node _T_1559 = and(obuf_merge_en, _T_1558) @[lsu_bus_buffer.scala 333:80] + node _T_1560 = or(_T_1557, _T_1559) @[lsu_bus_buffer.scala 333:63] + node _T_1561 = bits(obuf_byteen0_in, 6, 6) @[lsu_bus_buffer.scala 333:59] + node _T_1562 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 333:97] + node _T_1563 = and(obuf_merge_en, _T_1562) @[lsu_bus_buffer.scala 333:80] + node _T_1564 = or(_T_1561, _T_1563) @[lsu_bus_buffer.scala 333:63] + node _T_1565 = bits(obuf_byteen0_in, 7, 7) @[lsu_bus_buffer.scala 333:59] + node _T_1566 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 333:97] + node _T_1567 = and(obuf_merge_en, _T_1566) @[lsu_bus_buffer.scala 333:80] + node _T_1568 = or(_T_1565, _T_1567) @[lsu_bus_buffer.scala 333:63] + node _T_1569 = cat(_T_1568, _T_1564) @[Cat.scala 29:58] + node _T_1570 = cat(_T_1569, _T_1560) @[Cat.scala 29:58] + node _T_1571 = cat(_T_1570, _T_1556) @[Cat.scala 29:58] + node _T_1572 = cat(_T_1571, _T_1552) @[Cat.scala 29:58] + node _T_1573 = cat(_T_1572, _T_1548) @[Cat.scala 29:58] + node _T_1574 = cat(_T_1573, _T_1544) @[Cat.scala 29:58] + node obuf_byteen_in = cat(_T_1574, _T_1540) @[Cat.scala 29:58] + node _T_1575 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 334:76] + node _T_1576 = and(obuf_merge_en, _T_1575) @[lsu_bus_buffer.scala 334:59] + node _T_1577 = bits(obuf_data1_in, 7, 0) @[lsu_bus_buffer.scala 334:94] + node _T_1578 = bits(obuf_data0_in, 7, 0) @[lsu_bus_buffer.scala 334:123] + node _T_1579 = mux(_T_1576, _T_1577, _T_1578) @[lsu_bus_buffer.scala 334:44] + node _T_1580 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 334:76] + node _T_1581 = and(obuf_merge_en, _T_1580) @[lsu_bus_buffer.scala 334:59] + node _T_1582 = bits(obuf_data1_in, 15, 8) @[lsu_bus_buffer.scala 334:94] + node _T_1583 = bits(obuf_data0_in, 15, 8) @[lsu_bus_buffer.scala 334:123] + node _T_1584 = mux(_T_1581, _T_1582, _T_1583) @[lsu_bus_buffer.scala 334:44] + node _T_1585 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 334:76] + node _T_1586 = and(obuf_merge_en, _T_1585) @[lsu_bus_buffer.scala 334:59] + node _T_1587 = bits(obuf_data1_in, 23, 16) @[lsu_bus_buffer.scala 334:94] + node _T_1588 = bits(obuf_data0_in, 23, 16) @[lsu_bus_buffer.scala 334:123] + node _T_1589 = mux(_T_1586, _T_1587, _T_1588) @[lsu_bus_buffer.scala 334:44] + node _T_1590 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 334:76] + node _T_1591 = and(obuf_merge_en, _T_1590) @[lsu_bus_buffer.scala 334:59] + node _T_1592 = bits(obuf_data1_in, 31, 24) @[lsu_bus_buffer.scala 334:94] + node _T_1593 = bits(obuf_data0_in, 31, 24) @[lsu_bus_buffer.scala 334:123] + node _T_1594 = mux(_T_1591, _T_1592, _T_1593) @[lsu_bus_buffer.scala 334:44] + node _T_1595 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 334:76] + node _T_1596 = and(obuf_merge_en, _T_1595) @[lsu_bus_buffer.scala 334:59] + node _T_1597 = bits(obuf_data1_in, 39, 32) @[lsu_bus_buffer.scala 334:94] + node _T_1598 = bits(obuf_data0_in, 39, 32) @[lsu_bus_buffer.scala 334:123] + node _T_1599 = mux(_T_1596, _T_1597, _T_1598) @[lsu_bus_buffer.scala 334:44] + node _T_1600 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 334:76] + node _T_1601 = and(obuf_merge_en, _T_1600) @[lsu_bus_buffer.scala 334:59] + node _T_1602 = bits(obuf_data1_in, 47, 40) @[lsu_bus_buffer.scala 334:94] + node _T_1603 = bits(obuf_data0_in, 47, 40) @[lsu_bus_buffer.scala 334:123] + node _T_1604 = mux(_T_1601, _T_1602, _T_1603) @[lsu_bus_buffer.scala 334:44] + node _T_1605 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 334:76] + node _T_1606 = and(obuf_merge_en, _T_1605) @[lsu_bus_buffer.scala 334:59] + node _T_1607 = bits(obuf_data1_in, 55, 48) @[lsu_bus_buffer.scala 334:94] + node _T_1608 = bits(obuf_data0_in, 55, 48) @[lsu_bus_buffer.scala 334:123] + node _T_1609 = mux(_T_1606, _T_1607, _T_1608) @[lsu_bus_buffer.scala 334:44] + node _T_1610 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 334:76] + node _T_1611 = and(obuf_merge_en, _T_1610) @[lsu_bus_buffer.scala 334:59] + node _T_1612 = bits(obuf_data1_in, 63, 56) @[lsu_bus_buffer.scala 334:94] + node _T_1613 = bits(obuf_data0_in, 63, 56) @[lsu_bus_buffer.scala 334:123] + node _T_1614 = mux(_T_1611, _T_1612, _T_1613) @[lsu_bus_buffer.scala 334:44] + node _T_1615 = cat(_T_1614, _T_1609) @[Cat.scala 29:58] + node _T_1616 = cat(_T_1615, _T_1604) @[Cat.scala 29:58] + node _T_1617 = cat(_T_1616, _T_1599) @[Cat.scala 29:58] + node _T_1618 = cat(_T_1617, _T_1594) @[Cat.scala 29:58] + node _T_1619 = cat(_T_1618, _T_1589) @[Cat.scala 29:58] + node _T_1620 = cat(_T_1619, _T_1584) @[Cat.scala 29:58] + node obuf_data_in = cat(_T_1620, _T_1579) @[Cat.scala 29:58] + wire buf_dualhi : UInt<1>[4] @[lsu_bus_buffer.scala 336:24] + buf_dualhi[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 337:14] + buf_dualhi[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 337:14] + buf_dualhi[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 337:14] + buf_dualhi[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 337:14] + node _T_1621 = neq(CmdPtr0, CmdPtr1) @[lsu_bus_buffer.scala 338:30] + node _T_1622 = and(_T_1621, found_cmdptr0) @[lsu_bus_buffer.scala 338:43] + node _T_1623 = and(_T_1622, found_cmdptr1) @[lsu_bus_buffer.scala 338:59] + node _T_1624 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1625 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1626 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1627 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1628 = mux(_T_1624, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1629 = mux(_T_1625, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1630 = mux(_T_1626, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1631 = mux(_T_1627, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1632 = or(_T_1628, _T_1629) @[Mux.scala 27:72] + node _T_1633 = or(_T_1632, _T_1630) @[Mux.scala 27:72] + node _T_1634 = or(_T_1633, _T_1631) @[Mux.scala 27:72] + wire _T_1635 : UInt<3> @[Mux.scala 27:72] + _T_1635 <= _T_1634 @[Mux.scala 27:72] + node _T_1636 = eq(_T_1635, UInt<3>("h02")) @[lsu_bus_buffer.scala 338:107] + node _T_1637 = and(_T_1623, _T_1636) @[lsu_bus_buffer.scala 338:75] + node _T_1638 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1639 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1640 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1641 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1642 = mux(_T_1638, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1643 = mux(_T_1639, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1644 = mux(_T_1640, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1645 = mux(_T_1641, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1646 = or(_T_1642, _T_1643) @[Mux.scala 27:72] + node _T_1647 = or(_T_1646, _T_1644) @[Mux.scala 27:72] + node _T_1648 = or(_T_1647, _T_1645) @[Mux.scala 27:72] + wire _T_1649 : UInt<3> @[Mux.scala 27:72] + _T_1649 <= _T_1648 @[Mux.scala 27:72] + node _T_1650 = eq(_T_1649, UInt<3>("h02")) @[lsu_bus_buffer.scala 338:150] + node _T_1651 = and(_T_1637, _T_1650) @[lsu_bus_buffer.scala 338:118] + node _T_1652 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1653 = cat(_T_1652, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1654 = cat(_T_1653, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1655 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1656 = bits(_T_1654, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1657 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1658 = bits(_T_1654, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1659 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1660 = bits(_T_1654, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1661 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1662 = bits(_T_1654, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1663 = mux(_T_1655, _T_1656, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1664 = mux(_T_1657, _T_1658, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1665 = mux(_T_1659, _T_1660, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1666 = mux(_T_1661, _T_1662, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1667 = or(_T_1663, _T_1664) @[Mux.scala 27:72] + node _T_1668 = or(_T_1667, _T_1665) @[Mux.scala 27:72] + node _T_1669 = or(_T_1668, _T_1666) @[Mux.scala 27:72] + wire _T_1670 : UInt<1> @[Mux.scala 27:72] + _T_1670 <= _T_1669 @[Mux.scala 27:72] + node _T_1671 = eq(_T_1670, UInt<1>("h00")) @[lsu_bus_buffer.scala 339:5] + node _T_1672 = and(_T_1651, _T_1671) @[lsu_bus_buffer.scala 338:161] + node _T_1673 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1674 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1675 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1676 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1677 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1678 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1679 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1680 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1681 = mux(_T_1673, _T_1674, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1682 = mux(_T_1675, _T_1676, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1683 = mux(_T_1677, _T_1678, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1684 = mux(_T_1679, _T_1680, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1685 = or(_T_1681, _T_1682) @[Mux.scala 27:72] + node _T_1686 = or(_T_1685, _T_1683) @[Mux.scala 27:72] + node _T_1687 = or(_T_1686, _T_1684) @[Mux.scala 27:72] + wire _T_1688 : UInt<1> @[Mux.scala 27:72] + _T_1688 <= _T_1687 @[Mux.scala 27:72] + node _T_1689 = eq(_T_1688, UInt<1>("h00")) @[lsu_bus_buffer.scala 339:87] + node _T_1690 = and(_T_1672, _T_1689) @[lsu_bus_buffer.scala 339:85] + node _T_1691 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1692 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1693 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1694 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1695 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1696 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1697 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1698 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1699 = mux(_T_1691, _T_1692, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1700 = mux(_T_1693, _T_1694, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1701 = mux(_T_1695, _T_1696, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1702 = mux(_T_1697, _T_1698, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1703 = or(_T_1699, _T_1700) @[Mux.scala 27:72] + node _T_1704 = or(_T_1703, _T_1701) @[Mux.scala 27:72] + node _T_1705 = or(_T_1704, _T_1702) @[Mux.scala 27:72] + wire _T_1706 : UInt<1> @[Mux.scala 27:72] + _T_1706 <= _T_1705 @[Mux.scala 27:72] + node _T_1707 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1708 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1709 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1710 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1711 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1712 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1713 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1714 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1715 = mux(_T_1707, _T_1708, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1716 = mux(_T_1709, _T_1710, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1717 = mux(_T_1711, _T_1712, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1718 = mux(_T_1713, _T_1714, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1719 = or(_T_1715, _T_1716) @[Mux.scala 27:72] + node _T_1720 = or(_T_1719, _T_1717) @[Mux.scala 27:72] + node _T_1721 = or(_T_1720, _T_1718) @[Mux.scala 27:72] + wire _T_1722 : UInt<1> @[Mux.scala 27:72] + _T_1722 <= _T_1721 @[Mux.scala 27:72] + node _T_1723 = and(_T_1706, _T_1722) @[lsu_bus_buffer.scala 340:36] + node _T_1724 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1725 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1726 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1727 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1728 = mux(_T_1724, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1729 = mux(_T_1725, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1730 = mux(_T_1726, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1731 = mux(_T_1727, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1732 = or(_T_1728, _T_1729) @[Mux.scala 27:72] + node _T_1733 = or(_T_1732, _T_1730) @[Mux.scala 27:72] + node _T_1734 = or(_T_1733, _T_1731) @[Mux.scala 27:72] + wire _T_1735 : UInt<32> @[Mux.scala 27:72] + _T_1735 <= _T_1734 @[Mux.scala 27:72] + node _T_1736 = bits(_T_1735, 31, 3) @[lsu_bus_buffer.scala 341:35] + node _T_1737 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1738 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1739 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1740 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1741 = mux(_T_1737, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1742 = mux(_T_1738, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1743 = mux(_T_1739, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1744 = mux(_T_1740, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1745 = or(_T_1741, _T_1742) @[Mux.scala 27:72] + node _T_1746 = or(_T_1745, _T_1743) @[Mux.scala 27:72] + node _T_1747 = or(_T_1746, _T_1744) @[Mux.scala 27:72] + wire _T_1748 : UInt<32> @[Mux.scala 27:72] + _T_1748 <= _T_1747 @[Mux.scala 27:72] + node _T_1749 = bits(_T_1748, 31, 3) @[lsu_bus_buffer.scala 341:71] + node _T_1750 = eq(_T_1736, _T_1749) @[lsu_bus_buffer.scala 341:41] + node _T_1751 = and(_T_1723, _T_1750) @[lsu_bus_buffer.scala 340:67] + node _T_1752 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 341:81] + node _T_1753 = and(_T_1751, _T_1752) @[lsu_bus_buffer.scala 341:79] + node _T_1754 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 341:107] + node _T_1755 = and(_T_1753, _T_1754) @[lsu_bus_buffer.scala 341:105] + node _T_1756 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1757 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1758 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1759 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1760 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1761 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1762 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1763 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1764 = mux(_T_1756, _T_1757, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1765 = mux(_T_1758, _T_1759, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1766 = mux(_T_1760, _T_1761, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1767 = mux(_T_1762, _T_1763, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1768 = or(_T_1764, _T_1765) @[Mux.scala 27:72] + node _T_1769 = or(_T_1768, _T_1766) @[Mux.scala 27:72] + node _T_1770 = or(_T_1769, _T_1767) @[Mux.scala 27:72] + wire _T_1771 : UInt<1> @[Mux.scala 27:72] + _T_1771 <= _T_1770 @[Mux.scala 27:72] + node _T_1772 = eq(_T_1771, UInt<1>("h00")) @[lsu_bus_buffer.scala 342:8] + node _T_1773 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1774 = cat(_T_1773, buf_dual[1]) @[Cat.scala 29:58] + node _T_1775 = cat(_T_1774, buf_dual[0]) @[Cat.scala 29:58] + node _T_1776 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1777 = bits(_T_1775, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1778 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1779 = bits(_T_1775, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1780 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1781 = bits(_T_1775, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1782 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1783 = bits(_T_1775, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1784 = mux(_T_1776, _T_1777, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1785 = mux(_T_1778, _T_1779, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1786 = mux(_T_1780, _T_1781, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1787 = mux(_T_1782, _T_1783, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1788 = or(_T_1784, _T_1785) @[Mux.scala 27:72] + node _T_1789 = or(_T_1788, _T_1786) @[Mux.scala 27:72] + node _T_1790 = or(_T_1789, _T_1787) @[Mux.scala 27:72] + wire _T_1791 : UInt<1> @[Mux.scala 27:72] + _T_1791 <= _T_1790 @[Mux.scala 27:72] + node _T_1792 = and(_T_1772, _T_1791) @[lsu_bus_buffer.scala 342:38] + node _T_1793 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] + node _T_1794 = cat(_T_1793, buf_dualhi[1]) @[Cat.scala 29:58] + node _T_1795 = cat(_T_1794, buf_dualhi[0]) @[Cat.scala 29:58] + node _T_1796 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1797 = bits(_T_1795, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1798 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1799 = bits(_T_1795, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1800 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1801 = bits(_T_1795, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1802 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1803 = bits(_T_1795, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1804 = mux(_T_1796, _T_1797, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1805 = mux(_T_1798, _T_1799, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1806 = mux(_T_1800, _T_1801, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1807 = mux(_T_1802, _T_1803, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1808 = or(_T_1804, _T_1805) @[Mux.scala 27:72] + node _T_1809 = or(_T_1808, _T_1806) @[Mux.scala 27:72] + node _T_1810 = or(_T_1809, _T_1807) @[Mux.scala 27:72] + wire _T_1811 : UInt<1> @[Mux.scala 27:72] + _T_1811 <= _T_1810 @[Mux.scala 27:72] + node _T_1812 = eq(_T_1811, UInt<1>("h00")) @[lsu_bus_buffer.scala 342:109] + node _T_1813 = and(_T_1792, _T_1812) @[lsu_bus_buffer.scala 342:107] + node _T_1814 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1815 = cat(_T_1814, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1816 = cat(_T_1815, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1817 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1818 = bits(_T_1816, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1819 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1820 = bits(_T_1816, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1821 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1822 = bits(_T_1816, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1823 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1824 = bits(_T_1816, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1825 = mux(_T_1817, _T_1818, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1826 = mux(_T_1819, _T_1820, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1827 = mux(_T_1821, _T_1822, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1828 = mux(_T_1823, _T_1824, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1829 = or(_T_1825, _T_1826) @[Mux.scala 27:72] + node _T_1830 = or(_T_1829, _T_1827) @[Mux.scala 27:72] + node _T_1831 = or(_T_1830, _T_1828) @[Mux.scala 27:72] + wire _T_1832 : UInt<1> @[Mux.scala 27:72] + _T_1832 <= _T_1831 @[Mux.scala 27:72] + node _T_1833 = and(_T_1813, _T_1832) @[lsu_bus_buffer.scala 342:179] + node _T_1834 = or(_T_1755, _T_1833) @[lsu_bus_buffer.scala 341:128] + node _T_1835 = and(_T_1690, _T_1834) @[lsu_bus_buffer.scala 339:122] + node _T_1836 = and(ibuf_buf_byp, ldst_samedw_r) @[lsu_bus_buffer.scala 343:19] + node _T_1837 = and(_T_1836, io.ldst_dual_r) @[lsu_bus_buffer.scala 343:35] + node _T_1838 = or(_T_1835, _T_1837) @[lsu_bus_buffer.scala 342:253] + obuf_merge_en <= _T_1838 @[lsu_bus_buffer.scala 338:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 345:55] + obuf_wr_enQ <= obuf_wr_en @[lsu_bus_buffer.scala 345:55] + node _T_1839 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 346:58] + node _T_1840 = eq(obuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 346:93] + node _T_1841 = and(_T_1839, _T_1840) @[lsu_bus_buffer.scala 346:91] + reg _T_1842 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 346:54] + _T_1842 <= _T_1841 @[lsu_bus_buffer.scala 346:54] + obuf_valid <= _T_1842 @[lsu_bus_buffer.scala 346:14] + reg _T_1843 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1843 <= obuf_nosend_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_nosend <= _T_1843 @[lsu_bus_buffer.scala 347:15] + reg _T_1844 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 348:54] + _T_1844 <= obuf_cmd_done_in @[lsu_bus_buffer.scala 348:54] + obuf_cmd_done <= _T_1844 @[lsu_bus_buffer.scala 348:17] + reg _T_1845 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 349:55] + _T_1845 <= obuf_data_done_in @[lsu_bus_buffer.scala 349:55] + obuf_data_done <= _T_1845 @[lsu_bus_buffer.scala 349:18] + reg _T_1846 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 350:56] + _T_1846 <= obuf_rdrsp_pend_in @[lsu_bus_buffer.scala 350:56] + obuf_rdrsp_pend <= _T_1846 @[lsu_bus_buffer.scala 350:19] + reg _T_1847 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 351:55] + _T_1847 <= obuf_rdrsp_tag_in @[lsu_bus_buffer.scala 351:55] + obuf_rdrsp_tag <= _T_1847 @[lsu_bus_buffer.scala 351:18] + reg _T_1848 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1848 <= obuf_tag0_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_tag0 <= _T_1848 @[lsu_bus_buffer.scala 352:13] + reg obuf_tag1 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg obuf_merge : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_merge <= obuf_merge_en @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1849 : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1849 <= obuf_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_write <= _T_1849 @[lsu_bus_buffer.scala 355:14] + reg _T_1850 : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1850 <= obuf_sideeffect_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_sideeffect <= _T_1850 @[lsu_bus_buffer.scala 356:19] + reg obuf_sz : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_sz <= obuf_sz_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr_2 of rvclkhdr_26 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= obuf_wr_en @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1851 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1851 <= obuf_addr_in @[lib.scala 374:16] + obuf_addr <= _T_1851 @[lsu_bus_buffer.scala 358:13] + reg obuf_byteen : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr_3 of rvclkhdr_27 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= obuf_wr_en @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + obuf_data <= obuf_data_in @[lib.scala 374:16] + reg _T_1852 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 361:54] + _T_1852 <= obuf_wr_timer_in @[lsu_bus_buffer.scala 361:54] + obuf_wr_timer <= _T_1852 @[lsu_bus_buffer.scala 361:17] + wire WrPtr0_m : UInt<2> + WrPtr0_m <= UInt<1>("h00") + node _T_1853 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 364:65] + node _T_1854 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:30] + node _T_1855 = and(ibuf_valid, _T_1854) @[lsu_bus_buffer.scala 365:19] + node _T_1856 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 366:18] + node _T_1857 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 366:57] + node _T_1858 = and(io.ldst_dual_r, _T_1857) @[lsu_bus_buffer.scala 366:45] + node _T_1859 = or(_T_1856, _T_1858) @[lsu_bus_buffer.scala 366:27] + node _T_1860 = and(io.lsu_busreq_r, _T_1859) @[lsu_bus_buffer.scala 365:58] + node _T_1861 = or(_T_1855, _T_1860) @[lsu_bus_buffer.scala 365:39] + node _T_1862 = eq(_T_1861, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:5] + node _T_1863 = and(_T_1853, _T_1862) @[lsu_bus_buffer.scala 364:76] + node _T_1864 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 364:65] + node _T_1865 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 365:30] + node _T_1866 = and(ibuf_valid, _T_1865) @[lsu_bus_buffer.scala 365:19] + node _T_1867 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 366:18] + node _T_1868 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 366:57] + node _T_1869 = and(io.ldst_dual_r, _T_1868) @[lsu_bus_buffer.scala 366:45] + node _T_1870 = or(_T_1867, _T_1869) @[lsu_bus_buffer.scala 366:27] + node _T_1871 = and(io.lsu_busreq_r, _T_1870) @[lsu_bus_buffer.scala 365:58] + node _T_1872 = or(_T_1866, _T_1871) @[lsu_bus_buffer.scala 365:39] + node _T_1873 = eq(_T_1872, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:5] + node _T_1874 = and(_T_1864, _T_1873) @[lsu_bus_buffer.scala 364:76] + node _T_1875 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 364:65] + node _T_1876 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 365:30] + node _T_1877 = and(ibuf_valid, _T_1876) @[lsu_bus_buffer.scala 365:19] + node _T_1878 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 366:18] + node _T_1879 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 366:57] + node _T_1880 = and(io.ldst_dual_r, _T_1879) @[lsu_bus_buffer.scala 366:45] + node _T_1881 = or(_T_1878, _T_1880) @[lsu_bus_buffer.scala 366:27] + node _T_1882 = and(io.lsu_busreq_r, _T_1881) @[lsu_bus_buffer.scala 365:58] + node _T_1883 = or(_T_1877, _T_1882) @[lsu_bus_buffer.scala 365:39] + node _T_1884 = eq(_T_1883, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:5] + node _T_1885 = and(_T_1875, _T_1884) @[lsu_bus_buffer.scala 364:76] + node _T_1886 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 364:65] + node _T_1887 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 365:30] + node _T_1888 = and(ibuf_valid, _T_1887) @[lsu_bus_buffer.scala 365:19] + node _T_1889 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 366:18] + node _T_1890 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 366:57] + node _T_1891 = and(io.ldst_dual_r, _T_1890) @[lsu_bus_buffer.scala 366:45] + node _T_1892 = or(_T_1889, _T_1891) @[lsu_bus_buffer.scala 366:27] + node _T_1893 = and(io.lsu_busreq_r, _T_1892) @[lsu_bus_buffer.scala 365:58] + node _T_1894 = or(_T_1888, _T_1893) @[lsu_bus_buffer.scala 365:39] + node _T_1895 = eq(_T_1894, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:5] + node _T_1896 = and(_T_1886, _T_1895) @[lsu_bus_buffer.scala 364:76] + node _T_1897 = mux(_T_1896, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] + node _T_1898 = mux(_T_1885, UInt<2>("h02"), _T_1897) @[Mux.scala 98:16] + node _T_1899 = mux(_T_1874, UInt<1>("h01"), _T_1898) @[Mux.scala 98:16] + node _T_1900 = mux(_T_1863, UInt<1>("h00"), _T_1899) @[Mux.scala 98:16] + WrPtr0_m <= _T_1900 @[lsu_bus_buffer.scala 364:12] + wire WrPtr1_m : UInt<2> + WrPtr1_m <= UInt<1>("h00") + node _T_1901 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 370:65] + node _T_1902 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:103] + node _T_1903 = and(ibuf_valid, _T_1902) @[lsu_bus_buffer.scala 370:92] + node _T_1904 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 371:33] + node _T_1905 = and(io.lsu_busreq_m, _T_1904) @[lsu_bus_buffer.scala 371:22] + node _T_1906 = or(_T_1903, _T_1905) @[lsu_bus_buffer.scala 370:112] + node _T_1907 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:36] + node _T_1908 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 373:34] + node _T_1909 = and(io.ldst_dual_r, _T_1908) @[lsu_bus_buffer.scala 373:23] + node _T_1910 = or(_T_1907, _T_1909) @[lsu_bus_buffer.scala 372:46] + node _T_1911 = and(io.lsu_busreq_r, _T_1910) @[lsu_bus_buffer.scala 372:22] + node _T_1912 = or(_T_1906, _T_1911) @[lsu_bus_buffer.scala 371:42] + node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:78] + node _T_1914 = and(_T_1901, _T_1913) @[lsu_bus_buffer.scala 370:76] + node _T_1915 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 370:65] + node _T_1916 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 370:103] + node _T_1917 = and(ibuf_valid, _T_1916) @[lsu_bus_buffer.scala 370:92] + node _T_1918 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 371:33] + node _T_1919 = and(io.lsu_busreq_m, _T_1918) @[lsu_bus_buffer.scala 371:22] + node _T_1920 = or(_T_1917, _T_1919) @[lsu_bus_buffer.scala 370:112] + node _T_1921 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 372:36] + node _T_1922 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 373:34] + node _T_1923 = and(io.ldst_dual_r, _T_1922) @[lsu_bus_buffer.scala 373:23] + node _T_1924 = or(_T_1921, _T_1923) @[lsu_bus_buffer.scala 372:46] + node _T_1925 = and(io.lsu_busreq_r, _T_1924) @[lsu_bus_buffer.scala 372:22] + node _T_1926 = or(_T_1920, _T_1925) @[lsu_bus_buffer.scala 371:42] + node _T_1927 = eq(_T_1926, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:78] + node _T_1928 = and(_T_1915, _T_1927) @[lsu_bus_buffer.scala 370:76] + node _T_1929 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 370:65] + node _T_1930 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 370:103] + node _T_1931 = and(ibuf_valid, _T_1930) @[lsu_bus_buffer.scala 370:92] + node _T_1932 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 371:33] + node _T_1933 = and(io.lsu_busreq_m, _T_1932) @[lsu_bus_buffer.scala 371:22] + node _T_1934 = or(_T_1931, _T_1933) @[lsu_bus_buffer.scala 370:112] + node _T_1935 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 372:36] + node _T_1936 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 373:34] + node _T_1937 = and(io.ldst_dual_r, _T_1936) @[lsu_bus_buffer.scala 373:23] + node _T_1938 = or(_T_1935, _T_1937) @[lsu_bus_buffer.scala 372:46] + node _T_1939 = and(io.lsu_busreq_r, _T_1938) @[lsu_bus_buffer.scala 372:22] + node _T_1940 = or(_T_1934, _T_1939) @[lsu_bus_buffer.scala 371:42] + node _T_1941 = eq(_T_1940, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:78] + node _T_1942 = and(_T_1929, _T_1941) @[lsu_bus_buffer.scala 370:76] + node _T_1943 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 370:65] + node _T_1944 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 370:103] + node _T_1945 = and(ibuf_valid, _T_1944) @[lsu_bus_buffer.scala 370:92] + node _T_1946 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 371:33] + node _T_1947 = and(io.lsu_busreq_m, _T_1946) @[lsu_bus_buffer.scala 371:22] + node _T_1948 = or(_T_1945, _T_1947) @[lsu_bus_buffer.scala 370:112] + node _T_1949 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 372:36] + node _T_1950 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 373:34] + node _T_1951 = and(io.ldst_dual_r, _T_1950) @[lsu_bus_buffer.scala 373:23] + node _T_1952 = or(_T_1949, _T_1951) @[lsu_bus_buffer.scala 372:46] + node _T_1953 = and(io.lsu_busreq_r, _T_1952) @[lsu_bus_buffer.scala 372:22] + node _T_1954 = or(_T_1948, _T_1953) @[lsu_bus_buffer.scala 371:42] + node _T_1955 = eq(_T_1954, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:78] + node _T_1956 = and(_T_1943, _T_1955) @[lsu_bus_buffer.scala 370:76] + node _T_1957 = mux(_T_1956, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] + node _T_1958 = mux(_T_1942, UInt<2>("h02"), _T_1957) @[Mux.scala 98:16] + node _T_1959 = mux(_T_1928, UInt<1>("h01"), _T_1958) @[Mux.scala 98:16] + node _T_1960 = mux(_T_1914, UInt<1>("h00"), _T_1959) @[Mux.scala 98:16] + WrPtr1_m <= _T_1960 @[lsu_bus_buffer.scala 370:12] + wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 375:21] + buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 376:11] + buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 376:11] + buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 376:11] + buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 376:11] + node _T_1961 = orr(buf_age[0]) @[lsu_bus_buffer.scala 378:58] + node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_1963 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:78] + node _T_1964 = and(_T_1962, _T_1963) @[lsu_bus_buffer.scala 378:63] + node _T_1965 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:90] + node _T_1966 = and(_T_1964, _T_1965) @[lsu_bus_buffer.scala 378:88] + node _T_1967 = orr(buf_age[1]) @[lsu_bus_buffer.scala 378:58] + node _T_1968 = eq(_T_1967, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_1969 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:78] + node _T_1970 = and(_T_1968, _T_1969) @[lsu_bus_buffer.scala 378:63] + node _T_1971 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:90] + node _T_1972 = and(_T_1970, _T_1971) @[lsu_bus_buffer.scala 378:88] + node _T_1973 = orr(buf_age[2]) @[lsu_bus_buffer.scala 378:58] + node _T_1974 = eq(_T_1973, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_1975 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:78] + node _T_1976 = and(_T_1974, _T_1975) @[lsu_bus_buffer.scala 378:63] + node _T_1977 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:90] + node _T_1978 = and(_T_1976, _T_1977) @[lsu_bus_buffer.scala 378:88] + node _T_1979 = orr(buf_age[3]) @[lsu_bus_buffer.scala 378:58] + node _T_1980 = eq(_T_1979, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_1981 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:78] + node _T_1982 = and(_T_1980, _T_1981) @[lsu_bus_buffer.scala 378:63] + node _T_1983 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:90] + node _T_1984 = and(_T_1982, _T_1983) @[lsu_bus_buffer.scala 378:88] + node _T_1985 = cat(_T_1984, _T_1978) @[Cat.scala 29:58] + node _T_1986 = cat(_T_1985, _T_1972) @[Cat.scala 29:58] + node CmdPtr0Dec = cat(_T_1986, _T_1966) @[Cat.scala 29:58] + node _T_1987 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 379:62] + node _T_1988 = and(buf_age[0], _T_1987) @[lsu_bus_buffer.scala 379:59] + node _T_1989 = orr(_T_1988) @[lsu_bus_buffer.scala 379:76] + node _T_1990 = eq(_T_1989, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:45] + node _T_1991 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 379:94] + node _T_1992 = eq(_T_1991, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:83] + node _T_1993 = and(_T_1990, _T_1992) @[lsu_bus_buffer.scala 379:81] + node _T_1994 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 379:113] + node _T_1995 = and(_T_1993, _T_1994) @[lsu_bus_buffer.scala 379:98] + node _T_1996 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 379:125] + node _T_1997 = and(_T_1995, _T_1996) @[lsu_bus_buffer.scala 379:123] + node _T_1998 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 379:62] + node _T_1999 = and(buf_age[1], _T_1998) @[lsu_bus_buffer.scala 379:59] + node _T_2000 = orr(_T_1999) @[lsu_bus_buffer.scala 379:76] + node _T_2001 = eq(_T_2000, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:45] + node _T_2002 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 379:94] + node _T_2003 = eq(_T_2002, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:83] + node _T_2004 = and(_T_2001, _T_2003) @[lsu_bus_buffer.scala 379:81] + node _T_2005 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 379:113] + node _T_2006 = and(_T_2004, _T_2005) @[lsu_bus_buffer.scala 379:98] + node _T_2007 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 379:125] + node _T_2008 = and(_T_2006, _T_2007) @[lsu_bus_buffer.scala 379:123] + node _T_2009 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 379:62] + node _T_2010 = and(buf_age[2], _T_2009) @[lsu_bus_buffer.scala 379:59] + node _T_2011 = orr(_T_2010) @[lsu_bus_buffer.scala 379:76] + node _T_2012 = eq(_T_2011, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:45] + node _T_2013 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 379:94] + node _T_2014 = eq(_T_2013, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:83] + node _T_2015 = and(_T_2012, _T_2014) @[lsu_bus_buffer.scala 379:81] + node _T_2016 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 379:113] + node _T_2017 = and(_T_2015, _T_2016) @[lsu_bus_buffer.scala 379:98] + node _T_2018 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 379:125] + node _T_2019 = and(_T_2017, _T_2018) @[lsu_bus_buffer.scala 379:123] + node _T_2020 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 379:62] + node _T_2021 = and(buf_age[3], _T_2020) @[lsu_bus_buffer.scala 379:59] + node _T_2022 = orr(_T_2021) @[lsu_bus_buffer.scala 379:76] + node _T_2023 = eq(_T_2022, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:45] + node _T_2024 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 379:94] + node _T_2025 = eq(_T_2024, UInt<1>("h00")) @[lsu_bus_buffer.scala 379:83] + node _T_2026 = and(_T_2023, _T_2025) @[lsu_bus_buffer.scala 379:81] + node _T_2027 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 379:113] + node _T_2028 = and(_T_2026, _T_2027) @[lsu_bus_buffer.scala 379:98] + node _T_2029 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 379:125] + node _T_2030 = and(_T_2028, _T_2029) @[lsu_bus_buffer.scala 379:123] + node _T_2031 = cat(_T_2030, _T_2019) @[Cat.scala 29:58] + node _T_2032 = cat(_T_2031, _T_2008) @[Cat.scala 29:58] + node CmdPtr1Dec = cat(_T_2032, _T_1997) @[Cat.scala 29:58] + wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 380:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 381:19] + node _T_2033 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 382:65] + node _T_2034 = eq(_T_2033, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:44] + node _T_2035 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 382:85] + node _T_2036 = and(_T_2034, _T_2035) @[lsu_bus_buffer.scala 382:70] + node _T_2037 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 382:65] + node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:44] + node _T_2039 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 382:85] + node _T_2040 = and(_T_2038, _T_2039) @[lsu_bus_buffer.scala 382:70] + node _T_2041 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 382:65] + node _T_2042 = eq(_T_2041, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:44] + node _T_2043 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 382:85] + node _T_2044 = and(_T_2042, _T_2043) @[lsu_bus_buffer.scala 382:70] + node _T_2045 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 382:65] + node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[lsu_bus_buffer.scala 382:44] + node _T_2047 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 382:85] + node _T_2048 = and(_T_2046, _T_2047) @[lsu_bus_buffer.scala 382:70] + node _T_2049 = cat(_T_2048, _T_2044) @[Cat.scala 29:58] + node _T_2050 = cat(_T_2049, _T_2040) @[Cat.scala 29:58] + node RspPtrDec = cat(_T_2050, _T_2036) @[Cat.scala 29:58] + node _T_2051 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 383:31] + found_cmdptr0 <= _T_2051 @[lsu_bus_buffer.scala 383:17] + node _T_2052 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 384:31] + found_cmdptr1 <= _T_2052 @[lsu_bus_buffer.scala 384:17] + wire RspPtr : UInt<2> + RspPtr <= UInt<1>("h00") + node _T_2053 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2054 = cat(_T_2053, CmdPtr0Dec) @[Cat.scala 29:58] + node _T_2055 = bits(_T_2054, 4, 4) @[lsu_bus_buffer.scala 386:39] + node _T_2056 = bits(_T_2054, 5, 5) @[lsu_bus_buffer.scala 386:45] + node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 386:42] + node _T_2058 = bits(_T_2054, 6, 6) @[lsu_bus_buffer.scala 386:51] + node _T_2059 = or(_T_2057, _T_2058) @[lsu_bus_buffer.scala 386:48] + node _T_2060 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 386:57] + node _T_2061 = or(_T_2059, _T_2060) @[lsu_bus_buffer.scala 386:54] + node _T_2062 = bits(_T_2054, 2, 2) @[lsu_bus_buffer.scala 386:64] + node _T_2063 = bits(_T_2054, 3, 3) @[lsu_bus_buffer.scala 386:70] + node _T_2064 = or(_T_2062, _T_2063) @[lsu_bus_buffer.scala 386:67] + node _T_2065 = bits(_T_2054, 6, 6) @[lsu_bus_buffer.scala 386:76] + node _T_2066 = or(_T_2064, _T_2065) @[lsu_bus_buffer.scala 386:73] + node _T_2067 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 386:82] + node _T_2068 = or(_T_2066, _T_2067) @[lsu_bus_buffer.scala 386:79] + node _T_2069 = bits(_T_2054, 1, 1) @[lsu_bus_buffer.scala 386:89] + node _T_2070 = bits(_T_2054, 3, 3) @[lsu_bus_buffer.scala 386:95] + node _T_2071 = or(_T_2069, _T_2070) @[lsu_bus_buffer.scala 386:92] + node _T_2072 = bits(_T_2054, 5, 5) @[lsu_bus_buffer.scala 386:101] + node _T_2073 = or(_T_2071, _T_2072) @[lsu_bus_buffer.scala 386:98] + node _T_2074 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 386:107] + node _T_2075 = or(_T_2073, _T_2074) @[lsu_bus_buffer.scala 386:104] + node _T_2076 = cat(_T_2061, _T_2068) @[Cat.scala 29:58] + node _T_2077 = cat(_T_2076, _T_2075) @[Cat.scala 29:58] + CmdPtr0 <= _T_2077 @[lsu_bus_buffer.scala 391:11] + node _T_2078 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2079 = cat(_T_2078, CmdPtr1Dec) @[Cat.scala 29:58] + node _T_2080 = bits(_T_2079, 4, 4) @[lsu_bus_buffer.scala 386:39] + node _T_2081 = bits(_T_2079, 5, 5) @[lsu_bus_buffer.scala 386:45] + node _T_2082 = or(_T_2080, _T_2081) @[lsu_bus_buffer.scala 386:42] + node _T_2083 = bits(_T_2079, 6, 6) @[lsu_bus_buffer.scala 386:51] + node _T_2084 = or(_T_2082, _T_2083) @[lsu_bus_buffer.scala 386:48] + node _T_2085 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 386:57] + node _T_2086 = or(_T_2084, _T_2085) @[lsu_bus_buffer.scala 386:54] + node _T_2087 = bits(_T_2079, 2, 2) @[lsu_bus_buffer.scala 386:64] + node _T_2088 = bits(_T_2079, 3, 3) @[lsu_bus_buffer.scala 386:70] + node _T_2089 = or(_T_2087, _T_2088) @[lsu_bus_buffer.scala 386:67] + node _T_2090 = bits(_T_2079, 6, 6) @[lsu_bus_buffer.scala 386:76] + node _T_2091 = or(_T_2089, _T_2090) @[lsu_bus_buffer.scala 386:73] + node _T_2092 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 386:82] + node _T_2093 = or(_T_2091, _T_2092) @[lsu_bus_buffer.scala 386:79] + node _T_2094 = bits(_T_2079, 1, 1) @[lsu_bus_buffer.scala 386:89] + node _T_2095 = bits(_T_2079, 3, 3) @[lsu_bus_buffer.scala 386:95] + node _T_2096 = or(_T_2094, _T_2095) @[lsu_bus_buffer.scala 386:92] + node _T_2097 = bits(_T_2079, 5, 5) @[lsu_bus_buffer.scala 386:101] + node _T_2098 = or(_T_2096, _T_2097) @[lsu_bus_buffer.scala 386:98] + node _T_2099 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 386:107] + node _T_2100 = or(_T_2098, _T_2099) @[lsu_bus_buffer.scala 386:104] + node _T_2101 = cat(_T_2086, _T_2093) @[Cat.scala 29:58] + node _T_2102 = cat(_T_2101, _T_2100) @[Cat.scala 29:58] + CmdPtr1 <= _T_2102 @[lsu_bus_buffer.scala 393:11] + node _T_2103 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2104 = cat(_T_2103, RspPtrDec) @[Cat.scala 29:58] + node _T_2105 = bits(_T_2104, 4, 4) @[lsu_bus_buffer.scala 386:39] + node _T_2106 = bits(_T_2104, 5, 5) @[lsu_bus_buffer.scala 386:45] + node _T_2107 = or(_T_2105, _T_2106) @[lsu_bus_buffer.scala 386:42] + node _T_2108 = bits(_T_2104, 6, 6) @[lsu_bus_buffer.scala 386:51] + node _T_2109 = or(_T_2107, _T_2108) @[lsu_bus_buffer.scala 386:48] + node _T_2110 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 386:57] + node _T_2111 = or(_T_2109, _T_2110) @[lsu_bus_buffer.scala 386:54] + node _T_2112 = bits(_T_2104, 2, 2) @[lsu_bus_buffer.scala 386:64] + node _T_2113 = bits(_T_2104, 3, 3) @[lsu_bus_buffer.scala 386:70] + node _T_2114 = or(_T_2112, _T_2113) @[lsu_bus_buffer.scala 386:67] + node _T_2115 = bits(_T_2104, 6, 6) @[lsu_bus_buffer.scala 386:76] + node _T_2116 = or(_T_2114, _T_2115) @[lsu_bus_buffer.scala 386:73] + node _T_2117 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 386:82] + node _T_2118 = or(_T_2116, _T_2117) @[lsu_bus_buffer.scala 386:79] + node _T_2119 = bits(_T_2104, 1, 1) @[lsu_bus_buffer.scala 386:89] + node _T_2120 = bits(_T_2104, 3, 3) @[lsu_bus_buffer.scala 386:95] + node _T_2121 = or(_T_2119, _T_2120) @[lsu_bus_buffer.scala 386:92] + node _T_2122 = bits(_T_2104, 5, 5) @[lsu_bus_buffer.scala 386:101] + node _T_2123 = or(_T_2121, _T_2122) @[lsu_bus_buffer.scala 386:98] + node _T_2124 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 386:107] + node _T_2125 = or(_T_2123, _T_2124) @[lsu_bus_buffer.scala 386:104] + node _T_2126 = cat(_T_2111, _T_2118) @[Cat.scala 29:58] + node _T_2127 = cat(_T_2126, _T_2125) @[Cat.scala 29:58] + RspPtr <= _T_2127 @[lsu_bus_buffer.scala 394:10] + wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 395:26] + buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 396:16] + buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 396:16] + buf_state_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 396:16] + buf_state_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 396:16] + wire buf_rspageQ : UInt<4>[4] @[lsu_bus_buffer.scala 397:25] + buf_rspageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:15] + buf_rspageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:15] + buf_rspageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:15] + buf_rspageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 398:15] + wire buf_rspage_set : UInt<4>[4] @[lsu_bus_buffer.scala 399:28] + buf_rspage_set[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:18] + buf_rspage_set[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:18] + buf_rspage_set[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:18] + buf_rspage_set[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 400:18] + wire buf_rspage_in : UInt<4>[4] @[lsu_bus_buffer.scala 401:27] + buf_rspage_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:17] + buf_rspage_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:17] + buf_rspage_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:17] + buf_rspage_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 402:17] + wire buf_rspage : UInt<4>[4] @[lsu_bus_buffer.scala 403:24] + buf_rspage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:14] + buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:14] + buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:14] + buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 404:14] + node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2129 = and(_T_2128, buf_state_en[0]) @[lsu_bus_buffer.scala 406:94] + node _T_2130 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2131 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2132 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2133 = and(_T_2131, _T_2132) @[lsu_bus_buffer.scala 407:57] + node _T_2134 = or(_T_2130, _T_2133) @[lsu_bus_buffer.scala 407:31] + node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2137 = and(_T_2135, _T_2136) @[lsu_bus_buffer.scala 408:41] + node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2139 = and(_T_2137, _T_2138) @[lsu_bus_buffer.scala 408:71] + node _T_2140 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:104] + node _T_2141 = and(_T_2139, _T_2140) @[lsu_bus_buffer.scala 408:92] + node _T_2142 = or(_T_2134, _T_2141) @[lsu_bus_buffer.scala 407:86] + node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2144 = and(_T_2143, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:64] + node _T_2146 = and(_T_2144, _T_2145) @[lsu_bus_buffer.scala 409:52] + node _T_2147 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:85] + node _T_2148 = and(_T_2146, _T_2147) @[lsu_bus_buffer.scala 409:73] + node _T_2149 = or(_T_2142, _T_2148) @[lsu_bus_buffer.scala 408:114] + node _T_2150 = and(_T_2129, _T_2149) @[lsu_bus_buffer.scala 406:113] + node _T_2151 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 409:109] + node _T_2152 = or(_T_2150, _T_2151) @[lsu_bus_buffer.scala 409:97] + node _T_2153 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2154 = and(_T_2153, buf_state_en[0]) @[lsu_bus_buffer.scala 406:94] + node _T_2155 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2156 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2157 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2158 = and(_T_2156, _T_2157) @[lsu_bus_buffer.scala 407:57] + node _T_2159 = or(_T_2155, _T_2158) @[lsu_bus_buffer.scala 407:31] + node _T_2160 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2161 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2162 = and(_T_2160, _T_2161) @[lsu_bus_buffer.scala 408:41] + node _T_2163 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2164 = and(_T_2162, _T_2163) @[lsu_bus_buffer.scala 408:71] + node _T_2165 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:104] + node _T_2166 = and(_T_2164, _T_2165) @[lsu_bus_buffer.scala 408:92] + node _T_2167 = or(_T_2159, _T_2166) @[lsu_bus_buffer.scala 407:86] + node _T_2168 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2169 = and(_T_2168, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2170 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:64] + node _T_2171 = and(_T_2169, _T_2170) @[lsu_bus_buffer.scala 409:52] + node _T_2172 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:85] + node _T_2173 = and(_T_2171, _T_2172) @[lsu_bus_buffer.scala 409:73] + node _T_2174 = or(_T_2167, _T_2173) @[lsu_bus_buffer.scala 408:114] + node _T_2175 = and(_T_2154, _T_2174) @[lsu_bus_buffer.scala 406:113] + node _T_2176 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 409:109] + node _T_2177 = or(_T_2175, _T_2176) @[lsu_bus_buffer.scala 409:97] + node _T_2178 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2179 = and(_T_2178, buf_state_en[0]) @[lsu_bus_buffer.scala 406:94] + node _T_2180 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2181 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2182 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2183 = and(_T_2181, _T_2182) @[lsu_bus_buffer.scala 407:57] + node _T_2184 = or(_T_2180, _T_2183) @[lsu_bus_buffer.scala 407:31] + node _T_2185 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2186 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2187 = and(_T_2185, _T_2186) @[lsu_bus_buffer.scala 408:41] + node _T_2188 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2189 = and(_T_2187, _T_2188) @[lsu_bus_buffer.scala 408:71] + node _T_2190 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:104] + node _T_2191 = and(_T_2189, _T_2190) @[lsu_bus_buffer.scala 408:92] + node _T_2192 = or(_T_2184, _T_2191) @[lsu_bus_buffer.scala 407:86] + node _T_2193 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2194 = and(_T_2193, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2195 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:64] + node _T_2196 = and(_T_2194, _T_2195) @[lsu_bus_buffer.scala 409:52] + node _T_2197 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:85] + node _T_2198 = and(_T_2196, _T_2197) @[lsu_bus_buffer.scala 409:73] + node _T_2199 = or(_T_2192, _T_2198) @[lsu_bus_buffer.scala 408:114] + node _T_2200 = and(_T_2179, _T_2199) @[lsu_bus_buffer.scala 406:113] + node _T_2201 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 409:109] + node _T_2202 = or(_T_2200, _T_2201) @[lsu_bus_buffer.scala 409:97] + node _T_2203 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2204 = and(_T_2203, buf_state_en[0]) @[lsu_bus_buffer.scala 406:94] + node _T_2205 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2206 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2207 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2208 = and(_T_2206, _T_2207) @[lsu_bus_buffer.scala 407:57] + node _T_2209 = or(_T_2205, _T_2208) @[lsu_bus_buffer.scala 407:31] + node _T_2210 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2211 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2212 = and(_T_2210, _T_2211) @[lsu_bus_buffer.scala 408:41] + node _T_2213 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:83] + node _T_2214 = and(_T_2212, _T_2213) @[lsu_bus_buffer.scala 408:71] + node _T_2215 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:104] + node _T_2216 = and(_T_2214, _T_2215) @[lsu_bus_buffer.scala 408:92] + node _T_2217 = or(_T_2209, _T_2216) @[lsu_bus_buffer.scala 407:86] + node _T_2218 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2219 = and(_T_2218, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2220 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:64] + node _T_2221 = and(_T_2219, _T_2220) @[lsu_bus_buffer.scala 409:52] + node _T_2222 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:85] + node _T_2223 = and(_T_2221, _T_2222) @[lsu_bus_buffer.scala 409:73] + node _T_2224 = or(_T_2217, _T_2223) @[lsu_bus_buffer.scala 408:114] + node _T_2225 = and(_T_2204, _T_2224) @[lsu_bus_buffer.scala 406:113] + node _T_2226 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 409:109] + node _T_2227 = or(_T_2225, _T_2226) @[lsu_bus_buffer.scala 409:97] + node _T_2228 = cat(_T_2227, _T_2202) @[Cat.scala 29:58] + node _T_2229 = cat(_T_2228, _T_2177) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2229, _T_2152) @[Cat.scala 29:58] + node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2231 = and(_T_2230, buf_state_en[1]) @[lsu_bus_buffer.scala 406:94] + node _T_2232 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2233 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2234 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2235 = and(_T_2233, _T_2234) @[lsu_bus_buffer.scala 407:57] + node _T_2236 = or(_T_2232, _T_2235) @[lsu_bus_buffer.scala 407:31] + node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2239 = and(_T_2237, _T_2238) @[lsu_bus_buffer.scala 408:41] + node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:83] + node _T_2241 = and(_T_2239, _T_2240) @[lsu_bus_buffer.scala 408:71] + node _T_2242 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:104] + node _T_2243 = and(_T_2241, _T_2242) @[lsu_bus_buffer.scala 408:92] + node _T_2244 = or(_T_2236, _T_2243) @[lsu_bus_buffer.scala 407:86] + node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2246 = and(_T_2245, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:64] + node _T_2248 = and(_T_2246, _T_2247) @[lsu_bus_buffer.scala 409:52] + node _T_2249 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:85] + node _T_2250 = and(_T_2248, _T_2249) @[lsu_bus_buffer.scala 409:73] + node _T_2251 = or(_T_2244, _T_2250) @[lsu_bus_buffer.scala 408:114] + node _T_2252 = and(_T_2231, _T_2251) @[lsu_bus_buffer.scala 406:113] + node _T_2253 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 409:109] + node _T_2254 = or(_T_2252, _T_2253) @[lsu_bus_buffer.scala 409:97] + node _T_2255 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2256 = and(_T_2255, buf_state_en[1]) @[lsu_bus_buffer.scala 406:94] + node _T_2257 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2258 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2259 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2260 = and(_T_2258, _T_2259) @[lsu_bus_buffer.scala 407:57] + node _T_2261 = or(_T_2257, _T_2260) @[lsu_bus_buffer.scala 407:31] + node _T_2262 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2263 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2264 = and(_T_2262, _T_2263) @[lsu_bus_buffer.scala 408:41] + node _T_2265 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:83] + node _T_2266 = and(_T_2264, _T_2265) @[lsu_bus_buffer.scala 408:71] + node _T_2267 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:104] + node _T_2268 = and(_T_2266, _T_2267) @[lsu_bus_buffer.scala 408:92] + node _T_2269 = or(_T_2261, _T_2268) @[lsu_bus_buffer.scala 407:86] + node _T_2270 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2271 = and(_T_2270, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2272 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:64] + node _T_2273 = and(_T_2271, _T_2272) @[lsu_bus_buffer.scala 409:52] + node _T_2274 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:85] + node _T_2275 = and(_T_2273, _T_2274) @[lsu_bus_buffer.scala 409:73] + node _T_2276 = or(_T_2269, _T_2275) @[lsu_bus_buffer.scala 408:114] + node _T_2277 = and(_T_2256, _T_2276) @[lsu_bus_buffer.scala 406:113] + node _T_2278 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 409:109] + node _T_2279 = or(_T_2277, _T_2278) @[lsu_bus_buffer.scala 409:97] + node _T_2280 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2281 = and(_T_2280, buf_state_en[1]) @[lsu_bus_buffer.scala 406:94] + node _T_2282 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2283 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2284 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2285 = and(_T_2283, _T_2284) @[lsu_bus_buffer.scala 407:57] + node _T_2286 = or(_T_2282, _T_2285) @[lsu_bus_buffer.scala 407:31] + node _T_2287 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2288 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2289 = and(_T_2287, _T_2288) @[lsu_bus_buffer.scala 408:41] + node _T_2290 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:83] + node _T_2291 = and(_T_2289, _T_2290) @[lsu_bus_buffer.scala 408:71] + node _T_2292 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:104] + node _T_2293 = and(_T_2291, _T_2292) @[lsu_bus_buffer.scala 408:92] + node _T_2294 = or(_T_2286, _T_2293) @[lsu_bus_buffer.scala 407:86] + node _T_2295 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2296 = and(_T_2295, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2297 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:64] + node _T_2298 = and(_T_2296, _T_2297) @[lsu_bus_buffer.scala 409:52] + node _T_2299 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:85] + node _T_2300 = and(_T_2298, _T_2299) @[lsu_bus_buffer.scala 409:73] + node _T_2301 = or(_T_2294, _T_2300) @[lsu_bus_buffer.scala 408:114] + node _T_2302 = and(_T_2281, _T_2301) @[lsu_bus_buffer.scala 406:113] + node _T_2303 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 409:109] + node _T_2304 = or(_T_2302, _T_2303) @[lsu_bus_buffer.scala 409:97] + node _T_2305 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2306 = and(_T_2305, buf_state_en[1]) @[lsu_bus_buffer.scala 406:94] + node _T_2307 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2308 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2309 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2310 = and(_T_2308, _T_2309) @[lsu_bus_buffer.scala 407:57] + node _T_2311 = or(_T_2307, _T_2310) @[lsu_bus_buffer.scala 407:31] + node _T_2312 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2313 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2314 = and(_T_2312, _T_2313) @[lsu_bus_buffer.scala 408:41] + node _T_2315 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:83] + node _T_2316 = and(_T_2314, _T_2315) @[lsu_bus_buffer.scala 408:71] + node _T_2317 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:104] + node _T_2318 = and(_T_2316, _T_2317) @[lsu_bus_buffer.scala 408:92] + node _T_2319 = or(_T_2311, _T_2318) @[lsu_bus_buffer.scala 407:86] + node _T_2320 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2321 = and(_T_2320, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2322 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:64] + node _T_2323 = and(_T_2321, _T_2322) @[lsu_bus_buffer.scala 409:52] + node _T_2324 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:85] + node _T_2325 = and(_T_2323, _T_2324) @[lsu_bus_buffer.scala 409:73] + node _T_2326 = or(_T_2319, _T_2325) @[lsu_bus_buffer.scala 408:114] + node _T_2327 = and(_T_2306, _T_2326) @[lsu_bus_buffer.scala 406:113] + node _T_2328 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 409:109] + node _T_2329 = or(_T_2327, _T_2328) @[lsu_bus_buffer.scala 409:97] + node _T_2330 = cat(_T_2329, _T_2304) @[Cat.scala 29:58] + node _T_2331 = cat(_T_2330, _T_2279) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2331, _T_2254) @[Cat.scala 29:58] + node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2333 = and(_T_2332, buf_state_en[2]) @[lsu_bus_buffer.scala 406:94] + node _T_2334 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2335 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2336 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2337 = and(_T_2335, _T_2336) @[lsu_bus_buffer.scala 407:57] + node _T_2338 = or(_T_2334, _T_2337) @[lsu_bus_buffer.scala 407:31] + node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2341 = and(_T_2339, _T_2340) @[lsu_bus_buffer.scala 408:41] + node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:83] + node _T_2343 = and(_T_2341, _T_2342) @[lsu_bus_buffer.scala 408:71] + node _T_2344 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:104] + node _T_2345 = and(_T_2343, _T_2344) @[lsu_bus_buffer.scala 408:92] + node _T_2346 = or(_T_2338, _T_2345) @[lsu_bus_buffer.scala 407:86] + node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2348 = and(_T_2347, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:64] + node _T_2350 = and(_T_2348, _T_2349) @[lsu_bus_buffer.scala 409:52] + node _T_2351 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:85] + node _T_2352 = and(_T_2350, _T_2351) @[lsu_bus_buffer.scala 409:73] + node _T_2353 = or(_T_2346, _T_2352) @[lsu_bus_buffer.scala 408:114] + node _T_2354 = and(_T_2333, _T_2353) @[lsu_bus_buffer.scala 406:113] + node _T_2355 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 409:109] + node _T_2356 = or(_T_2354, _T_2355) @[lsu_bus_buffer.scala 409:97] + node _T_2357 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2358 = and(_T_2357, buf_state_en[2]) @[lsu_bus_buffer.scala 406:94] + node _T_2359 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2360 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2361 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2362 = and(_T_2360, _T_2361) @[lsu_bus_buffer.scala 407:57] + node _T_2363 = or(_T_2359, _T_2362) @[lsu_bus_buffer.scala 407:31] + node _T_2364 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2365 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2366 = and(_T_2364, _T_2365) @[lsu_bus_buffer.scala 408:41] + node _T_2367 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:83] + node _T_2368 = and(_T_2366, _T_2367) @[lsu_bus_buffer.scala 408:71] + node _T_2369 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:104] + node _T_2370 = and(_T_2368, _T_2369) @[lsu_bus_buffer.scala 408:92] + node _T_2371 = or(_T_2363, _T_2370) @[lsu_bus_buffer.scala 407:86] + node _T_2372 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2373 = and(_T_2372, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2374 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:64] + node _T_2375 = and(_T_2373, _T_2374) @[lsu_bus_buffer.scala 409:52] + node _T_2376 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:85] + node _T_2377 = and(_T_2375, _T_2376) @[lsu_bus_buffer.scala 409:73] + node _T_2378 = or(_T_2371, _T_2377) @[lsu_bus_buffer.scala 408:114] + node _T_2379 = and(_T_2358, _T_2378) @[lsu_bus_buffer.scala 406:113] + node _T_2380 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 409:109] + node _T_2381 = or(_T_2379, _T_2380) @[lsu_bus_buffer.scala 409:97] + node _T_2382 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2383 = and(_T_2382, buf_state_en[2]) @[lsu_bus_buffer.scala 406:94] + node _T_2384 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2385 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2386 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2387 = and(_T_2385, _T_2386) @[lsu_bus_buffer.scala 407:57] + node _T_2388 = or(_T_2384, _T_2387) @[lsu_bus_buffer.scala 407:31] + node _T_2389 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2390 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2391 = and(_T_2389, _T_2390) @[lsu_bus_buffer.scala 408:41] + node _T_2392 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:83] + node _T_2393 = and(_T_2391, _T_2392) @[lsu_bus_buffer.scala 408:71] + node _T_2394 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:104] + node _T_2395 = and(_T_2393, _T_2394) @[lsu_bus_buffer.scala 408:92] + node _T_2396 = or(_T_2388, _T_2395) @[lsu_bus_buffer.scala 407:86] + node _T_2397 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2398 = and(_T_2397, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2399 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:64] + node _T_2400 = and(_T_2398, _T_2399) @[lsu_bus_buffer.scala 409:52] + node _T_2401 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:85] + node _T_2402 = and(_T_2400, _T_2401) @[lsu_bus_buffer.scala 409:73] + node _T_2403 = or(_T_2396, _T_2402) @[lsu_bus_buffer.scala 408:114] + node _T_2404 = and(_T_2383, _T_2403) @[lsu_bus_buffer.scala 406:113] + node _T_2405 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 409:109] + node _T_2406 = or(_T_2404, _T_2405) @[lsu_bus_buffer.scala 409:97] + node _T_2407 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2408 = and(_T_2407, buf_state_en[2]) @[lsu_bus_buffer.scala 406:94] + node _T_2409 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2410 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2411 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2412 = and(_T_2410, _T_2411) @[lsu_bus_buffer.scala 407:57] + node _T_2413 = or(_T_2409, _T_2412) @[lsu_bus_buffer.scala 407:31] + node _T_2414 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2415 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2416 = and(_T_2414, _T_2415) @[lsu_bus_buffer.scala 408:41] + node _T_2417 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:83] + node _T_2418 = and(_T_2416, _T_2417) @[lsu_bus_buffer.scala 408:71] + node _T_2419 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:104] + node _T_2420 = and(_T_2418, _T_2419) @[lsu_bus_buffer.scala 408:92] + node _T_2421 = or(_T_2413, _T_2420) @[lsu_bus_buffer.scala 407:86] + node _T_2422 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2423 = and(_T_2422, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2424 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:64] + node _T_2425 = and(_T_2423, _T_2424) @[lsu_bus_buffer.scala 409:52] + node _T_2426 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:85] + node _T_2427 = and(_T_2425, _T_2426) @[lsu_bus_buffer.scala 409:73] + node _T_2428 = or(_T_2421, _T_2427) @[lsu_bus_buffer.scala 408:114] + node _T_2429 = and(_T_2408, _T_2428) @[lsu_bus_buffer.scala 406:113] + node _T_2430 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 409:109] + node _T_2431 = or(_T_2429, _T_2430) @[lsu_bus_buffer.scala 409:97] + node _T_2432 = cat(_T_2431, _T_2406) @[Cat.scala 29:58] + node _T_2433 = cat(_T_2432, _T_2381) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2433, _T_2356) @[Cat.scala 29:58] + node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2435 = and(_T_2434, buf_state_en[3]) @[lsu_bus_buffer.scala 406:94] + node _T_2436 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2437 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2438 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2439 = and(_T_2437, _T_2438) @[lsu_bus_buffer.scala 407:57] + node _T_2440 = or(_T_2436, _T_2439) @[lsu_bus_buffer.scala 407:31] + node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2443 = and(_T_2441, _T_2442) @[lsu_bus_buffer.scala 408:41] + node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:83] + node _T_2445 = and(_T_2443, _T_2444) @[lsu_bus_buffer.scala 408:71] + node _T_2446 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:104] + node _T_2447 = and(_T_2445, _T_2446) @[lsu_bus_buffer.scala 408:92] + node _T_2448 = or(_T_2440, _T_2447) @[lsu_bus_buffer.scala 407:86] + node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2450 = and(_T_2449, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:64] + node _T_2452 = and(_T_2450, _T_2451) @[lsu_bus_buffer.scala 409:52] + node _T_2453 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 409:85] + node _T_2454 = and(_T_2452, _T_2453) @[lsu_bus_buffer.scala 409:73] + node _T_2455 = or(_T_2448, _T_2454) @[lsu_bus_buffer.scala 408:114] + node _T_2456 = and(_T_2435, _T_2455) @[lsu_bus_buffer.scala 406:113] + node _T_2457 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 409:109] + node _T_2458 = or(_T_2456, _T_2457) @[lsu_bus_buffer.scala 409:97] + node _T_2459 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2460 = and(_T_2459, buf_state_en[3]) @[lsu_bus_buffer.scala 406:94] + node _T_2461 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2462 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2463 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2464 = and(_T_2462, _T_2463) @[lsu_bus_buffer.scala 407:57] + node _T_2465 = or(_T_2461, _T_2464) @[lsu_bus_buffer.scala 407:31] + node _T_2466 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2467 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2468 = and(_T_2466, _T_2467) @[lsu_bus_buffer.scala 408:41] + node _T_2469 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:83] + node _T_2470 = and(_T_2468, _T_2469) @[lsu_bus_buffer.scala 408:71] + node _T_2471 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:104] + node _T_2472 = and(_T_2470, _T_2471) @[lsu_bus_buffer.scala 408:92] + node _T_2473 = or(_T_2465, _T_2472) @[lsu_bus_buffer.scala 407:86] + node _T_2474 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2475 = and(_T_2474, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2476 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:64] + node _T_2477 = and(_T_2475, _T_2476) @[lsu_bus_buffer.scala 409:52] + node _T_2478 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 409:85] + node _T_2479 = and(_T_2477, _T_2478) @[lsu_bus_buffer.scala 409:73] + node _T_2480 = or(_T_2473, _T_2479) @[lsu_bus_buffer.scala 408:114] + node _T_2481 = and(_T_2460, _T_2480) @[lsu_bus_buffer.scala 406:113] + node _T_2482 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 409:109] + node _T_2483 = or(_T_2481, _T_2482) @[lsu_bus_buffer.scala 409:97] + node _T_2484 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2485 = and(_T_2484, buf_state_en[3]) @[lsu_bus_buffer.scala 406:94] + node _T_2486 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2487 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2488 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2489 = and(_T_2487, _T_2488) @[lsu_bus_buffer.scala 407:57] + node _T_2490 = or(_T_2486, _T_2489) @[lsu_bus_buffer.scala 407:31] + node _T_2491 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2492 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2493 = and(_T_2491, _T_2492) @[lsu_bus_buffer.scala 408:41] + node _T_2494 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:83] + node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 408:71] + node _T_2496 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:104] + node _T_2497 = and(_T_2495, _T_2496) @[lsu_bus_buffer.scala 408:92] + node _T_2498 = or(_T_2490, _T_2497) @[lsu_bus_buffer.scala 407:86] + node _T_2499 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2500 = and(_T_2499, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2501 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:64] + node _T_2502 = and(_T_2500, _T_2501) @[lsu_bus_buffer.scala 409:52] + node _T_2503 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 409:85] + node _T_2504 = and(_T_2502, _T_2503) @[lsu_bus_buffer.scala 409:73] + node _T_2505 = or(_T_2498, _T_2504) @[lsu_bus_buffer.scala 408:114] + node _T_2506 = and(_T_2485, _T_2505) @[lsu_bus_buffer.scala 406:113] + node _T_2507 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 409:109] + node _T_2508 = or(_T_2506, _T_2507) @[lsu_bus_buffer.scala 409:97] + node _T_2509 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 406:83] + node _T_2510 = and(_T_2509, buf_state_en[3]) @[lsu_bus_buffer.scala 406:94] + node _T_2511 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 407:20] + node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 407:47] + node _T_2513 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 407:59] + node _T_2514 = and(_T_2512, _T_2513) @[lsu_bus_buffer.scala 407:57] + node _T_2515 = or(_T_2511, _T_2514) @[lsu_bus_buffer.scala 407:31] + node _T_2516 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:23] + node _T_2517 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:53] + node _T_2518 = and(_T_2516, _T_2517) @[lsu_bus_buffer.scala 408:41] + node _T_2519 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:83] + node _T_2520 = and(_T_2518, _T_2519) @[lsu_bus_buffer.scala 408:71] + node _T_2521 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:104] + node _T_2522 = and(_T_2520, _T_2521) @[lsu_bus_buffer.scala 408:92] + node _T_2523 = or(_T_2515, _T_2522) @[lsu_bus_buffer.scala 407:86] + node _T_2524 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 409:17] + node _T_2525 = and(_T_2524, io.ldst_dual_r) @[lsu_bus_buffer.scala 409:35] + node _T_2526 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:64] + node _T_2527 = and(_T_2525, _T_2526) @[lsu_bus_buffer.scala 409:52] + node _T_2528 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 409:85] + node _T_2529 = and(_T_2527, _T_2528) @[lsu_bus_buffer.scala 409:73] + node _T_2530 = or(_T_2523, _T_2529) @[lsu_bus_buffer.scala 408:114] + node _T_2531 = and(_T_2510, _T_2530) @[lsu_bus_buffer.scala 406:113] + node _T_2532 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 409:109] + node _T_2533 = or(_T_2531, _T_2532) @[lsu_bus_buffer.scala 409:97] + node _T_2534 = cat(_T_2533, _T_2508) @[Cat.scala 29:58] + node _T_2535 = cat(_T_2534, _T_2483) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2535, _T_2458) @[Cat.scala 29:58] + wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 410:22] + buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:12] + buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:12] + buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:12] + buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 411:12] + node _T_2536 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 412:72] + node _T_2537 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2538 = and(_T_2537, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 412:103] + node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2540 = and(_T_2536, _T_2539) @[lsu_bus_buffer.scala 412:76] + node _T_2541 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 412:72] + node _T_2542 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2543 = and(_T_2542, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 412:103] + node _T_2544 = eq(_T_2543, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2545 = and(_T_2541, _T_2544) @[lsu_bus_buffer.scala 412:76] + node _T_2546 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 412:72] + node _T_2547 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2548 = and(_T_2547, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 412:103] + node _T_2549 = eq(_T_2548, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2550 = and(_T_2546, _T_2549) @[lsu_bus_buffer.scala 412:76] + node _T_2551 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 412:72] + node _T_2552 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 412:103] + node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 412:76] + node _T_2556 = cat(_T_2555, _T_2550) @[Cat.scala 29:58] + node _T_2557 = cat(_T_2556, _T_2545) @[Cat.scala 29:58] + node _T_2558 = cat(_T_2557, _T_2540) @[Cat.scala 29:58] + node _T_2559 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 412:72] + node _T_2560 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2561 = and(_T_2560, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 412:103] + node _T_2562 = eq(_T_2561, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2563 = and(_T_2559, _T_2562) @[lsu_bus_buffer.scala 412:76] + node _T_2564 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 412:72] + node _T_2565 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2566 = and(_T_2565, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 412:103] + node _T_2567 = eq(_T_2566, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2568 = and(_T_2564, _T_2567) @[lsu_bus_buffer.scala 412:76] + node _T_2569 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 412:72] + node _T_2570 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2571 = and(_T_2570, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 412:103] + node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2573 = and(_T_2569, _T_2572) @[lsu_bus_buffer.scala 412:76] + node _T_2574 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 412:72] + node _T_2575 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2576 = and(_T_2575, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 412:103] + node _T_2577 = eq(_T_2576, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2578 = and(_T_2574, _T_2577) @[lsu_bus_buffer.scala 412:76] + node _T_2579 = cat(_T_2578, _T_2573) @[Cat.scala 29:58] + node _T_2580 = cat(_T_2579, _T_2568) @[Cat.scala 29:58] + node _T_2581 = cat(_T_2580, _T_2563) @[Cat.scala 29:58] + node _T_2582 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 412:72] + node _T_2583 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 412:103] + node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 412:76] + node _T_2587 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 412:72] + node _T_2588 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2589 = and(_T_2588, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 412:103] + node _T_2590 = eq(_T_2589, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2591 = and(_T_2587, _T_2590) @[lsu_bus_buffer.scala 412:76] + node _T_2592 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 412:72] + node _T_2593 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2594 = and(_T_2593, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 412:103] + node _T_2595 = eq(_T_2594, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2596 = and(_T_2592, _T_2595) @[lsu_bus_buffer.scala 412:76] + node _T_2597 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 412:72] + node _T_2598 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2599 = and(_T_2598, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 412:103] + node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2601 = and(_T_2597, _T_2600) @[lsu_bus_buffer.scala 412:76] + node _T_2602 = cat(_T_2601, _T_2596) @[Cat.scala 29:58] + node _T_2603 = cat(_T_2602, _T_2591) @[Cat.scala 29:58] + node _T_2604 = cat(_T_2603, _T_2586) @[Cat.scala 29:58] + node _T_2605 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 412:72] + node _T_2606 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2607 = and(_T_2606, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 412:103] + node _T_2608 = eq(_T_2607, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2609 = and(_T_2605, _T_2608) @[lsu_bus_buffer.scala 412:76] + node _T_2610 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 412:72] + node _T_2611 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2612 = and(_T_2611, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 412:103] + node _T_2613 = eq(_T_2612, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2614 = and(_T_2610, _T_2613) @[lsu_bus_buffer.scala 412:76] + node _T_2615 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 412:72] + node _T_2616 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2617 = and(_T_2616, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 412:103] + node _T_2618 = eq(_T_2617, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2619 = and(_T_2615, _T_2618) @[lsu_bus_buffer.scala 412:76] + node _T_2620 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 412:72] + node _T_2621 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 412:93] + node _T_2622 = and(_T_2621, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 412:103] + node _T_2623 = eq(_T_2622, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:78] + node _T_2624 = and(_T_2620, _T_2623) @[lsu_bus_buffer.scala 412:76] + node _T_2625 = cat(_T_2624, _T_2619) @[Cat.scala 29:58] + node _T_2626 = cat(_T_2625, _T_2614) @[Cat.scala 29:58] + node _T_2627 = cat(_T_2626, _T_2609) @[Cat.scala 29:58] + buf_age[0] <= _T_2558 @[lsu_bus_buffer.scala 412:11] + buf_age[1] <= _T_2581 @[lsu_bus_buffer.scala 412:11] + buf_age[2] <= _T_2604 @[lsu_bus_buffer.scala 412:11] + buf_age[3] <= _T_2627 @[lsu_bus_buffer.scala 412:11] + node _T_2628 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 413:76] + node _T_2629 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 413:100] + node _T_2630 = eq(_T_2629, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2631 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2632 = and(_T_2630, _T_2631) @[lsu_bus_buffer.scala 413:104] + node _T_2633 = mux(_T_2628, UInt<1>("h00"), _T_2632) @[lsu_bus_buffer.scala 413:72] + node _T_2634 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 413:76] + node _T_2635 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 413:100] + node _T_2636 = eq(_T_2635, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2637 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2638 = and(_T_2636, _T_2637) @[lsu_bus_buffer.scala 413:104] + node _T_2639 = mux(_T_2634, UInt<1>("h00"), _T_2638) @[lsu_bus_buffer.scala 413:72] + node _T_2640 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 413:76] + node _T_2641 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 413:100] + node _T_2642 = eq(_T_2641, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2643 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2644 = and(_T_2642, _T_2643) @[lsu_bus_buffer.scala 413:104] + node _T_2645 = mux(_T_2640, UInt<1>("h00"), _T_2644) @[lsu_bus_buffer.scala 413:72] + node _T_2646 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 413:76] + node _T_2647 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 413:100] + node _T_2648 = eq(_T_2647, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2649 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2650 = and(_T_2648, _T_2649) @[lsu_bus_buffer.scala 413:104] + node _T_2651 = mux(_T_2646, UInt<1>("h00"), _T_2650) @[lsu_bus_buffer.scala 413:72] + node _T_2652 = cat(_T_2651, _T_2645) @[Cat.scala 29:58] + node _T_2653 = cat(_T_2652, _T_2639) @[Cat.scala 29:58] + node _T_2654 = cat(_T_2653, _T_2633) @[Cat.scala 29:58] + node _T_2655 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 413:76] + node _T_2656 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 413:100] + node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2658 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2659 = and(_T_2657, _T_2658) @[lsu_bus_buffer.scala 413:104] + node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[lsu_bus_buffer.scala 413:72] + node _T_2661 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 413:76] + node _T_2662 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 413:100] + node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2664 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2665 = and(_T_2663, _T_2664) @[lsu_bus_buffer.scala 413:104] + node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[lsu_bus_buffer.scala 413:72] + node _T_2667 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 413:76] + node _T_2668 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 413:100] + node _T_2669 = eq(_T_2668, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2670 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2671 = and(_T_2669, _T_2670) @[lsu_bus_buffer.scala 413:104] + node _T_2672 = mux(_T_2667, UInt<1>("h00"), _T_2671) @[lsu_bus_buffer.scala 413:72] + node _T_2673 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 413:76] + node _T_2674 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 413:100] + node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2676 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2677 = and(_T_2675, _T_2676) @[lsu_bus_buffer.scala 413:104] + node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[lsu_bus_buffer.scala 413:72] + node _T_2679 = cat(_T_2678, _T_2672) @[Cat.scala 29:58] + node _T_2680 = cat(_T_2679, _T_2666) @[Cat.scala 29:58] + node _T_2681 = cat(_T_2680, _T_2660) @[Cat.scala 29:58] + node _T_2682 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 413:76] + node _T_2683 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 413:100] + node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2685 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2686 = and(_T_2684, _T_2685) @[lsu_bus_buffer.scala 413:104] + node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[lsu_bus_buffer.scala 413:72] + node _T_2688 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 413:76] + node _T_2689 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 413:100] + node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2691 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2692 = and(_T_2690, _T_2691) @[lsu_bus_buffer.scala 413:104] + node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[lsu_bus_buffer.scala 413:72] + node _T_2694 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 413:76] + node _T_2695 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 413:100] + node _T_2696 = eq(_T_2695, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2697 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2698 = and(_T_2696, _T_2697) @[lsu_bus_buffer.scala 413:104] + node _T_2699 = mux(_T_2694, UInt<1>("h00"), _T_2698) @[lsu_bus_buffer.scala 413:72] + node _T_2700 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 413:76] + node _T_2701 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 413:100] + node _T_2702 = eq(_T_2701, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2703 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2704 = and(_T_2702, _T_2703) @[lsu_bus_buffer.scala 413:104] + node _T_2705 = mux(_T_2700, UInt<1>("h00"), _T_2704) @[lsu_bus_buffer.scala 413:72] + node _T_2706 = cat(_T_2705, _T_2699) @[Cat.scala 29:58] + node _T_2707 = cat(_T_2706, _T_2693) @[Cat.scala 29:58] + node _T_2708 = cat(_T_2707, _T_2687) @[Cat.scala 29:58] + node _T_2709 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 413:76] + node _T_2710 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 413:100] + node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2712 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2713 = and(_T_2711, _T_2712) @[lsu_bus_buffer.scala 413:104] + node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[lsu_bus_buffer.scala 413:72] + node _T_2715 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 413:76] + node _T_2716 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 413:100] + node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2718 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2719 = and(_T_2717, _T_2718) @[lsu_bus_buffer.scala 413:104] + node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[lsu_bus_buffer.scala 413:72] + node _T_2721 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 413:76] + node _T_2722 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 413:100] + node _T_2723 = eq(_T_2722, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2724 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2725 = and(_T_2723, _T_2724) @[lsu_bus_buffer.scala 413:104] + node _T_2726 = mux(_T_2721, UInt<1>("h00"), _T_2725) @[lsu_bus_buffer.scala 413:72] + node _T_2727 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 413:76] + node _T_2728 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 413:100] + node _T_2729 = eq(_T_2728, UInt<1>("h00")) @[lsu_bus_buffer.scala 413:89] + node _T_2730 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 413:119] + node _T_2731 = and(_T_2729, _T_2730) @[lsu_bus_buffer.scala 413:104] + node _T_2732 = mux(_T_2727, UInt<1>("h00"), _T_2731) @[lsu_bus_buffer.scala 413:72] + node _T_2733 = cat(_T_2732, _T_2726) @[Cat.scala 29:58] + node _T_2734 = cat(_T_2733, _T_2720) @[Cat.scala 29:58] + node _T_2735 = cat(_T_2734, _T_2714) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2654 @[lsu_bus_buffer.scala 413:19] + buf_age_younger[1] <= _T_2681 @[lsu_bus_buffer.scala 413:19] + buf_age_younger[2] <= _T_2708 @[lsu_bus_buffer.scala 413:19] + buf_age_younger[3] <= _T_2735 @[lsu_bus_buffer.scala 413:19] + node _T_2736 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 414:83] + node _T_2737 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2738 = and(_T_2736, _T_2737) @[lsu_bus_buffer.scala 414:87] + node _T_2739 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 414:83] + node _T_2740 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2741 = and(_T_2739, _T_2740) @[lsu_bus_buffer.scala 414:87] + node _T_2742 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 414:83] + node _T_2743 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2744 = and(_T_2742, _T_2743) @[lsu_bus_buffer.scala 414:87] + node _T_2745 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 414:83] + node _T_2746 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2747 = and(_T_2745, _T_2746) @[lsu_bus_buffer.scala 414:87] + node _T_2748 = cat(_T_2747, _T_2744) @[Cat.scala 29:58] + node _T_2749 = cat(_T_2748, _T_2741) @[Cat.scala 29:58] + node _T_2750 = cat(_T_2749, _T_2738) @[Cat.scala 29:58] + node _T_2751 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 414:83] + node _T_2752 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2753 = and(_T_2751, _T_2752) @[lsu_bus_buffer.scala 414:87] + node _T_2754 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 414:83] + node _T_2755 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2756 = and(_T_2754, _T_2755) @[lsu_bus_buffer.scala 414:87] + node _T_2757 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 414:83] + node _T_2758 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2759 = and(_T_2757, _T_2758) @[lsu_bus_buffer.scala 414:87] + node _T_2760 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 414:83] + node _T_2761 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2762 = and(_T_2760, _T_2761) @[lsu_bus_buffer.scala 414:87] + node _T_2763 = cat(_T_2762, _T_2759) @[Cat.scala 29:58] + node _T_2764 = cat(_T_2763, _T_2756) @[Cat.scala 29:58] + node _T_2765 = cat(_T_2764, _T_2753) @[Cat.scala 29:58] + node _T_2766 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 414:83] + node _T_2767 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2768 = and(_T_2766, _T_2767) @[lsu_bus_buffer.scala 414:87] + node _T_2769 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 414:83] + node _T_2770 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2771 = and(_T_2769, _T_2770) @[lsu_bus_buffer.scala 414:87] + node _T_2772 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 414:83] + node _T_2773 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2774 = and(_T_2772, _T_2773) @[lsu_bus_buffer.scala 414:87] + node _T_2775 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 414:83] + node _T_2776 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 414:87] + node _T_2778 = cat(_T_2777, _T_2774) @[Cat.scala 29:58] + node _T_2779 = cat(_T_2778, _T_2771) @[Cat.scala 29:58] + node _T_2780 = cat(_T_2779, _T_2768) @[Cat.scala 29:58] + node _T_2781 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 414:83] + node _T_2782 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2783 = and(_T_2781, _T_2782) @[lsu_bus_buffer.scala 414:87] + node _T_2784 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 414:83] + node _T_2785 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2786 = and(_T_2784, _T_2785) @[lsu_bus_buffer.scala 414:87] + node _T_2787 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 414:83] + node _T_2788 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2789 = and(_T_2787, _T_2788) @[lsu_bus_buffer.scala 414:87] + node _T_2790 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 414:83] + node _T_2791 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 414:102] + node _T_2792 = and(_T_2790, _T_2791) @[lsu_bus_buffer.scala 414:87] + node _T_2793 = cat(_T_2792, _T_2789) @[Cat.scala 29:58] + node _T_2794 = cat(_T_2793, _T_2786) @[Cat.scala 29:58] + node _T_2795 = cat(_T_2794, _T_2783) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2750 @[lsu_bus_buffer.scala 414:19] + buf_rsp_pickage[1] <= _T_2765 @[lsu_bus_buffer.scala 414:19] + buf_rsp_pickage[2] <= _T_2780 @[lsu_bus_buffer.scala 414:19] + buf_rsp_pickage[3] <= _T_2795 @[lsu_bus_buffer.scala 414:19] + node _T_2796 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2797 = and(_T_2796, buf_state_en[0]) @[lsu_bus_buffer.scala 416:93] + node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2800 = or(_T_2798, _T_2799) @[lsu_bus_buffer.scala 417:32] + node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2804 = and(_T_2802, _T_2803) @[lsu_bus_buffer.scala 418:41] + node _T_2805 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2806 = and(_T_2804, _T_2805) @[lsu_bus_buffer.scala 418:71] + node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:101] + node _T_2808 = and(_T_2806, _T_2807) @[lsu_bus_buffer.scala 418:90] + node _T_2809 = or(_T_2801, _T_2808) @[lsu_bus_buffer.scala 417:59] + node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2811 = and(_T_2810, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2812 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:63] + node _T_2813 = and(_T_2811, _T_2812) @[lsu_bus_buffer.scala 419:52] + node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2815 = and(_T_2813, _T_2814) @[lsu_bus_buffer.scala 419:71] + node _T_2816 = or(_T_2809, _T_2815) @[lsu_bus_buffer.scala 418:110] + node _T_2817 = and(_T_2797, _T_2816) @[lsu_bus_buffer.scala 416:112] + node _T_2818 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2819 = and(_T_2818, buf_state_en[0]) @[lsu_bus_buffer.scala 416:93] + node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2822 = or(_T_2820, _T_2821) @[lsu_bus_buffer.scala 417:32] + node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2826 = and(_T_2824, _T_2825) @[lsu_bus_buffer.scala 418:41] + node _T_2827 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2828 = and(_T_2826, _T_2827) @[lsu_bus_buffer.scala 418:71] + node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:101] + node _T_2830 = and(_T_2828, _T_2829) @[lsu_bus_buffer.scala 418:90] + node _T_2831 = or(_T_2823, _T_2830) @[lsu_bus_buffer.scala 417:59] + node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2833 = and(_T_2832, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2834 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:63] + node _T_2835 = and(_T_2833, _T_2834) @[lsu_bus_buffer.scala 419:52] + node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:82] + node _T_2837 = and(_T_2835, _T_2836) @[lsu_bus_buffer.scala 419:71] + node _T_2838 = or(_T_2831, _T_2837) @[lsu_bus_buffer.scala 418:110] + node _T_2839 = and(_T_2819, _T_2838) @[lsu_bus_buffer.scala 416:112] + node _T_2840 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2841 = and(_T_2840, buf_state_en[0]) @[lsu_bus_buffer.scala 416:93] + node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2844 = or(_T_2842, _T_2843) @[lsu_bus_buffer.scala 417:32] + node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2848 = and(_T_2846, _T_2847) @[lsu_bus_buffer.scala 418:41] + node _T_2849 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2850 = and(_T_2848, _T_2849) @[lsu_bus_buffer.scala 418:71] + node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:101] + node _T_2852 = and(_T_2850, _T_2851) @[lsu_bus_buffer.scala 418:90] + node _T_2853 = or(_T_2845, _T_2852) @[lsu_bus_buffer.scala 417:59] + node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2855 = and(_T_2854, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2856 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:63] + node _T_2857 = and(_T_2855, _T_2856) @[lsu_bus_buffer.scala 419:52] + node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:82] + node _T_2859 = and(_T_2857, _T_2858) @[lsu_bus_buffer.scala 419:71] + node _T_2860 = or(_T_2853, _T_2859) @[lsu_bus_buffer.scala 418:110] + node _T_2861 = and(_T_2841, _T_2860) @[lsu_bus_buffer.scala 416:112] + node _T_2862 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2863 = and(_T_2862, buf_state_en[0]) @[lsu_bus_buffer.scala 416:93] + node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2866 = or(_T_2864, _T_2865) @[lsu_bus_buffer.scala 417:32] + node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 418:41] + node _T_2871 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2872 = and(_T_2870, _T_2871) @[lsu_bus_buffer.scala 418:71] + node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:101] + node _T_2874 = and(_T_2872, _T_2873) @[lsu_bus_buffer.scala 418:90] + node _T_2875 = or(_T_2867, _T_2874) @[lsu_bus_buffer.scala 417:59] + node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2877 = and(_T_2876, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2878 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:63] + node _T_2879 = and(_T_2877, _T_2878) @[lsu_bus_buffer.scala 419:52] + node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:82] + node _T_2881 = and(_T_2879, _T_2880) @[lsu_bus_buffer.scala 419:71] + node _T_2882 = or(_T_2875, _T_2881) @[lsu_bus_buffer.scala 418:110] + node _T_2883 = and(_T_2863, _T_2882) @[lsu_bus_buffer.scala 416:112] + node _T_2884 = cat(_T_2883, _T_2861) @[Cat.scala 29:58] + node _T_2885 = cat(_T_2884, _T_2839) @[Cat.scala 29:58] + node _T_2886 = cat(_T_2885, _T_2817) @[Cat.scala 29:58] + node _T_2887 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2888 = and(_T_2887, buf_state_en[1]) @[lsu_bus_buffer.scala 416:93] + node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2891 = or(_T_2889, _T_2890) @[lsu_bus_buffer.scala 417:32] + node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2895 = and(_T_2893, _T_2894) @[lsu_bus_buffer.scala 418:41] + node _T_2896 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_2897 = and(_T_2895, _T_2896) @[lsu_bus_buffer.scala 418:71] + node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:101] + node _T_2899 = and(_T_2897, _T_2898) @[lsu_bus_buffer.scala 418:90] + node _T_2900 = or(_T_2892, _T_2899) @[lsu_bus_buffer.scala 417:59] + node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2902 = and(_T_2901, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2903 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:63] + node _T_2904 = and(_T_2902, _T_2903) @[lsu_bus_buffer.scala 419:52] + node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2906 = and(_T_2904, _T_2905) @[lsu_bus_buffer.scala 419:71] + node _T_2907 = or(_T_2900, _T_2906) @[lsu_bus_buffer.scala 418:110] + node _T_2908 = and(_T_2888, _T_2907) @[lsu_bus_buffer.scala 416:112] + node _T_2909 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2910 = and(_T_2909, buf_state_en[1]) @[lsu_bus_buffer.scala 416:93] + node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2913 = or(_T_2911, _T_2912) @[lsu_bus_buffer.scala 417:32] + node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2917 = and(_T_2915, _T_2916) @[lsu_bus_buffer.scala 418:41] + node _T_2918 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_2919 = and(_T_2917, _T_2918) @[lsu_bus_buffer.scala 418:71] + node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:101] + node _T_2921 = and(_T_2919, _T_2920) @[lsu_bus_buffer.scala 418:90] + node _T_2922 = or(_T_2914, _T_2921) @[lsu_bus_buffer.scala 417:59] + node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2924 = and(_T_2923, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2925 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:63] + node _T_2926 = and(_T_2924, _T_2925) @[lsu_bus_buffer.scala 419:52] + node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:82] + node _T_2928 = and(_T_2926, _T_2927) @[lsu_bus_buffer.scala 419:71] + node _T_2929 = or(_T_2922, _T_2928) @[lsu_bus_buffer.scala 418:110] + node _T_2930 = and(_T_2910, _T_2929) @[lsu_bus_buffer.scala 416:112] + node _T_2931 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2932 = and(_T_2931, buf_state_en[1]) @[lsu_bus_buffer.scala 416:93] + node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2935 = or(_T_2933, _T_2934) @[lsu_bus_buffer.scala 417:32] + node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2939 = and(_T_2937, _T_2938) @[lsu_bus_buffer.scala 418:41] + node _T_2940 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_2941 = and(_T_2939, _T_2940) @[lsu_bus_buffer.scala 418:71] + node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:101] + node _T_2943 = and(_T_2941, _T_2942) @[lsu_bus_buffer.scala 418:90] + node _T_2944 = or(_T_2936, _T_2943) @[lsu_bus_buffer.scala 417:59] + node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2946 = and(_T_2945, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2947 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:63] + node _T_2948 = and(_T_2946, _T_2947) @[lsu_bus_buffer.scala 419:52] + node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:82] + node _T_2950 = and(_T_2948, _T_2949) @[lsu_bus_buffer.scala 419:71] + node _T_2951 = or(_T_2944, _T_2950) @[lsu_bus_buffer.scala 418:110] + node _T_2952 = and(_T_2932, _T_2951) @[lsu_bus_buffer.scala 416:112] + node _T_2953 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2954 = and(_T_2953, buf_state_en[1]) @[lsu_bus_buffer.scala 416:93] + node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2957 = or(_T_2955, _T_2956) @[lsu_bus_buffer.scala 417:32] + node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 418:41] + node _T_2962 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_2963 = and(_T_2961, _T_2962) @[lsu_bus_buffer.scala 418:71] + node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:101] + node _T_2965 = and(_T_2963, _T_2964) @[lsu_bus_buffer.scala 418:90] + node _T_2966 = or(_T_2958, _T_2965) @[lsu_bus_buffer.scala 417:59] + node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2968 = and(_T_2967, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2969 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:63] + node _T_2970 = and(_T_2968, _T_2969) @[lsu_bus_buffer.scala 419:52] + node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:82] + node _T_2972 = and(_T_2970, _T_2971) @[lsu_bus_buffer.scala 419:71] + node _T_2973 = or(_T_2966, _T_2972) @[lsu_bus_buffer.scala 418:110] + node _T_2974 = and(_T_2954, _T_2973) @[lsu_bus_buffer.scala 416:112] + node _T_2975 = cat(_T_2974, _T_2952) @[Cat.scala 29:58] + node _T_2976 = cat(_T_2975, _T_2930) @[Cat.scala 29:58] + node _T_2977 = cat(_T_2976, _T_2908) @[Cat.scala 29:58] + node _T_2978 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_2979 = and(_T_2978, buf_state_en[2]) @[lsu_bus_buffer.scala 416:93] + node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_2982 = or(_T_2980, _T_2981) @[lsu_bus_buffer.scala 417:32] + node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_2986 = and(_T_2984, _T_2985) @[lsu_bus_buffer.scala 418:41] + node _T_2987 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_2988 = and(_T_2986, _T_2987) @[lsu_bus_buffer.scala 418:71] + node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:101] + node _T_2990 = and(_T_2988, _T_2989) @[lsu_bus_buffer.scala 418:90] + node _T_2991 = or(_T_2983, _T_2990) @[lsu_bus_buffer.scala 417:59] + node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_2993 = and(_T_2992, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_2994 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:63] + node _T_2995 = and(_T_2993, _T_2994) @[lsu_bus_buffer.scala 419:52] + node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_2997 = and(_T_2995, _T_2996) @[lsu_bus_buffer.scala 419:71] + node _T_2998 = or(_T_2991, _T_2997) @[lsu_bus_buffer.scala 418:110] + node _T_2999 = and(_T_2979, _T_2998) @[lsu_bus_buffer.scala 416:112] + node _T_3000 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3001 = and(_T_3000, buf_state_en[2]) @[lsu_bus_buffer.scala 416:93] + node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3004 = or(_T_3002, _T_3003) @[lsu_bus_buffer.scala 417:32] + node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3008 = and(_T_3006, _T_3007) @[lsu_bus_buffer.scala 418:41] + node _T_3009 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_3010 = and(_T_3008, _T_3009) @[lsu_bus_buffer.scala 418:71] + node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:101] + node _T_3012 = and(_T_3010, _T_3011) @[lsu_bus_buffer.scala 418:90] + node _T_3013 = or(_T_3005, _T_3012) @[lsu_bus_buffer.scala 417:59] + node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3015 = and(_T_3014, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3016 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:63] + node _T_3017 = and(_T_3015, _T_3016) @[lsu_bus_buffer.scala 419:52] + node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:82] + node _T_3019 = and(_T_3017, _T_3018) @[lsu_bus_buffer.scala 419:71] + node _T_3020 = or(_T_3013, _T_3019) @[lsu_bus_buffer.scala 418:110] + node _T_3021 = and(_T_3001, _T_3020) @[lsu_bus_buffer.scala 416:112] + node _T_3022 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3023 = and(_T_3022, buf_state_en[2]) @[lsu_bus_buffer.scala 416:93] + node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3026 = or(_T_3024, _T_3025) @[lsu_bus_buffer.scala 417:32] + node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3030 = and(_T_3028, _T_3029) @[lsu_bus_buffer.scala 418:41] + node _T_3031 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_3032 = and(_T_3030, _T_3031) @[lsu_bus_buffer.scala 418:71] + node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:101] + node _T_3034 = and(_T_3032, _T_3033) @[lsu_bus_buffer.scala 418:90] + node _T_3035 = or(_T_3027, _T_3034) @[lsu_bus_buffer.scala 417:59] + node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3037 = and(_T_3036, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3038 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:63] + node _T_3039 = and(_T_3037, _T_3038) @[lsu_bus_buffer.scala 419:52] + node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:82] + node _T_3041 = and(_T_3039, _T_3040) @[lsu_bus_buffer.scala 419:71] + node _T_3042 = or(_T_3035, _T_3041) @[lsu_bus_buffer.scala 418:110] + node _T_3043 = and(_T_3023, _T_3042) @[lsu_bus_buffer.scala 416:112] + node _T_3044 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3045 = and(_T_3044, buf_state_en[2]) @[lsu_bus_buffer.scala 416:93] + node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3048 = or(_T_3046, _T_3047) @[lsu_bus_buffer.scala 417:32] + node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 418:41] + node _T_3053 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_3054 = and(_T_3052, _T_3053) @[lsu_bus_buffer.scala 418:71] + node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:101] + node _T_3056 = and(_T_3054, _T_3055) @[lsu_bus_buffer.scala 418:90] + node _T_3057 = or(_T_3049, _T_3056) @[lsu_bus_buffer.scala 417:59] + node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3059 = and(_T_3058, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3060 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:63] + node _T_3061 = and(_T_3059, _T_3060) @[lsu_bus_buffer.scala 419:52] + node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:82] + node _T_3063 = and(_T_3061, _T_3062) @[lsu_bus_buffer.scala 419:71] + node _T_3064 = or(_T_3057, _T_3063) @[lsu_bus_buffer.scala 418:110] + node _T_3065 = and(_T_3045, _T_3064) @[lsu_bus_buffer.scala 416:112] + node _T_3066 = cat(_T_3065, _T_3043) @[Cat.scala 29:58] + node _T_3067 = cat(_T_3066, _T_3021) @[Cat.scala 29:58] + node _T_3068 = cat(_T_3067, _T_2999) @[Cat.scala 29:58] + node _T_3069 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3070 = and(_T_3069, buf_state_en[3]) @[lsu_bus_buffer.scala 416:93] + node _T_3071 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3072 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3073 = or(_T_3071, _T_3072) @[lsu_bus_buffer.scala 417:32] + node _T_3074 = eq(_T_3073, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3075 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3076 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3077 = and(_T_3075, _T_3076) @[lsu_bus_buffer.scala 418:41] + node _T_3078 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_3079 = and(_T_3077, _T_3078) @[lsu_bus_buffer.scala 418:71] + node _T_3080 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:101] + node _T_3081 = and(_T_3079, _T_3080) @[lsu_bus_buffer.scala 418:90] + node _T_3082 = or(_T_3074, _T_3081) @[lsu_bus_buffer.scala 417:59] + node _T_3083 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3084 = and(_T_3083, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3085 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:63] + node _T_3086 = and(_T_3084, _T_3085) @[lsu_bus_buffer.scala 419:52] + node _T_3087 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 419:82] + node _T_3088 = and(_T_3086, _T_3087) @[lsu_bus_buffer.scala 419:71] + node _T_3089 = or(_T_3082, _T_3088) @[lsu_bus_buffer.scala 418:110] + node _T_3090 = and(_T_3070, _T_3089) @[lsu_bus_buffer.scala 416:112] + node _T_3091 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3092 = and(_T_3091, buf_state_en[3]) @[lsu_bus_buffer.scala 416:93] + node _T_3093 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3094 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3095 = or(_T_3093, _T_3094) @[lsu_bus_buffer.scala 417:32] + node _T_3096 = eq(_T_3095, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3097 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3098 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3099 = and(_T_3097, _T_3098) @[lsu_bus_buffer.scala 418:41] + node _T_3100 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_3101 = and(_T_3099, _T_3100) @[lsu_bus_buffer.scala 418:71] + node _T_3102 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:101] + node _T_3103 = and(_T_3101, _T_3102) @[lsu_bus_buffer.scala 418:90] + node _T_3104 = or(_T_3096, _T_3103) @[lsu_bus_buffer.scala 417:59] + node _T_3105 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3106 = and(_T_3105, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3107 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:63] + node _T_3108 = and(_T_3106, _T_3107) @[lsu_bus_buffer.scala 419:52] + node _T_3109 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 419:82] + node _T_3110 = and(_T_3108, _T_3109) @[lsu_bus_buffer.scala 419:71] + node _T_3111 = or(_T_3104, _T_3110) @[lsu_bus_buffer.scala 418:110] + node _T_3112 = and(_T_3092, _T_3111) @[lsu_bus_buffer.scala 416:112] + node _T_3113 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3114 = and(_T_3113, buf_state_en[3]) @[lsu_bus_buffer.scala 416:93] + node _T_3115 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3116 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3117 = or(_T_3115, _T_3116) @[lsu_bus_buffer.scala 417:32] + node _T_3118 = eq(_T_3117, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3119 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3120 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3121 = and(_T_3119, _T_3120) @[lsu_bus_buffer.scala 418:41] + node _T_3122 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_3123 = and(_T_3121, _T_3122) @[lsu_bus_buffer.scala 418:71] + node _T_3124 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:101] + node _T_3125 = and(_T_3123, _T_3124) @[lsu_bus_buffer.scala 418:90] + node _T_3126 = or(_T_3118, _T_3125) @[lsu_bus_buffer.scala 417:59] + node _T_3127 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3128 = and(_T_3127, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3129 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:63] + node _T_3130 = and(_T_3128, _T_3129) @[lsu_bus_buffer.scala 419:52] + node _T_3131 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 419:82] + node _T_3132 = and(_T_3130, _T_3131) @[lsu_bus_buffer.scala 419:71] + node _T_3133 = or(_T_3126, _T_3132) @[lsu_bus_buffer.scala 418:110] + node _T_3134 = and(_T_3114, _T_3133) @[lsu_bus_buffer.scala 416:112] + node _T_3135 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:82] + node _T_3136 = and(_T_3135, buf_state_en[3]) @[lsu_bus_buffer.scala 416:93] + node _T_3137 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 417:21] + node _T_3138 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 417:47] + node _T_3139 = or(_T_3137, _T_3138) @[lsu_bus_buffer.scala 417:32] + node _T_3140 = eq(_T_3139, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:6] + node _T_3141 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:23] + node _T_3142 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:53] + node _T_3143 = and(_T_3141, _T_3142) @[lsu_bus_buffer.scala 418:41] + node _T_3144 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_3145 = and(_T_3143, _T_3144) @[lsu_bus_buffer.scala 418:71] + node _T_3146 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:101] + node _T_3147 = and(_T_3145, _T_3146) @[lsu_bus_buffer.scala 418:90] + node _T_3148 = or(_T_3140, _T_3147) @[lsu_bus_buffer.scala 417:59] + node _T_3149 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 419:17] + node _T_3150 = and(_T_3149, io.ldst_dual_r) @[lsu_bus_buffer.scala 419:35] + node _T_3151 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:63] + node _T_3152 = and(_T_3150, _T_3151) @[lsu_bus_buffer.scala 419:52] + node _T_3153 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 419:82] + node _T_3154 = and(_T_3152, _T_3153) @[lsu_bus_buffer.scala 419:71] + node _T_3155 = or(_T_3148, _T_3154) @[lsu_bus_buffer.scala 418:110] + node _T_3156 = and(_T_3136, _T_3155) @[lsu_bus_buffer.scala 416:112] + node _T_3157 = cat(_T_3156, _T_3134) @[Cat.scala 29:58] + node _T_3158 = cat(_T_3157, _T_3112) @[Cat.scala 29:58] + node _T_3159 = cat(_T_3158, _T_3090) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2886 @[lsu_bus_buffer.scala 416:18] + buf_rspage_set[1] <= _T_2977 @[lsu_bus_buffer.scala 416:18] + buf_rspage_set[2] <= _T_3068 @[lsu_bus_buffer.scala 416:18] + buf_rspage_set[3] <= _T_3159 @[lsu_bus_buffer.scala 416:18] + node _T_3160 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 420:84] + node _T_3161 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 420:103] + node _T_3162 = or(_T_3160, _T_3161) @[lsu_bus_buffer.scala 420:88] + node _T_3163 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 420:84] + node _T_3164 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 420:103] + node _T_3165 = or(_T_3163, _T_3164) @[lsu_bus_buffer.scala 420:88] + node _T_3166 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 420:84] + node _T_3167 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 420:103] + node _T_3168 = or(_T_3166, _T_3167) @[lsu_bus_buffer.scala 420:88] + node _T_3169 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 420:84] + node _T_3170 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 420:103] + node _T_3171 = or(_T_3169, _T_3170) @[lsu_bus_buffer.scala 420:88] + node _T_3172 = cat(_T_3171, _T_3168) @[Cat.scala 29:58] + node _T_3173 = cat(_T_3172, _T_3165) @[Cat.scala 29:58] + node _T_3174 = cat(_T_3173, _T_3162) @[Cat.scala 29:58] + node _T_3175 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 420:84] + node _T_3176 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 420:103] + node _T_3177 = or(_T_3175, _T_3176) @[lsu_bus_buffer.scala 420:88] + node _T_3178 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 420:84] + node _T_3179 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 420:103] + node _T_3180 = or(_T_3178, _T_3179) @[lsu_bus_buffer.scala 420:88] + node _T_3181 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 420:84] + node _T_3182 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 420:103] + node _T_3183 = or(_T_3181, _T_3182) @[lsu_bus_buffer.scala 420:88] + node _T_3184 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 420:84] + node _T_3185 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 420:103] + node _T_3186 = or(_T_3184, _T_3185) @[lsu_bus_buffer.scala 420:88] + node _T_3187 = cat(_T_3186, _T_3183) @[Cat.scala 29:58] + node _T_3188 = cat(_T_3187, _T_3180) @[Cat.scala 29:58] + node _T_3189 = cat(_T_3188, _T_3177) @[Cat.scala 29:58] + node _T_3190 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 420:84] + node _T_3191 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 420:103] + node _T_3192 = or(_T_3190, _T_3191) @[lsu_bus_buffer.scala 420:88] + node _T_3193 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 420:84] + node _T_3194 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 420:103] + node _T_3195 = or(_T_3193, _T_3194) @[lsu_bus_buffer.scala 420:88] + node _T_3196 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 420:84] + node _T_3197 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 420:103] + node _T_3198 = or(_T_3196, _T_3197) @[lsu_bus_buffer.scala 420:88] + node _T_3199 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 420:84] + node _T_3200 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 420:103] + node _T_3201 = or(_T_3199, _T_3200) @[lsu_bus_buffer.scala 420:88] + node _T_3202 = cat(_T_3201, _T_3198) @[Cat.scala 29:58] + node _T_3203 = cat(_T_3202, _T_3195) @[Cat.scala 29:58] + node _T_3204 = cat(_T_3203, _T_3192) @[Cat.scala 29:58] + node _T_3205 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 420:84] + node _T_3206 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 420:103] + node _T_3207 = or(_T_3205, _T_3206) @[lsu_bus_buffer.scala 420:88] + node _T_3208 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 420:84] + node _T_3209 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 420:103] + node _T_3210 = or(_T_3208, _T_3209) @[lsu_bus_buffer.scala 420:88] + node _T_3211 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 420:84] + node _T_3212 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 420:103] + node _T_3213 = or(_T_3211, _T_3212) @[lsu_bus_buffer.scala 420:88] + node _T_3214 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 420:84] + node _T_3215 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 420:103] + node _T_3216 = or(_T_3214, _T_3215) @[lsu_bus_buffer.scala 420:88] + node _T_3217 = cat(_T_3216, _T_3213) @[Cat.scala 29:58] + node _T_3218 = cat(_T_3217, _T_3210) @[Cat.scala 29:58] + node _T_3219 = cat(_T_3218, _T_3207) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3174 @[lsu_bus_buffer.scala 420:17] + buf_rspage_in[1] <= _T_3189 @[lsu_bus_buffer.scala 420:17] + buf_rspage_in[2] <= _T_3204 @[lsu_bus_buffer.scala 420:17] + buf_rspage_in[3] <= _T_3219 @[lsu_bus_buffer.scala 420:17] + node _T_3220 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 421:78] + node _T_3221 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3222 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3223 = or(_T_3221, _T_3222) @[lsu_bus_buffer.scala 421:110] + node _T_3224 = eq(_T_3223, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3225 = and(_T_3220, _T_3224) @[lsu_bus_buffer.scala 421:82] + node _T_3226 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 421:78] + node _T_3227 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3228 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3229 = or(_T_3227, _T_3228) @[lsu_bus_buffer.scala 421:110] + node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3231 = and(_T_3226, _T_3230) @[lsu_bus_buffer.scala 421:82] + node _T_3232 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 421:78] + node _T_3233 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3234 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3235 = or(_T_3233, _T_3234) @[lsu_bus_buffer.scala 421:110] + node _T_3236 = eq(_T_3235, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3237 = and(_T_3232, _T_3236) @[lsu_bus_buffer.scala 421:82] + node _T_3238 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 421:78] + node _T_3239 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3240 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3241 = or(_T_3239, _T_3240) @[lsu_bus_buffer.scala 421:110] + node _T_3242 = eq(_T_3241, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3243 = and(_T_3238, _T_3242) @[lsu_bus_buffer.scala 421:82] + node _T_3244 = cat(_T_3243, _T_3237) @[Cat.scala 29:58] + node _T_3245 = cat(_T_3244, _T_3231) @[Cat.scala 29:58] + node _T_3246 = cat(_T_3245, _T_3225) @[Cat.scala 29:58] + node _T_3247 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 421:78] + node _T_3248 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3249 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3250 = or(_T_3248, _T_3249) @[lsu_bus_buffer.scala 421:110] + node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3252 = and(_T_3247, _T_3251) @[lsu_bus_buffer.scala 421:82] + node _T_3253 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 421:78] + node _T_3254 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3255 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3256 = or(_T_3254, _T_3255) @[lsu_bus_buffer.scala 421:110] + node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3258 = and(_T_3253, _T_3257) @[lsu_bus_buffer.scala 421:82] + node _T_3259 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 421:78] + node _T_3260 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3261 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3262 = or(_T_3260, _T_3261) @[lsu_bus_buffer.scala 421:110] + node _T_3263 = eq(_T_3262, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3264 = and(_T_3259, _T_3263) @[lsu_bus_buffer.scala 421:82] + node _T_3265 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 421:78] + node _T_3266 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3267 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3268 = or(_T_3266, _T_3267) @[lsu_bus_buffer.scala 421:110] + node _T_3269 = eq(_T_3268, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3270 = and(_T_3265, _T_3269) @[lsu_bus_buffer.scala 421:82] + node _T_3271 = cat(_T_3270, _T_3264) @[Cat.scala 29:58] + node _T_3272 = cat(_T_3271, _T_3258) @[Cat.scala 29:58] + node _T_3273 = cat(_T_3272, _T_3252) @[Cat.scala 29:58] + node _T_3274 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 421:78] + node _T_3275 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3276 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3277 = or(_T_3275, _T_3276) @[lsu_bus_buffer.scala 421:110] + node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3279 = and(_T_3274, _T_3278) @[lsu_bus_buffer.scala 421:82] + node _T_3280 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 421:78] + node _T_3281 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3282 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3283 = or(_T_3281, _T_3282) @[lsu_bus_buffer.scala 421:110] + node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3285 = and(_T_3280, _T_3284) @[lsu_bus_buffer.scala 421:82] + node _T_3286 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 421:78] + node _T_3287 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3288 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3289 = or(_T_3287, _T_3288) @[lsu_bus_buffer.scala 421:110] + node _T_3290 = eq(_T_3289, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3291 = and(_T_3286, _T_3290) @[lsu_bus_buffer.scala 421:82] + node _T_3292 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 421:78] + node _T_3293 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3294 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3295 = or(_T_3293, _T_3294) @[lsu_bus_buffer.scala 421:110] + node _T_3296 = eq(_T_3295, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3297 = and(_T_3292, _T_3296) @[lsu_bus_buffer.scala 421:82] + node _T_3298 = cat(_T_3297, _T_3291) @[Cat.scala 29:58] + node _T_3299 = cat(_T_3298, _T_3285) @[Cat.scala 29:58] + node _T_3300 = cat(_T_3299, _T_3279) @[Cat.scala 29:58] + node _T_3301 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 421:78] + node _T_3302 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3303 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3304 = or(_T_3302, _T_3303) @[lsu_bus_buffer.scala 421:110] + node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3306 = and(_T_3301, _T_3305) @[lsu_bus_buffer.scala 421:82] + node _T_3307 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 421:78] + node _T_3308 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3309 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3310 = or(_T_3308, _T_3309) @[lsu_bus_buffer.scala 421:110] + node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3312 = and(_T_3307, _T_3311) @[lsu_bus_buffer.scala 421:82] + node _T_3313 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 421:78] + node _T_3314 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3315 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3316 = or(_T_3314, _T_3315) @[lsu_bus_buffer.scala 421:110] + node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3318 = and(_T_3313, _T_3317) @[lsu_bus_buffer.scala 421:82] + node _T_3319 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 421:78] + node _T_3320 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 421:99] + node _T_3321 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 421:125] + node _T_3322 = or(_T_3320, _T_3321) @[lsu_bus_buffer.scala 421:110] + node _T_3323 = eq(_T_3322, UInt<1>("h00")) @[lsu_bus_buffer.scala 421:84] + node _T_3324 = and(_T_3319, _T_3323) @[lsu_bus_buffer.scala 421:82] + node _T_3325 = cat(_T_3324, _T_3318) @[Cat.scala 29:58] + node _T_3326 = cat(_T_3325, _T_3312) @[Cat.scala 29:58] + node _T_3327 = cat(_T_3326, _T_3306) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3246 @[lsu_bus_buffer.scala 421:14] + buf_rspage[1] <= _T_3273 @[lsu_bus_buffer.scala 421:14] + buf_rspage[2] <= _T_3300 @[lsu_bus_buffer.scala 421:14] + buf_rspage[3] <= _T_3327 @[lsu_bus_buffer.scala 421:14] + node _T_3328 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 426:75] + node _T_3329 = and(ibuf_drain_vld, _T_3328) @[lsu_bus_buffer.scala 426:63] + node _T_3330 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 426:75] + node _T_3331 = and(ibuf_drain_vld, _T_3330) @[lsu_bus_buffer.scala 426:63] + node _T_3332 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 426:75] + node _T_3333 = and(ibuf_drain_vld, _T_3332) @[lsu_bus_buffer.scala 426:63] + node _T_3334 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 426:75] + node _T_3335 = and(ibuf_drain_vld, _T_3334) @[lsu_bus_buffer.scala 426:63] + node _T_3336 = cat(_T_3335, _T_3333) @[Cat.scala 29:58] + node _T_3337 = cat(_T_3336, _T_3331) @[Cat.scala 29:58] + node _T_3338 = cat(_T_3337, _T_3329) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3338 @[lsu_bus_buffer.scala 426:21] + node _T_3339 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 427:64] + node _T_3340 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 427:84] + node _T_3341 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:18] + node _T_3342 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:46] + node _T_3343 = and(_T_3341, _T_3342) @[lsu_bus_buffer.scala 428:35] + node _T_3344 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 428:71] + node _T_3345 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 428:94] + node _T_3346 = mux(_T_3343, _T_3344, _T_3345) @[lsu_bus_buffer.scala 428:8] + node _T_3347 = mux(_T_3339, _T_3340, _T_3346) @[lsu_bus_buffer.scala 427:46] + node _T_3348 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 427:64] + node _T_3349 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 427:84] + node _T_3350 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:18] + node _T_3351 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 428:46] + node _T_3352 = and(_T_3350, _T_3351) @[lsu_bus_buffer.scala 428:35] + node _T_3353 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 428:71] + node _T_3354 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 428:94] + node _T_3355 = mux(_T_3352, _T_3353, _T_3354) @[lsu_bus_buffer.scala 428:8] + node _T_3356 = mux(_T_3348, _T_3349, _T_3355) @[lsu_bus_buffer.scala 427:46] + node _T_3357 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 427:64] + node _T_3358 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 427:84] + node _T_3359 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:18] + node _T_3360 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 428:46] + node _T_3361 = and(_T_3359, _T_3360) @[lsu_bus_buffer.scala 428:35] + node _T_3362 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 428:71] + node _T_3363 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 428:94] + node _T_3364 = mux(_T_3361, _T_3362, _T_3363) @[lsu_bus_buffer.scala 428:8] + node _T_3365 = mux(_T_3357, _T_3358, _T_3364) @[lsu_bus_buffer.scala 427:46] + node _T_3366 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 427:64] + node _T_3367 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 427:84] + node _T_3368 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:18] + node _T_3369 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 428:46] + node _T_3370 = and(_T_3368, _T_3369) @[lsu_bus_buffer.scala 428:35] + node _T_3371 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 428:71] + node _T_3372 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 428:94] + node _T_3373 = mux(_T_3370, _T_3371, _T_3372) @[lsu_bus_buffer.scala 428:8] + node _T_3374 = mux(_T_3366, _T_3367, _T_3373) @[lsu_bus_buffer.scala 427:46] + buf_byteen_in[0] <= _T_3347 @[lsu_bus_buffer.scala 427:17] + buf_byteen_in[1] <= _T_3356 @[lsu_bus_buffer.scala 427:17] + buf_byteen_in[2] <= _T_3365 @[lsu_bus_buffer.scala 427:17] + buf_byteen_in[3] <= _T_3374 @[lsu_bus_buffer.scala 427:17] + node _T_3375 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 429:62] + node _T_3376 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:91] + node _T_3377 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 429:119] + node _T_3378 = and(_T_3376, _T_3377) @[lsu_bus_buffer.scala 429:108] + node _T_3379 = mux(_T_3378, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 429:81] + node _T_3380 = mux(_T_3375, ibuf_addr, _T_3379) @[lsu_bus_buffer.scala 429:44] + node _T_3381 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 429:62] + node _T_3382 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:91] + node _T_3383 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 429:119] + node _T_3384 = and(_T_3382, _T_3383) @[lsu_bus_buffer.scala 429:108] + node _T_3385 = mux(_T_3384, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 429:81] + node _T_3386 = mux(_T_3381, ibuf_addr, _T_3385) @[lsu_bus_buffer.scala 429:44] + node _T_3387 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 429:62] + node _T_3388 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:91] + node _T_3389 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 429:119] + node _T_3390 = and(_T_3388, _T_3389) @[lsu_bus_buffer.scala 429:108] + node _T_3391 = mux(_T_3390, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 429:81] + node _T_3392 = mux(_T_3387, ibuf_addr, _T_3391) @[lsu_bus_buffer.scala 429:44] + node _T_3393 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 429:62] + node _T_3394 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:91] + node _T_3395 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 429:119] + node _T_3396 = and(_T_3394, _T_3395) @[lsu_bus_buffer.scala 429:108] + node _T_3397 = mux(_T_3396, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 429:81] + node _T_3398 = mux(_T_3393, ibuf_addr, _T_3397) @[lsu_bus_buffer.scala 429:44] + buf_addr_in[0] <= _T_3380 @[lsu_bus_buffer.scala 429:15] + buf_addr_in[1] <= _T_3386 @[lsu_bus_buffer.scala 429:15] + buf_addr_in[2] <= _T_3392 @[lsu_bus_buffer.scala 429:15] + buf_addr_in[3] <= _T_3398 @[lsu_bus_buffer.scala 429:15] + node _T_3399 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 430:63] + node _T_3400 = mux(_T_3399, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:45] + node _T_3401 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 430:63] + node _T_3402 = mux(_T_3401, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:45] + node _T_3403 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 430:63] + node _T_3404 = mux(_T_3403, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:45] + node _T_3405 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 430:63] + node _T_3406 = mux(_T_3405, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 430:45] + node _T_3407 = cat(_T_3406, _T_3404) @[Cat.scala 29:58] + node _T_3408 = cat(_T_3407, _T_3402) @[Cat.scala 29:58] + node _T_3409 = cat(_T_3408, _T_3400) @[Cat.scala 29:58] + buf_dual_in <= _T_3409 @[lsu_bus_buffer.scala 430:15] + node _T_3410 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 431:65] + node _T_3411 = mux(_T_3410, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 431:47] + node _T_3412 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 431:65] + node _T_3413 = mux(_T_3412, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 431:47] + node _T_3414 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 431:65] + node _T_3415 = mux(_T_3414, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 431:47] + node _T_3416 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 431:65] + node _T_3417 = mux(_T_3416, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 431:47] + node _T_3418 = cat(_T_3417, _T_3415) @[Cat.scala 29:58] + node _T_3419 = cat(_T_3418, _T_3413) @[Cat.scala 29:58] + node _T_3420 = cat(_T_3419, _T_3411) @[Cat.scala 29:58] + buf_samedw_in <= _T_3420 @[lsu_bus_buffer.scala 431:17] + node _T_3421 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:66] + node _T_3422 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 432:84] + node _T_3423 = mux(_T_3421, _T_3422, io.no_dword_merge_r) @[lsu_bus_buffer.scala 432:48] + node _T_3424 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:66] + node _T_3425 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 432:84] + node _T_3426 = mux(_T_3424, _T_3425, io.no_dword_merge_r) @[lsu_bus_buffer.scala 432:48] + node _T_3427 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:66] + node _T_3428 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 432:84] + node _T_3429 = mux(_T_3427, _T_3428, io.no_dword_merge_r) @[lsu_bus_buffer.scala 432:48] + node _T_3430 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:66] + node _T_3431 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 432:84] + node _T_3432 = mux(_T_3430, _T_3431, io.no_dword_merge_r) @[lsu_bus_buffer.scala 432:48] + node _T_3433 = cat(_T_3432, _T_3429) @[Cat.scala 29:58] + node _T_3434 = cat(_T_3433, _T_3426) @[Cat.scala 29:58] + node _T_3435 = cat(_T_3434, _T_3423) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3435 @[lsu_bus_buffer.scala 432:18] + node _T_3436 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 433:65] + node _T_3437 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:90] + node _T_3438 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 433:118] + node _T_3439 = and(_T_3437, _T_3438) @[lsu_bus_buffer.scala 433:107] + node _T_3440 = mux(_T_3436, ibuf_dual, _T_3439) @[lsu_bus_buffer.scala 433:47] + node _T_3441 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 433:65] + node _T_3442 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:90] + node _T_3443 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 433:118] + node _T_3444 = and(_T_3442, _T_3443) @[lsu_bus_buffer.scala 433:107] + node _T_3445 = mux(_T_3441, ibuf_dual, _T_3444) @[lsu_bus_buffer.scala 433:47] + node _T_3446 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 433:65] + node _T_3447 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:90] + node _T_3448 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 433:118] + node _T_3449 = and(_T_3447, _T_3448) @[lsu_bus_buffer.scala 433:107] + node _T_3450 = mux(_T_3446, ibuf_dual, _T_3449) @[lsu_bus_buffer.scala 433:47] + node _T_3451 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 433:65] + node _T_3452 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:90] + node _T_3453 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 433:118] + node _T_3454 = and(_T_3452, _T_3453) @[lsu_bus_buffer.scala 433:107] + node _T_3455 = mux(_T_3451, ibuf_dual, _T_3454) @[lsu_bus_buffer.scala 433:47] + node _T_3456 = cat(_T_3455, _T_3450) @[Cat.scala 29:58] + node _T_3457 = cat(_T_3456, _T_3445) @[Cat.scala 29:58] + node _T_3458 = cat(_T_3457, _T_3440) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3458 @[lsu_bus_buffer.scala 433:17] + node _T_3459 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:65] + node _T_3460 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:97] + node _T_3461 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 434:125] + node _T_3462 = and(_T_3460, _T_3461) @[lsu_bus_buffer.scala 434:114] + node _T_3463 = mux(_T_3462, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 434:87] + node _T_3464 = mux(_T_3459, ibuf_dualtag, _T_3463) @[lsu_bus_buffer.scala 434:47] + node _T_3465 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:65] + node _T_3466 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:97] + node _T_3467 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 434:125] + node _T_3468 = and(_T_3466, _T_3467) @[lsu_bus_buffer.scala 434:114] + node _T_3469 = mux(_T_3468, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 434:87] + node _T_3470 = mux(_T_3465, ibuf_dualtag, _T_3469) @[lsu_bus_buffer.scala 434:47] + node _T_3471 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:65] + node _T_3472 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:97] + node _T_3473 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 434:125] + node _T_3474 = and(_T_3472, _T_3473) @[lsu_bus_buffer.scala 434:114] + node _T_3475 = mux(_T_3474, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 434:87] + node _T_3476 = mux(_T_3471, ibuf_dualtag, _T_3475) @[lsu_bus_buffer.scala 434:47] + node _T_3477 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:65] + node _T_3478 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 434:97] + node _T_3479 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 434:125] + node _T_3480 = and(_T_3478, _T_3479) @[lsu_bus_buffer.scala 434:114] + node _T_3481 = mux(_T_3480, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 434:87] + node _T_3482 = mux(_T_3477, ibuf_dualtag, _T_3481) @[lsu_bus_buffer.scala 434:47] + buf_dualtag_in[0] <= _T_3464 @[lsu_bus_buffer.scala 434:18] + buf_dualtag_in[1] <= _T_3470 @[lsu_bus_buffer.scala 434:18] + buf_dualtag_in[2] <= _T_3476 @[lsu_bus_buffer.scala 434:18] + buf_dualtag_in[3] <= _T_3482 @[lsu_bus_buffer.scala 434:18] + node _T_3483 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:69] + node _T_3484 = mux(_T_3483, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 435:51] + node _T_3485 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:69] + node _T_3486 = mux(_T_3485, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 435:51] + node _T_3487 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:69] + node _T_3488 = mux(_T_3487, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 435:51] + node _T_3489 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:69] + node _T_3490 = mux(_T_3489, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 435:51] + node _T_3491 = cat(_T_3490, _T_3488) @[Cat.scala 29:58] + node _T_3492 = cat(_T_3491, _T_3486) @[Cat.scala 29:58] + node _T_3493 = cat(_T_3492, _T_3484) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3493 @[lsu_bus_buffer.scala 435:21] + node _T_3494 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:65] + node _T_3495 = mux(_T_3494, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 436:47] + node _T_3496 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:65] + node _T_3497 = mux(_T_3496, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 436:47] + node _T_3498 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:65] + node _T_3499 = mux(_T_3498, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 436:47] + node _T_3500 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:65] + node _T_3501 = mux(_T_3500, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 436:47] + node _T_3502 = cat(_T_3501, _T_3499) @[Cat.scala 29:58] + node _T_3503 = cat(_T_3502, _T_3497) @[Cat.scala 29:58] + node _T_3504 = cat(_T_3503, _T_3495) @[Cat.scala 29:58] + buf_unsign_in <= _T_3504 @[lsu_bus_buffer.scala 436:17] + node _T_3505 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:60] + node _T_3506 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3507 = mux(_T_3505, ibuf_sz, _T_3506) @[lsu_bus_buffer.scala 437:42] + node _T_3508 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:60] + node _T_3509 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3510 = mux(_T_3508, ibuf_sz, _T_3509) @[lsu_bus_buffer.scala 437:42] + node _T_3511 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:60] + node _T_3512 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3513 = mux(_T_3511, ibuf_sz, _T_3512) @[lsu_bus_buffer.scala 437:42] + node _T_3514 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:60] + node _T_3515 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3516 = mux(_T_3514, ibuf_sz, _T_3515) @[lsu_bus_buffer.scala 437:42] + buf_sz_in[0] <= _T_3507 @[lsu_bus_buffer.scala 437:13] + buf_sz_in[1] <= _T_3510 @[lsu_bus_buffer.scala 437:13] + buf_sz_in[2] <= _T_3513 @[lsu_bus_buffer.scala 437:13] + buf_sz_in[3] <= _T_3516 @[lsu_bus_buffer.scala 437:13] + node _T_3517 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 438:64] + node _T_3518 = mux(_T_3517, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 438:46] + node _T_3519 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 438:64] + node _T_3520 = mux(_T_3519, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 438:46] + node _T_3521 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 438:64] + node _T_3522 = mux(_T_3521, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 438:46] + node _T_3523 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 438:64] + node _T_3524 = mux(_T_3523, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 438:46] + node _T_3525 = cat(_T_3524, _T_3522) @[Cat.scala 29:58] + node _T_3526 = cat(_T_3525, _T_3520) @[Cat.scala 29:58] + node _T_3527 = cat(_T_3526, _T_3518) @[Cat.scala 29:58] + buf_write_in <= _T_3527 @[lsu_bus_buffer.scala 438:16] + node _T_3528 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3528 : @[Conditional.scala 40:58] + node _T_3529 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 443:56] + node _T_3530 = mux(_T_3529, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 443:31] + buf_nxtstate[0] <= _T_3530 @[lsu_bus_buffer.scala 443:25] + node _T_3531 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 444:45] + node _T_3532 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:77] + node _T_3533 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 444:97] + node _T_3534 = and(_T_3532, _T_3533) @[lsu_bus_buffer.scala 444:95] + node _T_3535 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 444:117] + node _T_3536 = and(_T_3534, _T_3535) @[lsu_bus_buffer.scala 444:112] + node _T_3537 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:144] + node _T_3538 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 444:166] + node _T_3539 = and(_T_3537, _T_3538) @[lsu_bus_buffer.scala 444:161] + node _T_3540 = or(_T_3536, _T_3539) @[lsu_bus_buffer.scala 444:132] + node _T_3541 = and(_T_3531, _T_3540) @[lsu_bus_buffer.scala 444:63] + node _T_3542 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 444:206] + node _T_3543 = and(ibuf_drain_vld, _T_3542) @[lsu_bus_buffer.scala 444:201] + node _T_3544 = or(_T_3541, _T_3543) @[lsu_bus_buffer.scala 444:183] + buf_state_en[0] <= _T_3544 @[lsu_bus_buffer.scala 444:25] + buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 445:22] + buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 446:24] + node _T_3545 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 447:52] + node _T_3546 = and(ibuf_drain_vld, _T_3545) @[lsu_bus_buffer.scala 447:47] + node _T_3547 = bits(_T_3546, 0, 0) @[lsu_bus_buffer.scala 447:73] + node _T_3548 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 447:90] + node _T_3549 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 447:114] + node _T_3550 = mux(_T_3547, _T_3548, _T_3549) @[lsu_bus_buffer.scala 447:30] + buf_data_in[0] <= _T_3550 @[lsu_bus_buffer.scala 447:24] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3551 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3551 : @[Conditional.scala 39:67] + node _T_3552 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] + node _T_3553 = mux(_T_3552, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] + buf_nxtstate[0] <= _T_3553 @[lsu_bus_buffer.scala 450:25] + node _T_3554 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] + buf_state_en[0] <= _T_3554 @[lsu_bus_buffer.scala 451:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3555 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3555 : @[Conditional.scala 39:67] + node _T_3556 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 454:60] + node _T_3557 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 454:89] + node _T_3558 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 454:124] + node _T_3559 = and(_T_3557, _T_3558) @[lsu_bus_buffer.scala 454:104] + node _T_3560 = mux(_T_3559, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 454:75] + node _T_3561 = mux(_T_3556, UInt<3>("h00"), _T_3560) @[lsu_bus_buffer.scala 454:31] + buf_nxtstate[0] <= _T_3561 @[lsu_bus_buffer.scala 454:25] + node _T_3562 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 455:48] + node _T_3563 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 455:104] + node _T_3564 = and(obuf_merge, _T_3563) @[lsu_bus_buffer.scala 455:91] + node _T_3565 = or(_T_3562, _T_3564) @[lsu_bus_buffer.scala 455:77] + node _T_3566 = and(_T_3565, obuf_valid) @[lsu_bus_buffer.scala 455:135] + node _T_3567 = and(_T_3566, obuf_wr_enQ) @[lsu_bus_buffer.scala 455:148] + buf_cmd_state_bus_en[0] <= _T_3567 @[lsu_bus_buffer.scala 455:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 456:29] + node _T_3568 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 457:49] + node _T_3569 = or(_T_3568, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:70] + buf_state_en[0] <= _T_3569 @[lsu_bus_buffer.scala 457:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 458:25] + node _T_3570 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 459:56] + node _T_3571 = eq(_T_3570, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:46] + node _T_3572 = and(buf_state_en[0], _T_3571) @[lsu_bus_buffer.scala 459:44] + node _T_3573 = and(_T_3572, obuf_nosend) @[lsu_bus_buffer.scala 459:60] + node _T_3574 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:76] + node _T_3575 = and(_T_3573, _T_3574) @[lsu_bus_buffer.scala 459:74] + buf_ldfwd_en[0] <= _T_3575 @[lsu_bus_buffer.scala 459:25] + node _T_3576 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 460:46] + buf_ldfwdtag_in[0] <= _T_3576 @[lsu_bus_buffer.scala 460:28] + node _T_3577 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:47] + node _T_3578 = and(_T_3577, obuf_nosend) @[lsu_bus_buffer.scala 461:67] + node _T_3579 = and(_T_3578, bus_rsp_read) @[lsu_bus_buffer.scala 461:81] + buf_data_en[0] <= _T_3579 @[lsu_bus_buffer.scala 461:24] + node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:48] + node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 462:68] + node _T_3582 = and(_T_3581, bus_rsp_read_error) @[lsu_bus_buffer.scala 462:82] + buf_error_en[0] <= _T_3582 @[lsu_bus_buffer.scala 462:25] + node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:61] + node _T_3584 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 463:85] + node _T_3585 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 463:103] + node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:126] + node _T_3587 = mux(_T_3584, _T_3585, _T_3586) @[lsu_bus_buffer.scala 463:73] + node _T_3588 = mux(buf_error_en[0], _T_3583, _T_3587) @[lsu_bus_buffer.scala 463:30] + buf_data_in[0] <= _T_3588 @[lsu_bus_buffer.scala 463:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3589 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3589 : @[Conditional.scala 39:67] + node _T_3590 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 466:67] + node _T_3591 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 466:94] + node _T_3592 = eq(_T_3591, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:73] + node _T_3593 = and(_T_3590, _T_3592) @[lsu_bus_buffer.scala 466:71] + node _T_3594 = or(io.dec_tlu_force_halt, _T_3593) @[lsu_bus_buffer.scala 466:55] + node _T_3595 = bits(_T_3594, 0, 0) @[lsu_bus_buffer.scala 466:125] + node _T_3596 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:30] + node _T_3597 = and(buf_dual[0], _T_3596) @[lsu_bus_buffer.scala 467:28] + node _T_3598 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 467:57] + node _T_3599 = eq(_T_3598, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:47] + node _T_3600 = and(_T_3597, _T_3599) @[lsu_bus_buffer.scala 467:45] + node _T_3601 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:90] + node _T_3602 = and(_T_3600, _T_3601) @[lsu_bus_buffer.scala 467:61] + node _T_3603 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 468:27] + node _T_3604 = or(_T_3603, any_done_wait_state) @[lsu_bus_buffer.scala 468:31] + node _T_3605 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:70] + node _T_3606 = and(buf_dual[0], _T_3605) @[lsu_bus_buffer.scala 468:68] + node _T_3607 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 468:97] + node _T_3608 = eq(_T_3607, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:87] + node _T_3609 = and(_T_3606, _T_3608) @[lsu_bus_buffer.scala 468:85] + node _T_3610 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_3611 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_3612 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_3613 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_3614 = eq(buf_dualtag[0], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_3615 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_3616 = eq(buf_dualtag[0], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_3617 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_3618 = mux(_T_3610, _T_3611, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3619 = mux(_T_3612, _T_3613, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3620 = mux(_T_3614, _T_3615, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3621 = mux(_T_3616, _T_3617, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3622 = or(_T_3618, _T_3619) @[Mux.scala 27:72] + node _T_3623 = or(_T_3622, _T_3620) @[Mux.scala 27:72] + node _T_3624 = or(_T_3623, _T_3621) @[Mux.scala 27:72] + wire _T_3625 : UInt<1> @[Mux.scala 27:72] + _T_3625 <= _T_3624 @[Mux.scala 27:72] + node _T_3626 = and(_T_3609, _T_3625) @[lsu_bus_buffer.scala 468:101] + node _T_3627 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:167] + node _T_3628 = and(_T_3626, _T_3627) @[lsu_bus_buffer.scala 468:138] + node _T_3629 = and(_T_3628, any_done_wait_state) @[lsu_bus_buffer.scala 468:187] + node _T_3630 = or(_T_3604, _T_3629) @[lsu_bus_buffer.scala 468:53] + node _T_3631 = mux(_T_3630, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 468:16] + node _T_3632 = mux(_T_3602, UInt<3>("h04"), _T_3631) @[lsu_bus_buffer.scala 467:14] + node _T_3633 = mux(_T_3595, UInt<3>("h00"), _T_3632) @[lsu_bus_buffer.scala 466:31] + buf_nxtstate[0] <= _T_3633 @[lsu_bus_buffer.scala 466:25] + node _T_3634 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 469:73] + node _T_3635 = and(bus_rsp_write, _T_3634) @[lsu_bus_buffer.scala 469:52] + node _T_3636 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 470:46] + node _T_3637 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 471:23] + node _T_3638 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 471:47] + node _T_3639 = and(_T_3637, _T_3638) @[lsu_bus_buffer.scala 471:27] + node _T_3640 = or(_T_3636, _T_3639) @[lsu_bus_buffer.scala 470:77] + node _T_3641 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 472:26] + node _T_3642 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 472:54] + node _T_3643 = not(_T_3642) @[lsu_bus_buffer.scala 472:44] + node _T_3644 = and(_T_3641, _T_3643) @[lsu_bus_buffer.scala 472:42] + node _T_3645 = and(_T_3644, buf_samedw[0]) @[lsu_bus_buffer.scala 472:58] + node _T_3646 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 472:94] + node _T_3647 = and(_T_3645, _T_3646) @[lsu_bus_buffer.scala 472:74] + node _T_3648 = or(_T_3640, _T_3647) @[lsu_bus_buffer.scala 471:71] + node _T_3649 = and(bus_rsp_read, _T_3648) @[lsu_bus_buffer.scala 470:25] + node _T_3650 = or(_T_3635, _T_3649) @[lsu_bus_buffer.scala 469:105] + buf_resp_state_bus_en[0] <= _T_3650 @[lsu_bus_buffer.scala 469:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 473:29] + node _T_3651 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:49] + node _T_3652 = or(_T_3651, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 474:70] + buf_state_en[0] <= _T_3652 @[lsu_bus_buffer.scala 474:25] + node _T_3653 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 475:47] + node _T_3654 = and(_T_3653, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:62] + buf_data_en[0] <= _T_3654 @[lsu_bus_buffer.scala 475:24] + node _T_3655 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:48] + node _T_3656 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 476:111] + node _T_3657 = and(bus_rsp_read_error, _T_3656) @[lsu_bus_buffer.scala 476:91] + node _T_3658 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 477:42] + node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 477:31] + node _T_3660 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 477:66] + node _T_3661 = and(_T_3659, _T_3660) @[lsu_bus_buffer.scala 477:46] + node _T_3662 = or(_T_3657, _T_3661) @[lsu_bus_buffer.scala 476:143] + node _T_3663 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 478:32] + node _T_3664 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 478:74] + node _T_3665 = and(_T_3663, _T_3664) @[lsu_bus_buffer.scala 478:53] + node _T_3666 = or(_T_3662, _T_3665) @[lsu_bus_buffer.scala 477:88] + node _T_3667 = and(_T_3655, _T_3666) @[lsu_bus_buffer.scala 476:68] + buf_error_en[0] <= _T_3667 @[lsu_bus_buffer.scala 476:25] + node _T_3668 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 479:50] + node _T_3669 = and(buf_state_en[0], _T_3668) @[lsu_bus_buffer.scala 479:48] + node _T_3670 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 479:84] + node _T_3671 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 479:102] + node _T_3672 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:125] + node _T_3673 = mux(_T_3670, _T_3671, _T_3672) @[lsu_bus_buffer.scala 479:72] + node _T_3674 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:148] + node _T_3675 = mux(_T_3669, _T_3673, _T_3674) @[lsu_bus_buffer.scala 479:30] + buf_data_in[0] <= _T_3675 @[lsu_bus_buffer.scala 479:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3676 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3676 : @[Conditional.scala 39:67] + node _T_3677 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 482:60] + node _T_3678 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 482:86] + node _T_3679 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 482:101] + node _T_3680 = bits(_T_3679, 0, 0) @[lsu_bus_buffer.scala 482:101] + node _T_3681 = or(_T_3678, _T_3680) @[lsu_bus_buffer.scala 482:90] + node _T_3682 = or(_T_3681, any_done_wait_state) @[lsu_bus_buffer.scala 482:118] + node _T_3683 = mux(_T_3682, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 482:75] + node _T_3684 = mux(_T_3677, UInt<3>("h00"), _T_3683) @[lsu_bus_buffer.scala 482:31] + buf_nxtstate[0] <= _T_3684 @[lsu_bus_buffer.scala 482:25] + node _T_3685 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 483:66] + node _T_3686 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 484:21] + node _T_3687 = bits(_T_3686, 0, 0) @[lsu_bus_buffer.scala 484:21] + node _T_3688 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 484:58] + node _T_3689 = and(_T_3687, _T_3688) @[lsu_bus_buffer.scala 484:38] + node _T_3690 = or(_T_3685, _T_3689) @[lsu_bus_buffer.scala 483:95] + node _T_3691 = and(bus_rsp_read, _T_3690) @[lsu_bus_buffer.scala 483:45] + buf_state_bus_en[0] <= _T_3691 @[lsu_bus_buffer.scala 483:29] + node _T_3692 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 485:49] + node _T_3693 = or(_T_3692, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 485:70] + buf_state_en[0] <= _T_3693 @[lsu_bus_buffer.scala 485:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3694 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3694 : @[Conditional.scala 39:67] + node _T_3695 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_3696 = mux(_T_3695, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[0] <= _T_3696 @[lsu_bus_buffer.scala 488:25] + node _T_3697 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 489:37] + node _T_3698 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 489:98] + node _T_3699 = and(buf_dual[0], _T_3698) @[lsu_bus_buffer.scala 489:80] + node _T_3700 = or(_T_3697, _T_3699) @[lsu_bus_buffer.scala 489:65] + node _T_3701 = or(_T_3700, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 489:112] + buf_state_en[0] <= _T_3701 @[lsu_bus_buffer.scala 489:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3702 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3702 : @[Conditional.scala 39:67] + buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 492:25] + buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:20] + buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 495:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 496:25] + skip @[Conditional.scala 39:67] + node _T_3703 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 499:108] + reg _T_3704 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3703 : @[Reg.scala 28:19] + _T_3704 <= buf_nxtstate[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[0] <= _T_3704 @[lsu_bus_buffer.scala 499:18] + reg _T_3705 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:60] + _T_3705 <= buf_age_in_0 @[lsu_bus_buffer.scala 500:60] + buf_ageQ[0] <= _T_3705 @[lsu_bus_buffer.scala 500:17] + reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 501:63] + _T_3706 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 501:63] + buf_rspageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 501:20] + node _T_3707 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 502:109] + reg _T_3708 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3707 : @[Reg.scala 28:19] + _T_3708 <= buf_dualtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[0] <= _T_3708 @[lsu_bus_buffer.scala 502:20] + node _T_3709 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 503:74] + node _T_3710 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 503:107] + reg _T_3711 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3710 : @[Reg.scala 28:19] + _T_3711 <= _T_3709 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[0] <= _T_3711 @[lsu_bus_buffer.scala 503:17] + node _T_3712 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 504:78] + node _T_3713 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 504:111] + reg _T_3714 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3713 : @[Reg.scala 28:19] + _T_3714 <= _T_3712 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[0] <= _T_3714 @[lsu_bus_buffer.scala 504:19] + node _T_3715 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 505:80] + node _T_3716 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 505:113] + reg _T_3717 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3716 : @[Reg.scala 28:19] + _T_3717 <= _T_3715 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[0] <= _T_3717 @[lsu_bus_buffer.scala 505:20] + node _T_3718 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 506:78] + node _T_3719 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 506:111] + reg _T_3720 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3719 : @[Reg.scala 28:19] + _T_3720 <= _T_3718 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[0] <= _T_3720 @[lsu_bus_buffer.scala 506:19] + node _T_3721 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3721 : @[Conditional.scala 40:58] + node _T_3722 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 443:56] + node _T_3723 = mux(_T_3722, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 443:31] + buf_nxtstate[1] <= _T_3723 @[lsu_bus_buffer.scala 443:25] + node _T_3724 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 444:45] + node _T_3725 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:77] + node _T_3726 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 444:97] + node _T_3727 = and(_T_3725, _T_3726) @[lsu_bus_buffer.scala 444:95] + node _T_3728 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 444:117] + node _T_3729 = and(_T_3727, _T_3728) @[lsu_bus_buffer.scala 444:112] + node _T_3730 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:144] + node _T_3731 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 444:166] + node _T_3732 = and(_T_3730, _T_3731) @[lsu_bus_buffer.scala 444:161] + node _T_3733 = or(_T_3729, _T_3732) @[lsu_bus_buffer.scala 444:132] + node _T_3734 = and(_T_3724, _T_3733) @[lsu_bus_buffer.scala 444:63] + node _T_3735 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 444:206] + node _T_3736 = and(ibuf_drain_vld, _T_3735) @[lsu_bus_buffer.scala 444:201] + node _T_3737 = or(_T_3734, _T_3736) @[lsu_bus_buffer.scala 444:183] + buf_state_en[1] <= _T_3737 @[lsu_bus_buffer.scala 444:25] + buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 445:22] + buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 446:24] + node _T_3738 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 447:52] + node _T_3739 = and(ibuf_drain_vld, _T_3738) @[lsu_bus_buffer.scala 447:47] + node _T_3740 = bits(_T_3739, 0, 0) @[lsu_bus_buffer.scala 447:73] + node _T_3741 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 447:90] + node _T_3742 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 447:114] + node _T_3743 = mux(_T_3740, _T_3741, _T_3742) @[lsu_bus_buffer.scala 447:30] + buf_data_in[1] <= _T_3743 @[lsu_bus_buffer.scala 447:24] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3744 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3744 : @[Conditional.scala 39:67] + node _T_3745 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] + node _T_3746 = mux(_T_3745, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] + buf_nxtstate[1] <= _T_3746 @[lsu_bus_buffer.scala 450:25] + node _T_3747 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] + buf_state_en[1] <= _T_3747 @[lsu_bus_buffer.scala 451:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3748 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3748 : @[Conditional.scala 39:67] + node _T_3749 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 454:60] + node _T_3750 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 454:89] + node _T_3751 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 454:124] + node _T_3752 = and(_T_3750, _T_3751) @[lsu_bus_buffer.scala 454:104] + node _T_3753 = mux(_T_3752, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 454:75] + node _T_3754 = mux(_T_3749, UInt<3>("h00"), _T_3753) @[lsu_bus_buffer.scala 454:31] + buf_nxtstate[1] <= _T_3754 @[lsu_bus_buffer.scala 454:25] + node _T_3755 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 455:48] + node _T_3756 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 455:104] + node _T_3757 = and(obuf_merge, _T_3756) @[lsu_bus_buffer.scala 455:91] + node _T_3758 = or(_T_3755, _T_3757) @[lsu_bus_buffer.scala 455:77] + node _T_3759 = and(_T_3758, obuf_valid) @[lsu_bus_buffer.scala 455:135] + node _T_3760 = and(_T_3759, obuf_wr_enQ) @[lsu_bus_buffer.scala 455:148] + buf_cmd_state_bus_en[1] <= _T_3760 @[lsu_bus_buffer.scala 455:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 456:29] + node _T_3761 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 457:49] + node _T_3762 = or(_T_3761, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:70] + buf_state_en[1] <= _T_3762 @[lsu_bus_buffer.scala 457:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 458:25] + node _T_3763 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 459:56] + node _T_3764 = eq(_T_3763, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:46] + node _T_3765 = and(buf_state_en[1], _T_3764) @[lsu_bus_buffer.scala 459:44] + node _T_3766 = and(_T_3765, obuf_nosend) @[lsu_bus_buffer.scala 459:60] + node _T_3767 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:76] + node _T_3768 = and(_T_3766, _T_3767) @[lsu_bus_buffer.scala 459:74] + buf_ldfwd_en[1] <= _T_3768 @[lsu_bus_buffer.scala 459:25] + node _T_3769 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 460:46] + buf_ldfwdtag_in[1] <= _T_3769 @[lsu_bus_buffer.scala 460:28] + node _T_3770 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:47] + node _T_3771 = and(_T_3770, obuf_nosend) @[lsu_bus_buffer.scala 461:67] + node _T_3772 = and(_T_3771, bus_rsp_read) @[lsu_bus_buffer.scala 461:81] + buf_data_en[1] <= _T_3772 @[lsu_bus_buffer.scala 461:24] + node _T_3773 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:48] + node _T_3774 = and(_T_3773, obuf_nosend) @[lsu_bus_buffer.scala 462:68] + node _T_3775 = and(_T_3774, bus_rsp_read_error) @[lsu_bus_buffer.scala 462:82] + buf_error_en[1] <= _T_3775 @[lsu_bus_buffer.scala 462:25] + node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:61] + node _T_3777 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 463:85] + node _T_3778 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 463:103] + node _T_3779 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:126] + node _T_3780 = mux(_T_3777, _T_3778, _T_3779) @[lsu_bus_buffer.scala 463:73] + node _T_3781 = mux(buf_error_en[1], _T_3776, _T_3780) @[lsu_bus_buffer.scala 463:30] + buf_data_in[1] <= _T_3781 @[lsu_bus_buffer.scala 463:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3782 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3782 : @[Conditional.scala 39:67] + node _T_3783 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 466:67] + node _T_3784 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 466:94] + node _T_3785 = eq(_T_3784, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:73] + node _T_3786 = and(_T_3783, _T_3785) @[lsu_bus_buffer.scala 466:71] + node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 466:55] + node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 466:125] + node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:30] + node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 467:28] + node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 467:57] + node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:47] + node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 467:45] + node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:90] + node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 467:61] + node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 468:27] + node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 468:31] + node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:70] + node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 468:68] + node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 468:97] + node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:87] + node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 468:85] + node _T_3803 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_3804 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_3805 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_3806 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_3807 = eq(buf_dualtag[1], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_3808 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_3809 = eq(buf_dualtag[1], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_3810 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_3811 = mux(_T_3803, _T_3804, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3812 = mux(_T_3805, _T_3806, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3813 = mux(_T_3807, _T_3808, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3814 = mux(_T_3809, _T_3810, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3815 = or(_T_3811, _T_3812) @[Mux.scala 27:72] + node _T_3816 = or(_T_3815, _T_3813) @[Mux.scala 27:72] + node _T_3817 = or(_T_3816, _T_3814) @[Mux.scala 27:72] + wire _T_3818 : UInt<1> @[Mux.scala 27:72] + _T_3818 <= _T_3817 @[Mux.scala 27:72] + node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 468:101] + node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:167] + node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 468:138] + node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 468:187] + node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 468:53] + node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 468:16] + node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 467:14] + node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 466:31] + buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 466:25] + node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 469:73] + node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 469:52] + node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 470:46] + node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 471:23] + node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 471:47] + node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 471:27] + node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 470:77] + node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 472:26] + node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 472:54] + node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 472:44] + node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 472:42] + node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 472:58] + node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 472:94] + node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 472:74] + node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 471:71] + node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 470:25] + node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 469:105] + buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 469:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 473:29] + node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:49] + node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 474:70] + buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 474:25] + node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 475:47] + node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:62] + buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 475:24] + node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:48] + node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 476:111] + node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 476:91] + node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 477:42] + node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 477:31] + node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 477:66] + node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 477:46] + node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 476:143] + node _T_3856 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 478:32] + node _T_3857 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 478:74] + node _T_3858 = and(_T_3856, _T_3857) @[lsu_bus_buffer.scala 478:53] + node _T_3859 = or(_T_3855, _T_3858) @[lsu_bus_buffer.scala 477:88] + node _T_3860 = and(_T_3848, _T_3859) @[lsu_bus_buffer.scala 476:68] + buf_error_en[1] <= _T_3860 @[lsu_bus_buffer.scala 476:25] + node _T_3861 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 479:50] + node _T_3862 = and(buf_state_en[1], _T_3861) @[lsu_bus_buffer.scala 479:48] + node _T_3863 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 479:84] + node _T_3864 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 479:102] + node _T_3865 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:125] + node _T_3866 = mux(_T_3863, _T_3864, _T_3865) @[lsu_bus_buffer.scala 479:72] + node _T_3867 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:148] + node _T_3868 = mux(_T_3862, _T_3866, _T_3867) @[lsu_bus_buffer.scala 479:30] + buf_data_in[1] <= _T_3868 @[lsu_bus_buffer.scala 479:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3869 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3869 : @[Conditional.scala 39:67] + node _T_3870 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 482:60] + node _T_3871 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 482:86] + node _T_3872 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 482:101] + node _T_3873 = bits(_T_3872, 0, 0) @[lsu_bus_buffer.scala 482:101] + node _T_3874 = or(_T_3871, _T_3873) @[lsu_bus_buffer.scala 482:90] + node _T_3875 = or(_T_3874, any_done_wait_state) @[lsu_bus_buffer.scala 482:118] + node _T_3876 = mux(_T_3875, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 482:75] + node _T_3877 = mux(_T_3870, UInt<3>("h00"), _T_3876) @[lsu_bus_buffer.scala 482:31] + buf_nxtstate[1] <= _T_3877 @[lsu_bus_buffer.scala 482:25] + node _T_3878 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 483:66] + node _T_3879 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 484:21] + node _T_3880 = bits(_T_3879, 0, 0) @[lsu_bus_buffer.scala 484:21] + node _T_3881 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 484:58] + node _T_3882 = and(_T_3880, _T_3881) @[lsu_bus_buffer.scala 484:38] + node _T_3883 = or(_T_3878, _T_3882) @[lsu_bus_buffer.scala 483:95] + node _T_3884 = and(bus_rsp_read, _T_3883) @[lsu_bus_buffer.scala 483:45] + buf_state_bus_en[1] <= _T_3884 @[lsu_bus_buffer.scala 483:29] + node _T_3885 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 485:49] + node _T_3886 = or(_T_3885, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 485:70] + buf_state_en[1] <= _T_3886 @[lsu_bus_buffer.scala 485:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3887 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3887 : @[Conditional.scala 39:67] + node _T_3888 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_3889 = mux(_T_3888, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[1] <= _T_3889 @[lsu_bus_buffer.scala 488:25] + node _T_3890 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 489:37] + node _T_3891 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 489:98] + node _T_3892 = and(buf_dual[1], _T_3891) @[lsu_bus_buffer.scala 489:80] + node _T_3893 = or(_T_3890, _T_3892) @[lsu_bus_buffer.scala 489:65] + node _T_3894 = or(_T_3893, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 489:112] + buf_state_en[1] <= _T_3894 @[lsu_bus_buffer.scala 489:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3895 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3895 : @[Conditional.scala 39:67] + buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 492:25] + buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:20] + buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 495:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 496:25] + skip @[Conditional.scala 39:67] + node _T_3896 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 499:108] + reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3896 : @[Reg.scala 28:19] + _T_3897 <= buf_nxtstate[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[1] <= _T_3897 @[lsu_bus_buffer.scala 499:18] + reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:60] + _T_3898 <= buf_age_in_1 @[lsu_bus_buffer.scala 500:60] + buf_ageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 500:17] + reg _T_3899 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 501:63] + _T_3899 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 501:63] + buf_rspageQ[1] <= _T_3899 @[lsu_bus_buffer.scala 501:20] + node _T_3900 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 502:109] + reg _T_3901 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3900 : @[Reg.scala 28:19] + _T_3901 <= buf_dualtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[1] <= _T_3901 @[lsu_bus_buffer.scala 502:20] + node _T_3902 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 503:74] + node _T_3903 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 503:107] + reg _T_3904 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3903 : @[Reg.scala 28:19] + _T_3904 <= _T_3902 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[1] <= _T_3904 @[lsu_bus_buffer.scala 503:17] + node _T_3905 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 504:78] + node _T_3906 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 504:111] + reg _T_3907 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3906 : @[Reg.scala 28:19] + _T_3907 <= _T_3905 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[1] <= _T_3907 @[lsu_bus_buffer.scala 504:19] + node _T_3908 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 505:80] + node _T_3909 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 505:113] + reg _T_3910 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3909 : @[Reg.scala 28:19] + _T_3910 <= _T_3908 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[1] <= _T_3910 @[lsu_bus_buffer.scala 505:20] + node _T_3911 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 506:78] + node _T_3912 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 506:111] + reg _T_3913 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3912 : @[Reg.scala 28:19] + _T_3913 <= _T_3911 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[1] <= _T_3913 @[lsu_bus_buffer.scala 506:19] + node _T_3914 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3914 : @[Conditional.scala 40:58] + node _T_3915 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 443:56] + node _T_3916 = mux(_T_3915, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 443:31] + buf_nxtstate[2] <= _T_3916 @[lsu_bus_buffer.scala 443:25] + node _T_3917 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 444:45] + node _T_3918 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:77] + node _T_3919 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 444:97] + node _T_3920 = and(_T_3918, _T_3919) @[lsu_bus_buffer.scala 444:95] + node _T_3921 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 444:117] + node _T_3922 = and(_T_3920, _T_3921) @[lsu_bus_buffer.scala 444:112] + node _T_3923 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:144] + node _T_3924 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 444:166] + node _T_3925 = and(_T_3923, _T_3924) @[lsu_bus_buffer.scala 444:161] + node _T_3926 = or(_T_3922, _T_3925) @[lsu_bus_buffer.scala 444:132] + node _T_3927 = and(_T_3917, _T_3926) @[lsu_bus_buffer.scala 444:63] + node _T_3928 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 444:206] + node _T_3929 = and(ibuf_drain_vld, _T_3928) @[lsu_bus_buffer.scala 444:201] + node _T_3930 = or(_T_3927, _T_3929) @[lsu_bus_buffer.scala 444:183] + buf_state_en[2] <= _T_3930 @[lsu_bus_buffer.scala 444:25] + buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 445:22] + buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 446:24] + node _T_3931 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 447:52] + node _T_3932 = and(ibuf_drain_vld, _T_3931) @[lsu_bus_buffer.scala 447:47] + node _T_3933 = bits(_T_3932, 0, 0) @[lsu_bus_buffer.scala 447:73] + node _T_3934 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 447:90] + node _T_3935 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 447:114] + node _T_3936 = mux(_T_3933, _T_3934, _T_3935) @[lsu_bus_buffer.scala 447:30] + buf_data_in[2] <= _T_3936 @[lsu_bus_buffer.scala 447:24] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3937 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3937 : @[Conditional.scala 39:67] + node _T_3938 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] + node _T_3939 = mux(_T_3938, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] + buf_nxtstate[2] <= _T_3939 @[lsu_bus_buffer.scala 450:25] + node _T_3940 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] + buf_state_en[2] <= _T_3940 @[lsu_bus_buffer.scala 451:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3941 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3941 : @[Conditional.scala 39:67] + node _T_3942 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 454:60] + node _T_3943 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 454:89] + node _T_3944 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 454:124] + node _T_3945 = and(_T_3943, _T_3944) @[lsu_bus_buffer.scala 454:104] + node _T_3946 = mux(_T_3945, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 454:75] + node _T_3947 = mux(_T_3942, UInt<3>("h00"), _T_3946) @[lsu_bus_buffer.scala 454:31] + buf_nxtstate[2] <= _T_3947 @[lsu_bus_buffer.scala 454:25] + node _T_3948 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 455:48] + node _T_3949 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 455:104] + node _T_3950 = and(obuf_merge, _T_3949) @[lsu_bus_buffer.scala 455:91] + node _T_3951 = or(_T_3948, _T_3950) @[lsu_bus_buffer.scala 455:77] + node _T_3952 = and(_T_3951, obuf_valid) @[lsu_bus_buffer.scala 455:135] + node _T_3953 = and(_T_3952, obuf_wr_enQ) @[lsu_bus_buffer.scala 455:148] + buf_cmd_state_bus_en[2] <= _T_3953 @[lsu_bus_buffer.scala 455:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 456:29] + node _T_3954 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 457:49] + node _T_3955 = or(_T_3954, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:70] + buf_state_en[2] <= _T_3955 @[lsu_bus_buffer.scala 457:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 458:25] + node _T_3956 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 459:56] + node _T_3957 = eq(_T_3956, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:46] + node _T_3958 = and(buf_state_en[2], _T_3957) @[lsu_bus_buffer.scala 459:44] + node _T_3959 = and(_T_3958, obuf_nosend) @[lsu_bus_buffer.scala 459:60] + node _T_3960 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:76] + node _T_3961 = and(_T_3959, _T_3960) @[lsu_bus_buffer.scala 459:74] + buf_ldfwd_en[2] <= _T_3961 @[lsu_bus_buffer.scala 459:25] + node _T_3962 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 460:46] + buf_ldfwdtag_in[2] <= _T_3962 @[lsu_bus_buffer.scala 460:28] + node _T_3963 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:47] + node _T_3964 = and(_T_3963, obuf_nosend) @[lsu_bus_buffer.scala 461:67] + node _T_3965 = and(_T_3964, bus_rsp_read) @[lsu_bus_buffer.scala 461:81] + buf_data_en[2] <= _T_3965 @[lsu_bus_buffer.scala 461:24] + node _T_3966 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:48] + node _T_3967 = and(_T_3966, obuf_nosend) @[lsu_bus_buffer.scala 462:68] + node _T_3968 = and(_T_3967, bus_rsp_read_error) @[lsu_bus_buffer.scala 462:82] + buf_error_en[2] <= _T_3968 @[lsu_bus_buffer.scala 462:25] + node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:61] + node _T_3970 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 463:85] + node _T_3971 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 463:103] + node _T_3972 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:126] + node _T_3973 = mux(_T_3970, _T_3971, _T_3972) @[lsu_bus_buffer.scala 463:73] + node _T_3974 = mux(buf_error_en[2], _T_3969, _T_3973) @[lsu_bus_buffer.scala 463:30] + buf_data_in[2] <= _T_3974 @[lsu_bus_buffer.scala 463:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3975 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3975 : @[Conditional.scala 39:67] + node _T_3976 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 466:67] + node _T_3977 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 466:94] + node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:73] + node _T_3979 = and(_T_3976, _T_3978) @[lsu_bus_buffer.scala 466:71] + node _T_3980 = or(io.dec_tlu_force_halt, _T_3979) @[lsu_bus_buffer.scala 466:55] + node _T_3981 = bits(_T_3980, 0, 0) @[lsu_bus_buffer.scala 466:125] + node _T_3982 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:30] + node _T_3983 = and(buf_dual[2], _T_3982) @[lsu_bus_buffer.scala 467:28] + node _T_3984 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 467:57] + node _T_3985 = eq(_T_3984, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:47] + node _T_3986 = and(_T_3983, _T_3985) @[lsu_bus_buffer.scala 467:45] + node _T_3987 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:90] + node _T_3988 = and(_T_3986, _T_3987) @[lsu_bus_buffer.scala 467:61] + node _T_3989 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 468:27] + node _T_3990 = or(_T_3989, any_done_wait_state) @[lsu_bus_buffer.scala 468:31] + node _T_3991 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:70] + node _T_3992 = and(buf_dual[2], _T_3991) @[lsu_bus_buffer.scala 468:68] + node _T_3993 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 468:97] + node _T_3994 = eq(_T_3993, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:87] + node _T_3995 = and(_T_3992, _T_3994) @[lsu_bus_buffer.scala 468:85] + node _T_3996 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_3997 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_3998 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_3999 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4000 = eq(buf_dualtag[2], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4001 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4002 = eq(buf_dualtag[2], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4003 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4004 = mux(_T_3996, _T_3997, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4005 = mux(_T_3998, _T_3999, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4006 = mux(_T_4000, _T_4001, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4007 = mux(_T_4002, _T_4003, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4008 = or(_T_4004, _T_4005) @[Mux.scala 27:72] + node _T_4009 = or(_T_4008, _T_4006) @[Mux.scala 27:72] + node _T_4010 = or(_T_4009, _T_4007) @[Mux.scala 27:72] + wire _T_4011 : UInt<1> @[Mux.scala 27:72] + _T_4011 <= _T_4010 @[Mux.scala 27:72] + node _T_4012 = and(_T_3995, _T_4011) @[lsu_bus_buffer.scala 468:101] + node _T_4013 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:167] + node _T_4014 = and(_T_4012, _T_4013) @[lsu_bus_buffer.scala 468:138] + node _T_4015 = and(_T_4014, any_done_wait_state) @[lsu_bus_buffer.scala 468:187] + node _T_4016 = or(_T_3990, _T_4015) @[lsu_bus_buffer.scala 468:53] + node _T_4017 = mux(_T_4016, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 468:16] + node _T_4018 = mux(_T_3988, UInt<3>("h04"), _T_4017) @[lsu_bus_buffer.scala 467:14] + node _T_4019 = mux(_T_3981, UInt<3>("h00"), _T_4018) @[lsu_bus_buffer.scala 466:31] + buf_nxtstate[2] <= _T_4019 @[lsu_bus_buffer.scala 466:25] + node _T_4020 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 469:73] + node _T_4021 = and(bus_rsp_write, _T_4020) @[lsu_bus_buffer.scala 469:52] + node _T_4022 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 470:46] + node _T_4023 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 471:23] + node _T_4024 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 471:47] + node _T_4025 = and(_T_4023, _T_4024) @[lsu_bus_buffer.scala 471:27] + node _T_4026 = or(_T_4022, _T_4025) @[lsu_bus_buffer.scala 470:77] + node _T_4027 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 472:26] + node _T_4028 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 472:54] + node _T_4029 = not(_T_4028) @[lsu_bus_buffer.scala 472:44] + node _T_4030 = and(_T_4027, _T_4029) @[lsu_bus_buffer.scala 472:42] + node _T_4031 = and(_T_4030, buf_samedw[2]) @[lsu_bus_buffer.scala 472:58] + node _T_4032 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 472:94] + node _T_4033 = and(_T_4031, _T_4032) @[lsu_bus_buffer.scala 472:74] + node _T_4034 = or(_T_4026, _T_4033) @[lsu_bus_buffer.scala 471:71] + node _T_4035 = and(bus_rsp_read, _T_4034) @[lsu_bus_buffer.scala 470:25] + node _T_4036 = or(_T_4021, _T_4035) @[lsu_bus_buffer.scala 469:105] + buf_resp_state_bus_en[2] <= _T_4036 @[lsu_bus_buffer.scala 469:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 473:29] + node _T_4037 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:49] + node _T_4038 = or(_T_4037, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 474:70] + buf_state_en[2] <= _T_4038 @[lsu_bus_buffer.scala 474:25] + node _T_4039 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 475:47] + node _T_4040 = and(_T_4039, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:62] + buf_data_en[2] <= _T_4040 @[lsu_bus_buffer.scala 475:24] + node _T_4041 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:48] + node _T_4042 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 476:111] + node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 476:91] + node _T_4044 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 477:42] + node _T_4045 = and(bus_rsp_read_error, _T_4044) @[lsu_bus_buffer.scala 477:31] + node _T_4046 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 477:66] + node _T_4047 = and(_T_4045, _T_4046) @[lsu_bus_buffer.scala 477:46] + node _T_4048 = or(_T_4043, _T_4047) @[lsu_bus_buffer.scala 476:143] + node _T_4049 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 478:32] + node _T_4050 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 478:74] + node _T_4051 = and(_T_4049, _T_4050) @[lsu_bus_buffer.scala 478:53] + node _T_4052 = or(_T_4048, _T_4051) @[lsu_bus_buffer.scala 477:88] + node _T_4053 = and(_T_4041, _T_4052) @[lsu_bus_buffer.scala 476:68] + buf_error_en[2] <= _T_4053 @[lsu_bus_buffer.scala 476:25] + node _T_4054 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 479:50] + node _T_4055 = and(buf_state_en[2], _T_4054) @[lsu_bus_buffer.scala 479:48] + node _T_4056 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 479:84] + node _T_4057 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 479:102] + node _T_4058 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:125] + node _T_4059 = mux(_T_4056, _T_4057, _T_4058) @[lsu_bus_buffer.scala 479:72] + node _T_4060 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:148] + node _T_4061 = mux(_T_4055, _T_4059, _T_4060) @[lsu_bus_buffer.scala 479:30] + buf_data_in[2] <= _T_4061 @[lsu_bus_buffer.scala 479:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4062 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4062 : @[Conditional.scala 39:67] + node _T_4063 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 482:60] + node _T_4064 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 482:86] + node _T_4065 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 482:101] + node _T_4066 = bits(_T_4065, 0, 0) @[lsu_bus_buffer.scala 482:101] + node _T_4067 = or(_T_4064, _T_4066) @[lsu_bus_buffer.scala 482:90] + node _T_4068 = or(_T_4067, any_done_wait_state) @[lsu_bus_buffer.scala 482:118] + node _T_4069 = mux(_T_4068, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 482:75] + node _T_4070 = mux(_T_4063, UInt<3>("h00"), _T_4069) @[lsu_bus_buffer.scala 482:31] + buf_nxtstate[2] <= _T_4070 @[lsu_bus_buffer.scala 482:25] + node _T_4071 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 483:66] + node _T_4072 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 484:21] + node _T_4073 = bits(_T_4072, 0, 0) @[lsu_bus_buffer.scala 484:21] + node _T_4074 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 484:58] + node _T_4075 = and(_T_4073, _T_4074) @[lsu_bus_buffer.scala 484:38] + node _T_4076 = or(_T_4071, _T_4075) @[lsu_bus_buffer.scala 483:95] + node _T_4077 = and(bus_rsp_read, _T_4076) @[lsu_bus_buffer.scala 483:45] + buf_state_bus_en[2] <= _T_4077 @[lsu_bus_buffer.scala 483:29] + node _T_4078 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 485:49] + node _T_4079 = or(_T_4078, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 485:70] + buf_state_en[2] <= _T_4079 @[lsu_bus_buffer.scala 485:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4080 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4080 : @[Conditional.scala 39:67] + node _T_4081 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_4082 = mux(_T_4081, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[2] <= _T_4082 @[lsu_bus_buffer.scala 488:25] + node _T_4083 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 489:37] + node _T_4084 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 489:98] + node _T_4085 = and(buf_dual[2], _T_4084) @[lsu_bus_buffer.scala 489:80] + node _T_4086 = or(_T_4083, _T_4085) @[lsu_bus_buffer.scala 489:65] + node _T_4087 = or(_T_4086, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 489:112] + buf_state_en[2] <= _T_4087 @[lsu_bus_buffer.scala 489:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4088 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4088 : @[Conditional.scala 39:67] + buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 492:25] + buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:20] + buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 495:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 496:25] + skip @[Conditional.scala 39:67] + node _T_4089 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 499:108] + reg _T_4090 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4089 : @[Reg.scala 28:19] + _T_4090 <= buf_nxtstate[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[2] <= _T_4090 @[lsu_bus_buffer.scala 499:18] + reg _T_4091 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:60] + _T_4091 <= buf_age_in_2 @[lsu_bus_buffer.scala 500:60] + buf_ageQ[2] <= _T_4091 @[lsu_bus_buffer.scala 500:17] + reg _T_4092 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 501:63] + _T_4092 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 501:63] + buf_rspageQ[2] <= _T_4092 @[lsu_bus_buffer.scala 501:20] + node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 502:109] + reg _T_4094 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4093 : @[Reg.scala 28:19] + _T_4094 <= buf_dualtag_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[2] <= _T_4094 @[lsu_bus_buffer.scala 502:20] + node _T_4095 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 503:74] + node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 503:107] + reg _T_4097 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4096 : @[Reg.scala 28:19] + _T_4097 <= _T_4095 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[2] <= _T_4097 @[lsu_bus_buffer.scala 503:17] + node _T_4098 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 504:78] + node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 504:111] + reg _T_4100 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4099 : @[Reg.scala 28:19] + _T_4100 <= _T_4098 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[2] <= _T_4100 @[lsu_bus_buffer.scala 504:19] + node _T_4101 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 505:80] + node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 505:113] + reg _T_4103 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4102 : @[Reg.scala 28:19] + _T_4103 <= _T_4101 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[2] <= _T_4103 @[lsu_bus_buffer.scala 505:20] + node _T_4104 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 506:78] + node _T_4105 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 506:111] + reg _T_4106 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4105 : @[Reg.scala 28:19] + _T_4106 <= _T_4104 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[2] <= _T_4106 @[lsu_bus_buffer.scala 506:19] + node _T_4107 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4107 : @[Conditional.scala 40:58] + node _T_4108 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 443:56] + node _T_4109 = mux(_T_4108, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 443:31] + buf_nxtstate[3] <= _T_4109 @[lsu_bus_buffer.scala 443:25] + node _T_4110 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 444:45] + node _T_4111 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:77] + node _T_4112 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 444:97] + node _T_4113 = and(_T_4111, _T_4112) @[lsu_bus_buffer.scala 444:95] + node _T_4114 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 444:117] + node _T_4115 = and(_T_4113, _T_4114) @[lsu_bus_buffer.scala 444:112] + node _T_4116 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 444:144] + node _T_4117 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 444:166] + node _T_4118 = and(_T_4116, _T_4117) @[lsu_bus_buffer.scala 444:161] + node _T_4119 = or(_T_4115, _T_4118) @[lsu_bus_buffer.scala 444:132] + node _T_4120 = and(_T_4110, _T_4119) @[lsu_bus_buffer.scala 444:63] + node _T_4121 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 444:206] + node _T_4122 = and(ibuf_drain_vld, _T_4121) @[lsu_bus_buffer.scala 444:201] + node _T_4123 = or(_T_4120, _T_4122) @[lsu_bus_buffer.scala 444:183] + buf_state_en[3] <= _T_4123 @[lsu_bus_buffer.scala 444:25] + buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 445:22] + buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 446:24] + node _T_4124 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 447:52] + node _T_4125 = and(ibuf_drain_vld, _T_4124) @[lsu_bus_buffer.scala 447:47] + node _T_4126 = bits(_T_4125, 0, 0) @[lsu_bus_buffer.scala 447:73] + node _T_4127 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 447:90] + node _T_4128 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 447:114] + node _T_4129 = mux(_T_4126, _T_4127, _T_4128) @[lsu_bus_buffer.scala 447:30] + buf_data_in[3] <= _T_4129 @[lsu_bus_buffer.scala 447:24] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_4130 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4130 : @[Conditional.scala 39:67] + node _T_4131 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 450:60] + node _T_4132 = mux(_T_4131, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 450:31] + buf_nxtstate[3] <= _T_4132 @[lsu_bus_buffer.scala 450:25] + node _T_4133 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 451:46] + buf_state_en[3] <= _T_4133 @[lsu_bus_buffer.scala 451:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4134 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4134 : @[Conditional.scala 39:67] + node _T_4135 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 454:60] + node _T_4136 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 454:89] + node _T_4137 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 454:124] + node _T_4138 = and(_T_4136, _T_4137) @[lsu_bus_buffer.scala 454:104] + node _T_4139 = mux(_T_4138, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 454:75] + node _T_4140 = mux(_T_4135, UInt<3>("h00"), _T_4139) @[lsu_bus_buffer.scala 454:31] + buf_nxtstate[3] <= _T_4140 @[lsu_bus_buffer.scala 454:25] + node _T_4141 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 455:48] + node _T_4142 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 455:104] + node _T_4143 = and(obuf_merge, _T_4142) @[lsu_bus_buffer.scala 455:91] + node _T_4144 = or(_T_4141, _T_4143) @[lsu_bus_buffer.scala 455:77] + node _T_4145 = and(_T_4144, obuf_valid) @[lsu_bus_buffer.scala 455:135] + node _T_4146 = and(_T_4145, obuf_wr_enQ) @[lsu_bus_buffer.scala 455:148] + buf_cmd_state_bus_en[3] <= _T_4146 @[lsu_bus_buffer.scala 455:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 456:29] + node _T_4147 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 457:49] + node _T_4148 = or(_T_4147, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 457:70] + buf_state_en[3] <= _T_4148 @[lsu_bus_buffer.scala 457:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 458:25] + node _T_4149 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 459:56] + node _T_4150 = eq(_T_4149, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:46] + node _T_4151 = and(buf_state_en[3], _T_4150) @[lsu_bus_buffer.scala 459:44] + node _T_4152 = and(_T_4151, obuf_nosend) @[lsu_bus_buffer.scala 459:60] + node _T_4153 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 459:76] + node _T_4154 = and(_T_4152, _T_4153) @[lsu_bus_buffer.scala 459:74] + buf_ldfwd_en[3] <= _T_4154 @[lsu_bus_buffer.scala 459:25] + node _T_4155 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 460:46] + buf_ldfwdtag_in[3] <= _T_4155 @[lsu_bus_buffer.scala 460:28] + node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:47] + node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 461:67] + node _T_4158 = and(_T_4157, bus_rsp_read) @[lsu_bus_buffer.scala 461:81] + buf_data_en[3] <= _T_4158 @[lsu_bus_buffer.scala 461:24] + node _T_4159 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 462:48] + node _T_4160 = and(_T_4159, obuf_nosend) @[lsu_bus_buffer.scala 462:68] + node _T_4161 = and(_T_4160, bus_rsp_read_error) @[lsu_bus_buffer.scala 462:82] + buf_error_en[3] <= _T_4161 @[lsu_bus_buffer.scala 462:25] + node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:61] + node _T_4163 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 463:85] + node _T_4164 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 463:103] + node _T_4165 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 463:126] + node _T_4166 = mux(_T_4163, _T_4164, _T_4165) @[lsu_bus_buffer.scala 463:73] + node _T_4167 = mux(buf_error_en[3], _T_4162, _T_4166) @[lsu_bus_buffer.scala 463:30] + buf_data_in[3] <= _T_4167 @[lsu_bus_buffer.scala 463:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4168 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4168 : @[Conditional.scala 39:67] + node _T_4169 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 466:67] + node _T_4170 = and(UInt<1>("h01"), bus_rsp_write_error) @[lsu_bus_buffer.scala 466:94] + node _T_4171 = eq(_T_4170, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:73] + node _T_4172 = and(_T_4169, _T_4171) @[lsu_bus_buffer.scala 466:71] + node _T_4173 = or(io.dec_tlu_force_halt, _T_4172) @[lsu_bus_buffer.scala 466:55] + node _T_4174 = bits(_T_4173, 0, 0) @[lsu_bus_buffer.scala 466:125] + node _T_4175 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:30] + node _T_4176 = and(buf_dual[3], _T_4175) @[lsu_bus_buffer.scala 467:28] + node _T_4177 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 467:57] + node _T_4178 = eq(_T_4177, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:47] + node _T_4179 = and(_T_4176, _T_4178) @[lsu_bus_buffer.scala 467:45] + node _T_4180 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:90] + node _T_4181 = and(_T_4179, _T_4180) @[lsu_bus_buffer.scala 467:61] + node _T_4182 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 468:27] + node _T_4183 = or(_T_4182, any_done_wait_state) @[lsu_bus_buffer.scala 468:31] + node _T_4184 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 468:70] + node _T_4185 = and(buf_dual[3], _T_4184) @[lsu_bus_buffer.scala 468:68] + node _T_4186 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 468:97] + node _T_4187 = eq(_T_4186, UInt<1>("h00")) @[lsu_bus_buffer.scala 468:87] + node _T_4188 = and(_T_4185, _T_4187) @[lsu_bus_buffer.scala 468:85] + node _T_4189 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_4190 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_4191 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_4192 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4193 = eq(buf_dualtag[3], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4194 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4195 = eq(buf_dualtag[3], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4196 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4197 = mux(_T_4189, _T_4190, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4198 = mux(_T_4191, _T_4192, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4199 = mux(_T_4193, _T_4194, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4200 = mux(_T_4195, _T_4196, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4201 = or(_T_4197, _T_4198) @[Mux.scala 27:72] + node _T_4202 = or(_T_4201, _T_4199) @[Mux.scala 27:72] + node _T_4203 = or(_T_4202, _T_4200) @[Mux.scala 27:72] + wire _T_4204 : UInt<1> @[Mux.scala 27:72] + _T_4204 <= _T_4203 @[Mux.scala 27:72] + node _T_4205 = and(_T_4188, _T_4204) @[lsu_bus_buffer.scala 468:101] + node _T_4206 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 468:167] + node _T_4207 = and(_T_4205, _T_4206) @[lsu_bus_buffer.scala 468:138] + node _T_4208 = and(_T_4207, any_done_wait_state) @[lsu_bus_buffer.scala 468:187] + node _T_4209 = or(_T_4183, _T_4208) @[lsu_bus_buffer.scala 468:53] + node _T_4210 = mux(_T_4209, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 468:16] + node _T_4211 = mux(_T_4181, UInt<3>("h04"), _T_4210) @[lsu_bus_buffer.scala 467:14] + node _T_4212 = mux(_T_4174, UInt<3>("h00"), _T_4211) @[lsu_bus_buffer.scala 466:31] + buf_nxtstate[3] <= _T_4212 @[lsu_bus_buffer.scala 466:25] + node _T_4213 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 469:73] + node _T_4214 = and(bus_rsp_write, _T_4213) @[lsu_bus_buffer.scala 469:52] + node _T_4215 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 470:46] + node _T_4216 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 471:23] + node _T_4217 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 471:47] + node _T_4218 = and(_T_4216, _T_4217) @[lsu_bus_buffer.scala 471:27] + node _T_4219 = or(_T_4215, _T_4218) @[lsu_bus_buffer.scala 470:77] + node _T_4220 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 472:26] + node _T_4221 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 472:54] + node _T_4222 = not(_T_4221) @[lsu_bus_buffer.scala 472:44] + node _T_4223 = and(_T_4220, _T_4222) @[lsu_bus_buffer.scala 472:42] + node _T_4224 = and(_T_4223, buf_samedw[3]) @[lsu_bus_buffer.scala 472:58] + node _T_4225 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 472:94] + node _T_4226 = and(_T_4224, _T_4225) @[lsu_bus_buffer.scala 472:74] + node _T_4227 = or(_T_4219, _T_4226) @[lsu_bus_buffer.scala 471:71] + node _T_4228 = and(bus_rsp_read, _T_4227) @[lsu_bus_buffer.scala 470:25] + node _T_4229 = or(_T_4214, _T_4228) @[lsu_bus_buffer.scala 469:105] + buf_resp_state_bus_en[3] <= _T_4229 @[lsu_bus_buffer.scala 469:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 473:29] + node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:49] + node _T_4231 = or(_T_4230, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 474:70] + buf_state_en[3] <= _T_4231 @[lsu_bus_buffer.scala 474:25] + node _T_4232 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 475:47] + node _T_4233 = and(_T_4232, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:62] + buf_data_en[3] <= _T_4233 @[lsu_bus_buffer.scala 475:24] + node _T_4234 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 476:48] + node _T_4235 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 476:111] + node _T_4236 = and(bus_rsp_read_error, _T_4235) @[lsu_bus_buffer.scala 476:91] + node _T_4237 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 477:42] + node _T_4238 = and(bus_rsp_read_error, _T_4237) @[lsu_bus_buffer.scala 477:31] + node _T_4239 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 477:66] + node _T_4240 = and(_T_4238, _T_4239) @[lsu_bus_buffer.scala 477:46] + node _T_4241 = or(_T_4236, _T_4240) @[lsu_bus_buffer.scala 476:143] + node _T_4242 = and(bus_rsp_write_error, UInt<1>("h01")) @[lsu_bus_buffer.scala 478:32] + node _T_4243 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 478:74] + node _T_4244 = and(_T_4242, _T_4243) @[lsu_bus_buffer.scala 478:53] + node _T_4245 = or(_T_4241, _T_4244) @[lsu_bus_buffer.scala 477:88] + node _T_4246 = and(_T_4234, _T_4245) @[lsu_bus_buffer.scala 476:68] + buf_error_en[3] <= _T_4246 @[lsu_bus_buffer.scala 476:25] + node _T_4247 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 479:50] + node _T_4248 = and(buf_state_en[3], _T_4247) @[lsu_bus_buffer.scala 479:48] + node _T_4249 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 479:84] + node _T_4250 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 479:102] + node _T_4251 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:125] + node _T_4252 = mux(_T_4249, _T_4250, _T_4251) @[lsu_bus_buffer.scala 479:72] + node _T_4253 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 479:148] + node _T_4254 = mux(_T_4248, _T_4252, _T_4253) @[lsu_bus_buffer.scala 479:30] + buf_data_in[3] <= _T_4254 @[lsu_bus_buffer.scala 479:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4255 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4255 : @[Conditional.scala 39:67] + node _T_4256 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 482:60] + node _T_4257 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 482:86] + node _T_4258 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 482:101] + node _T_4259 = bits(_T_4258, 0, 0) @[lsu_bus_buffer.scala 482:101] + node _T_4260 = or(_T_4257, _T_4259) @[lsu_bus_buffer.scala 482:90] + node _T_4261 = or(_T_4260, any_done_wait_state) @[lsu_bus_buffer.scala 482:118] + node _T_4262 = mux(_T_4261, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 482:75] + node _T_4263 = mux(_T_4256, UInt<3>("h00"), _T_4262) @[lsu_bus_buffer.scala 482:31] + buf_nxtstate[3] <= _T_4263 @[lsu_bus_buffer.scala 482:25] + node _T_4264 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 483:66] + node _T_4265 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 484:21] + node _T_4266 = bits(_T_4265, 0, 0) @[lsu_bus_buffer.scala 484:21] + node _T_4267 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 484:58] + node _T_4268 = and(_T_4266, _T_4267) @[lsu_bus_buffer.scala 484:38] + node _T_4269 = or(_T_4264, _T_4268) @[lsu_bus_buffer.scala 483:95] + node _T_4270 = and(bus_rsp_read, _T_4269) @[lsu_bus_buffer.scala 483:45] + buf_state_bus_en[3] <= _T_4270 @[lsu_bus_buffer.scala 483:29] + node _T_4271 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 485:49] + node _T_4272 = or(_T_4271, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 485:70] + buf_state_en[3] <= _T_4272 @[lsu_bus_buffer.scala 485:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4273 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4273 : @[Conditional.scala 39:67] + node _T_4274 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 488:60] + node _T_4275 = mux(_T_4274, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 488:31] + buf_nxtstate[3] <= _T_4275 @[lsu_bus_buffer.scala 488:25] + node _T_4276 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 489:37] + node _T_4277 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 489:98] + node _T_4278 = and(buf_dual[3], _T_4277) @[lsu_bus_buffer.scala 489:80] + node _T_4279 = or(_T_4276, _T_4278) @[lsu_bus_buffer.scala 489:65] + node _T_4280 = or(_T_4279, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 489:112] + buf_state_en[3] <= _T_4280 @[lsu_bus_buffer.scala 489:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4281 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4281 : @[Conditional.scala 39:67] + buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 492:25] + buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:20] + buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 495:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 496:25] + skip @[Conditional.scala 39:67] + node _T_4282 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 499:108] + reg _T_4283 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4282 : @[Reg.scala 28:19] + _T_4283 <= buf_nxtstate[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[3] <= _T_4283 @[lsu_bus_buffer.scala 499:18] + reg _T_4284 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:60] + _T_4284 <= buf_age_in_3 @[lsu_bus_buffer.scala 500:60] + buf_ageQ[3] <= _T_4284 @[lsu_bus_buffer.scala 500:17] + reg _T_4285 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 501:63] + _T_4285 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 501:63] + buf_rspageQ[3] <= _T_4285 @[lsu_bus_buffer.scala 501:20] + node _T_4286 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 502:109] + reg _T_4287 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4286 : @[Reg.scala 28:19] + _T_4287 <= buf_dualtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[3] <= _T_4287 @[lsu_bus_buffer.scala 502:20] + node _T_4288 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 503:74] + node _T_4289 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 503:107] + reg _T_4290 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4289 : @[Reg.scala 28:19] + _T_4290 <= _T_4288 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[3] <= _T_4290 @[lsu_bus_buffer.scala 503:17] + node _T_4291 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 504:78] + node _T_4292 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 504:111] + reg _T_4293 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4292 : @[Reg.scala 28:19] + _T_4293 <= _T_4291 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[3] <= _T_4293 @[lsu_bus_buffer.scala 504:19] + node _T_4294 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 505:80] + node _T_4295 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 505:113] + reg _T_4296 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4295 : @[Reg.scala 28:19] + _T_4296 <= _T_4294 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[3] <= _T_4296 @[lsu_bus_buffer.scala 505:20] + node _T_4297 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 506:78] + node _T_4298 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 506:111] + reg _T_4299 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4298 : @[Reg.scala 28:19] + _T_4299 <= _T_4297 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[3] <= _T_4299 @[lsu_bus_buffer.scala 506:19] + node _T_4300 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 509:131] + reg _T_4301 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4300 : @[Reg.scala 28:19] + _T_4301 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4302 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 509:131] + reg _T_4303 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4302 : @[Reg.scala 28:19] + _T_4303 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4304 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 509:131] + reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4304 : @[Reg.scala 28:19] + _T_4305 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4306 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 509:131] + reg _T_4307 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4306 : @[Reg.scala 28:19] + _T_4307 <= buf_ldfwd_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4308 = cat(_T_4307, _T_4305) @[Cat.scala 29:58] + node _T_4309 = cat(_T_4308, _T_4303) @[Cat.scala 29:58] + node _T_4310 = cat(_T_4309, _T_4301) @[Cat.scala 29:58] + buf_ldfwd <= _T_4310 @[lsu_bus_buffer.scala 509:13] + node _T_4311 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 510:132] + reg _T_4312 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4311 : @[Reg.scala 28:19] + _T_4312 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4313 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 510:132] + reg _T_4314 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4313 : @[Reg.scala 28:19] + _T_4314 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4315 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 510:132] + reg _T_4316 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4315 : @[Reg.scala 28:19] + _T_4316 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4317 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 510:132] + reg _T_4318 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4317 : @[Reg.scala 28:19] + _T_4318 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_ldfwdtag[0] <= _T_4312 @[lsu_bus_buffer.scala 510:16] + buf_ldfwdtag[1] <= _T_4314 @[lsu_bus_buffer.scala 510:16] + buf_ldfwdtag[2] <= _T_4316 @[lsu_bus_buffer.scala 510:16] + buf_ldfwdtag[3] <= _T_4318 @[lsu_bus_buffer.scala 510:16] + node _T_4319 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 511:105] + node _T_4320 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 511:138] + reg _T_4321 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4320 : @[Reg.scala 28:19] + _T_4321 <= _T_4319 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4322 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 511:105] + node _T_4323 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 511:138] + reg _T_4324 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4323 : @[Reg.scala 28:19] + _T_4324 <= _T_4322 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4325 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 511:105] + node _T_4326 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 511:138] + reg _T_4327 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4326 : @[Reg.scala 28:19] + _T_4327 <= _T_4325 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4328 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 511:105] + node _T_4329 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 511:138] + reg _T_4330 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4329 : @[Reg.scala 28:19] + _T_4330 <= _T_4328 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4331 = cat(_T_4330, _T_4327) @[Cat.scala 29:58] + node _T_4332 = cat(_T_4331, _T_4324) @[Cat.scala 29:58] + node _T_4333 = cat(_T_4332, _T_4321) @[Cat.scala 29:58] + buf_sideeffect <= _T_4333 @[lsu_bus_buffer.scala 511:18] + node _T_4334 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 512:97] + node _T_4335 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 512:130] + reg _T_4336 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4335 : @[Reg.scala 28:19] + _T_4336 <= _T_4334 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4337 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 512:97] + node _T_4338 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 512:130] + reg _T_4339 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4338 : @[Reg.scala 28:19] + _T_4339 <= _T_4337 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4340 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 512:97] + node _T_4341 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 512:130] + reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4341 : @[Reg.scala 28:19] + _T_4342 <= _T_4340 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4343 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 512:97] + node _T_4344 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 512:130] + reg _T_4345 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4344 : @[Reg.scala 28:19] + _T_4345 <= _T_4343 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4346 = cat(_T_4345, _T_4342) @[Cat.scala 29:58] + node _T_4347 = cat(_T_4346, _T_4339) @[Cat.scala 29:58] + node _T_4348 = cat(_T_4347, _T_4336) @[Cat.scala 29:58] + buf_unsign <= _T_4348 @[lsu_bus_buffer.scala 512:14] + node _T_4349 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 513:95] + node _T_4350 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:128] + reg _T_4351 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4350 : @[Reg.scala 28:19] + _T_4351 <= _T_4349 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4352 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 513:95] + node _T_4353 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:128] + reg _T_4354 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4353 : @[Reg.scala 28:19] + _T_4354 <= _T_4352 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4355 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 513:95] + node _T_4356 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:128] + reg _T_4357 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4356 : @[Reg.scala 28:19] + _T_4357 <= _T_4355 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4358 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 513:95] + node _T_4359 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:128] + reg _T_4360 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4359 : @[Reg.scala 28:19] + _T_4360 <= _T_4358 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4361 = cat(_T_4360, _T_4357) @[Cat.scala 29:58] + node _T_4362 = cat(_T_4361, _T_4354) @[Cat.scala 29:58] + node _T_4363 = cat(_T_4362, _T_4351) @[Cat.scala 29:58] + buf_write <= _T_4363 @[lsu_bus_buffer.scala 513:13] + node _T_4364 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:117] + reg _T_4365 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4364 : @[Reg.scala 28:19] + _T_4365 <= buf_sz_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4366 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:117] + reg _T_4367 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4366 : @[Reg.scala 28:19] + _T_4367 <= buf_sz_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4368 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:117] + reg _T_4369 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4368 : @[Reg.scala 28:19] + _T_4369 <= buf_sz_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4370 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:117] + reg _T_4371 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4370 : @[Reg.scala 28:19] + _T_4371 <= buf_sz_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_sz[0] <= _T_4365 @[lsu_bus_buffer.scala 514:10] + buf_sz[1] <= _T_4367 @[lsu_bus_buffer.scala 514:10] + buf_sz[2] <= _T_4369 @[lsu_bus_buffer.scala 514:10] + buf_sz[3] <= _T_4371 @[lsu_bus_buffer.scala 514:10] + node _T_4372 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 515:80] + inst rvclkhdr_4 of rvclkhdr_28 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_4372 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4373 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4373 <= buf_addr_in[0] @[lib.scala 374:16] + node _T_4374 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 515:80] + inst rvclkhdr_5 of rvclkhdr_29 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_4374 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4375 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4375 <= buf_addr_in[1] @[lib.scala 374:16] + node _T_4376 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 515:80] + inst rvclkhdr_6 of rvclkhdr_30 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= _T_4376 @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4377 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4377 <= buf_addr_in[2] @[lib.scala 374:16] + node _T_4378 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 515:80] + inst rvclkhdr_7 of rvclkhdr_31 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= _T_4378 @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4379 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4379 <= buf_addr_in[3] @[lib.scala 374:16] + buf_addr[0] <= _T_4373 @[lsu_bus_buffer.scala 515:12] + buf_addr[1] <= _T_4375 @[lsu_bus_buffer.scala 515:12] + buf_addr[2] <= _T_4377 @[lsu_bus_buffer.scala 515:12] + buf_addr[3] <= _T_4379 @[lsu_bus_buffer.scala 515:12] + node _T_4380 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 516:125] + reg _T_4381 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4380 : @[Reg.scala 28:19] + _T_4381 <= buf_byteen_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4382 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 516:125] + reg _T_4383 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4382 : @[Reg.scala 28:19] + _T_4383 <= buf_byteen_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4384 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 516:125] + reg _T_4385 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4384 : @[Reg.scala 28:19] + _T_4385 <= buf_byteen_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4386 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 516:125] + reg _T_4387 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4386 : @[Reg.scala 28:19] + _T_4387 <= buf_byteen_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_byteen[0] <= _T_4381 @[lsu_bus_buffer.scala 516:14] + buf_byteen[1] <= _T_4383 @[lsu_bus_buffer.scala 516:14] + buf_byteen[2] <= _T_4385 @[lsu_bus_buffer.scala 516:14] + buf_byteen[3] <= _T_4387 @[lsu_bus_buffer.scala 516:14] + inst rvclkhdr_8 of rvclkhdr_32 @[lib.scala 368:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_8.io.en <= buf_data_en[0] @[lib.scala 371:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4388 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4388 <= buf_data_in[0] @[lib.scala 374:16] + inst rvclkhdr_9 of rvclkhdr_33 @[lib.scala 368:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_9.io.en <= buf_data_en[1] @[lib.scala 371:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4389 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4389 <= buf_data_in[1] @[lib.scala 374:16] + inst rvclkhdr_10 of rvclkhdr_34 @[lib.scala 368:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_10.io.en <= buf_data_en[2] @[lib.scala 371:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4390 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4390 <= buf_data_in[2] @[lib.scala 374:16] + inst rvclkhdr_11 of rvclkhdr_35 @[lib.scala 368:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_11.io.en <= buf_data_en[3] @[lib.scala 371:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4391 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4391 <= buf_data_in[3] @[lib.scala 374:16] + buf_data[0] <= _T_4388 @[lsu_bus_buffer.scala 517:12] + buf_data[1] <= _T_4389 @[lsu_bus_buffer.scala 517:12] + buf_data[2] <= _T_4390 @[lsu_bus_buffer.scala 517:12] + buf_data[3] <= _T_4391 @[lsu_bus_buffer.scala 517:12] + node _T_4392 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 518:119] + node _T_4393 = mux(buf_error_en[0], UInt<1>("h01"), _T_4392) @[lsu_bus_buffer.scala 518:84] + node _T_4394 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 518:126] + node _T_4395 = and(_T_4393, _T_4394) @[lsu_bus_buffer.scala 518:124] + reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 518:80] + _T_4396 <= _T_4395 @[lsu_bus_buffer.scala 518:80] + node _T_4397 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 518:119] + node _T_4398 = mux(buf_error_en[1], UInt<1>("h01"), _T_4397) @[lsu_bus_buffer.scala 518:84] + node _T_4399 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 518:126] + node _T_4400 = and(_T_4398, _T_4399) @[lsu_bus_buffer.scala 518:124] + reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 518:80] + _T_4401 <= _T_4400 @[lsu_bus_buffer.scala 518:80] + node _T_4402 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 518:119] + node _T_4403 = mux(buf_error_en[2], UInt<1>("h01"), _T_4402) @[lsu_bus_buffer.scala 518:84] + node _T_4404 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 518:126] + node _T_4405 = and(_T_4403, _T_4404) @[lsu_bus_buffer.scala 518:124] + reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 518:80] + _T_4406 <= _T_4405 @[lsu_bus_buffer.scala 518:80] + node _T_4407 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 518:119] + node _T_4408 = mux(buf_error_en[3], UInt<1>("h01"), _T_4407) @[lsu_bus_buffer.scala 518:84] + node _T_4409 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 518:126] + node _T_4410 = and(_T_4408, _T_4409) @[lsu_bus_buffer.scala 518:124] + reg _T_4411 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 518:80] + _T_4411 <= _T_4410 @[lsu_bus_buffer.scala 518:80] + node _T_4412 = cat(_T_4411, _T_4406) @[Cat.scala 29:58] + node _T_4413 = cat(_T_4412, _T_4401) @[Cat.scala 29:58] + node _T_4414 = cat(_T_4413, _T_4396) @[Cat.scala 29:58] + buf_error <= _T_4414 @[lsu_bus_buffer.scala 518:13] + node _T_4415 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4416 = mux(io.ldst_dual_m, _T_4415, io.lsu_busreq_m) @[lsu_bus_buffer.scala 521:28] + node _T_4417 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4418 = mux(io.ldst_dual_r, _T_4417, io.lsu_busreq_r) @[lsu_bus_buffer.scala 521:94] + node _T_4419 = add(_T_4416, _T_4418) @[lsu_bus_buffer.scala 521:88] + node _T_4420 = add(_T_4419, ibuf_valid) @[lsu_bus_buffer.scala 521:154] + node _T_4421 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 521:190] + node _T_4422 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 521:190] + node _T_4423 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 521:190] + node _T_4424 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 521:190] + node _T_4425 = add(_T_4421, _T_4422) @[lsu_bus_buffer.scala 521:217] + node _T_4426 = add(_T_4425, _T_4423) @[lsu_bus_buffer.scala 521:217] + node _T_4427 = add(_T_4426, _T_4424) @[lsu_bus_buffer.scala 521:217] + node _T_4428 = add(_T_4420, _T_4427) @[lsu_bus_buffer.scala 521:169] + node buf_numvld_any = tail(_T_4428, 1) @[lsu_bus_buffer.scala 521:169] + node _T_4429 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 522:60] + node _T_4430 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:79] + node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 522:64] + node _T_4432 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:91] + node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 522:89] + node _T_4434 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 522:60] + node _T_4435 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:79] + node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 522:64] + node _T_4437 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:91] + node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 522:89] + node _T_4439 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 522:60] + node _T_4440 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:79] + node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 522:64] + node _T_4442 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:91] + node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 522:89] + node _T_4444 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 522:60] + node _T_4445 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:79] + node _T_4446 = and(_T_4444, _T_4445) @[lsu_bus_buffer.scala 522:64] + node _T_4447 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:91] + node _T_4448 = and(_T_4446, _T_4447) @[lsu_bus_buffer.scala 522:89] + node _T_4449 = add(_T_4448, _T_4443) @[lsu_bus_buffer.scala 522:142] + node _T_4450 = add(_T_4449, _T_4438) @[lsu_bus_buffer.scala 522:142] + node _T_4451 = add(_T_4450, _T_4433) @[lsu_bus_buffer.scala 522:142] + buf_numvld_wrcmd_any <= _T_4451 @[lsu_bus_buffer.scala 522:24] + node _T_4452 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:63] + node _T_4453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:75] + node _T_4454 = and(_T_4452, _T_4453) @[lsu_bus_buffer.scala 523:73] + node _T_4455 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:63] + node _T_4456 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:75] + node _T_4457 = and(_T_4455, _T_4456) @[lsu_bus_buffer.scala 523:73] + node _T_4458 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:63] + node _T_4459 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:75] + node _T_4460 = and(_T_4458, _T_4459) @[lsu_bus_buffer.scala 523:73] + node _T_4461 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:63] + node _T_4462 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:75] + node _T_4463 = and(_T_4461, _T_4462) @[lsu_bus_buffer.scala 523:73] + node _T_4464 = add(_T_4463, _T_4460) @[lsu_bus_buffer.scala 523:126] + node _T_4465 = add(_T_4464, _T_4457) @[lsu_bus_buffer.scala 523:126] + node _T_4466 = add(_T_4465, _T_4454) @[lsu_bus_buffer.scala 523:126] + buf_numvld_cmd_any <= _T_4466 @[lsu_bus_buffer.scala 523:22] + node _T_4467 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 524:63] + node _T_4468 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 524:90] + node _T_4469 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 524:102] + node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 524:100] + node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 524:74] + node _T_4472 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 524:63] + node _T_4473 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 524:90] + node _T_4474 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 524:102] + node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 524:100] + node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 524:74] + node _T_4477 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 524:63] + node _T_4478 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 524:90] + node _T_4479 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 524:102] + node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 524:100] + node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 524:74] + node _T_4482 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 524:63] + node _T_4483 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 524:90] + node _T_4484 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 524:102] + node _T_4485 = and(_T_4483, _T_4484) @[lsu_bus_buffer.scala 524:100] + node _T_4486 = or(_T_4482, _T_4485) @[lsu_bus_buffer.scala 524:74] + node _T_4487 = add(_T_4486, _T_4481) @[lsu_bus_buffer.scala 524:154] + node _T_4488 = add(_T_4487, _T_4476) @[lsu_bus_buffer.scala 524:154] + node _T_4489 = add(_T_4488, _T_4471) @[lsu_bus_buffer.scala 524:154] + buf_numvld_pend_any <= _T_4489 @[lsu_bus_buffer.scala 524:23] + node _T_4490 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 525:61] + node _T_4491 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 525:61] + node _T_4492 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 525:61] + node _T_4493 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 525:61] + node _T_4494 = or(_T_4493, _T_4492) @[lsu_bus_buffer.scala 525:93] + node _T_4495 = or(_T_4494, _T_4491) @[lsu_bus_buffer.scala 525:93] + node _T_4496 = or(_T_4495, _T_4490) @[lsu_bus_buffer.scala 525:93] + any_done_wait_state <= _T_4496 @[lsu_bus_buffer.scala 525:23] + node _T_4497 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 526:53] + io.lsu_bus_buffer_pend_any <= _T_4497 @[lsu_bus_buffer.scala 526:30] + node _T_4498 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 527:52] + node _T_4499 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 527:92] + node _T_4500 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 527:121] + node _T_4501 = mux(_T_4498, _T_4499, _T_4500) @[lsu_bus_buffer.scala 527:36] + io.lsu_bus_buffer_full_any <= _T_4501 @[lsu_bus_buffer.scala 527:30] + node _T_4502 = orr(buf_state[0]) @[lsu_bus_buffer.scala 528:52] + node _T_4503 = orr(buf_state[1]) @[lsu_bus_buffer.scala 528:52] + node _T_4504 = orr(buf_state[2]) @[lsu_bus_buffer.scala 528:52] + node _T_4505 = orr(buf_state[3]) @[lsu_bus_buffer.scala 528:52] + node _T_4506 = or(_T_4502, _T_4503) @[lsu_bus_buffer.scala 528:65] + node _T_4507 = or(_T_4506, _T_4504) @[lsu_bus_buffer.scala 528:65] + node _T_4508 = or(_T_4507, _T_4505) @[lsu_bus_buffer.scala 528:65] + node _T_4509 = eq(_T_4508, UInt<1>("h00")) @[lsu_bus_buffer.scala 528:34] + node _T_4510 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 528:72] + node _T_4511 = and(_T_4509, _T_4510) @[lsu_bus_buffer.scala 528:70] + node _T_4512 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 528:86] + node _T_4513 = and(_T_4511, _T_4512) @[lsu_bus_buffer.scala 528:84] + io.lsu_bus_buffer_empty_any <= _T_4513 @[lsu_bus_buffer.scala 528:31] + node _T_4514 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 530:64] + node _T_4515 = and(_T_4514, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 530:85] + node _T_4516 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 530:112] + node _T_4517 = and(_T_4515, _T_4516) @[lsu_bus_buffer.scala 530:110] + node _T_4518 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 530:129] + node _T_4519 = and(_T_4517, _T_4518) @[lsu_bus_buffer.scala 530:127] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4519 @[lsu_bus_buffer.scala 530:45] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 531:43] + wire lsu_nonblock_load_valid_r : UInt<1> + lsu_nonblock_load_valid_r <= UInt<1>("h00") + node _T_4520 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 533:74] + node _T_4521 = and(lsu_nonblock_load_valid_r, _T_4520) @[lsu_bus_buffer.scala 533:72] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4521 @[lsu_bus_buffer.scala 533:43] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 534:47] + node _T_4522 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:80] + node _T_4523 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 535:127] + node _T_4524 = and(UInt<1>("h01"), _T_4523) @[lsu_bus_buffer.scala 535:116] + node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:95] + node _T_4526 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:80] + node _T_4527 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 535:127] + node _T_4528 = and(UInt<1>("h01"), _T_4527) @[lsu_bus_buffer.scala 535:116] + node _T_4529 = eq(_T_4528, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:95] + node _T_4530 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:80] + node _T_4531 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 535:127] + node _T_4532 = and(UInt<1>("h01"), _T_4531) @[lsu_bus_buffer.scala 535:116] + node _T_4533 = eq(_T_4532, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:95] + node _T_4534 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:80] + node _T_4535 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 535:127] + node _T_4536 = and(UInt<1>("h01"), _T_4535) @[lsu_bus_buffer.scala 535:116] + node _T_4537 = eq(_T_4536, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:95] + node _T_4538 = mux(_T_4522, _T_4525, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4539 = mux(_T_4526, _T_4529, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4540 = mux(_T_4530, _T_4533, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4541 = mux(_T_4534, _T_4537, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4542 = or(_T_4538, _T_4539) @[Mux.scala 27:72] + node _T_4543 = or(_T_4542, _T_4540) @[Mux.scala 27:72] + node _T_4544 = or(_T_4543, _T_4541) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4544 @[Mux.scala 27:72] + node _T_4545 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:93] + node _T_4546 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 536:117] + node _T_4547 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 536:133] + node _T_4548 = eq(_T_4547, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:123] + node _T_4549 = and(_T_4546, _T_4548) @[lsu_bus_buffer.scala 536:121] + node _T_4550 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:93] + node _T_4551 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 536:117] + node _T_4552 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 536:133] + node _T_4553 = eq(_T_4552, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:123] + node _T_4554 = and(_T_4551, _T_4553) @[lsu_bus_buffer.scala 536:121] + node _T_4555 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:93] + node _T_4556 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 536:117] + node _T_4557 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 536:133] + node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:123] + node _T_4559 = and(_T_4556, _T_4558) @[lsu_bus_buffer.scala 536:121] + node _T_4560 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:93] + node _T_4561 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 536:117] + node _T_4562 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 536:133] + node _T_4563 = eq(_T_4562, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:123] + node _T_4564 = and(_T_4561, _T_4563) @[lsu_bus_buffer.scala 536:121] + node _T_4565 = mux(_T_4545, _T_4549, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4566 = mux(_T_4550, _T_4554, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4567 = mux(_T_4555, _T_4559, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4568 = mux(_T_4560, _T_4564, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4569 = or(_T_4565, _T_4566) @[Mux.scala 27:72] + node _T_4570 = or(_T_4569, _T_4567) @[Mux.scala 27:72] + node _T_4571 = or(_T_4570, _T_4568) @[Mux.scala 27:72] + wire _T_4572 : UInt<1> @[Mux.scala 27:72] + _T_4572 <= _T_4571 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4572 @[lsu_bus_buffer.scala 536:48] + node _T_4573 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:92] + node _T_4574 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 537:115] + node _T_4575 = eq(_T_4574, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:105] + node _T_4576 = and(_T_4573, _T_4575) @[lsu_bus_buffer.scala 537:103] + node _T_4577 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:122] + node _T_4578 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:137] + node _T_4579 = or(_T_4577, _T_4578) @[lsu_bus_buffer.scala 537:135] + node _T_4580 = and(_T_4576, _T_4579) @[lsu_bus_buffer.scala 537:119] + node _T_4581 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:92] + node _T_4582 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 537:115] + node _T_4583 = eq(_T_4582, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:105] + node _T_4584 = and(_T_4581, _T_4583) @[lsu_bus_buffer.scala 537:103] + node _T_4585 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:122] + node _T_4586 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:137] + node _T_4587 = or(_T_4585, _T_4586) @[lsu_bus_buffer.scala 537:135] + node _T_4588 = and(_T_4584, _T_4587) @[lsu_bus_buffer.scala 537:119] + node _T_4589 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:92] + node _T_4590 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 537:115] + node _T_4591 = eq(_T_4590, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:105] + node _T_4592 = and(_T_4589, _T_4591) @[lsu_bus_buffer.scala 537:103] + node _T_4593 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:122] + node _T_4594 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:137] + node _T_4595 = or(_T_4593, _T_4594) @[lsu_bus_buffer.scala 537:135] + node _T_4596 = and(_T_4592, _T_4595) @[lsu_bus_buffer.scala 537:119] + node _T_4597 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:92] + node _T_4598 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 537:115] + node _T_4599 = eq(_T_4598, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:105] + node _T_4600 = and(_T_4597, _T_4599) @[lsu_bus_buffer.scala 537:103] + node _T_4601 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:122] + node _T_4602 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:137] + node _T_4603 = or(_T_4601, _T_4602) @[lsu_bus_buffer.scala 537:135] + node _T_4604 = and(_T_4600, _T_4603) @[lsu_bus_buffer.scala 537:119] + node _T_4605 = mux(_T_4580, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4606 = mux(_T_4588, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4607 = mux(_T_4596, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4608 = mux(_T_4604, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4609 = or(_T_4605, _T_4606) @[Mux.scala 27:72] + node _T_4610 = or(_T_4609, _T_4607) @[Mux.scala 27:72] + node _T_4611 = or(_T_4610, _T_4608) @[Mux.scala 27:72] + wire _T_4612 : UInt<2> @[Mux.scala 27:72] + _T_4612 <= _T_4611 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4612 @[lsu_bus_buffer.scala 537:46] + node _T_4613 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4614 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 538:101] + node _T_4615 = eq(_T_4614, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4616 = and(_T_4613, _T_4615) @[lsu_bus_buffer.scala 538:89] + node _T_4617 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:108] + node _T_4618 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:123] + node _T_4619 = or(_T_4617, _T_4618) @[lsu_bus_buffer.scala 538:121] + node _T_4620 = and(_T_4616, _T_4619) @[lsu_bus_buffer.scala 538:105] + node _T_4621 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4622 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 538:101] + node _T_4623 = eq(_T_4622, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4624 = and(_T_4621, _T_4623) @[lsu_bus_buffer.scala 538:89] + node _T_4625 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:108] + node _T_4626 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:123] + node _T_4627 = or(_T_4625, _T_4626) @[lsu_bus_buffer.scala 538:121] + node _T_4628 = and(_T_4624, _T_4627) @[lsu_bus_buffer.scala 538:105] + node _T_4629 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4630 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 538:101] + node _T_4631 = eq(_T_4630, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4632 = and(_T_4629, _T_4631) @[lsu_bus_buffer.scala 538:89] + node _T_4633 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:108] + node _T_4634 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:123] + node _T_4635 = or(_T_4633, _T_4634) @[lsu_bus_buffer.scala 538:121] + node _T_4636 = and(_T_4632, _T_4635) @[lsu_bus_buffer.scala 538:105] + node _T_4637 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4638 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 538:101] + node _T_4639 = eq(_T_4638, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4640 = and(_T_4637, _T_4639) @[lsu_bus_buffer.scala 538:89] + node _T_4641 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:108] + node _T_4642 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 538:123] + node _T_4643 = or(_T_4641, _T_4642) @[lsu_bus_buffer.scala 538:121] + node _T_4644 = and(_T_4640, _T_4643) @[lsu_bus_buffer.scala 538:105] + node _T_4645 = mux(_T_4620, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4646 = mux(_T_4628, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4647 = mux(_T_4636, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4648 = mux(_T_4644, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4649 = or(_T_4645, _T_4646) @[Mux.scala 27:72] + node _T_4650 = or(_T_4649, _T_4647) @[Mux.scala 27:72] + node _T_4651 = or(_T_4650, _T_4648) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4651 @[Mux.scala 27:72] + node _T_4652 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:78] + node _T_4653 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 539:101] + node _T_4654 = eq(_T_4653, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:91] + node _T_4655 = and(_T_4652, _T_4654) @[lsu_bus_buffer.scala 539:89] + node _T_4656 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 539:120] + node _T_4657 = and(_T_4655, _T_4656) @[lsu_bus_buffer.scala 539:105] + node _T_4658 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:78] + node _T_4659 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 539:101] + node _T_4660 = eq(_T_4659, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:91] + node _T_4661 = and(_T_4658, _T_4660) @[lsu_bus_buffer.scala 539:89] + node _T_4662 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 539:120] + node _T_4663 = and(_T_4661, _T_4662) @[lsu_bus_buffer.scala 539:105] + node _T_4664 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:78] + node _T_4665 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 539:101] + node _T_4666 = eq(_T_4665, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:91] + node _T_4667 = and(_T_4664, _T_4666) @[lsu_bus_buffer.scala 539:89] + node _T_4668 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 539:120] + node _T_4669 = and(_T_4667, _T_4668) @[lsu_bus_buffer.scala 539:105] + node _T_4670 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 539:78] + node _T_4671 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 539:101] + node _T_4672 = eq(_T_4671, UInt<1>("h00")) @[lsu_bus_buffer.scala 539:91] + node _T_4673 = and(_T_4670, _T_4672) @[lsu_bus_buffer.scala 539:89] + node _T_4674 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 539:120] + node _T_4675 = and(_T_4673, _T_4674) @[lsu_bus_buffer.scala 539:105] + node _T_4676 = mux(_T_4657, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4677 = mux(_T_4663, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4678 = mux(_T_4669, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4679 = mux(_T_4675, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4680 = or(_T_4676, _T_4677) @[Mux.scala 27:72] + node _T_4681 = or(_T_4680, _T_4678) @[Mux.scala 27:72] + node _T_4682 = or(_T_4681, _T_4679) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4682 @[Mux.scala 27:72] + node _T_4683 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_4684 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_4685 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_4687 = mux(_T_4683, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4688 = mux(_T_4684, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4689 = mux(_T_4685, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4690 = mux(_T_4686, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4691 = or(_T_4687, _T_4688) @[Mux.scala 27:72] + node _T_4692 = or(_T_4691, _T_4689) @[Mux.scala 27:72] + node _T_4693 = or(_T_4692, _T_4690) @[Mux.scala 27:72] + wire _T_4694 : UInt<32> @[Mux.scala 27:72] + _T_4694 <= _T_4693 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4694, 1, 0) @[lsu_bus_buffer.scala 540:96] + node _T_4695 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_4696 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_4697 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_4698 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_4699 = mux(_T_4695, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4700 = mux(_T_4696, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4701 = mux(_T_4697, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4702 = mux(_T_4698, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4703 = or(_T_4699, _T_4700) @[Mux.scala 27:72] + node _T_4704 = or(_T_4703, _T_4701) @[Mux.scala 27:72] + node _T_4705 = or(_T_4704, _T_4702) @[Mux.scala 27:72] + wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4705 @[Mux.scala 27:72] + node _T_4706 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_4707 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_4708 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_4709 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4710 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4711 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4712 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4713 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4714 = mux(_T_4706, _T_4707, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4715 = mux(_T_4708, _T_4709, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4716 = mux(_T_4710, _T_4711, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4717 = mux(_T_4712, _T_4713, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4718 = or(_T_4714, _T_4715) @[Mux.scala 27:72] + node _T_4719 = or(_T_4718, _T_4716) @[Mux.scala 27:72] + node _T_4720 = or(_T_4719, _T_4717) @[Mux.scala 27:72] + wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4720 @[Mux.scala 27:72] + node _T_4721 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4722 = cat(_T_4721, buf_dual[1]) @[Cat.scala 29:58] + node _T_4723 = cat(_T_4722, buf_dual[0]) @[Cat.scala 29:58] + node _T_4724 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_4725 = bits(_T_4723, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_4726 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_4727 = bits(_T_4723, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4728 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4729 = bits(_T_4723, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4730 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4731 = bits(_T_4723, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4732 = mux(_T_4724, _T_4725, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4733 = mux(_T_4726, _T_4727, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4734 = mux(_T_4728, _T_4729, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4735 = mux(_T_4730, _T_4731, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4736 = or(_T_4732, _T_4733) @[Mux.scala 27:72] + node _T_4737 = or(_T_4736, _T_4734) @[Mux.scala 27:72] + node _T_4738 = or(_T_4737, _T_4735) @[Mux.scala 27:72] + wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] + lsu_nonblock_dual <= _T_4738 @[Mux.scala 27:72] + node _T_4739 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4740 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 544:121] + node lsu_nonblock_data_unalgn = dshr(_T_4739, _T_4740) @[lsu_bus_buffer.scala 544:92] + node _T_4741 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:82] + node _T_4742 = and(lsu_nonblock_load_data_ready, _T_4741) @[lsu_bus_buffer.scala 546:80] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4742 @[lsu_bus_buffer.scala 546:48] + node _T_4743 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 547:94] + node _T_4744 = and(lsu_nonblock_unsign, _T_4743) @[lsu_bus_buffer.scala 547:76] + node _T_4745 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 547:144] + node _T_4746 = cat(UInt<24>("h00"), _T_4745) @[Cat.scala 29:58] + node _T_4747 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 548:45] + node _T_4748 = and(lsu_nonblock_unsign, _T_4747) @[lsu_bus_buffer.scala 548:26] + node _T_4749 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 548:95] + node _T_4750 = cat(UInt<16>("h00"), _T_4749) @[Cat.scala 29:58] + node _T_4751 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:6] + node _T_4752 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:45] + node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 549:27] + node _T_4754 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 549:93] + node _T_4755 = bits(_T_4754, 0, 0) @[Bitwise.scala 72:15] + node _T_4756 = mux(_T_4755, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4757 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 549:123] + node _T_4758 = cat(_T_4756, _T_4757) @[Cat.scala 29:58] + node _T_4759 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 550:6] + node _T_4760 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 550:45] + node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 550:27] + node _T_4762 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 550:93] + node _T_4763 = bits(_T_4762, 0, 0) @[Bitwise.scala 72:15] + node _T_4764 = mux(_T_4763, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4765 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 550:124] + node _T_4766 = cat(_T_4764, _T_4765) @[Cat.scala 29:58] + node _T_4767 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 551:21] + node _T_4768 = mux(_T_4744, _T_4746, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4769 = mux(_T_4748, _T_4750, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4770 = mux(_T_4753, _T_4758, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4771 = mux(_T_4761, _T_4766, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4772 = mux(_T_4767, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4773 = or(_T_4768, _T_4769) @[Mux.scala 27:72] + node _T_4774 = or(_T_4773, _T_4770) @[Mux.scala 27:72] + node _T_4775 = or(_T_4774, _T_4771) @[Mux.scala 27:72] + node _T_4776 = or(_T_4775, _T_4772) @[Mux.scala 27:72] + wire _T_4777 : UInt<64> @[Mux.scala 27:72] + _T_4777 <= _T_4776 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data <= _T_4777 @[lsu_bus_buffer.scala 547:42] + node _T_4778 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:62] + node _T_4779 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 552:89] + node _T_4780 = and(_T_4778, _T_4779) @[lsu_bus_buffer.scala 552:73] + node _T_4781 = and(_T_4780, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:93] + node _T_4782 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:62] + node _T_4783 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 552:89] + node _T_4784 = and(_T_4782, _T_4783) @[lsu_bus_buffer.scala 552:73] + node _T_4785 = and(_T_4784, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:93] + node _T_4786 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:62] + node _T_4787 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 552:89] + node _T_4788 = and(_T_4786, _T_4787) @[lsu_bus_buffer.scala 552:73] + node _T_4789 = and(_T_4788, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:93] + node _T_4790 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:62] + node _T_4791 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 552:89] + node _T_4792 = and(_T_4790, _T_4791) @[lsu_bus_buffer.scala 552:73] + node _T_4793 = and(_T_4792, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:93] + node _T_4794 = or(_T_4781, _T_4785) @[lsu_bus_buffer.scala 552:153] + node _T_4795 = or(_T_4794, _T_4789) @[lsu_bus_buffer.scala 552:153] + node _T_4796 = or(_T_4795, _T_4793) @[lsu_bus_buffer.scala 552:153] + node _T_4797 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 552:171] + node _T_4798 = and(_T_4797, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 552:189] + node _T_4799 = or(_T_4796, _T_4798) @[lsu_bus_buffer.scala 552:157] + bus_sideeffect_pend <= _T_4799 @[lsu_bus_buffer.scala 552:23] + node _T_4800 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 553:71] + node _T_4801 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 554:25] + node _T_4802 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 554:50] + node _T_4803 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 554:70] + node _T_4804 = eq(_T_4802, _T_4803) @[lsu_bus_buffer.scala 554:56] + node _T_4805 = and(_T_4801, _T_4804) @[lsu_bus_buffer.scala 554:38] + node _T_4806 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:92] + node _T_4807 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:126] + node _T_4808 = and(obuf_merge, _T_4807) @[lsu_bus_buffer.scala 554:114] + node _T_4809 = or(_T_4806, _T_4808) @[lsu_bus_buffer.scala 554:100] + node _T_4810 = eq(_T_4809, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:80] + node _T_4811 = and(_T_4805, _T_4810) @[lsu_bus_buffer.scala 554:78] + node _T_4812 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 553:71] + node _T_4813 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 554:25] + node _T_4814 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 554:50] + node _T_4815 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 554:70] + node _T_4816 = eq(_T_4814, _T_4815) @[lsu_bus_buffer.scala 554:56] + node _T_4817 = and(_T_4813, _T_4816) @[lsu_bus_buffer.scala 554:38] + node _T_4818 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 554:92] + node _T_4819 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 554:126] + node _T_4820 = and(obuf_merge, _T_4819) @[lsu_bus_buffer.scala 554:114] + node _T_4821 = or(_T_4818, _T_4820) @[lsu_bus_buffer.scala 554:100] + node _T_4822 = eq(_T_4821, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:80] + node _T_4823 = and(_T_4817, _T_4822) @[lsu_bus_buffer.scala 554:78] + node _T_4824 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 553:71] + node _T_4825 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 554:25] + node _T_4826 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 554:50] + node _T_4827 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 554:70] + node _T_4828 = eq(_T_4826, _T_4827) @[lsu_bus_buffer.scala 554:56] + node _T_4829 = and(_T_4825, _T_4828) @[lsu_bus_buffer.scala 554:38] + node _T_4830 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 554:92] + node _T_4831 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 554:126] + node _T_4832 = and(obuf_merge, _T_4831) @[lsu_bus_buffer.scala 554:114] + node _T_4833 = or(_T_4830, _T_4832) @[lsu_bus_buffer.scala 554:100] + node _T_4834 = eq(_T_4833, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:80] + node _T_4835 = and(_T_4829, _T_4834) @[lsu_bus_buffer.scala 554:78] + node _T_4836 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 553:71] + node _T_4837 = and(UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 554:25] + node _T_4838 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 554:50] + node _T_4839 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 554:70] + node _T_4840 = eq(_T_4838, _T_4839) @[lsu_bus_buffer.scala 554:56] + node _T_4841 = and(_T_4837, _T_4840) @[lsu_bus_buffer.scala 554:38] + node _T_4842 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 554:92] + node _T_4843 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 554:126] + node _T_4844 = and(obuf_merge, _T_4843) @[lsu_bus_buffer.scala 554:114] + node _T_4845 = or(_T_4842, _T_4844) @[lsu_bus_buffer.scala 554:100] + node _T_4846 = eq(_T_4845, UInt<1>("h00")) @[lsu_bus_buffer.scala 554:80] + node _T_4847 = and(_T_4841, _T_4846) @[lsu_bus_buffer.scala 554:78] + node _T_4848 = mux(_T_4800, _T_4811, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4849 = mux(_T_4812, _T_4823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4850 = mux(_T_4824, _T_4835, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4851 = mux(_T_4836, _T_4847, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4852 = or(_T_4848, _T_4849) @[Mux.scala 27:72] + node _T_4853 = or(_T_4852, _T_4850) @[Mux.scala 27:72] + node _T_4854 = or(_T_4853, _T_4851) @[Mux.scala 27:72] + wire _T_4855 : UInt<1> @[Mux.scala 27:72] + _T_4855 <= _T_4854 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4855 @[lsu_bus_buffer.scala 553:26] + node _T_4856 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 556:54] + node _T_4857 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 556:75] + node _T_4858 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 556:153] + node _T_4859 = mux(_T_4856, _T_4857, _T_4858) @[lsu_bus_buffer.scala 556:39] + node _T_4860 = mux(obuf_write, _T_4859, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 556:23] + bus_cmd_ready <= _T_4860 @[lsu_bus_buffer.scala 556:17] + node _T_4861 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 557:40] + bus_wcmd_sent <= _T_4861 @[lsu_bus_buffer.scala 557:17] + node _T_4862 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 558:40] + bus_wdata_sent <= _T_4862 @[lsu_bus_buffer.scala 558:18] + node _T_4863 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 559:35] + node _T_4864 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 559:70] + node _T_4865 = and(_T_4863, _T_4864) @[lsu_bus_buffer.scala 559:52] + node _T_4866 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 559:112] + node _T_4867 = or(_T_4865, _T_4866) @[lsu_bus_buffer.scala 559:89] + bus_cmd_sent <= _T_4867 @[lsu_bus_buffer.scala 559:16] + node _T_4868 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 560:38] + bus_rsp_read <= _T_4868 @[lsu_bus_buffer.scala 560:16] + node _T_4869 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 561:39] + bus_rsp_write <= _T_4869 @[lsu_bus_buffer.scala 561:17] + bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 562:20] + bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 563:21] + node _T_4870 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 564:66] + node _T_4871 = and(bus_rsp_write, _T_4870) @[lsu_bus_buffer.scala 564:40] + bus_rsp_write_error <= _T_4871 @[lsu_bus_buffer.scala 564:23] + node _T_4872 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 565:64] + node _T_4873 = and(bus_rsp_read, _T_4872) @[lsu_bus_buffer.scala 565:38] + bus_rsp_read_error <= _T_4873 @[lsu_bus_buffer.scala 565:22] + bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 566:17] + node _T_4874 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 569:37] + node _T_4875 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 569:52] + node _T_4876 = and(_T_4874, _T_4875) @[lsu_bus_buffer.scala 569:50] + node _T_4877 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 569:69] + node _T_4878 = and(_T_4876, _T_4877) @[lsu_bus_buffer.scala 569:67] + io.lsu_axi.aw.valid <= _T_4878 @[lsu_bus_buffer.scala 569:23] + io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 570:25] + node _T_4879 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 571:75] + node _T_4880 = cat(_T_4879, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4881 = mux(obuf_sideeffect, obuf_addr, _T_4880) @[lsu_bus_buffer.scala 571:33] + io.lsu_axi.aw.bits.addr <= _T_4881 @[lsu_bus_buffer.scala 571:27] + node _T_4882 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4883 = mux(obuf_sideeffect, _T_4882, UInt<3>("h03")) @[lsu_bus_buffer.scala 572:33] + io.lsu_axi.aw.bits.size <= _T_4883 @[lsu_bus_buffer.scala 572:27] + io.lsu_axi.aw.bits.prot <= UInt<1>("h00") @[lsu_bus_buffer.scala 573:27] + node _T_4884 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 574:34] + io.lsu_axi.aw.bits.cache <= _T_4884 @[lsu_bus_buffer.scala 574:28] + node _T_4885 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 575:41] + io.lsu_axi.aw.bits.region <= _T_4885 @[lsu_bus_buffer.scala 575:29] + io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 576:26] + io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 577:28] + io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 578:26] + io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 579:27] + node _T_4886 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 581:36] + node _T_4887 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 581:51] + node _T_4888 = and(_T_4886, _T_4887) @[lsu_bus_buffer.scala 581:49] + node _T_4889 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 581:69] + node _T_4890 = and(_T_4888, _T_4889) @[lsu_bus_buffer.scala 581:67] + io.lsu_axi.w.valid <= _T_4890 @[lsu_bus_buffer.scala 581:22] + node _T_4891 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4892 = mux(_T_4891, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4893 = and(obuf_byteen, _T_4892) @[lsu_bus_buffer.scala 582:41] + io.lsu_axi.w.bits.strb <= _T_4893 @[lsu_bus_buffer.scala 582:26] + io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 583:26] + io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 584:26] + node _T_4894 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 586:39] + node _T_4895 = and(obuf_valid, _T_4894) @[lsu_bus_buffer.scala 586:37] + node _T_4896 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 586:53] + node _T_4897 = and(_T_4895, _T_4896) @[lsu_bus_buffer.scala 586:51] + node _T_4898 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 586:68] + node _T_4899 = and(_T_4897, _T_4898) @[lsu_bus_buffer.scala 586:66] + io.lsu_axi.ar.valid <= _T_4899 @[lsu_bus_buffer.scala 586:23] + io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 587:25] + node _T_4900 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 588:75] + node _T_4901 = cat(_T_4900, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4902 = mux(obuf_sideeffect, obuf_addr, _T_4901) @[lsu_bus_buffer.scala 588:33] + io.lsu_axi.ar.bits.addr <= _T_4902 @[lsu_bus_buffer.scala 588:27] + node _T_4903 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4904 = mux(obuf_sideeffect, _T_4903, UInt<3>("h03")) @[lsu_bus_buffer.scala 589:33] + io.lsu_axi.ar.bits.size <= _T_4904 @[lsu_bus_buffer.scala 589:27] + io.lsu_axi.ar.bits.prot <= UInt<1>("h00") @[lsu_bus_buffer.scala 590:27] + node _T_4905 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 591:34] + io.lsu_axi.ar.bits.cache <= _T_4905 @[lsu_bus_buffer.scala 591:28] + node _T_4906 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 592:41] + io.lsu_axi.ar.bits.region <= _T_4906 @[lsu_bus_buffer.scala 592:29] + io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 593:26] + io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 594:28] + io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 595:26] + io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 596:27] + io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 597:22] + io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 598:22] + node _T_4907 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:93] + node _T_4908 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 599:137] + node _T_4909 = and(io.lsu_bus_clk_en_q, _T_4908) @[lsu_bus_buffer.scala 599:126] + node _T_4910 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 599:152] + node _T_4911 = and(_T_4909, _T_4910) @[lsu_bus_buffer.scala 599:141] + node _T_4912 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:93] + node _T_4913 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 599:137] + node _T_4914 = and(io.lsu_bus_clk_en_q, _T_4913) @[lsu_bus_buffer.scala 599:126] + node _T_4915 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 599:152] + node _T_4916 = and(_T_4914, _T_4915) @[lsu_bus_buffer.scala 599:141] + node _T_4917 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:93] + node _T_4918 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 599:137] + node _T_4919 = and(io.lsu_bus_clk_en_q, _T_4918) @[lsu_bus_buffer.scala 599:126] + node _T_4920 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 599:152] + node _T_4921 = and(_T_4919, _T_4920) @[lsu_bus_buffer.scala 599:141] + node _T_4922 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:93] + node _T_4923 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 599:137] + node _T_4924 = and(io.lsu_bus_clk_en_q, _T_4923) @[lsu_bus_buffer.scala 599:126] + node _T_4925 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 599:152] + node _T_4926 = and(_T_4924, _T_4925) @[lsu_bus_buffer.scala 599:141] + node _T_4927 = mux(_T_4907, _T_4911, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4928 = mux(_T_4912, _T_4916, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4929 = mux(_T_4917, _T_4921, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4930 = mux(_T_4922, _T_4926, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4931 = or(_T_4927, _T_4928) @[Mux.scala 27:72] + node _T_4932 = or(_T_4931, _T_4929) @[Mux.scala 27:72] + node _T_4933 = or(_T_4932, _T_4930) @[Mux.scala 27:72] + wire _T_4934 : UInt<1> @[Mux.scala 27:72] + _T_4934 <= _T_4933 @[Mux.scala 27:72] + io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4934 @[lsu_bus_buffer.scala 599:48] + node _T_4935 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 600:82] + node _T_4936 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 600:104] + node _T_4937 = and(_T_4935, _T_4936) @[lsu_bus_buffer.scala 600:93] + node _T_4938 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 600:119] + node _T_4939 = and(_T_4937, _T_4938) @[lsu_bus_buffer.scala 600:108] + node _T_4940 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 600:82] + node _T_4941 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 600:104] + node _T_4942 = and(_T_4940, _T_4941) @[lsu_bus_buffer.scala 600:93] + node _T_4943 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 600:119] + node _T_4944 = and(_T_4942, _T_4943) @[lsu_bus_buffer.scala 600:108] + node _T_4945 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 600:82] + node _T_4946 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 600:104] + node _T_4947 = and(_T_4945, _T_4946) @[lsu_bus_buffer.scala 600:93] + node _T_4948 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 600:119] + node _T_4949 = and(_T_4947, _T_4948) @[lsu_bus_buffer.scala 600:108] + node _T_4950 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 600:82] + node _T_4951 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 600:104] + node _T_4952 = and(_T_4950, _T_4951) @[lsu_bus_buffer.scala 600:93] + node _T_4953 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 600:119] + node _T_4954 = and(_T_4952, _T_4953) @[lsu_bus_buffer.scala 600:108] + node _T_4955 = mux(_T_4939, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4956 = mux(_T_4944, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4957 = mux(_T_4949, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4958 = mux(_T_4954, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4959 = or(_T_4955, _T_4956) @[Mux.scala 27:72] + node _T_4960 = or(_T_4959, _T_4957) @[Mux.scala 27:72] + node _T_4961 = or(_T_4960, _T_4958) @[Mux.scala 27:72] + wire lsu_imprecise_error_store_tag : UInt<2> @[Mux.scala 27:72] + lsu_imprecise_error_store_tag <= _T_4961 @[Mux.scala 27:72] + node _T_4962 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 602:97] + node _T_4963 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4962) @[lsu_bus_buffer.scala 602:95] + io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4963 @[lsu_bus_buffer.scala 602:47] + node _T_4964 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 603:53] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4964 @[lsu_bus_buffer.scala 603:47] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[lsu_bus_buffer.scala 604:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[lsu_bus_buffer.scala 606:23] + node _T_4965 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 609:59] + node _T_4966 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 609:104] + node _T_4967 = or(_T_4965, _T_4966) @[lsu_bus_buffer.scala 609:82] + node _T_4968 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 609:149] + node _T_4969 = or(_T_4967, _T_4968) @[lsu_bus_buffer.scala 609:126] + io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4969 @[lsu_bus_buffer.scala 609:35] + node _T_4970 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 610:60] + node _T_4971 = and(_T_4970, io.lsu_commit_r) @[lsu_bus_buffer.scala 610:77] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4971 @[lsu_bus_buffer.scala 610:41] + node _T_4972 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 611:83] + io.tlu_busbuff.lsu_pmu_bus_error <= _T_4972 @[lsu_bus_buffer.scala 611:36] + node _T_4973 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 613:61] + node _T_4974 = and(io.lsu_axi.aw.valid, _T_4973) @[lsu_bus_buffer.scala 613:59] + node _T_4975 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 613:107] + node _T_4976 = and(io.lsu_axi.w.valid, _T_4975) @[lsu_bus_buffer.scala 613:105] + node _T_4977 = or(_T_4974, _T_4976) @[lsu_bus_buffer.scala 613:83] + node _T_4978 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 613:153] + node _T_4979 = and(io.lsu_axi.ar.valid, _T_4978) @[lsu_bus_buffer.scala 613:151] + node _T_4980 = or(_T_4977, _T_4979) @[lsu_bus_buffer.scala 613:128] + io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4980 @[lsu_bus_buffer.scala 613:35] + reg _T_4981 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 615:49] + _T_4981 <= WrPtr0_m @[lsu_bus_buffer.scala 615:49] + WrPtr0_r <= _T_4981 @[lsu_bus_buffer.scala 615:12] + reg _T_4982 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 616:49] + _T_4982 <= WrPtr1_m @[lsu_bus_buffer.scala 616:49] + WrPtr1_r <= _T_4982 @[lsu_bus_buffer.scala 616:12] + node _T_4983 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 617:75] + node _T_4984 = and(io.lsu_busreq_m, _T_4983) @[lsu_bus_buffer.scala 617:73] + node _T_4985 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 617:89] + node _T_4986 = and(_T_4984, _T_4985) @[lsu_bus_buffer.scala 617:87] + reg _T_4987 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 617:56] + _T_4987 <= _T_4986 @[lsu_bus_buffer.scala 617:56] + io.lsu_busreq_r <= _T_4987 @[lsu_bus_buffer.scala 617:19] + reg _T_4988 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 618:66] + _T_4988 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 618:66] + lsu_nonblock_load_valid_r <= _T_4988 @[lsu_bus_buffer.scala 618:29] + + module lsu_bus_intf : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, flip lsu_c1_m_clk : Clock, flip lsu_c1_r_clk : Clock, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip free_clk : Clock, flip lsu_busm_clk : Clock, axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_busreq_m : UInt<1>, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_d : UInt<32>, flip lsu_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_d : UInt<32>, flip end_addr_m : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip dec_tlu_force_halt : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, bus_read_data_m : UInt<32>, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, flip lsu_bus_clk_en : UInt<1>} + + wire lsu_bus_clk_en_q : UInt<1> + lsu_bus_clk_en_q <= UInt<1>("h00") + wire ldst_dual_d : UInt<1> + ldst_dual_d <= UInt<1>("h00") + wire ldst_dual_m : UInt<1> + ldst_dual_m <= UInt<1>("h00") + wire ldst_dual_r : UInt<1> + ldst_dual_r <= UInt<1>("h00") + wire ldst_byteen_m : UInt<4> + ldst_byteen_m <= UInt<1>("h00") + wire ldst_byteen_r : UInt<4> + ldst_byteen_r <= UInt<1>("h00") + wire ldst_byteen_ext_m : UInt<8> + ldst_byteen_ext_m <= UInt<1>("h00") + wire ldst_byteen_ext_r : UInt<8> + ldst_byteen_ext_r <= UInt<1>("h00") + wire ldst_byteen_hi_m : UInt<4> + ldst_byteen_hi_m <= UInt<1>("h00") + wire ldst_byteen_hi_r : UInt<4> + ldst_byteen_hi_r <= UInt<1>("h00") + wire ldst_byteen_lo_m : UInt<4> + ldst_byteen_lo_m <= UInt<1>("h00") + wire ldst_byteen_lo_r : UInt<4> + ldst_byteen_lo_r <= UInt<1>("h00") + wire is_sideeffects_r : UInt<1> + is_sideeffects_r <= UInt<1>("h00") + wire store_data_ext_r : UInt<64> + store_data_ext_r <= UInt<1>("h00") + wire store_data_hi_r : UInt<32> + store_data_hi_r <= UInt<1>("h00") + wire store_data_lo_r : UInt<32> + store_data_lo_r <= UInt<1>("h00") + wire addr_match_dw_lo_r_m : UInt<1> + addr_match_dw_lo_r_m <= UInt<1>("h00") + wire addr_match_word_lo_r_m : UInt<1> + addr_match_word_lo_r_m <= UInt<1>("h00") + wire no_word_merge_r : UInt<1> + no_word_merge_r <= UInt<1>("h00") + wire no_dword_merge_r : UInt<1> + no_dword_merge_r <= UInt<1>("h00") + wire ld_addr_rhit_lo_lo : UInt<1> + ld_addr_rhit_lo_lo <= UInt<1>("h00") + wire ld_addr_rhit_hi_lo : UInt<1> + ld_addr_rhit_hi_lo <= UInt<1>("h00") + wire ld_addr_rhit_lo_hi : UInt<1> + ld_addr_rhit_lo_hi <= UInt<1>("h00") + wire ld_addr_rhit_hi_hi : UInt<1> + ld_addr_rhit_hi_hi <= UInt<1>("h00") + wire ld_byte_rhit_lo_lo : UInt<4> + ld_byte_rhit_lo_lo <= UInt<1>("h00") + wire ld_byte_rhit_hi_lo : UInt<4> + ld_byte_rhit_hi_lo <= UInt<1>("h00") + wire ld_byte_rhit_lo_hi : UInt<4> + ld_byte_rhit_lo_hi <= UInt<1>("h00") + wire ld_byte_rhit_hi_hi : UInt<4> + ld_byte_rhit_hi_hi <= UInt<1>("h00") + wire ld_byte_hit_lo : UInt<4> + ld_byte_hit_lo <= UInt<1>("h00") + wire ld_byte_rhit_lo : UInt<4> + ld_byte_rhit_lo <= UInt<1>("h00") + wire ld_byte_hit_hi : UInt<4> + ld_byte_hit_hi <= UInt<1>("h00") + wire ld_byte_rhit_hi : UInt<4> + ld_byte_rhit_hi <= UInt<1>("h00") + wire ld_fwddata_rpipe_lo : UInt<32> + ld_fwddata_rpipe_lo <= UInt<1>("h00") + wire ld_fwddata_rpipe_hi : UInt<32> + ld_fwddata_rpipe_hi <= UInt<1>("h00") + wire ld_byte_hit_buf_lo : UInt<4> + ld_byte_hit_buf_lo <= UInt<1>("h00") + wire ld_byte_hit_buf_hi : UInt<4> + ld_byte_hit_buf_hi <= UInt<1>("h00") + wire ld_fwddata_buf_lo : UInt<32> + ld_fwddata_buf_lo <= UInt<1>("h00") + wire ld_fwddata_buf_hi : UInt<32> + ld_fwddata_buf_hi <= UInt<1>("h00") + wire ld_fwddata_lo : UInt<64> + ld_fwddata_lo <= UInt<1>("h00") + wire ld_fwddata_hi : UInt<64> + ld_fwddata_hi <= UInt<1>("h00") + wire ld_fwddata_m : UInt<64> + ld_fwddata_m <= UInt<1>("h00") + wire ld_full_hit_hi_m : UInt<1> + ld_full_hit_hi_m <= UInt<1>("h01") + wire ld_full_hit_lo_m : UInt<1> + ld_full_hit_lo_m <= UInt<1>("h01") + wire ld_full_hit_m : UInt<1> + ld_full_hit_m <= UInt<1>("h00") + inst bus_buffer of lsu_bus_buffer @[lsu_bus_intf.scala 100:39] + bus_buffer.clock <= clock + bus_buffer.reset <= reset + bus_buffer.io.scan_mode <= io.scan_mode @[lsu_bus_intf.scala 102:29] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_addr_any @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_imprecise_error_store_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_store_any @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_imprecise_error_load_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_load_any @[lsu_bus_intf.scala 103:18] + bus_buffer.io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= io.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[lsu_bus_intf.scala 103:18] + bus_buffer.io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= io.tlu_busbuff.dec_tlu_wb_coalescing_disable @[lsu_bus_intf.scala 103:18] + bus_buffer.io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= io.tlu_busbuff.dec_tlu_external_ldfwd_disable @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_busy <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_busy @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_error <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_error @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_misaligned @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_trxn <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_trxn @[lsu_bus_intf.scala 103:18] + bus_buffer.io.dec_tlu_force_halt <= io.dec_tlu_force_halt @[lsu_bus_intf.scala 105:51] + bus_buffer.io.lsu_c2_r_clk <= io.lsu_c2_r_clk @[lsu_bus_intf.scala 106:51] + bus_buffer.io.lsu_bus_ibuf_c1_clk <= io.lsu_bus_ibuf_c1_clk @[lsu_bus_intf.scala 107:51] + bus_buffer.io.lsu_bus_obuf_c1_clk <= io.lsu_bus_obuf_c1_clk @[lsu_bus_intf.scala 108:51] + bus_buffer.io.lsu_bus_buf_c1_clk <= io.lsu_bus_buf_c1_clk @[lsu_bus_intf.scala 109:51] + bus_buffer.io.lsu_free_c2_clk <= io.lsu_free_c2_clk @[lsu_bus_intf.scala 110:51] + bus_buffer.io.lsu_busm_clk <= io.lsu_busm_clk @[lsu_bus_intf.scala 111:51] + bus_buffer.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu_bus_intf.scala 112:51] + bus_buffer.io.lsu_pkt_m.bits.store_data_bypass_m <= io.lsu_pkt_m.bits.store_data_bypass_m @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.load_ldst_bypass_d <= io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.store_data_bypass_d <= io.lsu_pkt_m.bits.store_data_bypass_d @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.dma <= io.lsu_pkt_m.bits.dma @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.unsign <= io.lsu_pkt_m.bits.unsign @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.store <= io.lsu_pkt_m.bits.store @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.load <= io.lsu_pkt_m.bits.load @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.dword <= io.lsu_pkt_m.bits.dword @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.word <= io.lsu_pkt_m.bits.word @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.half <= io.lsu_pkt_m.bits.half @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.by <= io.lsu_pkt_m.bits.by @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.fast_int <= io.lsu_pkt_m.bits.fast_int @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.valid <= io.lsu_pkt_m.valid @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_r.bits.store_data_bypass_m <= io.lsu_pkt_r.bits.store_data_bypass_m @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.load_ldst_bypass_d <= io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.store_data_bypass_d <= io.lsu_pkt_r.bits.store_data_bypass_d @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.dma <= io.lsu_pkt_r.bits.dma @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.unsign <= io.lsu_pkt_r.bits.unsign @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.store <= io.lsu_pkt_r.bits.store @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.load <= io.lsu_pkt_r.bits.load @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.dword <= io.lsu_pkt_r.bits.dword @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.word <= io.lsu_pkt_r.bits.word @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.half <= io.lsu_pkt_r.bits.half @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.by <= io.lsu_pkt_r.bits.by @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.fast_int <= io.lsu_pkt_r.bits.fast_int @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.valid <= io.lsu_pkt_r.valid @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_addr_m <= io.lsu_addr_m @[lsu_bus_intf.scala 119:51] + bus_buffer.io.end_addr_m <= io.end_addr_m @[lsu_bus_intf.scala 120:51] + bus_buffer.io.lsu_addr_r <= io.lsu_addr_r @[lsu_bus_intf.scala 121:51] + bus_buffer.io.end_addr_r <= io.end_addr_r @[lsu_bus_intf.scala 122:51] + bus_buffer.io.store_data_r <= io.store_data_r @[lsu_bus_intf.scala 123:51] + bus_buffer.io.lsu_busreq_m <= io.lsu_busreq_m @[lsu_bus_intf.scala 125:51] + bus_buffer.io.flush_m_up <= io.flush_m_up @[lsu_bus_intf.scala 126:51] + bus_buffer.io.flush_r <= io.flush_r @[lsu_bus_intf.scala 127:51] + bus_buffer.io.lsu_commit_r <= io.lsu_commit_r @[lsu_bus_intf.scala 128:51] + bus_buffer.io.lsu_axi.r.bits.last <= io.axi.r.bits.last @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.r.bits.resp <= io.axi.r.bits.resp @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.r.bits.data <= io.axi.r.bits.data @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.r.bits.id <= io.axi.r.bits.id @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.r.valid <= io.axi.r.valid @[lsu_bus_intf.scala 129:43] + io.axi.r.ready <= bus_buffer.io.lsu_axi.r.ready @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.qos <= bus_buffer.io.lsu_axi.ar.bits.qos @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.prot <= bus_buffer.io.lsu_axi.ar.bits.prot @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.cache <= bus_buffer.io.lsu_axi.ar.bits.cache @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.lock <= bus_buffer.io.lsu_axi.ar.bits.lock @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.burst <= bus_buffer.io.lsu_axi.ar.bits.burst @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.size <= bus_buffer.io.lsu_axi.ar.bits.size @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.len <= bus_buffer.io.lsu_axi.ar.bits.len @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.region <= bus_buffer.io.lsu_axi.ar.bits.region @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.addr <= bus_buffer.io.lsu_axi.ar.bits.addr @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.id <= bus_buffer.io.lsu_axi.ar.bits.id @[lsu_bus_intf.scala 129:43] + io.axi.ar.valid <= bus_buffer.io.lsu_axi.ar.valid @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.ar.ready <= io.axi.ar.ready @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.b.bits.id <= io.axi.b.bits.id @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.b.bits.resp <= io.axi.b.bits.resp @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.b.valid <= io.axi.b.valid @[lsu_bus_intf.scala 129:43] + io.axi.b.ready <= bus_buffer.io.lsu_axi.b.ready @[lsu_bus_intf.scala 129:43] + io.axi.w.bits.last <= bus_buffer.io.lsu_axi.w.bits.last @[lsu_bus_intf.scala 129:43] + io.axi.w.bits.strb <= bus_buffer.io.lsu_axi.w.bits.strb @[lsu_bus_intf.scala 129:43] + io.axi.w.bits.data <= bus_buffer.io.lsu_axi.w.bits.data @[lsu_bus_intf.scala 129:43] + io.axi.w.valid <= bus_buffer.io.lsu_axi.w.valid @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.w.ready <= io.axi.w.ready @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.qos <= bus_buffer.io.lsu_axi.aw.bits.qos @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.prot <= bus_buffer.io.lsu_axi.aw.bits.prot @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.cache <= bus_buffer.io.lsu_axi.aw.bits.cache @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.lock <= bus_buffer.io.lsu_axi.aw.bits.lock @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.burst <= bus_buffer.io.lsu_axi.aw.bits.burst @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.size <= bus_buffer.io.lsu_axi.aw.bits.size @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.len <= bus_buffer.io.lsu_axi.aw.bits.len @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.region <= bus_buffer.io.lsu_axi.aw.bits.region @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.addr <= bus_buffer.io.lsu_axi.aw.bits.addr @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.id <= bus_buffer.io.lsu_axi.aw.bits.id @[lsu_bus_intf.scala 129:43] + io.axi.aw.valid <= bus_buffer.io.lsu_axi.aw.valid @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.aw.ready <= io.axi.aw.ready @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[lsu_bus_intf.scala 130:51] + io.lsu_busreq_r <= bus_buffer.io.lsu_busreq_r @[lsu_bus_intf.scala 132:38] + io.lsu_bus_buffer_pend_any <= bus_buffer.io.lsu_bus_buffer_pend_any @[lsu_bus_intf.scala 133:38] + io.lsu_bus_buffer_full_any <= bus_buffer.io.lsu_bus_buffer_full_any @[lsu_bus_intf.scala 134:38] + io.lsu_bus_buffer_empty_any <= bus_buffer.io.lsu_bus_buffer_empty_any @[lsu_bus_intf.scala 135:38] + io.lsu_bus_idle_any <= bus_buffer.io.lsu_bus_idle_any @[lsu_bus_intf.scala 136:38] + ld_byte_hit_buf_lo <= bus_buffer.io.ld_byte_hit_buf_lo @[lsu_bus_intf.scala 137:38] + ld_byte_hit_buf_hi <= bus_buffer.io.ld_byte_hit_buf_hi @[lsu_bus_intf.scala 138:38] + ld_fwddata_buf_lo <= bus_buffer.io.ld_fwddata_buf_lo @[lsu_bus_intf.scala 139:38] + ld_fwddata_buf_hi <= bus_buffer.io.ld_fwddata_buf_hi @[lsu_bus_intf.scala 140:38] + io.dctl_busbuff.lsu_nonblock_load_data <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_tag @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_data_error <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_error @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_valid @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_inv_r @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_tag_m @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_intf.scala 141:19] + bus_buffer.io.no_word_merge_r <= no_word_merge_r @[lsu_bus_intf.scala 142:51] + bus_buffer.io.no_dword_merge_r <= no_dword_merge_r @[lsu_bus_intf.scala 143:51] + bus_buffer.io.is_sideeffects_r <= is_sideeffects_r @[lsu_bus_intf.scala 144:51] + bus_buffer.io.ldst_dual_d <= ldst_dual_d @[lsu_bus_intf.scala 145:51] + bus_buffer.io.ldst_dual_m <= ldst_dual_m @[lsu_bus_intf.scala 146:51] + bus_buffer.io.ldst_dual_r <= ldst_dual_r @[lsu_bus_intf.scala 147:51] + bus_buffer.io.ldst_byteen_ext_m <= ldst_byteen_ext_m @[lsu_bus_intf.scala 148:51] + bus_buffer.io.ld_full_hit_m <= ld_full_hit_m @[lsu_bus_intf.scala 149:51] + bus_buffer.io.lsu_bus_clk_en_q <= lsu_bus_clk_en_q @[lsu_bus_intf.scala 150:51] + node _T = bits(io.lsu_pkt_m.bits.word, 0, 0) @[lsu_bus_intf.scala 152:63] + node _T_1 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[lsu_bus_intf.scala 152:107] + node _T_2 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[lsu_bus_intf.scala 152:148] + node _T_3 = mux(_T, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4 = mux(_T_1, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_5 = mux(_T_2, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_6 = or(_T_3, _T_4) @[Mux.scala 27:72] + node _T_7 = or(_T_6, _T_5) @[Mux.scala 27:72] + wire _T_8 : UInt<4> @[Mux.scala 27:72] + _T_8 <= _T_7 @[Mux.scala 27:72] + ldst_byteen_m <= _T_8 @[lsu_bus_intf.scala 152:27] + node _T_9 = bits(io.lsu_addr_d, 2, 2) @[lsu_bus_intf.scala 153:43] + node _T_10 = bits(io.end_addr_d, 2, 2) @[lsu_bus_intf.scala 153:64] + node _T_11 = neq(_T_9, _T_10) @[lsu_bus_intf.scala 153:47] + ldst_dual_d <= _T_11 @[lsu_bus_intf.scala 153:27] + node _T_12 = bits(io.lsu_addr_r, 31, 3) @[lsu_bus_intf.scala 154:44] + node _T_13 = bits(io.lsu_addr_m, 31, 3) @[lsu_bus_intf.scala 154:68] + node _T_14 = eq(_T_12, _T_13) @[lsu_bus_intf.scala 154:51] + addr_match_dw_lo_r_m <= _T_14 @[lsu_bus_intf.scala 154:27] + node _T_15 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_intf.scala 155:68] + node _T_16 = bits(io.lsu_addr_m, 2, 2) @[lsu_bus_intf.scala 155:85] + node _T_17 = xor(_T_15, _T_16) @[lsu_bus_intf.scala 155:71] + node _T_18 = eq(_T_17, UInt<1>("h00")) @[lsu_bus_intf.scala 155:53] + node _T_19 = and(addr_match_dw_lo_r_m, _T_18) @[lsu_bus_intf.scala 155:51] + addr_match_word_lo_r_m <= _T_19 @[lsu_bus_intf.scala 155:27] + node _T_20 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_bus_intf.scala 156:48] + node _T_21 = and(io.lsu_busreq_r, _T_20) @[lsu_bus_intf.scala 156:46] + node _T_22 = and(_T_21, io.lsu_busreq_m) @[lsu_bus_intf.scala 156:61] + node _T_23 = eq(addr_match_word_lo_r_m, UInt<1>("h00")) @[lsu_bus_intf.scala 156:107] + node _T_24 = or(io.lsu_pkt_m.bits.load, _T_23) @[lsu_bus_intf.scala 156:105] + node _T_25 = and(_T_22, _T_24) @[lsu_bus_intf.scala 156:79] + no_word_merge_r <= _T_25 @[lsu_bus_intf.scala 156:27] + node _T_26 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_bus_intf.scala 157:48] + node _T_27 = and(io.lsu_busreq_r, _T_26) @[lsu_bus_intf.scala 157:46] + node _T_28 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_intf.scala 157:61] + node _T_29 = eq(addr_match_dw_lo_r_m, UInt<1>("h00")) @[lsu_bus_intf.scala 157:107] + node _T_30 = or(io.lsu_pkt_m.bits.load, _T_29) @[lsu_bus_intf.scala 157:105] + node _T_31 = and(_T_28, _T_30) @[lsu_bus_intf.scala 157:79] + no_dword_merge_r <= _T_31 @[lsu_bus_intf.scala 157:27] + node _T_32 = bits(ldst_byteen_m, 3, 0) @[lsu_bus_intf.scala 159:43] + node _T_33 = bits(io.lsu_addr_m, 1, 0) @[lsu_bus_intf.scala 159:65] + node _T_34 = dshl(_T_32, _T_33) @[lsu_bus_intf.scala 159:49] + ldst_byteen_ext_m <= _T_34 @[lsu_bus_intf.scala 159:27] + node _T_35 = bits(ldst_byteen_r, 3, 0) @[lsu_bus_intf.scala 160:43] + node _T_36 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_intf.scala 160:65] + node _T_37 = dshl(_T_35, _T_36) @[lsu_bus_intf.scala 160:49] + ldst_byteen_ext_r <= _T_37 @[lsu_bus_intf.scala 160:27] + node _T_38 = bits(io.store_data_r, 31, 0) @[lsu_bus_intf.scala 161:45] + node _T_39 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_intf.scala 161:72] + node _T_40 = cat(_T_39, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_41 = dshl(_T_38, _T_40) @[lsu_bus_intf.scala 161:52] + store_data_ext_r <= _T_41 @[lsu_bus_intf.scala 161:27] + node _T_42 = bits(ldst_byteen_ext_m, 7, 4) @[lsu_bus_intf.scala 162:47] + ldst_byteen_hi_m <= _T_42 @[lsu_bus_intf.scala 162:27] + node _T_43 = bits(ldst_byteen_ext_m, 3, 0) @[lsu_bus_intf.scala 163:47] + ldst_byteen_lo_m <= _T_43 @[lsu_bus_intf.scala 163:27] + node _T_44 = bits(ldst_byteen_ext_r, 7, 4) @[lsu_bus_intf.scala 164:47] + ldst_byteen_hi_r <= _T_44 @[lsu_bus_intf.scala 164:27] + node _T_45 = bits(ldst_byteen_ext_r, 3, 0) @[lsu_bus_intf.scala 165:47] + ldst_byteen_lo_r <= _T_45 @[lsu_bus_intf.scala 165:27] + node _T_46 = bits(store_data_ext_r, 63, 32) @[lsu_bus_intf.scala 167:46] + store_data_hi_r <= _T_46 @[lsu_bus_intf.scala 167:27] + node _T_47 = bits(store_data_ext_r, 31, 0) @[lsu_bus_intf.scala 168:46] + store_data_lo_r <= _T_47 @[lsu_bus_intf.scala 168:27] + node _T_48 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_intf.scala 169:44] + node _T_49 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_intf.scala 169:68] + node _T_50 = eq(_T_48, _T_49) @[lsu_bus_intf.scala 169:51] + node _T_51 = and(_T_50, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 169:76] + node _T_52 = and(_T_51, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 169:97] + node _T_53 = and(_T_52, io.lsu_busreq_m) @[lsu_bus_intf.scala 169:123] + ld_addr_rhit_lo_lo <= _T_53 @[lsu_bus_intf.scala 169:27] + node _T_54 = bits(io.end_addr_m, 31, 2) @[lsu_bus_intf.scala 170:44] + node _T_55 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_intf.scala 170:68] + node _T_56 = eq(_T_54, _T_55) @[lsu_bus_intf.scala 170:51] + node _T_57 = and(_T_56, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 170:76] + node _T_58 = and(_T_57, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 170:97] + node _T_59 = and(_T_58, io.lsu_busreq_m) @[lsu_bus_intf.scala 170:123] + ld_addr_rhit_lo_hi <= _T_59 @[lsu_bus_intf.scala 170:27] + node _T_60 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_intf.scala 171:44] + node _T_61 = bits(io.end_addr_r, 31, 2) @[lsu_bus_intf.scala 171:68] + node _T_62 = eq(_T_60, _T_61) @[lsu_bus_intf.scala 171:51] + node _T_63 = and(_T_62, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 171:76] + node _T_64 = and(_T_63, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 171:97] + node _T_65 = and(_T_64, io.lsu_busreq_m) @[lsu_bus_intf.scala 171:123] + ld_addr_rhit_hi_lo <= _T_65 @[lsu_bus_intf.scala 171:27] + node _T_66 = bits(io.end_addr_m, 31, 2) @[lsu_bus_intf.scala 172:44] + node _T_67 = bits(io.end_addr_r, 31, 2) @[lsu_bus_intf.scala 172:68] + node _T_68 = eq(_T_66, _T_67) @[lsu_bus_intf.scala 172:51] + node _T_69 = and(_T_68, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 172:76] + node _T_70 = and(_T_69, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 172:97] + node _T_71 = and(_T_70, io.lsu_busreq_m) @[lsu_bus_intf.scala 172:123] + ld_addr_rhit_hi_hi <= _T_71 @[lsu_bus_intf.scala 172:27] + node _T_72 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_intf.scala 174:88] + node _T_73 = and(ld_addr_rhit_lo_lo, _T_72) @[lsu_bus_intf.scala 174:70] + node _T_74 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 174:110] + node _T_75 = and(_T_73, _T_74) @[lsu_bus_intf.scala 174:92] + node _T_76 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_intf.scala 174:88] + node _T_77 = and(ld_addr_rhit_lo_lo, _T_76) @[lsu_bus_intf.scala 174:70] + node _T_78 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 174:110] + node _T_79 = and(_T_77, _T_78) @[lsu_bus_intf.scala 174:92] + node _T_80 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_intf.scala 174:88] + node _T_81 = and(ld_addr_rhit_lo_lo, _T_80) @[lsu_bus_intf.scala 174:70] + node _T_82 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 174:110] + node _T_83 = and(_T_81, _T_82) @[lsu_bus_intf.scala 174:92] + node _T_84 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_intf.scala 174:88] + node _T_85 = and(ld_addr_rhit_lo_lo, _T_84) @[lsu_bus_intf.scala 174:70] + node _T_86 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 174:110] + node _T_87 = and(_T_85, _T_86) @[lsu_bus_intf.scala 174:92] + node _T_88 = cat(_T_87, _T_83) @[Cat.scala 29:58] + node _T_89 = cat(_T_88, _T_79) @[Cat.scala 29:58] + node _T_90 = cat(_T_89, _T_75) @[Cat.scala 29:58] + ld_byte_rhit_lo_lo <= _T_90 @[lsu_bus_intf.scala 174:27] + node _T_91 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_intf.scala 175:88] + node _T_92 = and(ld_addr_rhit_lo_hi, _T_91) @[lsu_bus_intf.scala 175:70] + node _T_93 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 175:110] + node _T_94 = and(_T_92, _T_93) @[lsu_bus_intf.scala 175:92] + node _T_95 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_intf.scala 175:88] + node _T_96 = and(ld_addr_rhit_lo_hi, _T_95) @[lsu_bus_intf.scala 175:70] + node _T_97 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 175:110] + node _T_98 = and(_T_96, _T_97) @[lsu_bus_intf.scala 175:92] + node _T_99 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_intf.scala 175:88] + node _T_100 = and(ld_addr_rhit_lo_hi, _T_99) @[lsu_bus_intf.scala 175:70] + node _T_101 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 175:110] + node _T_102 = and(_T_100, _T_101) @[lsu_bus_intf.scala 175:92] + node _T_103 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_intf.scala 175:88] + node _T_104 = and(ld_addr_rhit_lo_hi, _T_103) @[lsu_bus_intf.scala 175:70] + node _T_105 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 175:110] + node _T_106 = and(_T_104, _T_105) @[lsu_bus_intf.scala 175:92] + node _T_107 = cat(_T_106, _T_102) @[Cat.scala 29:58] + node _T_108 = cat(_T_107, _T_98) @[Cat.scala 29:58] + node _T_109 = cat(_T_108, _T_94) @[Cat.scala 29:58] + ld_byte_rhit_lo_hi <= _T_109 @[lsu_bus_intf.scala 175:27] + node _T_110 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_bus_intf.scala 176:88] + node _T_111 = and(ld_addr_rhit_hi_lo, _T_110) @[lsu_bus_intf.scala 176:70] + node _T_112 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 176:110] + node _T_113 = and(_T_111, _T_112) @[lsu_bus_intf.scala 176:92] + node _T_114 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_bus_intf.scala 176:88] + node _T_115 = and(ld_addr_rhit_hi_lo, _T_114) @[lsu_bus_intf.scala 176:70] + node _T_116 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 176:110] + node _T_117 = and(_T_115, _T_116) @[lsu_bus_intf.scala 176:92] + node _T_118 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_bus_intf.scala 176:88] + node _T_119 = and(ld_addr_rhit_hi_lo, _T_118) @[lsu_bus_intf.scala 176:70] + node _T_120 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 176:110] + node _T_121 = and(_T_119, _T_120) @[lsu_bus_intf.scala 176:92] + node _T_122 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_bus_intf.scala 176:88] + node _T_123 = and(ld_addr_rhit_hi_lo, _T_122) @[lsu_bus_intf.scala 176:70] + node _T_124 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 176:110] + node _T_125 = and(_T_123, _T_124) @[lsu_bus_intf.scala 176:92] + node _T_126 = cat(_T_125, _T_121) @[Cat.scala 29:58] + node _T_127 = cat(_T_126, _T_117) @[Cat.scala 29:58] + node _T_128 = cat(_T_127, _T_113) @[Cat.scala 29:58] + ld_byte_rhit_hi_lo <= _T_128 @[lsu_bus_intf.scala 176:27] + node _T_129 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_bus_intf.scala 177:88] + node _T_130 = and(ld_addr_rhit_hi_hi, _T_129) @[lsu_bus_intf.scala 177:70] + node _T_131 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 177:110] + node _T_132 = and(_T_130, _T_131) @[lsu_bus_intf.scala 177:92] + node _T_133 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_bus_intf.scala 177:88] + node _T_134 = and(ld_addr_rhit_hi_hi, _T_133) @[lsu_bus_intf.scala 177:70] + node _T_135 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 177:110] + node _T_136 = and(_T_134, _T_135) @[lsu_bus_intf.scala 177:92] + node _T_137 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_bus_intf.scala 177:88] + node _T_138 = and(ld_addr_rhit_hi_hi, _T_137) @[lsu_bus_intf.scala 177:70] + node _T_139 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 177:110] + node _T_140 = and(_T_138, _T_139) @[lsu_bus_intf.scala 177:92] + node _T_141 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_bus_intf.scala 177:88] + node _T_142 = and(ld_addr_rhit_hi_hi, _T_141) @[lsu_bus_intf.scala 177:70] + node _T_143 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 177:110] + node _T_144 = and(_T_142, _T_143) @[lsu_bus_intf.scala 177:92] + node _T_145 = cat(_T_144, _T_140) @[Cat.scala 29:58] + node _T_146 = cat(_T_145, _T_136) @[Cat.scala 29:58] + node _T_147 = cat(_T_146, _T_132) @[Cat.scala 29:58] + ld_byte_rhit_hi_hi <= _T_147 @[lsu_bus_intf.scala 177:27] + node _T_148 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 179:69] + node _T_149 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 179:93] + node _T_150 = or(_T_148, _T_149) @[lsu_bus_intf.scala 179:73] + node _T_151 = bits(ld_byte_hit_buf_lo, 0, 0) @[lsu_bus_intf.scala 179:117] + node _T_152 = or(_T_150, _T_151) @[lsu_bus_intf.scala 179:97] + node _T_153 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 179:69] + node _T_154 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 179:93] + node _T_155 = or(_T_153, _T_154) @[lsu_bus_intf.scala 179:73] + node _T_156 = bits(ld_byte_hit_buf_lo, 1, 1) @[lsu_bus_intf.scala 179:117] + node _T_157 = or(_T_155, _T_156) @[lsu_bus_intf.scala 179:97] + node _T_158 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 179:69] + node _T_159 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 179:93] + node _T_160 = or(_T_158, _T_159) @[lsu_bus_intf.scala 179:73] + node _T_161 = bits(ld_byte_hit_buf_lo, 2, 2) @[lsu_bus_intf.scala 179:117] + node _T_162 = or(_T_160, _T_161) @[lsu_bus_intf.scala 179:97] + node _T_163 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 179:69] + node _T_164 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 179:93] + node _T_165 = or(_T_163, _T_164) @[lsu_bus_intf.scala 179:73] + node _T_166 = bits(ld_byte_hit_buf_lo, 3, 3) @[lsu_bus_intf.scala 179:117] + node _T_167 = or(_T_165, _T_166) @[lsu_bus_intf.scala 179:97] + node _T_168 = cat(_T_167, _T_162) @[Cat.scala 29:58] + node _T_169 = cat(_T_168, _T_157) @[Cat.scala 29:58] + node _T_170 = cat(_T_169, _T_152) @[Cat.scala 29:58] + ld_byte_hit_lo <= _T_170 @[lsu_bus_intf.scala 179:27] + node _T_171 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 180:69] + node _T_172 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 180:93] + node _T_173 = or(_T_171, _T_172) @[lsu_bus_intf.scala 180:73] + node _T_174 = bits(ld_byte_hit_buf_hi, 0, 0) @[lsu_bus_intf.scala 180:117] + node _T_175 = or(_T_173, _T_174) @[lsu_bus_intf.scala 180:97] + node _T_176 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 180:69] + node _T_177 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 180:93] + node _T_178 = or(_T_176, _T_177) @[lsu_bus_intf.scala 180:73] + node _T_179 = bits(ld_byte_hit_buf_hi, 1, 1) @[lsu_bus_intf.scala 180:117] + node _T_180 = or(_T_178, _T_179) @[lsu_bus_intf.scala 180:97] + node _T_181 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 180:69] + node _T_182 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 180:93] + node _T_183 = or(_T_181, _T_182) @[lsu_bus_intf.scala 180:73] + node _T_184 = bits(ld_byte_hit_buf_hi, 2, 2) @[lsu_bus_intf.scala 180:117] + node _T_185 = or(_T_183, _T_184) @[lsu_bus_intf.scala 180:97] + node _T_186 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 180:69] + node _T_187 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 180:93] + node _T_188 = or(_T_186, _T_187) @[lsu_bus_intf.scala 180:73] + node _T_189 = bits(ld_byte_hit_buf_hi, 3, 3) @[lsu_bus_intf.scala 180:117] + node _T_190 = or(_T_188, _T_189) @[lsu_bus_intf.scala 180:97] + node _T_191 = cat(_T_190, _T_185) @[Cat.scala 29:58] + node _T_192 = cat(_T_191, _T_180) @[Cat.scala 29:58] + node _T_193 = cat(_T_192, _T_175) @[Cat.scala 29:58] + ld_byte_hit_hi <= _T_193 @[lsu_bus_intf.scala 180:27] + node _T_194 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 181:69] + node _T_195 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 181:93] + node _T_196 = or(_T_194, _T_195) @[lsu_bus_intf.scala 181:73] + node _T_197 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 181:69] + node _T_198 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 181:93] + node _T_199 = or(_T_197, _T_198) @[lsu_bus_intf.scala 181:73] + node _T_200 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 181:69] + node _T_201 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 181:93] + node _T_202 = or(_T_200, _T_201) @[lsu_bus_intf.scala 181:73] + node _T_203 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 181:69] + node _T_204 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 181:93] + node _T_205 = or(_T_203, _T_204) @[lsu_bus_intf.scala 181:73] + node _T_206 = cat(_T_205, _T_202) @[Cat.scala 29:58] + node _T_207 = cat(_T_206, _T_199) @[Cat.scala 29:58] + node _T_208 = cat(_T_207, _T_196) @[Cat.scala 29:58] + ld_byte_rhit_lo <= _T_208 @[lsu_bus_intf.scala 181:27] + node _T_209 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 182:69] + node _T_210 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 182:93] + node _T_211 = or(_T_209, _T_210) @[lsu_bus_intf.scala 182:73] + node _T_212 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 182:69] + node _T_213 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 182:93] + node _T_214 = or(_T_212, _T_213) @[lsu_bus_intf.scala 182:73] + node _T_215 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 182:69] + node _T_216 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 182:93] + node _T_217 = or(_T_215, _T_216) @[lsu_bus_intf.scala 182:73] + node _T_218 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 182:69] + node _T_219 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 182:93] + node _T_220 = or(_T_218, _T_219) @[lsu_bus_intf.scala 182:73] + node _T_221 = cat(_T_220, _T_217) @[Cat.scala 29:58] + node _T_222 = cat(_T_221, _T_214) @[Cat.scala 29:58] + node _T_223 = cat(_T_222, _T_211) @[Cat.scala 29:58] + ld_byte_rhit_hi <= _T_223 @[lsu_bus_intf.scala 182:27] + node _T_224 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 183:79] + node _T_225 = bits(store_data_lo_r, 7, 0) @[lsu_bus_intf.scala 183:101] + node _T_226 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 183:136] + node _T_227 = bits(store_data_hi_r, 7, 0) @[lsu_bus_intf.scala 183:158] + node _T_228 = mux(_T_224, _T_225, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_229 = mux(_T_226, _T_227, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_230 = or(_T_228, _T_229) @[Mux.scala 27:72] + wire _T_231 : UInt<8> @[Mux.scala 27:72] + _T_231 <= _T_230 @[Mux.scala 27:72] + node _T_232 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 183:79] + node _T_233 = bits(store_data_lo_r, 15, 8) @[lsu_bus_intf.scala 183:101] + node _T_234 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 183:136] + node _T_235 = bits(store_data_hi_r, 15, 8) @[lsu_bus_intf.scala 183:158] + node _T_236 = mux(_T_232, _T_233, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_237 = mux(_T_234, _T_235, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_238 = or(_T_236, _T_237) @[Mux.scala 27:72] + wire _T_239 : UInt<8> @[Mux.scala 27:72] + _T_239 <= _T_238 @[Mux.scala 27:72] + node _T_240 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 183:79] + node _T_241 = bits(store_data_lo_r, 23, 16) @[lsu_bus_intf.scala 183:101] + node _T_242 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 183:136] + node _T_243 = bits(store_data_hi_r, 23, 16) @[lsu_bus_intf.scala 183:158] + node _T_244 = mux(_T_240, _T_241, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_245 = mux(_T_242, _T_243, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_246 = or(_T_244, _T_245) @[Mux.scala 27:72] + wire _T_247 : UInt<8> @[Mux.scala 27:72] + _T_247 <= _T_246 @[Mux.scala 27:72] + node _T_248 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 183:79] + node _T_249 = bits(store_data_lo_r, 31, 24) @[lsu_bus_intf.scala 183:101] + node _T_250 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 183:136] + node _T_251 = bits(store_data_hi_r, 31, 24) @[lsu_bus_intf.scala 183:158] + node _T_252 = mux(_T_248, _T_249, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_253 = mux(_T_250, _T_251, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_254 = or(_T_252, _T_253) @[Mux.scala 27:72] + wire _T_255 : UInt<8> @[Mux.scala 27:72] + _T_255 <= _T_254 @[Mux.scala 27:72] + node _T_256 = cat(_T_255, _T_247) @[Cat.scala 29:58] + node _T_257 = cat(_T_256, _T_239) @[Cat.scala 29:58] + node _T_258 = cat(_T_257, _T_231) @[Cat.scala 29:58] + ld_fwddata_rpipe_lo <= _T_258 @[lsu_bus_intf.scala 183:27] + node _T_259 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 184:79] + node _T_260 = bits(store_data_lo_r, 7, 0) @[lsu_bus_intf.scala 184:101] + node _T_261 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 184:136] + node _T_262 = bits(store_data_hi_r, 7, 0) @[lsu_bus_intf.scala 184:158] + node _T_263 = mux(_T_259, _T_260, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_264 = mux(_T_261, _T_262, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_265 = or(_T_263, _T_264) @[Mux.scala 27:72] + wire _T_266 : UInt<8> @[Mux.scala 27:72] + _T_266 <= _T_265 @[Mux.scala 27:72] + node _T_267 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 184:79] + node _T_268 = bits(store_data_lo_r, 15, 8) @[lsu_bus_intf.scala 184:101] + node _T_269 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 184:136] + node _T_270 = bits(store_data_hi_r, 15, 8) @[lsu_bus_intf.scala 184:158] + node _T_271 = mux(_T_267, _T_268, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_272 = mux(_T_269, _T_270, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_273 = or(_T_271, _T_272) @[Mux.scala 27:72] + wire _T_274 : UInt<8> @[Mux.scala 27:72] + _T_274 <= _T_273 @[Mux.scala 27:72] + node _T_275 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 184:79] + node _T_276 = bits(store_data_lo_r, 23, 16) @[lsu_bus_intf.scala 184:101] + node _T_277 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 184:136] + node _T_278 = bits(store_data_hi_r, 23, 16) @[lsu_bus_intf.scala 184:158] + node _T_279 = mux(_T_275, _T_276, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_280 = mux(_T_277, _T_278, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_281 = or(_T_279, _T_280) @[Mux.scala 27:72] + wire _T_282 : UInt<8> @[Mux.scala 27:72] + _T_282 <= _T_281 @[Mux.scala 27:72] + node _T_283 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 184:79] + node _T_284 = bits(store_data_lo_r, 31, 24) @[lsu_bus_intf.scala 184:101] + node _T_285 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 184:136] + node _T_286 = bits(store_data_hi_r, 31, 24) @[lsu_bus_intf.scala 184:158] + node _T_287 = mux(_T_283, _T_284, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_288 = mux(_T_285, _T_286, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_289 = or(_T_287, _T_288) @[Mux.scala 27:72] + wire _T_290 : UInt<8> @[Mux.scala 27:72] + _T_290 <= _T_289 @[Mux.scala 27:72] + node _T_291 = cat(_T_290, _T_282) @[Cat.scala 29:58] + node _T_292 = cat(_T_291, _T_274) @[Cat.scala 29:58] + node _T_293 = cat(_T_292, _T_266) @[Cat.scala 29:58] + ld_fwddata_rpipe_hi <= _T_293 @[lsu_bus_intf.scala 184:27] + node _T_294 = bits(ld_byte_rhit_lo, 0, 0) @[lsu_bus_intf.scala 185:70] + node _T_295 = bits(ld_fwddata_rpipe_lo, 7, 0) @[lsu_bus_intf.scala 185:94] + node _T_296 = bits(ld_fwddata_buf_lo, 7, 0) @[lsu_bus_intf.scala 185:128] + node _T_297 = mux(_T_294, _T_295, _T_296) @[lsu_bus_intf.scala 185:54] + node _T_298 = bits(ld_byte_rhit_lo, 1, 1) @[lsu_bus_intf.scala 185:70] + node _T_299 = bits(ld_fwddata_rpipe_lo, 15, 8) @[lsu_bus_intf.scala 185:94] + node _T_300 = bits(ld_fwddata_buf_lo, 15, 8) @[lsu_bus_intf.scala 185:128] + node _T_301 = mux(_T_298, _T_299, _T_300) @[lsu_bus_intf.scala 185:54] + node _T_302 = bits(ld_byte_rhit_lo, 2, 2) @[lsu_bus_intf.scala 185:70] + node _T_303 = bits(ld_fwddata_rpipe_lo, 23, 16) @[lsu_bus_intf.scala 185:94] + node _T_304 = bits(ld_fwddata_buf_lo, 23, 16) @[lsu_bus_intf.scala 185:128] + node _T_305 = mux(_T_302, _T_303, _T_304) @[lsu_bus_intf.scala 185:54] + node _T_306 = bits(ld_byte_rhit_lo, 3, 3) @[lsu_bus_intf.scala 185:70] + node _T_307 = bits(ld_fwddata_rpipe_lo, 31, 24) @[lsu_bus_intf.scala 185:94] + node _T_308 = bits(ld_fwddata_buf_lo, 31, 24) @[lsu_bus_intf.scala 185:128] + node _T_309 = mux(_T_306, _T_307, _T_308) @[lsu_bus_intf.scala 185:54] + node _T_310 = cat(_T_309, _T_305) @[Cat.scala 29:58] + node _T_311 = cat(_T_310, _T_301) @[Cat.scala 29:58] + node _T_312 = cat(_T_311, _T_297) @[Cat.scala 29:58] + ld_fwddata_lo <= _T_312 @[lsu_bus_intf.scala 185:27] + node _T_313 = bits(ld_byte_rhit_hi, 0, 0) @[lsu_bus_intf.scala 186:70] + node _T_314 = bits(ld_fwddata_rpipe_hi, 7, 0) @[lsu_bus_intf.scala 186:94] + node _T_315 = bits(ld_fwddata_buf_hi, 7, 0) @[lsu_bus_intf.scala 186:128] + node _T_316 = mux(_T_313, _T_314, _T_315) @[lsu_bus_intf.scala 186:54] + node _T_317 = bits(ld_byte_rhit_hi, 1, 1) @[lsu_bus_intf.scala 186:70] + node _T_318 = bits(ld_fwddata_rpipe_hi, 15, 8) @[lsu_bus_intf.scala 186:94] + node _T_319 = bits(ld_fwddata_buf_hi, 15, 8) @[lsu_bus_intf.scala 186:128] + node _T_320 = mux(_T_317, _T_318, _T_319) @[lsu_bus_intf.scala 186:54] + node _T_321 = bits(ld_byte_rhit_hi, 2, 2) @[lsu_bus_intf.scala 186:70] + node _T_322 = bits(ld_fwddata_rpipe_hi, 23, 16) @[lsu_bus_intf.scala 186:94] + node _T_323 = bits(ld_fwddata_buf_hi, 23, 16) @[lsu_bus_intf.scala 186:128] + node _T_324 = mux(_T_321, _T_322, _T_323) @[lsu_bus_intf.scala 186:54] + node _T_325 = bits(ld_byte_rhit_hi, 3, 3) @[lsu_bus_intf.scala 186:70] + node _T_326 = bits(ld_fwddata_rpipe_hi, 31, 24) @[lsu_bus_intf.scala 186:94] + node _T_327 = bits(ld_fwddata_buf_hi, 31, 24) @[lsu_bus_intf.scala 186:128] + node _T_328 = mux(_T_325, _T_326, _T_327) @[lsu_bus_intf.scala 186:54] + node _T_329 = cat(_T_328, _T_324) @[Cat.scala 29:58] + node _T_330 = cat(_T_329, _T_320) @[Cat.scala 29:58] + node _T_331 = cat(_T_330, _T_316) @[Cat.scala 29:58] + ld_fwddata_hi <= _T_331 @[lsu_bus_intf.scala 186:27] + node _T_332 = bits(ld_byte_hit_lo, 0, 0) @[lsu_bus_intf.scala 187:66] + node _T_333 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 187:89] + node _T_334 = eq(_T_333, UInt<1>("h00")) @[lsu_bus_intf.scala 187:72] + node _T_335 = or(_T_332, _T_334) @[lsu_bus_intf.scala 187:70] + node _T_336 = bits(ld_byte_hit_lo, 1, 1) @[lsu_bus_intf.scala 187:66] + node _T_337 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 187:89] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_intf.scala 187:72] + node _T_339 = or(_T_336, _T_338) @[lsu_bus_intf.scala 187:70] + node _T_340 = bits(ld_byte_hit_lo, 2, 2) @[lsu_bus_intf.scala 187:66] + node _T_341 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 187:89] + node _T_342 = eq(_T_341, UInt<1>("h00")) @[lsu_bus_intf.scala 187:72] + node _T_343 = or(_T_340, _T_342) @[lsu_bus_intf.scala 187:70] + node _T_344 = bits(ld_byte_hit_lo, 3, 3) @[lsu_bus_intf.scala 187:66] + node _T_345 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 187:89] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_intf.scala 187:72] + node _T_347 = or(_T_344, _T_346) @[lsu_bus_intf.scala 187:70] + node _T_348 = and(_T_335, _T_339) @[lsu_bus_intf.scala 187:111] + node _T_349 = and(_T_348, _T_343) @[lsu_bus_intf.scala 187:111] + node _T_350 = and(_T_349, _T_347) @[lsu_bus_intf.scala 187:111] + ld_full_hit_lo_m <= _T_350 @[lsu_bus_intf.scala 187:27] + node _T_351 = bits(ld_byte_hit_hi, 0, 0) @[lsu_bus_intf.scala 188:66] + node _T_352 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 188:89] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_354 = or(_T_351, _T_353) @[lsu_bus_intf.scala 188:70] + node _T_355 = bits(ld_byte_hit_hi, 1, 1) @[lsu_bus_intf.scala 188:66] + node _T_356 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 188:89] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_358 = or(_T_355, _T_357) @[lsu_bus_intf.scala 188:70] + node _T_359 = bits(ld_byte_hit_hi, 2, 2) @[lsu_bus_intf.scala 188:66] + node _T_360 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 188:89] + node _T_361 = eq(_T_360, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_362 = or(_T_359, _T_361) @[lsu_bus_intf.scala 188:70] + node _T_363 = bits(ld_byte_hit_hi, 3, 3) @[lsu_bus_intf.scala 188:66] + node _T_364 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 188:89] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_366 = or(_T_363, _T_365) @[lsu_bus_intf.scala 188:70] + node _T_367 = and(_T_354, _T_358) @[lsu_bus_intf.scala 188:111] + node _T_368 = and(_T_367, _T_362) @[lsu_bus_intf.scala 188:111] + node _T_369 = and(_T_368, _T_366) @[lsu_bus_intf.scala 188:111] + ld_full_hit_hi_m <= _T_369 @[lsu_bus_intf.scala 188:27] + node _T_370 = and(ld_full_hit_lo_m, ld_full_hit_hi_m) @[lsu_bus_intf.scala 189:47] + node _T_371 = and(_T_370, io.lsu_busreq_m) @[lsu_bus_intf.scala 189:66] + node _T_372 = and(_T_371, io.lsu_pkt_m.bits.load) @[lsu_bus_intf.scala 189:84] + node _T_373 = eq(io.is_sideeffects_m, UInt<1>("h00")) @[lsu_bus_intf.scala 189:111] + node _T_374 = and(_T_372, _T_373) @[lsu_bus_intf.scala 189:109] + ld_full_hit_m <= _T_374 @[lsu_bus_intf.scala 189:27] + node _T_375 = bits(ld_fwddata_hi, 31, 0) @[lsu_bus_intf.scala 190:47] + node _T_376 = bits(ld_fwddata_lo, 31, 0) @[lsu_bus_intf.scala 190:68] + node _T_377 = cat(_T_375, _T_376) @[Cat.scala 29:58] + node _T_378 = bits(io.lsu_addr_m, 1, 0) @[lsu_bus_intf.scala 190:97] + node _T_379 = mul(UInt<4>("h08"), _T_378) @[lsu_bus_intf.scala 190:83] + node _T_380 = dshr(_T_377, _T_379) @[lsu_bus_intf.scala 190:76] + ld_fwddata_m <= _T_380 @[lsu_bus_intf.scala 190:27] + node _T_381 = bits(ld_fwddata_m, 31, 0) @[lsu_bus_intf.scala 191:42] + io.bus_read_data_m <= _T_381 @[lsu_bus_intf.scala 191:27] + reg _T_382 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 194:32] + _T_382 <= io.lsu_bus_clk_en @[lsu_bus_intf.scala 194:32] + lsu_bus_clk_en_q <= _T_382 @[lsu_bus_intf.scala 194:22] + reg _T_383 : UInt<1>, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 197:27] + _T_383 <= ldst_dual_d @[lsu_bus_intf.scala 197:27] + ldst_dual_m <= _T_383 @[lsu_bus_intf.scala 197:17] + reg _T_384 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 200:33] + _T_384 <= ldst_dual_m @[lsu_bus_intf.scala 200:33] + ldst_dual_r <= _T_384 @[lsu_bus_intf.scala 200:23] + reg _T_385 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 201:33] + _T_385 <= io.is_sideeffects_m @[lsu_bus_intf.scala 201:33] + is_sideeffects_r <= _T_385 @[lsu_bus_intf.scala 201:23] + reg _T_386 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<4>("h00"))) @[lsu_bus_intf.scala 202:33] + _T_386 <= ldst_byteen_m @[lsu_bus_intf.scala 202:33] + ldst_byteen_r <= _T_386 @[lsu_bus_intf.scala 202:23] + + module lsu : + input clock : Clock + input reset : AsyncReset + output io : {flip clk_override : UInt<1>, lsu_dma : {dma_lsc_ctl : {flip dma_dccm_req : UInt<1>, flip dma_mem_addr : UInt<32>, flip dma_mem_sz : UInt<3>, flip dma_mem_write : UInt<1>, flip dma_mem_wdata : UInt<64>}, dma_dccm_ctl : {flip dma_mem_addr : UInt<32>, flip dma_mem_wdata : UInt<64>, dccm_dma_rvalid : UInt<1>, dccm_dma_ecc_error : UInt<1>, dccm_dma_rtag : UInt<3>, dccm_dma_rdata : UInt<64>}, dccm_ready : UInt<1>, flip dma_mem_tag : UInt<3>}, lsu_pic : {picm_wren : UInt<1>, picm_rden : UInt<1>, picm_mken : UInt<1>, picm_rdaddr : UInt<32>, picm_wraddr : UInt<32>, picm_wr_data : UInt<32>, flip picm_rd_data : UInt<32>}, lsu_exu : {flip exu_lsu_rs1_d : UInt<32>, flip exu_lsu_rs2_d : UInt<32>}, lsu_dec : {tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}}, flip dccm : {flip wren : UInt<1>, flip rden : UInt<1>, flip wr_addr_lo : UInt<16>, flip wr_addr_hi : UInt<16>, flip rd_addr_lo : UInt<16>, flip rd_addr_hi : UInt<16>, flip wr_data_lo : UInt<39>, flip wr_data_hi : UInt<39>, rd_data_lo : UInt<39>, rd_data_hi : UInt<39>}, lsu_tlu : {lsu_pmu_load_external_m : UInt<1>, lsu_pmu_store_external_m : UInt<1>}, axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dec_tlu_flush_lower_r : UInt<1>, flip dec_tlu_i0_kill_writeb_r : UInt<1>, flip dec_tlu_force_halt : UInt<1>, flip dec_tlu_core_ecc_disable : UInt<1>, flip dec_lsu_offset_d : UInt<12>, flip lsu_p : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip trigger_pkt_any : {select : UInt<1>, match_pkt : UInt<1>, store : UInt<1>, load : UInt<1>, execute : UInt<1>, m : UInt<1>, tdata2 : UInt<32>}[4], flip dec_lsu_valid_raw_d : UInt<1>, flip dec_tlu_mrac_ff : UInt<32>, lsu_result_m : UInt<32>, lsu_result_corr_r : UInt<32>, lsu_load_stall_any : UInt<1>, lsu_store_stall_any : UInt<1>, lsu_fastint_stall_any : UInt<1>, lsu_idle_any : UInt<1>, lsu_fir_addr : UInt<31>, lsu_fir_error : UInt<2>, lsu_single_ecc_error_incr : UInt<1>, lsu_error_pkt_r : {valid : UInt<1>, bits : {single_ecc_error : UInt<1>, inst_type : UInt<1>, exc_type : UInt<1>, mscause : UInt<4>, addr : UInt<32>}}, lsu_pmu_misaligned_m : UInt<1>, lsu_trigger_match_m : UInt<4>, flip lsu_bus_clk_en : UInt<1>, flip scan_mode : UInt<1>, flip free_clk : Clock} + + wire dma_dccm_wdata : UInt<64> + dma_dccm_wdata <= UInt<64>("h00") + wire dma_dccm_wdata_lo : UInt<32> + dma_dccm_wdata_lo <= UInt<32>("h00") + wire dma_dccm_wdata_hi : UInt<32> + dma_dccm_wdata_hi <= UInt<32>("h00") + wire dma_mem_tag_m : UInt<3> + dma_mem_tag_m <= UInt<3>("h00") + wire lsu_raw_fwd_lo_r : UInt<1> + lsu_raw_fwd_lo_r <= UInt<1>("h00") + wire lsu_raw_fwd_hi_r : UInt<1> + lsu_raw_fwd_hi_r <= UInt<1>("h00") + inst lsu_lsc_ctl of lsu_lsc_ctl @[lsu.scala 60:30] + lsu_lsc_ctl.clock <= clock + lsu_lsc_ctl.reset <= reset + io.lsu_result_m <= lsu_lsc_ctl.io.lsu_result_m @[lsu.scala 61:19] + io.lsu_result_corr_r <= lsu_lsc_ctl.io.lsu_result_corr_r @[lsu.scala 62:24] + inst dccm_ctl of lsu_dccm_ctl @[lsu.scala 63:30] + dccm_ctl.clock <= clock + dccm_ctl.reset <= reset + inst stbuf of lsu_stbuf @[lsu.scala 64:30] + stbuf.clock <= clock + stbuf.reset <= reset + inst ecc of lsu_ecc @[lsu.scala 65:30] + ecc.clock <= clock + ecc.reset <= reset + inst trigger of lsu_trigger @[lsu.scala 66:30] + trigger.clock <= clock + trigger.reset <= reset + inst clkdomain of lsu_clkdomain @[lsu.scala 67:30] + clkdomain.clock <= clock + clkdomain.reset <= reset + inst bus_intf of lsu_bus_intf @[lsu.scala 68:30] + bus_intf.clock <= clock + bus_intf.reset <= reset + node lsu_raw_fwd_lo_m = orr(stbuf.io.stbuf_fwdbyteen_lo_m) @[lsu.scala 70:56] + node lsu_raw_fwd_hi_m = orr(stbuf.io.stbuf_fwdbyteen_hi_m) @[lsu.scala 71:56] + node _T = or(stbuf.io.lsu_stbuf_full_any, bus_intf.io.lsu_bus_buffer_full_any) @[lsu.scala 74:57] + node _T_1 = or(_T, dccm_ctl.io.ld_single_ecc_error_r_ff) @[lsu.scala 74:95] + io.lsu_store_stall_any <= _T_1 @[lsu.scala 74:26] + node _T_2 = or(bus_intf.io.lsu_bus_buffer_full_any, dccm_ctl.io.ld_single_ecc_error_r_ff) @[lsu.scala 75:64] + io.lsu_load_stall_any <= _T_2 @[lsu.scala 75:25] + io.lsu_fastint_stall_any <= dccm_ctl.io.ld_single_ecc_error_r @[lsu.scala 76:28] + node _T_3 = eq(lsu_lsc_ctl.io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu.scala 81:58] + node _T_4 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_3) @[lsu.scala 81:56] + node _T_5 = or(lsu_lsc_ctl.io.addr_in_dccm_m, lsu_lsc_ctl.io.addr_in_pic_m) @[lsu.scala 81:126] + node _T_6 = and(_T_4, _T_5) @[lsu.scala 81:93] + node ldst_nodma_mtor = and(_T_6, lsu_lsc_ctl.io.lsu_pkt_m.bits.store) @[lsu.scala 81:158] + node _T_7 = or(io.dec_lsu_valid_raw_d, ldst_nodma_mtor) @[lsu.scala 82:53] + node _T_8 = or(_T_7, dccm_ctl.io.ld_single_ecc_error_r_ff) @[lsu.scala 82:71] + node _T_9 = eq(_T_8, UInt<1>("h00")) @[lsu.scala 82:28] + io.lsu_dma.dccm_ready <= _T_9 @[lsu.scala 82:25] + node _T_10 = and(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[lsu.scala 83:58] + node dma_dccm_wen = and(_T_10, lsu_lsc_ctl.io.addr_in_dccm_d) @[lsu.scala 83:97] + node _T_11 = and(io.lsu_dma.dma_lsc_ctl.dma_dccm_req, io.lsu_dma.dma_lsc_ctl.dma_mem_write) @[lsu.scala 84:58] + node dma_pic_wen = and(_T_11, lsu_lsc_ctl.io.addr_in_pic_d) @[lsu.scala 84:97] + node _T_12 = bits(io.lsu_dma.dma_lsc_ctl.dma_mem_addr, 2, 0) @[lsu.scala 85:100] + node _T_13 = cat(_T_12, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_14 = dshr(io.lsu_dma.dma_lsc_ctl.dma_mem_wdata, _T_13) @[lsu.scala 85:58] + dma_dccm_wdata <= _T_14 @[lsu.scala 85:18] + node _T_15 = bits(dma_dccm_wdata, 63, 32) @[lsu.scala 86:38] + dma_dccm_wdata_hi <= _T_15 @[lsu.scala 86:21] + node _T_16 = bits(dma_dccm_wdata, 31, 0) @[lsu.scala 87:38] + dma_dccm_wdata_lo <= _T_16 @[lsu.scala 87:21] + node _T_17 = eq(lsu_lsc_ctl.io.lsu_pkt_m.bits.dma, UInt<1>("h00")) @[lsu.scala 96:58] + node _T_18 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_17) @[lsu.scala 96:56] + node _T_19 = eq(lsu_lsc_ctl.io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu.scala 96:130] + node _T_20 = and(lsu_lsc_ctl.io.lsu_pkt_r.valid, _T_19) @[lsu.scala 96:128] + node _T_21 = or(_T_18, _T_20) @[lsu.scala 96:94] + node _T_22 = eq(_T_21, UInt<1>("h00")) @[lsu.scala 96:22] + node _T_23 = and(_T_22, bus_intf.io.lsu_bus_buffer_empty_any) @[lsu.scala 96:167] + node _T_24 = and(_T_23, bus_intf.io.lsu_bus_idle_any) @[lsu.scala 96:206] + io.lsu_idle_any <= _T_24 @[lsu.scala 96:19] + node _T_25 = and(lsu_lsc_ctl.io.lsu_pkt_r.valid, lsu_lsc_ctl.io.lsu_pkt_r.bits.store) @[lsu.scala 98:61] + node _T_26 = and(_T_25, lsu_lsc_ctl.io.addr_in_dccm_r) @[lsu.scala 98:99] + node _T_27 = eq(io.dec_tlu_i0_kill_writeb_r, UInt<1>("h00")) @[lsu.scala 98:133] + node _T_28 = and(_T_26, _T_27) @[lsu.scala 98:131] + node _T_29 = eq(lsu_lsc_ctl.io.lsu_pkt_r.bits.dma, UInt<1>("h00")) @[lsu.scala 98:144] + node store_stbuf_reqvld_r = and(_T_28, _T_29) @[lsu.scala 98:142] + node _T_30 = or(lsu_lsc_ctl.io.lsu_pkt_m.bits.load, lsu_lsc_ctl.io.lsu_pkt_m.bits.store) @[lsu.scala 100:90] + node _T_31 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_30) @[lsu.scala 100:52] + node _T_32 = or(lsu_lsc_ctl.io.addr_in_dccm_m, lsu_lsc_ctl.io.addr_in_pic_m) @[lsu.scala 100:162] + node lsu_cmpen_m = and(_T_31, _T_32) @[lsu.scala 100:129] + node _T_33 = or(lsu_lsc_ctl.io.lsu_pkt_m.bits.load, lsu_lsc_ctl.io.lsu_pkt_m.bits.store) @[lsu.scala 102:92] + node _T_34 = and(_T_33, lsu_lsc_ctl.io.addr_external_m) @[lsu.scala 102:131] + node _T_35 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_34) @[lsu.scala 102:53] + node _T_36 = eq(io.dec_tlu_flush_lower_r, UInt<1>("h00")) @[lsu.scala 102:167] + node _T_37 = and(_T_35, _T_36) @[lsu.scala 102:165] + node _T_38 = eq(lsu_lsc_ctl.io.lsu_exc_m, UInt<1>("h00")) @[lsu.scala 102:181] + node _T_39 = and(_T_37, _T_38) @[lsu.scala 102:179] + node _T_40 = eq(lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int, UInt<1>("h00")) @[lsu.scala 102:209] + node lsu_busreq_m = and(_T_39, _T_40) @[lsu.scala 102:207] + node _T_41 = bits(lsu_lsc_ctl.io.lsu_addr_m, 0, 0) @[lsu.scala 104:127] + node _T_42 = and(lsu_lsc_ctl.io.lsu_pkt_m.bits.half, _T_41) @[lsu.scala 104:100] + node _T_43 = bits(lsu_lsc_ctl.io.lsu_addr_m, 1, 0) @[lsu.scala 104:197] + node _T_44 = orr(_T_43) @[lsu.scala 104:203] + node _T_45 = and(lsu_lsc_ctl.io.lsu_pkt_m.bits.word, _T_44) @[lsu.scala 104:170] + node _T_46 = or(_T_42, _T_45) @[lsu.scala 104:132] + node _T_47 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, _T_46) @[lsu.scala 104:61] + io.lsu_pmu_misaligned_m <= _T_47 @[lsu.scala 104:27] + node _T_48 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, lsu_lsc_ctl.io.lsu_pkt_m.bits.load) @[lsu.scala 105:73] + node _T_49 = and(_T_48, lsu_lsc_ctl.io.addr_external_m) @[lsu.scala 105:110] + io.lsu_tlu.lsu_pmu_load_external_m <= _T_49 @[lsu.scala 105:39] + node _T_50 = and(lsu_lsc_ctl.io.lsu_pkt_m.valid, lsu_lsc_ctl.io.lsu_pkt_m.bits.store) @[lsu.scala 106:73] + node _T_51 = and(_T_50, lsu_lsc_ctl.io.addr_external_m) @[lsu.scala 106:111] + io.lsu_tlu.lsu_pmu_store_external_m <= _T_51 @[lsu.scala 106:39] + lsu_lsc_ctl.io.lsu_c1_m_clk <= clkdomain.io.lsu_c1_m_clk @[lsu.scala 110:46] + lsu_lsc_ctl.io.lsu_c1_r_clk <= clkdomain.io.lsu_c1_r_clk @[lsu.scala 111:46] + lsu_lsc_ctl.io.lsu_c2_m_clk <= clkdomain.io.lsu_c2_m_clk @[lsu.scala 112:46] + lsu_lsc_ctl.io.lsu_c2_r_clk <= clkdomain.io.lsu_c2_r_clk @[lsu.scala 113:46] + lsu_lsc_ctl.io.lsu_store_c1_m_clk <= clkdomain.io.lsu_store_c1_m_clk @[lsu.scala 114:46] + lsu_lsc_ctl.io.lsu_ld_data_r <= dccm_ctl.io.lsu_ld_data_r @[lsu.scala 115:46] + lsu_lsc_ctl.io.lsu_ld_data_corr_r <= dccm_ctl.io.lsu_ld_data_corr_r @[lsu.scala 116:46] + lsu_lsc_ctl.io.lsu_single_ecc_error_r <= ecc.io.lsu_single_ecc_error_r @[lsu.scala 117:46] + lsu_lsc_ctl.io.lsu_double_ecc_error_r <= ecc.io.lsu_double_ecc_error_r @[lsu.scala 118:46] + lsu_lsc_ctl.io.lsu_ld_data_m <= dccm_ctl.io.lsu_ld_data_m @[lsu.scala 119:46] + lsu_lsc_ctl.io.lsu_single_ecc_error_m <= ecc.io.lsu_single_ecc_error_m @[lsu.scala 120:46] + lsu_lsc_ctl.io.lsu_double_ecc_error_m <= ecc.io.lsu_double_ecc_error_m @[lsu.scala 121:46] + lsu_lsc_ctl.io.flush_m_up <= io.dec_tlu_flush_lower_r @[lsu.scala 122:46] + lsu_lsc_ctl.io.flush_r <= io.dec_tlu_i0_kill_writeb_r @[lsu.scala 123:46] + lsu_lsc_ctl.io.lsu_exu.exu_lsu_rs2_d <= io.lsu_exu.exu_lsu_rs2_d @[lsu.scala 124:46] + lsu_lsc_ctl.io.lsu_exu.exu_lsu_rs1_d <= io.lsu_exu.exu_lsu_rs1_d @[lsu.scala 124:46] + lsu_lsc_ctl.io.lsu_p.bits.store_data_bypass_m <= io.lsu_p.bits.store_data_bypass_m @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.load_ldst_bypass_d <= io.lsu_p.bits.load_ldst_bypass_d @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.store_data_bypass_d <= io.lsu_p.bits.store_data_bypass_d @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.dma <= io.lsu_p.bits.dma @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.unsign <= io.lsu_p.bits.unsign @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.store <= io.lsu_p.bits.store @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.load <= io.lsu_p.bits.load @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.dword <= io.lsu_p.bits.dword @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.word <= io.lsu_p.bits.word @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.half <= io.lsu_p.bits.half @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.by <= io.lsu_p.bits.by @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.bits.fast_int <= io.lsu_p.bits.fast_int @[lsu.scala 125:46] + lsu_lsc_ctl.io.lsu_p.valid <= io.lsu_p.valid @[lsu.scala 125:46] + lsu_lsc_ctl.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu.scala 126:46] + lsu_lsc_ctl.io.dec_lsu_offset_d <= io.dec_lsu_offset_d @[lsu.scala 127:46] + lsu_lsc_ctl.io.picm_mask_data_m <= dccm_ctl.io.picm_mask_data_m @[lsu.scala 128:46] + lsu_lsc_ctl.io.bus_read_data_m <= bus_intf.io.bus_read_data_m @[lsu.scala 129:46] + lsu_lsc_ctl.io.dma_lsc_ctl.dma_mem_wdata <= io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[lsu.scala 130:38] + lsu_lsc_ctl.io.dma_lsc_ctl.dma_mem_write <= io.lsu_dma.dma_lsc_ctl.dma_mem_write @[lsu.scala 130:38] + lsu_lsc_ctl.io.dma_lsc_ctl.dma_mem_sz <= io.lsu_dma.dma_lsc_ctl.dma_mem_sz @[lsu.scala 130:38] + lsu_lsc_ctl.io.dma_lsc_ctl.dma_mem_addr <= io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[lsu.scala 130:38] + lsu_lsc_ctl.io.dma_lsc_ctl.dma_dccm_req <= io.lsu_dma.dma_lsc_ctl.dma_dccm_req @[lsu.scala 130:38] + lsu_lsc_ctl.io.dec_tlu_mrac_ff <= io.dec_tlu_mrac_ff @[lsu.scala 131:46] + lsu_lsc_ctl.io.scan_mode <= io.scan_mode @[lsu.scala 132:46] + io.lsu_single_ecc_error_incr <= lsu_lsc_ctl.io.lsu_single_ecc_error_incr @[lsu.scala 135:49] + io.lsu_error_pkt_r.bits.addr <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.addr @[lsu.scala 136:49] + io.lsu_error_pkt_r.bits.mscause <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.mscause @[lsu.scala 136:49] + io.lsu_error_pkt_r.bits.exc_type <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.exc_type @[lsu.scala 136:49] + io.lsu_error_pkt_r.bits.inst_type <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.inst_type @[lsu.scala 136:49] + io.lsu_error_pkt_r.bits.single_ecc_error <= lsu_lsc_ctl.io.lsu_error_pkt_r.bits.single_ecc_error @[lsu.scala 136:49] + io.lsu_error_pkt_r.valid <= lsu_lsc_ctl.io.lsu_error_pkt_r.valid @[lsu.scala 136:49] + io.lsu_fir_addr <= lsu_lsc_ctl.io.lsu_fir_addr @[lsu.scala 137:49] + io.lsu_fir_error <= lsu_lsc_ctl.io.lsu_fir_error @[lsu.scala 138:49] + dccm_ctl.io.lsu_c2_m_clk <= clkdomain.io.lsu_c2_m_clk @[lsu.scala 141:46] + dccm_ctl.io.lsu_c2_r_clk <= clkdomain.io.lsu_c2_r_clk @[lsu.scala 142:46] + dccm_ctl.io.lsu_free_c2_clk <= clkdomain.io.lsu_free_c2_clk @[lsu.scala 143:46] + dccm_ctl.io.lsu_c1_r_clk <= clkdomain.io.lsu_c1_r_clk @[lsu.scala 144:46] + dccm_ctl.io.lsu_store_c1_r_clk <= clkdomain.io.lsu_store_c1_r_clk @[lsu.scala 145:46] + dccm_ctl.io.lsu_pkt_d.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store_data_bypass_m @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_d.bits.load_ldst_bypass_d @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store_data_bypass_d @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_d.bits.dma @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_d.bits.unsign @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.store <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.load <= lsu_lsc_ctl.io.lsu_pkt_d.bits.load @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_d.bits.dword @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.word <= lsu_lsc_ctl.io.lsu_pkt_d.bits.word @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.half <= lsu_lsc_ctl.io.lsu_pkt_d.bits.half @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.by <= lsu_lsc_ctl.io.lsu_pkt_d.bits.by @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_d.bits.fast_int @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_d.valid <= lsu_lsc_ctl.io.lsu_pkt_d.valid @[lsu.scala 146:46] + dccm_ctl.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 147:46] + dccm_ctl.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 148:46] + dccm_ctl.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 148:46] + dccm_ctl.io.addr_in_dccm_d <= lsu_lsc_ctl.io.addr_in_dccm_d @[lsu.scala 149:46] + dccm_ctl.io.addr_in_dccm_m <= lsu_lsc_ctl.io.addr_in_dccm_m @[lsu.scala 150:46] + dccm_ctl.io.addr_in_dccm_r <= lsu_lsc_ctl.io.addr_in_dccm_r @[lsu.scala 151:46] + dccm_ctl.io.addr_in_pic_d <= lsu_lsc_ctl.io.addr_in_pic_d @[lsu.scala 152:46] + dccm_ctl.io.addr_in_pic_m <= lsu_lsc_ctl.io.addr_in_pic_m @[lsu.scala 153:46] + dccm_ctl.io.addr_in_pic_r <= lsu_lsc_ctl.io.addr_in_pic_r @[lsu.scala 154:46] + dccm_ctl.io.lsu_raw_fwd_lo_r <= lsu_raw_fwd_lo_r @[lsu.scala 155:46] + dccm_ctl.io.lsu_raw_fwd_hi_r <= lsu_raw_fwd_hi_r @[lsu.scala 156:46] + dccm_ctl.io.lsu_commit_r <= lsu_lsc_ctl.io.lsu_commit_r @[lsu.scala 157:46] + dccm_ctl.io.lsu_addr_d <= lsu_lsc_ctl.io.lsu_addr_d @[lsu.scala 158:46] + dccm_ctl.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 159:46] + dccm_ctl.io.lsu_addr_r <= lsu_lsc_ctl.io.lsu_addr_r @[lsu.scala 160:46] + dccm_ctl.io.end_addr_d <= lsu_lsc_ctl.io.end_addr_d @[lsu.scala 161:46] + dccm_ctl.io.end_addr_m <= lsu_lsc_ctl.io.end_addr_m @[lsu.scala 162:46] + dccm_ctl.io.end_addr_r <= lsu_lsc_ctl.io.end_addr_r @[lsu.scala 163:46] + dccm_ctl.io.stbuf_reqvld_any <= stbuf.io.stbuf_reqvld_any @[lsu.scala 164:46] + dccm_ctl.io.stbuf_addr_any <= stbuf.io.stbuf_addr_any @[lsu.scala 165:46] + dccm_ctl.io.stbuf_data_any <= stbuf.io.stbuf_data_any @[lsu.scala 166:46] + dccm_ctl.io.stbuf_ecc_any <= ecc.io.stbuf_ecc_any @[lsu.scala 167:46] + dccm_ctl.io.stbuf_fwddata_hi_m <= stbuf.io.stbuf_fwddata_hi_m @[lsu.scala 168:46] + dccm_ctl.io.stbuf_fwddata_lo_m <= stbuf.io.stbuf_fwddata_lo_m @[lsu.scala 169:46] + dccm_ctl.io.stbuf_fwdbyteen_lo_m <= stbuf.io.stbuf_fwdbyteen_lo_m @[lsu.scala 170:46] + dccm_ctl.io.stbuf_fwdbyteen_hi_m <= stbuf.io.stbuf_fwdbyteen_hi_m @[lsu.scala 171:46] + dccm_ctl.io.lsu_double_ecc_error_r <= ecc.io.lsu_double_ecc_error_r @[lsu.scala 172:46] + dccm_ctl.io.single_ecc_error_hi_r <= ecc.io.single_ecc_error_hi_r @[lsu.scala 173:46] + dccm_ctl.io.single_ecc_error_lo_r <= ecc.io.single_ecc_error_lo_r @[lsu.scala 174:46] + dccm_ctl.io.sec_data_hi_r <= ecc.io.sec_data_hi_r @[lsu.scala 175:46] + dccm_ctl.io.sec_data_lo_r <= ecc.io.sec_data_lo_r @[lsu.scala 176:46] + dccm_ctl.io.sec_data_hi_r_ff <= ecc.io.sec_data_hi_r_ff @[lsu.scala 177:46] + dccm_ctl.io.sec_data_lo_r_ff <= ecc.io.sec_data_lo_r_ff @[lsu.scala 178:46] + dccm_ctl.io.sec_data_ecc_hi_r_ff <= ecc.io.sec_data_ecc_hi_r_ff @[lsu.scala 179:46] + dccm_ctl.io.sec_data_ecc_lo_r_ff <= ecc.io.sec_data_ecc_lo_r_ff @[lsu.scala 180:46] + dccm_ctl.io.lsu_double_ecc_error_m <= ecc.io.lsu_double_ecc_error_m @[lsu.scala 181:46] + dccm_ctl.io.sec_data_hi_m <= ecc.io.sec_data_hi_m @[lsu.scala 182:46] + dccm_ctl.io.sec_data_lo_m <= ecc.io.sec_data_lo_m @[lsu.scala 183:46] + dccm_ctl.io.store_data_m <= lsu_lsc_ctl.io.store_data_m @[lsu.scala 184:46] + dccm_ctl.io.dma_dccm_wen <= dma_dccm_wen @[lsu.scala 185:46] + dccm_ctl.io.dma_pic_wen <= dma_pic_wen @[lsu.scala 186:46] + dccm_ctl.io.dma_mem_tag_m <= dma_mem_tag_m @[lsu.scala 187:46] + dccm_ctl.io.dma_dccm_wdata_lo <= dma_dccm_wdata_lo @[lsu.scala 188:46] + dccm_ctl.io.dma_dccm_wdata_hi <= dma_dccm_wdata_hi @[lsu.scala 189:46] + dccm_ctl.io.dma_dccm_wdata_ecc_hi <= ecc.io.dma_dccm_wdata_ecc_hi @[lsu.scala 190:46] + dccm_ctl.io.dma_dccm_wdata_ecc_lo <= ecc.io.dma_dccm_wdata_ecc_lo @[lsu.scala 191:46] + dccm_ctl.io.scan_mode <= io.scan_mode @[lsu.scala 192:46] + io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata <= dccm_ctl.io.dma_dccm_ctl.dccm_dma_rdata @[lsu.scala 194:27] + io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag <= dccm_ctl.io.dma_dccm_ctl.dccm_dma_rtag @[lsu.scala 194:27] + io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error <= dccm_ctl.io.dma_dccm_ctl.dccm_dma_ecc_error @[lsu.scala 194:27] + io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid <= dccm_ctl.io.dma_dccm_ctl.dccm_dma_rvalid @[lsu.scala 194:27] + dccm_ctl.io.dma_dccm_ctl.dma_mem_wdata <= io.lsu_dma.dma_dccm_ctl.dma_mem_wdata @[lsu.scala 194:27] + dccm_ctl.io.dma_dccm_ctl.dma_mem_addr <= io.lsu_dma.dma_dccm_ctl.dma_mem_addr @[lsu.scala 194:27] + dccm_ctl.io.dccm.rd_data_hi <= io.dccm.rd_data_hi @[lsu.scala 195:11] + dccm_ctl.io.dccm.rd_data_lo <= io.dccm.rd_data_lo @[lsu.scala 195:11] + io.dccm.wr_data_hi <= dccm_ctl.io.dccm.wr_data_hi @[lsu.scala 195:11] + io.dccm.wr_data_lo <= dccm_ctl.io.dccm.wr_data_lo @[lsu.scala 195:11] + io.dccm.rd_addr_hi <= dccm_ctl.io.dccm.rd_addr_hi @[lsu.scala 195:11] + io.dccm.rd_addr_lo <= dccm_ctl.io.dccm.rd_addr_lo @[lsu.scala 195:11] + io.dccm.wr_addr_hi <= dccm_ctl.io.dccm.wr_addr_hi @[lsu.scala 195:11] + io.dccm.wr_addr_lo <= dccm_ctl.io.dccm.wr_addr_lo @[lsu.scala 195:11] + io.dccm.rden <= dccm_ctl.io.dccm.rden @[lsu.scala 195:11] + io.dccm.wren <= dccm_ctl.io.dccm.wren @[lsu.scala 195:11] + dccm_ctl.io.lsu_pic.picm_rd_data <= io.lsu_pic.picm_rd_data @[lsu.scala 196:14] + io.lsu_pic.picm_wr_data <= dccm_ctl.io.lsu_pic.picm_wr_data @[lsu.scala 196:14] + io.lsu_pic.picm_wraddr <= dccm_ctl.io.lsu_pic.picm_wraddr @[lsu.scala 196:14] + io.lsu_pic.picm_rdaddr <= dccm_ctl.io.lsu_pic.picm_rdaddr @[lsu.scala 196:14] + io.lsu_pic.picm_mken <= dccm_ctl.io.lsu_pic.picm_mken @[lsu.scala 196:14] + io.lsu_pic.picm_rden <= dccm_ctl.io.lsu_pic.picm_rden @[lsu.scala 196:14] + io.lsu_pic.picm_wren <= dccm_ctl.io.lsu_pic.picm_wren @[lsu.scala 196:14] + stbuf.io.lsu_c1_m_clk <= clkdomain.io.lsu_c1_m_clk @[lsu.scala 199:49] + stbuf.io.lsu_c1_r_clk <= clkdomain.io.lsu_c1_r_clk @[lsu.scala 200:48] + stbuf.io.lsu_stbuf_c1_clk <= clkdomain.io.lsu_stbuf_c1_clk @[lsu.scala 201:54] + stbuf.io.lsu_free_c2_clk <= clkdomain.io.lsu_free_c2_clk @[lsu.scala 202:54] + stbuf.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 203:48] + stbuf.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 203:48] + stbuf.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 204:48] + stbuf.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 204:48] + stbuf.io.store_stbuf_reqvld_r <= store_stbuf_reqvld_r @[lsu.scala 205:48] + stbuf.io.lsu_commit_r <= lsu_lsc_ctl.io.lsu_commit_r @[lsu.scala 206:49] + stbuf.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu.scala 207:49] + stbuf.io.store_data_hi_r <= dccm_ctl.io.store_data_hi_r @[lsu.scala 208:62] + stbuf.io.store_data_lo_r <= dccm_ctl.io.store_data_lo_r @[lsu.scala 209:62] + stbuf.io.store_datafn_hi_r <= dccm_ctl.io.store_datafn_hi_r @[lsu.scala 210:49] + stbuf.io.store_datafn_lo_r <= dccm_ctl.io.store_datafn_lo_r @[lsu.scala 211:56] + stbuf.io.lsu_stbuf_commit_any <= dccm_ctl.io.lsu_stbuf_commit_any @[lsu.scala 212:52] + stbuf.io.lsu_addr_d <= lsu_lsc_ctl.io.lsu_addr_d @[lsu.scala 213:64] + stbuf.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 214:64] + stbuf.io.lsu_addr_r <= lsu_lsc_ctl.io.lsu_addr_r @[lsu.scala 215:64] + stbuf.io.end_addr_d <= lsu_lsc_ctl.io.end_addr_d @[lsu.scala 216:64] + stbuf.io.end_addr_m <= lsu_lsc_ctl.io.end_addr_m @[lsu.scala 217:64] + stbuf.io.end_addr_r <= lsu_lsc_ctl.io.end_addr_r @[lsu.scala 218:64] + stbuf.io.addr_in_dccm_m <= lsu_lsc_ctl.io.addr_in_dccm_m @[lsu.scala 219:49] + stbuf.io.addr_in_dccm_r <= lsu_lsc_ctl.io.addr_in_dccm_r @[lsu.scala 220:56] + stbuf.io.lsu_cmpen_m <= lsu_cmpen_m @[lsu.scala 221:54] + stbuf.io.scan_mode <= io.scan_mode @[lsu.scala 222:49] + ecc.io.lsu_c2_r_clk <= clkdomain.io.lsu_c2_r_clk @[lsu.scala 226:52] + ecc.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 227:52] + ecc.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 227:52] + ecc.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 228:52] + ecc.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 228:52] + ecc.io.stbuf_data_any <= stbuf.io.stbuf_data_any @[lsu.scala 229:54] + ecc.io.dec_tlu_core_ecc_disable <= io.dec_tlu_core_ecc_disable @[lsu.scala 230:50] + ecc.io.lsu_dccm_rden_r <= dccm_ctl.io.lsu_dccm_rden_r @[lsu.scala 231:56] + ecc.io.addr_in_dccm_r <= lsu_lsc_ctl.io.addr_in_dccm_r @[lsu.scala 232:50] + ecc.io.lsu_addr_r <= lsu_lsc_ctl.io.lsu_addr_r @[lsu.scala 233:58] + ecc.io.end_addr_r <= lsu_lsc_ctl.io.end_addr_r @[lsu.scala 234:58] + ecc.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 235:58] + ecc.io.end_addr_m <= lsu_lsc_ctl.io.end_addr_m @[lsu.scala 236:58] + ecc.io.dccm_rdata_hi_r <= dccm_ctl.io.dccm_rdata_hi_r @[lsu.scala 237:54] + ecc.io.dccm_rdata_lo_r <= dccm_ctl.io.dccm_rdata_lo_r @[lsu.scala 238:54] + ecc.io.dccm_rdata_hi_m <= dccm_ctl.io.dccm_rdata_hi_m @[lsu.scala 239:54] + ecc.io.dccm_rdata_lo_m <= dccm_ctl.io.dccm_rdata_lo_m @[lsu.scala 240:54] + ecc.io.dccm_data_ecc_hi_r <= dccm_ctl.io.dccm_data_ecc_hi_r @[lsu.scala 241:50] + ecc.io.dccm_data_ecc_lo_r <= dccm_ctl.io.dccm_data_ecc_lo_r @[lsu.scala 242:50] + ecc.io.dccm_data_ecc_hi_m <= dccm_ctl.io.dccm_data_ecc_hi_m @[lsu.scala 243:50] + ecc.io.dccm_data_ecc_lo_m <= dccm_ctl.io.dccm_data_ecc_lo_m @[lsu.scala 244:50] + ecc.io.ld_single_ecc_error_r <= dccm_ctl.io.ld_single_ecc_error_r @[lsu.scala 245:50] + ecc.io.ld_single_ecc_error_r_ff <= dccm_ctl.io.ld_single_ecc_error_r_ff @[lsu.scala 246:50] + ecc.io.lsu_dccm_rden_m <= dccm_ctl.io.lsu_dccm_rden_m @[lsu.scala 247:50] + ecc.io.addr_in_dccm_m <= lsu_lsc_ctl.io.addr_in_dccm_m @[lsu.scala 248:50] + ecc.io.dma_dccm_wen <= dma_dccm_wen @[lsu.scala 249:50] + ecc.io.dma_dccm_wdata_lo <= dma_dccm_wdata_lo @[lsu.scala 250:50] + ecc.io.dma_dccm_wdata_hi <= dma_dccm_wdata_hi @[lsu.scala 251:50] + ecc.io.scan_mode <= io.scan_mode @[lsu.scala 252:50] + trigger.io.trigger_pkt_any[0].tdata2 <= io.trigger_pkt_any[0].tdata2 @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[0].m <= io.trigger_pkt_any[0].m @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[0].execute <= io.trigger_pkt_any[0].execute @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[0].load <= io.trigger_pkt_any[0].load @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[0].store <= io.trigger_pkt_any[0].store @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[0].match_pkt <= io.trigger_pkt_any[0].match_pkt @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[0].select <= io.trigger_pkt_any[0].select @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[1].tdata2 <= io.trigger_pkt_any[1].tdata2 @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[1].m <= io.trigger_pkt_any[1].m @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[1].execute <= io.trigger_pkt_any[1].execute @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[1].load <= io.trigger_pkt_any[1].load @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[1].store <= io.trigger_pkt_any[1].store @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[1].match_pkt <= io.trigger_pkt_any[1].match_pkt @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[1].select <= io.trigger_pkt_any[1].select @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[2].tdata2 <= io.trigger_pkt_any[2].tdata2 @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[2].m <= io.trigger_pkt_any[2].m @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[2].execute <= io.trigger_pkt_any[2].execute @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[2].load <= io.trigger_pkt_any[2].load @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[2].store <= io.trigger_pkt_any[2].store @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[2].match_pkt <= io.trigger_pkt_any[2].match_pkt @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[2].select <= io.trigger_pkt_any[2].select @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[3].tdata2 <= io.trigger_pkt_any[3].tdata2 @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[3].m <= io.trigger_pkt_any[3].m @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[3].execute <= io.trigger_pkt_any[3].execute @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[3].load <= io.trigger_pkt_any[3].load @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[3].store <= io.trigger_pkt_any[3].store @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[3].match_pkt <= io.trigger_pkt_any[3].match_pkt @[lsu.scala 256:50] + trigger.io.trigger_pkt_any[3].select <= io.trigger_pkt_any[3].select @[lsu.scala 256:50] + trigger.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 257:50] + trigger.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 257:50] + trigger.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 258:50] + trigger.io.store_data_m <= lsu_lsc_ctl.io.store_data_m @[lsu.scala 259:50] + io.lsu_trigger_match_m <= trigger.io.lsu_trigger_match_m @[lsu.scala 261:50] + clkdomain.io.free_clk <= io.free_clk @[lsu.scala 265:50] + clkdomain.io.clk_override <= io.clk_override @[lsu.scala 266:50] + clkdomain.io.addr_in_dccm_m <= lsu_lsc_ctl.io.addr_in_dccm_m @[lsu.scala 267:50] + clkdomain.io.dma_dccm_req <= io.lsu_dma.dma_lsc_ctl.dma_dccm_req @[lsu.scala 268:50] + clkdomain.io.ldst_stbuf_reqvld_r <= stbuf.io.ldst_stbuf_reqvld_r @[lsu.scala 269:50] + clkdomain.io.stbuf_reqvld_any <= stbuf.io.stbuf_reqvld_any @[lsu.scala 270:50] + clkdomain.io.stbuf_reqvld_flushed_any <= stbuf.io.stbuf_reqvld_flushed_any @[lsu.scala 271:50] + clkdomain.io.lsu_busreq_r <= bus_intf.io.lsu_busreq_r @[lsu.scala 272:50] + clkdomain.io.lsu_bus_buffer_pend_any <= bus_intf.io.lsu_bus_buffer_pend_any @[lsu.scala 273:50] + clkdomain.io.lsu_bus_buffer_empty_any <= bus_intf.io.lsu_bus_buffer_empty_any @[lsu.scala 274:50] + clkdomain.io.lsu_stbuf_empty_any <= stbuf.io.lsu_stbuf_empty_any @[lsu.scala 275:50] + clkdomain.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[lsu.scala 276:50] + clkdomain.io.lsu_p.bits.store_data_bypass_m <= io.lsu_p.bits.store_data_bypass_m @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.load_ldst_bypass_d <= io.lsu_p.bits.load_ldst_bypass_d @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.store_data_bypass_d <= io.lsu_p.bits.store_data_bypass_d @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.dma <= io.lsu_p.bits.dma @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.unsign <= io.lsu_p.bits.unsign @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.store <= io.lsu_p.bits.store @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.load <= io.lsu_p.bits.load @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.dword <= io.lsu_p.bits.dword @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.word <= io.lsu_p.bits.word @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.half <= io.lsu_p.bits.half @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.by <= io.lsu_p.bits.by @[lsu.scala 277:50] + clkdomain.io.lsu_p.bits.fast_int <= io.lsu_p.bits.fast_int @[lsu.scala 277:50] + clkdomain.io.lsu_p.valid <= io.lsu_p.valid @[lsu.scala 277:50] + clkdomain.io.lsu_pkt_d.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store_data_bypass_m @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_d.bits.load_ldst_bypass_d @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store_data_bypass_d @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_d.bits.dma @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_d.bits.unsign @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.store <= lsu_lsc_ctl.io.lsu_pkt_d.bits.store @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.load <= lsu_lsc_ctl.io.lsu_pkt_d.bits.load @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_d.bits.dword @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.word <= lsu_lsc_ctl.io.lsu_pkt_d.bits.word @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.half <= lsu_lsc_ctl.io.lsu_pkt_d.bits.half @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.by <= lsu_lsc_ctl.io.lsu_pkt_d.bits.by @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_d.bits.fast_int @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_d.valid <= lsu_lsc_ctl.io.lsu_pkt_d.valid @[lsu.scala 278:50] + clkdomain.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 279:50] + clkdomain.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 280:50] + clkdomain.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 280:50] + clkdomain.io.scan_mode <= io.scan_mode @[lsu.scala 281:50] + bus_intf.io.scan_mode <= io.scan_mode @[lsu.scala 285:49] + io.lsu_dec.tlu_busbuff.lsu_imprecise_error_addr_any <= bus_intf.io.tlu_busbuff.lsu_imprecise_error_addr_any @[lsu.scala 286:26] + io.lsu_dec.tlu_busbuff.lsu_imprecise_error_store_any <= bus_intf.io.tlu_busbuff.lsu_imprecise_error_store_any @[lsu.scala 286:26] + io.lsu_dec.tlu_busbuff.lsu_imprecise_error_load_any <= bus_intf.io.tlu_busbuff.lsu_imprecise_error_load_any @[lsu.scala 286:26] + bus_intf.io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= io.lsu_dec.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[lsu.scala 286:26] + bus_intf.io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= io.lsu_dec.tlu_busbuff.dec_tlu_wb_coalescing_disable @[lsu.scala 286:26] + bus_intf.io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= io.lsu_dec.tlu_busbuff.dec_tlu_external_ldfwd_disable @[lsu.scala 286:26] + io.lsu_dec.tlu_busbuff.lsu_pmu_bus_busy <= bus_intf.io.tlu_busbuff.lsu_pmu_bus_busy @[lsu.scala 286:26] + io.lsu_dec.tlu_busbuff.lsu_pmu_bus_error <= bus_intf.io.tlu_busbuff.lsu_pmu_bus_error @[lsu.scala 286:26] + io.lsu_dec.tlu_busbuff.lsu_pmu_bus_misaligned <= bus_intf.io.tlu_busbuff.lsu_pmu_bus_misaligned @[lsu.scala 286:26] + io.lsu_dec.tlu_busbuff.lsu_pmu_bus_trxn <= bus_intf.io.tlu_busbuff.lsu_pmu_bus_trxn @[lsu.scala 286:26] + bus_intf.io.lsu_c1_m_clk <= clkdomain.io.lsu_c1_m_clk @[lsu.scala 287:49] + bus_intf.io.lsu_c1_r_clk <= clkdomain.io.lsu_c1_r_clk @[lsu.scala 288:49] + bus_intf.io.lsu_c2_r_clk <= clkdomain.io.lsu_c2_r_clk @[lsu.scala 289:49] + bus_intf.io.lsu_bus_ibuf_c1_clk <= clkdomain.io.lsu_bus_ibuf_c1_clk @[lsu.scala 290:49] + bus_intf.io.lsu_bus_obuf_c1_clk <= clkdomain.io.lsu_bus_obuf_c1_clk @[lsu.scala 291:49] + bus_intf.io.lsu_bus_buf_c1_clk <= clkdomain.io.lsu_bus_buf_c1_clk @[lsu.scala 292:49] + bus_intf.io.lsu_free_c2_clk <= clkdomain.io.lsu_free_c2_clk @[lsu.scala 293:49] + bus_intf.io.free_clk <= io.free_clk @[lsu.scala 294:49] + bus_intf.io.lsu_busm_clk <= clkdomain.io.lsu_busm_clk @[lsu.scala 295:49] + bus_intf.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu.scala 296:49] + bus_intf.io.lsu_busreq_m <= lsu_busreq_m @[lsu.scala 297:49] + bus_intf.io.lsu_addr_d <= lsu_lsc_ctl.io.lsu_addr_d @[lsu.scala 298:49] + bus_intf.io.lsu_addr_m <= lsu_lsc_ctl.io.lsu_addr_m @[lsu.scala 299:49] + bus_intf.io.lsu_addr_r <= lsu_lsc_ctl.io.lsu_addr_r @[lsu.scala 300:49] + bus_intf.io.end_addr_d <= lsu_lsc_ctl.io.end_addr_d @[lsu.scala 301:49] + bus_intf.io.end_addr_m <= lsu_lsc_ctl.io.end_addr_m @[lsu.scala 302:49] + bus_intf.io.end_addr_r <= lsu_lsc_ctl.io.end_addr_r @[lsu.scala 303:49] + bus_intf.io.store_data_r <= dccm_ctl.io.store_data_r @[lsu.scala 304:49] + bus_intf.io.lsu_pkt_m.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_m @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store_data_bypass_d @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dma @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_m.bits.unsign @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.store <= lsu_lsc_ctl.io.lsu_pkt_m.bits.store @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.load <= lsu_lsc_ctl.io.lsu_pkt_m.bits.load @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_m.bits.dword @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.word <= lsu_lsc_ctl.io.lsu_pkt_m.bits.word @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.half <= lsu_lsc_ctl.io.lsu_pkt_m.bits.half @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.by <= lsu_lsc_ctl.io.lsu_pkt_m.bits.by @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_m.bits.fast_int @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_m.valid <= lsu_lsc_ctl.io.lsu_pkt_m.valid @[lsu.scala 305:49] + bus_intf.io.lsu_pkt_r.bits.store_data_bypass_m <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_m @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.load_ldst_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.store_data_bypass_d <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store_data_bypass_d @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.dma <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dma @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.unsign <= lsu_lsc_ctl.io.lsu_pkt_r.bits.unsign @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.store <= lsu_lsc_ctl.io.lsu_pkt_r.bits.store @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.load <= lsu_lsc_ctl.io.lsu_pkt_r.bits.load @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.dword <= lsu_lsc_ctl.io.lsu_pkt_r.bits.dword @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.word <= lsu_lsc_ctl.io.lsu_pkt_r.bits.word @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.half <= lsu_lsc_ctl.io.lsu_pkt_r.bits.half @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.by <= lsu_lsc_ctl.io.lsu_pkt_r.bits.by @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.bits.fast_int <= lsu_lsc_ctl.io.lsu_pkt_r.bits.fast_int @[lsu.scala 306:49] + bus_intf.io.lsu_pkt_r.valid <= lsu_lsc_ctl.io.lsu_pkt_r.valid @[lsu.scala 306:49] + bus_intf.io.dec_tlu_force_halt <= io.dec_tlu_force_halt @[lsu.scala 307:49] + bus_intf.io.lsu_commit_r <= lsu_lsc_ctl.io.lsu_commit_r @[lsu.scala 308:49] + bus_intf.io.is_sideeffects_m <= lsu_lsc_ctl.io.is_sideeffects_m @[lsu.scala 309:49] + bus_intf.io.flush_m_up <= io.dec_tlu_flush_lower_r @[lsu.scala 310:49] + bus_intf.io.flush_r <= io.dec_tlu_i0_kill_writeb_r @[lsu.scala 311:49] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_data @[lsu.scala 313:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_tag <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_data_tag @[lsu.scala 313:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_error <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_data_error @[lsu.scala 313:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_valid <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_data_valid @[lsu.scala 313:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[lsu.scala 313:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_r <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_inv_r @[lsu.scala 313:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_tag_m <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_tag_m @[lsu.scala 313:27] + io.lsu_dec.dctl_busbuff.lsu_nonblock_load_valid_m <= bus_intf.io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu.scala 313:27] + bus_intf.io.axi.r.bits.last <= io.axi.r.bits.last @[lsu.scala 314:49] + bus_intf.io.axi.r.bits.resp <= io.axi.r.bits.resp @[lsu.scala 314:49] + bus_intf.io.axi.r.bits.data <= io.axi.r.bits.data @[lsu.scala 314:49] + bus_intf.io.axi.r.bits.id <= io.axi.r.bits.id @[lsu.scala 314:49] + bus_intf.io.axi.r.valid <= io.axi.r.valid @[lsu.scala 314:49] + io.axi.r.ready <= bus_intf.io.axi.r.ready @[lsu.scala 314:49] + io.axi.ar.bits.qos <= bus_intf.io.axi.ar.bits.qos @[lsu.scala 314:49] + io.axi.ar.bits.prot <= bus_intf.io.axi.ar.bits.prot @[lsu.scala 314:49] + io.axi.ar.bits.cache <= bus_intf.io.axi.ar.bits.cache @[lsu.scala 314:49] + io.axi.ar.bits.lock <= bus_intf.io.axi.ar.bits.lock @[lsu.scala 314:49] + io.axi.ar.bits.burst <= bus_intf.io.axi.ar.bits.burst @[lsu.scala 314:49] + io.axi.ar.bits.size <= bus_intf.io.axi.ar.bits.size @[lsu.scala 314:49] + io.axi.ar.bits.len <= bus_intf.io.axi.ar.bits.len @[lsu.scala 314:49] + io.axi.ar.bits.region <= bus_intf.io.axi.ar.bits.region @[lsu.scala 314:49] + io.axi.ar.bits.addr <= bus_intf.io.axi.ar.bits.addr @[lsu.scala 314:49] + io.axi.ar.bits.id <= bus_intf.io.axi.ar.bits.id @[lsu.scala 314:49] + io.axi.ar.valid <= bus_intf.io.axi.ar.valid @[lsu.scala 314:49] + bus_intf.io.axi.ar.ready <= io.axi.ar.ready @[lsu.scala 314:49] + bus_intf.io.axi.b.bits.id <= io.axi.b.bits.id @[lsu.scala 314:49] + bus_intf.io.axi.b.bits.resp <= io.axi.b.bits.resp @[lsu.scala 314:49] + bus_intf.io.axi.b.valid <= io.axi.b.valid @[lsu.scala 314:49] + io.axi.b.ready <= bus_intf.io.axi.b.ready @[lsu.scala 314:49] + io.axi.w.bits.last <= bus_intf.io.axi.w.bits.last @[lsu.scala 314:49] + io.axi.w.bits.strb <= bus_intf.io.axi.w.bits.strb @[lsu.scala 314:49] + io.axi.w.bits.data <= bus_intf.io.axi.w.bits.data @[lsu.scala 314:49] + io.axi.w.valid <= bus_intf.io.axi.w.valid @[lsu.scala 314:49] + bus_intf.io.axi.w.ready <= io.axi.w.ready @[lsu.scala 314:49] + io.axi.aw.bits.qos <= bus_intf.io.axi.aw.bits.qos @[lsu.scala 314:49] + io.axi.aw.bits.prot <= bus_intf.io.axi.aw.bits.prot @[lsu.scala 314:49] + io.axi.aw.bits.cache <= bus_intf.io.axi.aw.bits.cache @[lsu.scala 314:49] + io.axi.aw.bits.lock <= bus_intf.io.axi.aw.bits.lock @[lsu.scala 314:49] + io.axi.aw.bits.burst <= bus_intf.io.axi.aw.bits.burst @[lsu.scala 314:49] + io.axi.aw.bits.size <= bus_intf.io.axi.aw.bits.size @[lsu.scala 314:49] + io.axi.aw.bits.len <= bus_intf.io.axi.aw.bits.len @[lsu.scala 314:49] + io.axi.aw.bits.region <= bus_intf.io.axi.aw.bits.region @[lsu.scala 314:49] + io.axi.aw.bits.addr <= bus_intf.io.axi.aw.bits.addr @[lsu.scala 314:49] + io.axi.aw.bits.id <= bus_intf.io.axi.aw.bits.id @[lsu.scala 314:49] + io.axi.aw.valid <= bus_intf.io.axi.aw.valid @[lsu.scala 314:49] + bus_intf.io.axi.aw.ready <= io.axi.aw.ready @[lsu.scala 314:49] + bus_intf.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[lsu.scala 315:49] + reg _T_52 : UInt, clkdomain.io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 317:67] + _T_52 <= io.lsu_dma.dma_mem_tag @[lsu.scala 317:67] + dma_mem_tag_m <= _T_52 @[lsu.scala 317:57] + reg _T_53 : UInt<1>, clkdomain.io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 318:67] + _T_53 <= lsu_raw_fwd_hi_m @[lsu.scala 318:67] + lsu_raw_fwd_hi_r <= _T_53 @[lsu.scala 318:57] + reg _T_54 : UInt<1>, clkdomain.io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu.scala 319:67] + _T_54 <= lsu_raw_fwd_lo_m @[lsu.scala 319:67] + lsu_raw_fwd_lo_r <= _T_54 @[lsu.scala 319:57] + diff --git a/lsu.v b/lsu.v new file mode 100644 index 00000000..cc5a287f --- /dev/null +++ b/lsu.v @@ -0,0 +1,11868 @@ +module lsu_addrcheck( + input reset, + input io_lsu_c2_m_clk, + input [31:0] io_start_addr_d, + input [31:0] io_end_addr_d, + input io_lsu_pkt_d_valid, + input io_lsu_pkt_d_bits_fast_int, + input io_lsu_pkt_d_bits_by, + input io_lsu_pkt_d_bits_half, + input io_lsu_pkt_d_bits_word, + input io_lsu_pkt_d_bits_load, + input io_lsu_pkt_d_bits_store, + input io_lsu_pkt_d_bits_dma, + input [31:0] io_dec_tlu_mrac_ff, + input [3:0] io_rs1_region_d, + output io_is_sideeffects_m, + output io_addr_in_dccm_d, + output io_addr_in_pic_d, + output io_addr_external_d, + output io_access_fault_d, + output io_misaligned_fault_d, + output [3:0] io_exc_mscause_d, + output io_fir_dccm_access_error_d, + output io_fir_nondccm_access_error_d +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; +`endif // RANDOMIZE_REG_INIT + wire start_addr_in_dccm_region_d = io_start_addr_d[31:28] == 4'hf; // @[lib.scala 356:49] + wire start_addr_in_dccm_d = io_start_addr_d[31:16] == 16'hf004; // @[lib.scala 361:39] + wire end_addr_in_dccm_region_d = io_end_addr_d[31:28] == 4'hf; // @[lib.scala 356:49] + wire end_addr_in_dccm_d = io_end_addr_d[31:16] == 16'hf004; // @[lib.scala 361:39] + wire addr_in_iccm = io_start_addr_d[31:28] == 4'he; // @[lsu_addrcheck.scala 42:45] + wire start_addr_in_pic_d = io_start_addr_d[31:15] == 17'h1e018; // @[lib.scala 361:39] + wire end_addr_in_pic_d = io_end_addr_d[31:15] == 17'h1e018; // @[lib.scala 361:39] + wire start_addr_dccm_or_pic = start_addr_in_dccm_region_d | start_addr_in_dccm_region_d; // @[lsu_addrcheck.scala 54:60] + wire _T_17 = io_rs1_region_d == 4'hf; // @[lsu_addrcheck.scala 55:54] + wire base_reg_dccm_or_pic = _T_17 | _T_17; // @[lsu_addrcheck.scala 55:73] + wire [4:0] csr_idx = {io_start_addr_d[31:28],1'h1}; // @[Cat.scala 29:58] + wire [31:0] _T_25 = io_dec_tlu_mrac_ff >> csr_idx; // @[lsu_addrcheck.scala 61:50] + wire _T_28 = start_addr_dccm_or_pic | addr_in_iccm; // @[lsu_addrcheck.scala 61:121] + wire _T_29 = ~_T_28; // @[lsu_addrcheck.scala 61:62] + wire _T_30 = _T_25[0] & _T_29; // @[lsu_addrcheck.scala 61:60] + wire _T_31 = _T_30 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 61:137] + wire _T_32 = io_lsu_pkt_d_bits_store | io_lsu_pkt_d_bits_load; // @[lsu_addrcheck.scala 61:185] + wire is_sideeffects_d = _T_31 & _T_32; // @[lsu_addrcheck.scala 61:158] + wire _T_34 = io_start_addr_d[1:0] == 2'h0; // @[lsu_addrcheck.scala 62:80] + wire _T_35 = io_lsu_pkt_d_bits_word & _T_34; // @[lsu_addrcheck.scala 62:56] + wire _T_37 = ~io_start_addr_d[0]; // @[lsu_addrcheck.scala 62:138] + wire _T_38 = io_lsu_pkt_d_bits_half & _T_37; // @[lsu_addrcheck.scala 62:116] + wire _T_39 = _T_35 | _T_38; // @[lsu_addrcheck.scala 62:90] + wire is_aligned_d = _T_39 | io_lsu_pkt_d_bits_by; // @[lsu_addrcheck.scala 62:148] + wire [31:0] _T_50 = io_start_addr_d | 32'h7fffffff; // @[lsu_addrcheck.scala 67:56] + wire _T_52 = _T_50 == 32'h7fffffff; // @[lsu_addrcheck.scala 67:88] + wire [31:0] _T_55 = io_start_addr_d | 32'h3fffffff; // @[lsu_addrcheck.scala 68:56] + wire _T_57 = _T_55 == 32'hffffffff; // @[lsu_addrcheck.scala 68:88] + wire _T_59 = _T_52 | _T_57; // @[lsu_addrcheck.scala 67:153] + wire [31:0] _T_61 = io_start_addr_d | 32'h1fffffff; // @[lsu_addrcheck.scala 69:56] + wire _T_63 = _T_61 == 32'hbfffffff; // @[lsu_addrcheck.scala 69:88] + wire _T_65 = _T_59 | _T_63; // @[lsu_addrcheck.scala 68:153] + wire [31:0] _T_67 = io_start_addr_d | 32'hfffffff; // @[lsu_addrcheck.scala 70:56] + wire _T_69 = _T_67 == 32'h8fffffff; // @[lsu_addrcheck.scala 70:88] + wire _T_71 = _T_65 | _T_69; // @[lsu_addrcheck.scala 69:153] + wire [31:0] _T_97 = io_end_addr_d | 32'h7fffffff; // @[lsu_addrcheck.scala 76:57] + wire _T_99 = _T_97 == 32'h7fffffff; // @[lsu_addrcheck.scala 76:89] + wire [31:0] _T_102 = io_end_addr_d | 32'h3fffffff; // @[lsu_addrcheck.scala 77:58] + wire _T_104 = _T_102 == 32'hffffffff; // @[lsu_addrcheck.scala 77:90] + wire _T_106 = _T_99 | _T_104; // @[lsu_addrcheck.scala 76:154] + wire [31:0] _T_108 = io_end_addr_d | 32'h1fffffff; // @[lsu_addrcheck.scala 78:58] + wire _T_110 = _T_108 == 32'hbfffffff; // @[lsu_addrcheck.scala 78:90] + wire _T_112 = _T_106 | _T_110; // @[lsu_addrcheck.scala 77:155] + wire [31:0] _T_114 = io_end_addr_d | 32'hfffffff; // @[lsu_addrcheck.scala 79:58] + wire _T_116 = _T_114 == 32'h8fffffff; // @[lsu_addrcheck.scala 79:90] + wire _T_118 = _T_112 | _T_116; // @[lsu_addrcheck.scala 78:155] + wire non_dccm_access_ok = _T_71 & _T_118; // @[lsu_addrcheck.scala 75:7] + wire regpred_access_fault_d = start_addr_dccm_or_pic ^ base_reg_dccm_or_pic; // @[lsu_addrcheck.scala 85:57] + wire _T_145 = io_start_addr_d[1:0] != 2'h0; // @[lsu_addrcheck.scala 86:76] + wire _T_146 = ~io_lsu_pkt_d_bits_word; // @[lsu_addrcheck.scala 86:92] + wire _T_147 = _T_145 | _T_146; // @[lsu_addrcheck.scala 86:90] + wire picm_access_fault_d = io_addr_in_pic_d & _T_147; // @[lsu_addrcheck.scala 86:51] + wire _T_148 = start_addr_in_dccm_d | start_addr_in_pic_d; // @[lsu_addrcheck.scala 91:87] + wire _T_149 = ~_T_148; // @[lsu_addrcheck.scala 91:64] + wire _T_150 = start_addr_in_dccm_region_d & _T_149; // @[lsu_addrcheck.scala 91:62] + wire _T_151 = end_addr_in_dccm_d | end_addr_in_pic_d; // @[lsu_addrcheck.scala 93:57] + wire _T_152 = ~_T_151; // @[lsu_addrcheck.scala 93:36] + wire _T_153 = end_addr_in_dccm_region_d & _T_152; // @[lsu_addrcheck.scala 93:34] + wire _T_154 = _T_150 | _T_153; // @[lsu_addrcheck.scala 91:112] + wire _T_155 = start_addr_in_dccm_d & end_addr_in_pic_d; // @[lsu_addrcheck.scala 95:29] + wire _T_156 = _T_154 | _T_155; // @[lsu_addrcheck.scala 93:85] + wire _T_157 = start_addr_in_pic_d & end_addr_in_dccm_d; // @[lsu_addrcheck.scala 97:29] + wire unmapped_access_fault_d = _T_156 | _T_157; // @[lsu_addrcheck.scala 95:85] + wire _T_159 = ~start_addr_in_dccm_region_d; // @[lsu_addrcheck.scala 99:33] + wire _T_160 = ~non_dccm_access_ok; // @[lsu_addrcheck.scala 99:64] + wire mpu_access_fault_d = _T_159 & _T_160; // @[lsu_addrcheck.scala 99:62] + wire _T_162 = unmapped_access_fault_d | mpu_access_fault_d; // @[lsu_addrcheck.scala 111:49] + wire _T_163 = _T_162 | picm_access_fault_d; // @[lsu_addrcheck.scala 111:70] + wire _T_164 = _T_163 | regpred_access_fault_d; // @[lsu_addrcheck.scala 111:92] + wire _T_165 = _T_164 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 111:118] + wire _T_166 = ~io_lsu_pkt_d_bits_dma; // @[lsu_addrcheck.scala 111:141] + wire [3:0] _T_172 = picm_access_fault_d ? 4'h6 : 4'h0; // @[lsu_addrcheck.scala 112:164] + wire [3:0] _T_173 = regpred_access_fault_d ? 4'h5 : _T_172; // @[lsu_addrcheck.scala 112:120] + wire [3:0] _T_174 = mpu_access_fault_d ? 4'h3 : _T_173; // @[lsu_addrcheck.scala 112:80] + wire [3:0] access_fault_mscause_d = unmapped_access_fault_d ? 4'h2 : _T_174; // @[lsu_addrcheck.scala 112:35] + wire regcross_misaligned_fault_d = io_start_addr_d[31:28] != io_end_addr_d[31:28]; // @[lsu_addrcheck.scala 113:61] + wire _T_177 = ~is_aligned_d; // @[lsu_addrcheck.scala 114:59] + wire sideeffect_misaligned_fault_d = is_sideeffects_d & _T_177; // @[lsu_addrcheck.scala 114:57] + wire _T_178 = sideeffect_misaligned_fault_d & io_addr_external_d; // @[lsu_addrcheck.scala 115:90] + wire _T_179 = regcross_misaligned_fault_d | _T_178; // @[lsu_addrcheck.scala 115:57] + wire _T_180 = _T_179 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 115:113] + wire [3:0] _T_184 = sideeffect_misaligned_fault_d ? 4'h1 : 4'h0; // @[lsu_addrcheck.scala 116:80] + wire [3:0] misaligned_fault_mscause_d = regcross_misaligned_fault_d ? 4'h2 : _T_184; // @[lsu_addrcheck.scala 116:39] + wire _T_189 = ~start_addr_in_dccm_d; // @[lsu_addrcheck.scala 118:66] + wire _T_190 = start_addr_in_dccm_region_d & _T_189; // @[lsu_addrcheck.scala 118:64] + wire _T_191 = ~end_addr_in_dccm_d; // @[lsu_addrcheck.scala 118:120] + wire _T_192 = end_addr_in_dccm_region_d & _T_191; // @[lsu_addrcheck.scala 118:118] + wire _T_193 = _T_190 | _T_192; // @[lsu_addrcheck.scala 118:88] + wire _T_194 = _T_193 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 118:142] + wire _T_196 = start_addr_in_dccm_region_d & end_addr_in_dccm_region_d; // @[lsu_addrcheck.scala 119:66] + wire _T_197 = ~_T_196; // @[lsu_addrcheck.scala 119:36] + wire _T_198 = _T_197 & io_lsu_pkt_d_valid; // @[lsu_addrcheck.scala 119:95] + reg _T_200; // @[lsu_addrcheck.scala 121:60] + assign io_is_sideeffects_m = _T_200; // @[lsu_addrcheck.scala 121:50] + assign io_addr_in_dccm_d = start_addr_in_dccm_d & end_addr_in_dccm_d; // @[lsu_addrcheck.scala 56:32] + assign io_addr_in_pic_d = start_addr_in_pic_d & end_addr_in_pic_d; // @[lsu_addrcheck.scala 57:32] + assign io_addr_external_d = ~start_addr_dccm_or_pic; // @[lsu_addrcheck.scala 59:30] + assign io_access_fault_d = _T_165 & _T_166; // @[lsu_addrcheck.scala 111:21] + assign io_misaligned_fault_d = _T_180 & _T_166; // @[lsu_addrcheck.scala 115:25] + assign io_exc_mscause_d = io_misaligned_fault_d ? misaligned_fault_mscause_d : access_fault_mscause_d; // @[lsu_addrcheck.scala 117:21] + assign io_fir_dccm_access_error_d = _T_194 & io_lsu_pkt_d_bits_fast_int; // @[lsu_addrcheck.scala 118:31] + assign io_fir_nondccm_access_error_d = _T_198 & io_lsu_pkt_d_bits_fast_int; // @[lsu_addrcheck.scala 119:33] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + _T_200 = _RAND_0[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + _T_200 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c2_m_clk or posedge reset) begin + if (reset) begin + _T_200 <= 1'h0; + end else begin + _T_200 <= _T_31 & _T_32; + end + end +endmodule +module lsu_lsc_ctl( + input reset, + input io_lsu_c1_m_clk, + input io_lsu_c1_r_clk, + input io_lsu_c2_m_clk, + input io_lsu_c2_r_clk, + input io_lsu_store_c1_m_clk, + input [31:0] io_lsu_ld_data_corr_r, + input io_lsu_single_ecc_error_r, + input io_lsu_double_ecc_error_r, + input [31:0] io_lsu_ld_data_m, + input io_lsu_single_ecc_error_m, + input io_lsu_double_ecc_error_m, + input io_flush_m_up, + input io_flush_r, + input [31:0] io_lsu_exu_exu_lsu_rs1_d, + input [31:0] io_lsu_exu_exu_lsu_rs2_d, + input io_lsu_p_valid, + input io_lsu_p_bits_fast_int, + input io_lsu_p_bits_by, + input io_lsu_p_bits_half, + input io_lsu_p_bits_word, + input io_lsu_p_bits_dword, + input io_lsu_p_bits_load, + input io_lsu_p_bits_store, + input io_lsu_p_bits_unsign, + input io_lsu_p_bits_dma, + input io_lsu_p_bits_store_data_bypass_d, + input io_lsu_p_bits_load_ldst_bypass_d, + input io_lsu_p_bits_store_data_bypass_m, + input io_dec_lsu_valid_raw_d, + input [11:0] io_dec_lsu_offset_d, + input [31:0] io_picm_mask_data_m, + input [31:0] io_bus_read_data_m, + output [31:0] io_lsu_result_m, + output [31:0] io_lsu_result_corr_r, + output [31:0] io_lsu_addr_d, + output [31:0] io_lsu_addr_m, + output [31:0] io_lsu_addr_r, + output [31:0] io_end_addr_d, + output [31:0] io_end_addr_m, + output [31:0] io_end_addr_r, + output [31:0] io_store_data_m, + input [31:0] io_dec_tlu_mrac_ff, + output io_lsu_exc_m, + output io_is_sideeffects_m, + output io_lsu_commit_r, + output io_lsu_single_ecc_error_incr, + output io_lsu_error_pkt_r_valid, + output io_lsu_error_pkt_r_bits_single_ecc_error, + output io_lsu_error_pkt_r_bits_inst_type, + output io_lsu_error_pkt_r_bits_exc_type, + output [3:0] io_lsu_error_pkt_r_bits_mscause, + output [31:0] io_lsu_error_pkt_r_bits_addr, + output [30:0] io_lsu_fir_addr, + output [1:0] io_lsu_fir_error, + output io_addr_in_dccm_d, + output io_addr_in_dccm_m, + output io_addr_in_dccm_r, + output io_addr_in_pic_d, + output io_addr_in_pic_m, + output io_addr_in_pic_r, + output io_addr_external_m, + input io_dma_lsc_ctl_dma_dccm_req, + input [31:0] io_dma_lsc_ctl_dma_mem_addr, + input [2:0] io_dma_lsc_ctl_dma_mem_sz, + input io_dma_lsc_ctl_dma_mem_write, + input [63:0] io_dma_lsc_ctl_dma_mem_wdata, + output io_lsu_pkt_d_valid, + output io_lsu_pkt_d_bits_fast_int, + output io_lsu_pkt_d_bits_by, + output io_lsu_pkt_d_bits_half, + output io_lsu_pkt_d_bits_word, + output io_lsu_pkt_d_bits_dword, + output io_lsu_pkt_d_bits_load, + output io_lsu_pkt_d_bits_store, + output io_lsu_pkt_d_bits_unsign, + output io_lsu_pkt_d_bits_dma, + output io_lsu_pkt_d_bits_store_data_bypass_d, + output io_lsu_pkt_d_bits_load_ldst_bypass_d, + output io_lsu_pkt_d_bits_store_data_bypass_m, + output io_lsu_pkt_m_valid, + output io_lsu_pkt_m_bits_fast_int, + output io_lsu_pkt_m_bits_by, + output io_lsu_pkt_m_bits_half, + output io_lsu_pkt_m_bits_word, + output io_lsu_pkt_m_bits_dword, + output io_lsu_pkt_m_bits_load, + output io_lsu_pkt_m_bits_store, + output io_lsu_pkt_m_bits_unsign, + output io_lsu_pkt_m_bits_dma, + output io_lsu_pkt_m_bits_store_data_bypass_m, + output io_lsu_pkt_r_valid, + output io_lsu_pkt_r_bits_by, + output io_lsu_pkt_r_bits_half, + output io_lsu_pkt_r_bits_word, + output io_lsu_pkt_r_bits_dword, + output io_lsu_pkt_r_bits_load, + output io_lsu_pkt_r_bits_store, + output io_lsu_pkt_r_bits_unsign, + output io_lsu_pkt_r_bits_dma +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; +`endif // RANDOMIZE_REG_INIT + wire addrcheck_reset; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_lsu_c2_m_clk; // @[lsu_lsc_ctl.scala 113:25] + wire [31:0] addrcheck_io_start_addr_d; // @[lsu_lsc_ctl.scala 113:25] + wire [31:0] addrcheck_io_end_addr_d; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_lsu_pkt_d_valid; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_lsu_pkt_d_bits_fast_int; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_lsu_pkt_d_bits_by; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_lsu_pkt_d_bits_half; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_lsu_pkt_d_bits_word; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_lsu_pkt_d_bits_load; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_lsu_pkt_d_bits_store; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_lsu_pkt_d_bits_dma; // @[lsu_lsc_ctl.scala 113:25] + wire [31:0] addrcheck_io_dec_tlu_mrac_ff; // @[lsu_lsc_ctl.scala 113:25] + wire [3:0] addrcheck_io_rs1_region_d; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_is_sideeffects_m; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_addr_in_dccm_d; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_addr_in_pic_d; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_addr_external_d; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_access_fault_d; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_misaligned_fault_d; // @[lsu_lsc_ctl.scala 113:25] + wire [3:0] addrcheck_io_exc_mscause_d; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_fir_dccm_access_error_d; // @[lsu_lsc_ctl.scala 113:25] + wire addrcheck_io_fir_nondccm_access_error_d; // @[lsu_lsc_ctl.scala 113:25] + wire [31:0] lsu_rs1_d = io_dec_lsu_valid_raw_d ? io_lsu_exu_exu_lsu_rs1_d : io_dma_lsc_ctl_dma_mem_addr; // @[lsu_lsc_ctl.scala 95:28] + wire [11:0] _T_3 = io_dec_lsu_valid_raw_d ? 12'hfff : 12'h0; // @[Bitwise.scala 72:12] + wire [11:0] lsu_offset_d = io_dec_lsu_offset_d & _T_3; // @[lsu_lsc_ctl.scala 96:51] + wire [31:0] rs1_d = io_lsu_pkt_d_bits_load_ldst_bypass_d ? io_lsu_result_m : lsu_rs1_d; // @[lsu_lsc_ctl.scala 99:28] + wire [12:0] _T_6 = {1'h0,rs1_d[11:0]}; // @[Cat.scala 29:58] + wire [12:0] _T_8 = {1'h0,lsu_offset_d}; // @[Cat.scala 29:58] + wire [12:0] _T_10 = _T_6 + _T_8; // @[lib.scala 92:39] + wire _T_13 = lsu_offset_d[11] ^ _T_10[12]; // @[lib.scala 93:46] + wire _T_14 = ~_T_13; // @[lib.scala 93:33] + wire [19:0] _T_16 = _T_14 ? 20'hfffff : 20'h0; // @[Bitwise.scala 72:12] + wire [19:0] _T_18 = _T_16 & rs1_d[31:12]; // @[lib.scala 93:58] + wire _T_20 = ~lsu_offset_d[11]; // @[lib.scala 94:18] + wire _T_22 = _T_20 & _T_10[12]; // @[lib.scala 94:30] + wire [19:0] _T_24 = _T_22 ? 20'hfffff : 20'h0; // @[Bitwise.scala 72:12] + wire [19:0] _T_27 = rs1_d[31:12] + 20'h1; // @[lib.scala 94:54] + wire [19:0] _T_28 = _T_24 & _T_27; // @[lib.scala 94:41] + wire [19:0] _T_29 = _T_18 | _T_28; // @[lib.scala 93:72] + wire _T_32 = ~_T_10[12]; // @[lib.scala 95:31] + wire _T_33 = lsu_offset_d[11] & _T_32; // @[lib.scala 95:29] + wire [19:0] _T_35 = _T_33 ? 20'hfffff : 20'h0; // @[Bitwise.scala 72:12] + wire [19:0] _T_38 = rs1_d[31:12] - 20'h1; // @[lib.scala 95:54] + wire [19:0] _T_39 = _T_35 & _T_38; // @[lib.scala 95:41] + wire [19:0] _T_40 = _T_29 | _T_39; // @[lib.scala 94:61] + wire [2:0] _T_43 = io_lsu_pkt_d_bits_half ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_44 = _T_43 & 3'h1; // @[lsu_lsc_ctl.scala 104:58] + wire [2:0] _T_46 = io_lsu_pkt_d_bits_word ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_47 = _T_46 & 3'h3; // @[lsu_lsc_ctl.scala 105:40] + wire [2:0] _T_48 = _T_44 | _T_47; // @[lsu_lsc_ctl.scala 104:70] + wire [2:0] _T_50 = io_lsu_pkt_d_bits_dword ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] addr_offset_d = _T_48 | _T_50; // @[lsu_lsc_ctl.scala 105:52] + wire [12:0] _T_54 = {lsu_offset_d[11],lsu_offset_d}; // @[Cat.scala 29:58] + wire [11:0] _T_57 = {9'h0,addr_offset_d}; // @[Cat.scala 29:58] + wire [12:0] _GEN_0 = {{1'd0}, _T_57}; // @[lsu_lsc_ctl.scala 108:60] + wire [12:0] end_addr_offset_d = _T_54 + _GEN_0; // @[lsu_lsc_ctl.scala 108:60] + wire [18:0] _T_62 = end_addr_offset_d[12] ? 19'h7ffff : 19'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_64 = {_T_62,end_addr_offset_d}; // @[Cat.scala 29:58] + reg access_fault_m; // @[lsu_lsc_ctl.scala 144:75] + reg misaligned_fault_m; // @[lsu_lsc_ctl.scala 145:75] + reg [3:0] exc_mscause_m; // @[lsu_lsc_ctl.scala 146:75] + reg fir_dccm_access_error_m; // @[lsu_lsc_ctl.scala 147:75] + reg fir_nondccm_access_error_m; // @[lsu_lsc_ctl.scala 148:75] + wire _T_69 = access_fault_m | misaligned_fault_m; // @[lsu_lsc_ctl.scala 150:34] + wire _T_70 = ~io_lsu_double_ecc_error_r; // @[lsu_lsc_ctl.scala 151:64] + wire _T_71 = io_lsu_single_ecc_error_r & _T_70; // @[lsu_lsc_ctl.scala 151:62] + wire _T_72 = io_lsu_commit_r | io_lsu_pkt_r_bits_dma; // @[lsu_lsc_ctl.scala 151:111] + wire _T_73 = _T_71 & _T_72; // @[lsu_lsc_ctl.scala 151:92] + wire _T_76 = _T_69 | io_lsu_double_ecc_error_m; // @[lsu_lsc_ctl.scala 173:67] + wire _T_77 = _T_76 & io_lsu_pkt_m_valid; // @[lsu_lsc_ctl.scala 173:96] + wire _T_78 = ~io_lsu_pkt_m_bits_dma; // @[lsu_lsc_ctl.scala 173:119] + wire _T_79 = _T_77 & _T_78; // @[lsu_lsc_ctl.scala 173:117] + wire _T_80 = ~io_lsu_pkt_m_bits_fast_int; // @[lsu_lsc_ctl.scala 173:144] + wire _T_81 = _T_79 & _T_80; // @[lsu_lsc_ctl.scala 173:142] + wire _T_82 = ~io_flush_m_up; // @[lsu_lsc_ctl.scala 173:174] + wire lsu_error_pkt_m_valid = _T_81 & _T_82; // @[lsu_lsc_ctl.scala 173:172] + wire _T_84 = ~lsu_error_pkt_m_valid; // @[lsu_lsc_ctl.scala 174:75] + wire _T_85 = io_lsu_single_ecc_error_m & _T_84; // @[lsu_lsc_ctl.scala 174:73] + wire lsu_error_pkt_m_bits_exc_type = ~misaligned_fault_m; // @[lsu_lsc_ctl.scala 176:46] + wire _T_90 = io_lsu_double_ecc_error_m & lsu_error_pkt_m_bits_exc_type; // @[lsu_lsc_ctl.scala 177:78] + wire _T_91 = ~access_fault_m; // @[lsu_lsc_ctl.scala 177:102] + wire _T_92 = _T_90 & _T_91; // @[lsu_lsc_ctl.scala 177:100] + wire _T_99 = io_lsu_pkt_m_bits_fast_int & io_lsu_double_ecc_error_m; // @[lsu_lsc_ctl.scala 179:166] + reg _T_105_valid; // @[lsu_lsc_ctl.scala 180:75] + reg _T_105_bits_single_ecc_error; // @[lsu_lsc_ctl.scala 180:75] + reg _T_105_bits_inst_type; // @[lsu_lsc_ctl.scala 180:75] + reg _T_105_bits_exc_type; // @[lsu_lsc_ctl.scala 180:75] + reg [3:0] _T_105_bits_mscause; // @[lsu_lsc_ctl.scala 180:75] + reg [31:0] _T_105_bits_addr; // @[lsu_lsc_ctl.scala 180:75] + reg [1:0] _T_106; // @[lsu_lsc_ctl.scala 181:75] + wire dma_pkt_d_bits_load = ~io_dma_lsc_ctl_dma_mem_write; // @[lsu_lsc_ctl.scala 188:30] + wire dma_pkt_d_bits_by = io_dma_lsc_ctl_dma_mem_sz == 3'h0; // @[lsu_lsc_ctl.scala 189:62] + wire dma_pkt_d_bits_half = io_dma_lsc_ctl_dma_mem_sz == 3'h1; // @[lsu_lsc_ctl.scala 190:62] + wire dma_pkt_d_bits_word = io_dma_lsc_ctl_dma_mem_sz == 3'h2; // @[lsu_lsc_ctl.scala 191:62] + wire dma_pkt_d_bits_dword = io_dma_lsc_ctl_dma_mem_sz == 3'h3; // @[lsu_lsc_ctl.scala 192:62] + wire _T_118 = ~io_lsu_p_bits_fast_int; // @[lsu_lsc_ctl.scala 205:64] + wire _T_119 = io_flush_m_up & _T_118; // @[lsu_lsc_ctl.scala 205:61] + wire _T_120 = ~_T_119; // @[lsu_lsc_ctl.scala 205:45] + wire _T_121 = io_lsu_p_valid & _T_120; // @[lsu_lsc_ctl.scala 205:43] + wire _T_123 = ~io_lsu_pkt_d_bits_dma; // @[lsu_lsc_ctl.scala 206:68] + wire _T_124 = io_flush_m_up & _T_123; // @[lsu_lsc_ctl.scala 206:65] + wire _T_125 = ~_T_124; // @[lsu_lsc_ctl.scala 206:49] + wire _T_128 = io_flush_m_up & _T_78; // @[lsu_lsc_ctl.scala 207:65] + wire _T_129 = ~_T_128; // @[lsu_lsc_ctl.scala 207:49] + reg _T_132_bits_fast_int; // @[lsu_lsc_ctl.scala 209:65] + reg _T_132_bits_by; // @[lsu_lsc_ctl.scala 209:65] + reg _T_132_bits_half; // @[lsu_lsc_ctl.scala 209:65] + reg _T_132_bits_word; // @[lsu_lsc_ctl.scala 209:65] + reg _T_132_bits_dword; // @[lsu_lsc_ctl.scala 209:65] + reg _T_132_bits_load; // @[lsu_lsc_ctl.scala 209:65] + reg _T_132_bits_store; // @[lsu_lsc_ctl.scala 209:65] + reg _T_132_bits_unsign; // @[lsu_lsc_ctl.scala 209:65] + reg _T_132_bits_dma; // @[lsu_lsc_ctl.scala 209:65] + reg _T_132_bits_store_data_bypass_m; // @[lsu_lsc_ctl.scala 209:65] + reg _T_134_bits_by; // @[lsu_lsc_ctl.scala 210:65] + reg _T_134_bits_half; // @[lsu_lsc_ctl.scala 210:65] + reg _T_134_bits_word; // @[lsu_lsc_ctl.scala 210:65] + reg _T_134_bits_dword; // @[lsu_lsc_ctl.scala 210:65] + reg _T_134_bits_load; // @[lsu_lsc_ctl.scala 210:65] + reg _T_134_bits_store; // @[lsu_lsc_ctl.scala 210:65] + reg _T_134_bits_unsign; // @[lsu_lsc_ctl.scala 210:65] + reg _T_134_bits_dma; // @[lsu_lsc_ctl.scala 210:65] + reg _T_135; // @[lsu_lsc_ctl.scala 211:65] + reg _T_136; // @[lsu_lsc_ctl.scala 212:65] + wire [5:0] _T_139 = {io_dma_lsc_ctl_dma_mem_addr[2:0],3'h0}; // @[Cat.scala 29:58] + wire [63:0] dma_mem_wdata_shifted = io_dma_lsc_ctl_dma_mem_wdata >> _T_139; // @[lsu_lsc_ctl.scala 214:66] + reg [31:0] store_data_pre_m; // @[lsu_lsc_ctl.scala 218:72] + reg [31:0] _T_146; // @[lsu_lsc_ctl.scala 219:62] + reg [31:0] _T_147; // @[lsu_lsc_ctl.scala 220:62] + reg [31:0] _T_148; // @[lsu_lsc_ctl.scala 221:62] + reg [31:0] _T_149; // @[lsu_lsc_ctl.scala 222:62] + reg _T_150; // @[lsu_lsc_ctl.scala 223:62] + reg _T_151; // @[lsu_lsc_ctl.scala 224:62] + reg _T_152; // @[lsu_lsc_ctl.scala 225:62] + reg _T_153; // @[lsu_lsc_ctl.scala 226:62] + reg _T_154; // @[lsu_lsc_ctl.scala 227:62] + reg addr_external_r; // @[lsu_lsc_ctl.scala 228:66] + reg [31:0] bus_read_data_r; // @[lsu_lsc_ctl.scala 229:66] + wire _T_156 = io_lsu_pkt_r_bits_store | io_lsu_pkt_r_bits_load; // @[lsu_lsc_ctl.scala 235:68] + wire _T_157 = io_lsu_pkt_r_valid & _T_156; // @[lsu_lsc_ctl.scala 235:41] + wire _T_158 = ~io_flush_r; // @[lsu_lsc_ctl.scala 235:96] + wire _T_159 = _T_157 & _T_158; // @[lsu_lsc_ctl.scala 235:94] + wire _T_160 = ~io_lsu_pkt_r_bits_dma; // @[lsu_lsc_ctl.scala 235:110] + wire _T_163 = ~io_addr_in_pic_m; // @[lsu_lsc_ctl.scala 236:69] + wire [31:0] _T_165 = _T_163 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_166 = io_picm_mask_data_m | _T_165; // @[lsu_lsc_ctl.scala 236:59] + wire [31:0] _T_168 = io_lsu_pkt_m_bits_store_data_bypass_m ? io_lsu_result_m : store_data_pre_m; // @[lsu_lsc_ctl.scala 236:94] + wire [31:0] lsu_ld_datafn_m = io_addr_external_m ? io_bus_read_data_m : io_lsu_ld_data_m; // @[lsu_lsc_ctl.scala 257:33] + wire [31:0] lsu_ld_datafn_corr_r = addr_external_r ? bus_read_data_r : io_lsu_ld_data_corr_r; // @[lsu_lsc_ctl.scala 258:33] + wire _T_174 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 259:66] + wire [31:0] _T_176 = _T_174 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_178 = {24'h0,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_179 = _T_176 & _T_178; // @[lsu_lsc_ctl.scala 259:94] + wire _T_180 = io_lsu_pkt_m_bits_unsign & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 260:43] + wire [31:0] _T_182 = _T_180 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_184 = {16'h0,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_185 = _T_182 & _T_184; // @[lsu_lsc_ctl.scala 260:71] + wire [31:0] _T_186 = _T_179 | _T_185; // @[lsu_lsc_ctl.scala 259:133] + wire _T_187 = ~io_lsu_pkt_m_bits_unsign; // @[lsu_lsc_ctl.scala 261:17] + wire _T_188 = _T_187 & io_lsu_pkt_m_bits_by; // @[lsu_lsc_ctl.scala 261:43] + wire [31:0] _T_190 = _T_188 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [23:0] _T_193 = lsu_ld_datafn_m[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_195 = {_T_193,lsu_ld_datafn_m[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_196 = _T_190 & _T_195; // @[lsu_lsc_ctl.scala 261:71] + wire [31:0] _T_197 = _T_186 | _T_196; // @[lsu_lsc_ctl.scala 260:114] + wire _T_199 = _T_187 & io_lsu_pkt_m_bits_half; // @[lsu_lsc_ctl.scala 262:43] + wire [31:0] _T_201 = _T_199 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_204 = lsu_ld_datafn_m[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_206 = {_T_204,lsu_ld_datafn_m[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_207 = _T_201 & _T_206; // @[lsu_lsc_ctl.scala 262:71] + wire [31:0] _T_208 = _T_197 | _T_207; // @[lsu_lsc_ctl.scala 261:134] + wire [31:0] _T_210 = io_lsu_pkt_m_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_212 = _T_210 & lsu_ld_datafn_m; // @[lsu_lsc_ctl.scala 263:43] + wire _T_214 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 264:66] + wire [31:0] _T_216 = _T_214 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_218 = {24'h0,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_219 = _T_216 & _T_218; // @[lsu_lsc_ctl.scala 264:94] + wire _T_220 = io_lsu_pkt_r_bits_unsign & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 265:43] + wire [31:0] _T_222 = _T_220 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_224 = {16'h0,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_225 = _T_222 & _T_224; // @[lsu_lsc_ctl.scala 265:71] + wire [31:0] _T_226 = _T_219 | _T_225; // @[lsu_lsc_ctl.scala 264:138] + wire _T_227 = ~io_lsu_pkt_r_bits_unsign; // @[lsu_lsc_ctl.scala 266:17] + wire _T_228 = _T_227 & io_lsu_pkt_r_bits_by; // @[lsu_lsc_ctl.scala 266:43] + wire [31:0] _T_230 = _T_228 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [23:0] _T_233 = lsu_ld_datafn_corr_r[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_235 = {_T_233,lsu_ld_datafn_corr_r[7:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_236 = _T_230 & _T_235; // @[lsu_lsc_ctl.scala 266:71] + wire [31:0] _T_237 = _T_226 | _T_236; // @[lsu_lsc_ctl.scala 265:119] + wire _T_239 = _T_227 & io_lsu_pkt_r_bits_half; // @[lsu_lsc_ctl.scala 267:43] + wire [31:0] _T_241 = _T_239 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_244 = lsu_ld_datafn_corr_r[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_246 = {_T_244,lsu_ld_datafn_corr_r[15:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_247 = _T_241 & _T_246; // @[lsu_lsc_ctl.scala 267:71] + wire [31:0] _T_248 = _T_237 | _T_247; // @[lsu_lsc_ctl.scala 266:144] + wire [31:0] _T_250 = io_lsu_pkt_r_bits_word ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_252 = _T_250 & lsu_ld_datafn_corr_r; // @[lsu_lsc_ctl.scala 268:43] + lsu_addrcheck addrcheck ( // @[lsu_lsc_ctl.scala 113:25] + .reset(addrcheck_reset), + .io_lsu_c2_m_clk(addrcheck_io_lsu_c2_m_clk), + .io_start_addr_d(addrcheck_io_start_addr_d), + .io_end_addr_d(addrcheck_io_end_addr_d), + .io_lsu_pkt_d_valid(addrcheck_io_lsu_pkt_d_valid), + .io_lsu_pkt_d_bits_fast_int(addrcheck_io_lsu_pkt_d_bits_fast_int), + .io_lsu_pkt_d_bits_by(addrcheck_io_lsu_pkt_d_bits_by), + .io_lsu_pkt_d_bits_half(addrcheck_io_lsu_pkt_d_bits_half), + .io_lsu_pkt_d_bits_word(addrcheck_io_lsu_pkt_d_bits_word), + .io_lsu_pkt_d_bits_load(addrcheck_io_lsu_pkt_d_bits_load), + .io_lsu_pkt_d_bits_store(addrcheck_io_lsu_pkt_d_bits_store), + .io_lsu_pkt_d_bits_dma(addrcheck_io_lsu_pkt_d_bits_dma), + .io_dec_tlu_mrac_ff(addrcheck_io_dec_tlu_mrac_ff), + .io_rs1_region_d(addrcheck_io_rs1_region_d), + .io_is_sideeffects_m(addrcheck_io_is_sideeffects_m), + .io_addr_in_dccm_d(addrcheck_io_addr_in_dccm_d), + .io_addr_in_pic_d(addrcheck_io_addr_in_pic_d), + .io_addr_external_d(addrcheck_io_addr_external_d), + .io_access_fault_d(addrcheck_io_access_fault_d), + .io_misaligned_fault_d(addrcheck_io_misaligned_fault_d), + .io_exc_mscause_d(addrcheck_io_exc_mscause_d), + .io_fir_dccm_access_error_d(addrcheck_io_fir_dccm_access_error_d), + .io_fir_nondccm_access_error_d(addrcheck_io_fir_nondccm_access_error_d) + ); + assign io_lsu_result_m = _T_208 | _T_212; // @[lsu_lsc_ctl.scala 259:27] + assign io_lsu_result_corr_r = _T_248 | _T_252; // @[lsu_lsc_ctl.scala 264:27] + assign io_lsu_addr_d = {_T_40,_T_10[11:0]}; // @[lsu_lsc_ctl.scala 233:28] + assign io_lsu_addr_m = _T_146; // @[lsu_lsc_ctl.scala 219:24] + assign io_lsu_addr_r = _T_147; // @[lsu_lsc_ctl.scala 220:24] + assign io_end_addr_d = rs1_d + _T_64; // @[lsu_lsc_ctl.scala 110:24] + assign io_end_addr_m = _T_148; // @[lsu_lsc_ctl.scala 221:24] + assign io_end_addr_r = _T_149; // @[lsu_lsc_ctl.scala 222:24] + assign io_store_data_m = _T_166 & _T_168; // @[lsu_lsc_ctl.scala 236:29] + assign io_lsu_exc_m = access_fault_m | misaligned_fault_m; // @[lsu_lsc_ctl.scala 150:16] + assign io_is_sideeffects_m = addrcheck_io_is_sideeffects_m; // @[lsu_lsc_ctl.scala 123:42] + assign io_lsu_commit_r = _T_159 & _T_160; // @[lsu_lsc_ctl.scala 235:19] + assign io_lsu_single_ecc_error_incr = _T_73 & io_lsu_pkt_r_valid; // @[lsu_lsc_ctl.scala 151:32] + assign io_lsu_error_pkt_r_valid = _T_105_valid; // @[lsu_lsc_ctl.scala 180:38] + assign io_lsu_error_pkt_r_bits_single_ecc_error = _T_105_bits_single_ecc_error; // @[lsu_lsc_ctl.scala 180:38] + assign io_lsu_error_pkt_r_bits_inst_type = _T_105_bits_inst_type; // @[lsu_lsc_ctl.scala 180:38] + assign io_lsu_error_pkt_r_bits_exc_type = _T_105_bits_exc_type; // @[lsu_lsc_ctl.scala 180:38] + assign io_lsu_error_pkt_r_bits_mscause = _T_105_bits_mscause; // @[lsu_lsc_ctl.scala 180:38] + assign io_lsu_error_pkt_r_bits_addr = _T_105_bits_addr; // @[lsu_lsc_ctl.scala 180:38] + assign io_lsu_fir_addr = io_lsu_ld_data_corr_r[31:1]; // @[lsu_lsc_ctl.scala 231:28] + assign io_lsu_fir_error = _T_106; // @[lsu_lsc_ctl.scala 181:38] + assign io_addr_in_dccm_d = addrcheck_io_addr_in_dccm_d; // @[lsu_lsc_ctl.scala 124:42] + assign io_addr_in_dccm_m = _T_150; // @[lsu_lsc_ctl.scala 223:24] + assign io_addr_in_dccm_r = _T_151; // @[lsu_lsc_ctl.scala 224:24] + assign io_addr_in_pic_d = addrcheck_io_addr_in_pic_d; // @[lsu_lsc_ctl.scala 125:42] + assign io_addr_in_pic_m = _T_152; // @[lsu_lsc_ctl.scala 225:24] + assign io_addr_in_pic_r = _T_153; // @[lsu_lsc_ctl.scala 226:24] + assign io_addr_external_m = _T_154; // @[lsu_lsc_ctl.scala 227:24] + assign io_lsu_pkt_d_valid = _T_121 | io_dma_lsc_ctl_dma_dccm_req; // @[lsu_lsc_ctl.scala 201:20 lsu_lsc_ctl.scala 205:24] + assign io_lsu_pkt_d_bits_fast_int = io_dec_lsu_valid_raw_d & io_lsu_p_bits_fast_int; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_by = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_by : dma_pkt_d_bits_by; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_half = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_half : dma_pkt_d_bits_half; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_word = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_word : dma_pkt_d_bits_word; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_dword = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_dword : dma_pkt_d_bits_dword; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_load = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_load : dma_pkt_d_bits_load; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_store = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_store : io_dma_lsc_ctl_dma_mem_write; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_unsign = io_dec_lsu_valid_raw_d & io_lsu_p_bits_unsign; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_dma = io_dec_lsu_valid_raw_d ? io_lsu_p_bits_dma : 1'h1; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_store_data_bypass_d = io_dec_lsu_valid_raw_d & io_lsu_p_bits_store_data_bypass_d; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_load_ldst_bypass_d = io_dec_lsu_valid_raw_d & io_lsu_p_bits_load_ldst_bypass_d; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_d_bits_store_data_bypass_m = io_dec_lsu_valid_raw_d & io_lsu_p_bits_store_data_bypass_m; // @[lsu_lsc_ctl.scala 201:20] + assign io_lsu_pkt_m_valid = _T_135; // @[lsu_lsc_ctl.scala 209:28 lsu_lsc_ctl.scala 211:28] + assign io_lsu_pkt_m_bits_fast_int = _T_132_bits_fast_int; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_m_bits_by = _T_132_bits_by; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_m_bits_half = _T_132_bits_half; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_m_bits_word = _T_132_bits_word; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_m_bits_dword = _T_132_bits_dword; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_m_bits_load = _T_132_bits_load; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_m_bits_store = _T_132_bits_store; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_m_bits_unsign = _T_132_bits_unsign; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_m_bits_dma = _T_132_bits_dma; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_m_bits_store_data_bypass_m = _T_132_bits_store_data_bypass_m; // @[lsu_lsc_ctl.scala 209:28] + assign io_lsu_pkt_r_valid = _T_136; // @[lsu_lsc_ctl.scala 210:28 lsu_lsc_ctl.scala 212:28] + assign io_lsu_pkt_r_bits_by = _T_134_bits_by; // @[lsu_lsc_ctl.scala 210:28] + assign io_lsu_pkt_r_bits_half = _T_134_bits_half; // @[lsu_lsc_ctl.scala 210:28] + assign io_lsu_pkt_r_bits_word = _T_134_bits_word; // @[lsu_lsc_ctl.scala 210:28] + assign io_lsu_pkt_r_bits_dword = _T_134_bits_dword; // @[lsu_lsc_ctl.scala 210:28] + assign io_lsu_pkt_r_bits_load = _T_134_bits_load; // @[lsu_lsc_ctl.scala 210:28] + assign io_lsu_pkt_r_bits_store = _T_134_bits_store; // @[lsu_lsc_ctl.scala 210:28] + assign io_lsu_pkt_r_bits_unsign = _T_134_bits_unsign; // @[lsu_lsc_ctl.scala 210:28] + assign io_lsu_pkt_r_bits_dma = _T_134_bits_dma; // @[lsu_lsc_ctl.scala 210:28] + assign addrcheck_reset = reset; + assign addrcheck_io_lsu_c2_m_clk = io_lsu_c2_m_clk; // @[lsu_lsc_ctl.scala 115:42] + assign addrcheck_io_start_addr_d = {_T_40,_T_10[11:0]}; // @[lsu_lsc_ctl.scala 117:42] + assign addrcheck_io_end_addr_d = rs1_d + _T_64; // @[lsu_lsc_ctl.scala 118:42] + assign addrcheck_io_lsu_pkt_d_valid = io_lsu_pkt_d_valid; // @[lsu_lsc_ctl.scala 119:42] + assign addrcheck_io_lsu_pkt_d_bits_fast_int = io_lsu_pkt_d_bits_fast_int; // @[lsu_lsc_ctl.scala 119:42] + assign addrcheck_io_lsu_pkt_d_bits_by = io_lsu_pkt_d_bits_by; // @[lsu_lsc_ctl.scala 119:42] + assign addrcheck_io_lsu_pkt_d_bits_half = io_lsu_pkt_d_bits_half; // @[lsu_lsc_ctl.scala 119:42] + assign addrcheck_io_lsu_pkt_d_bits_word = io_lsu_pkt_d_bits_word; // @[lsu_lsc_ctl.scala 119:42] + assign addrcheck_io_lsu_pkt_d_bits_load = io_lsu_pkt_d_bits_load; // @[lsu_lsc_ctl.scala 119:42] + assign addrcheck_io_lsu_pkt_d_bits_store = io_lsu_pkt_d_bits_store; // @[lsu_lsc_ctl.scala 119:42] + assign addrcheck_io_lsu_pkt_d_bits_dma = io_lsu_pkt_d_bits_dma; // @[lsu_lsc_ctl.scala 119:42] + assign addrcheck_io_dec_tlu_mrac_ff = io_dec_tlu_mrac_ff; // @[lsu_lsc_ctl.scala 120:42] + assign addrcheck_io_rs1_region_d = rs1_d[31:28]; // @[lsu_lsc_ctl.scala 121:42] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + access_fault_m = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + misaligned_fault_m = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + exc_mscause_m = _RAND_2[3:0]; + _RAND_3 = {1{`RANDOM}}; + fir_dccm_access_error_m = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + fir_nondccm_access_error_m = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + _T_105_valid = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + _T_105_bits_single_ecc_error = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + _T_105_bits_inst_type = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + _T_105_bits_exc_type = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + _T_105_bits_mscause = _RAND_9[3:0]; + _RAND_10 = {1{`RANDOM}}; + _T_105_bits_addr = _RAND_10[31:0]; + _RAND_11 = {1{`RANDOM}}; + _T_106 = _RAND_11[1:0]; + _RAND_12 = {1{`RANDOM}}; + _T_132_bits_fast_int = _RAND_12[0:0]; + _RAND_13 = {1{`RANDOM}}; + _T_132_bits_by = _RAND_13[0:0]; + _RAND_14 = {1{`RANDOM}}; + _T_132_bits_half = _RAND_14[0:0]; + _RAND_15 = {1{`RANDOM}}; + _T_132_bits_word = _RAND_15[0:0]; + _RAND_16 = {1{`RANDOM}}; + _T_132_bits_dword = _RAND_16[0:0]; + _RAND_17 = {1{`RANDOM}}; + _T_132_bits_load = _RAND_17[0:0]; + _RAND_18 = {1{`RANDOM}}; + _T_132_bits_store = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + _T_132_bits_unsign = _RAND_19[0:0]; + _RAND_20 = {1{`RANDOM}}; + _T_132_bits_dma = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + _T_132_bits_store_data_bypass_m = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + _T_134_bits_by = _RAND_22[0:0]; + _RAND_23 = {1{`RANDOM}}; + _T_134_bits_half = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + _T_134_bits_word = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + _T_134_bits_dword = _RAND_25[0:0]; + _RAND_26 = {1{`RANDOM}}; + _T_134_bits_load = _RAND_26[0:0]; + _RAND_27 = {1{`RANDOM}}; + _T_134_bits_store = _RAND_27[0:0]; + _RAND_28 = {1{`RANDOM}}; + _T_134_bits_unsign = _RAND_28[0:0]; + _RAND_29 = {1{`RANDOM}}; + _T_134_bits_dma = _RAND_29[0:0]; + _RAND_30 = {1{`RANDOM}}; + _T_135 = _RAND_30[0:0]; + _RAND_31 = {1{`RANDOM}}; + _T_136 = _RAND_31[0:0]; + _RAND_32 = {1{`RANDOM}}; + store_data_pre_m = _RAND_32[31:0]; + _RAND_33 = {1{`RANDOM}}; + _T_146 = _RAND_33[31:0]; + _RAND_34 = {1{`RANDOM}}; + _T_147 = _RAND_34[31:0]; + _RAND_35 = {1{`RANDOM}}; + _T_148 = _RAND_35[31:0]; + _RAND_36 = {1{`RANDOM}}; + _T_149 = _RAND_36[31:0]; + _RAND_37 = {1{`RANDOM}}; + _T_150 = _RAND_37[0:0]; + _RAND_38 = {1{`RANDOM}}; + _T_151 = _RAND_38[0:0]; + _RAND_39 = {1{`RANDOM}}; + _T_152 = _RAND_39[0:0]; + _RAND_40 = {1{`RANDOM}}; + _T_153 = _RAND_40[0:0]; + _RAND_41 = {1{`RANDOM}}; + _T_154 = _RAND_41[0:0]; + _RAND_42 = {1{`RANDOM}}; + addr_external_r = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + bus_read_data_r = _RAND_43[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + access_fault_m = 1'h0; + end + if (reset) begin + misaligned_fault_m = 1'h0; + end + if (reset) begin + exc_mscause_m = 4'h0; + end + if (reset) begin + fir_dccm_access_error_m = 1'h0; + end + if (reset) begin + fir_nondccm_access_error_m = 1'h0; + end + if (reset) begin + _T_105_valid = 1'h0; + end + if (reset) begin + _T_105_bits_single_ecc_error = 1'h0; + end + if (reset) begin + _T_105_bits_inst_type = 1'h0; + end + if (reset) begin + _T_105_bits_exc_type = 1'h0; + end + if (reset) begin + _T_105_bits_mscause = 4'h0; + end + if (reset) begin + _T_105_bits_addr = 32'h0; + end + if (reset) begin + _T_106 = 2'h0; + end + if (reset) begin + _T_132_bits_fast_int = 1'h0; + end + if (reset) begin + _T_132_bits_by = 1'h0; + end + if (reset) begin + _T_132_bits_half = 1'h0; + end + if (reset) begin + _T_132_bits_word = 1'h0; + end + if (reset) begin + _T_132_bits_dword = 1'h0; + end + if (reset) begin + _T_132_bits_load = 1'h0; + end + if (reset) begin + _T_132_bits_store = 1'h0; + end + if (reset) begin + _T_132_bits_unsign = 1'h0; + end + if (reset) begin + _T_132_bits_dma = 1'h0; + end + if (reset) begin + _T_132_bits_store_data_bypass_m = 1'h0; + end + if (reset) begin + _T_134_bits_by = 1'h0; + end + if (reset) begin + _T_134_bits_half = 1'h0; + end + if (reset) begin + _T_134_bits_word = 1'h0; + end + if (reset) begin + _T_134_bits_dword = 1'h0; + end + if (reset) begin + _T_134_bits_load = 1'h0; + end + if (reset) begin + _T_134_bits_store = 1'h0; + end + if (reset) begin + _T_134_bits_unsign = 1'h0; + end + if (reset) begin + _T_134_bits_dma = 1'h0; + end + if (reset) begin + _T_135 = 1'h0; + end + if (reset) begin + _T_136 = 1'h0; + end + if (reset) begin + store_data_pre_m = 32'h0; + end + if (reset) begin + _T_146 = 32'h0; + end + if (reset) begin + _T_147 = 32'h0; + end + if (reset) begin + _T_148 = 32'h0; + end + if (reset) begin + _T_149 = 32'h0; + end + if (reset) begin + _T_150 = 1'h0; + end + if (reset) begin + _T_151 = 1'h0; + end + if (reset) begin + _T_152 = 1'h0; + end + if (reset) begin + _T_153 = 1'h0; + end + if (reset) begin + _T_154 = 1'h0; + end + if (reset) begin + addr_external_r = 1'h0; + end + if (reset) begin + bus_read_data_r = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + access_fault_m <= 1'h0; + end else begin + access_fault_m <= addrcheck_io_access_fault_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + misaligned_fault_m <= 1'h0; + end else begin + misaligned_fault_m <= addrcheck_io_misaligned_fault_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + exc_mscause_m <= 4'h0; + end else begin + exc_mscause_m <= addrcheck_io_exc_mscause_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + fir_dccm_access_error_m <= 1'h0; + end else begin + fir_dccm_access_error_m <= addrcheck_io_fir_dccm_access_error_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + fir_nondccm_access_error_m <= 1'h0; + end else begin + fir_nondccm_access_error_m <= addrcheck_io_fir_nondccm_access_error_d; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_105_valid <= 1'h0; + end else begin + _T_105_valid <= _T_81 & _T_82; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_105_bits_single_ecc_error <= 1'h0; + end else begin + _T_105_bits_single_ecc_error <= _T_85 & _T_78; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_105_bits_inst_type <= 1'h0; + end else begin + _T_105_bits_inst_type <= io_lsu_pkt_m_bits_store; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_105_bits_exc_type <= 1'h0; + end else begin + _T_105_bits_exc_type <= ~misaligned_fault_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_105_bits_mscause <= 4'h0; + end else if (_T_92) begin + _T_105_bits_mscause <= 4'h1; + end else begin + _T_105_bits_mscause <= exc_mscause_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_105_bits_addr <= 32'h0; + end else begin + _T_105_bits_addr <= io_lsu_addr_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_106 <= 2'h0; + end else if (fir_nondccm_access_error_m) begin + _T_106 <= 2'h3; + end else if (fir_dccm_access_error_m) begin + _T_106 <= 2'h2; + end else if (_T_99) begin + _T_106 <= 2'h1; + end else begin + _T_106 <= 2'h0; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_fast_int <= 1'h0; + end else begin + _T_132_bits_fast_int <= io_lsu_pkt_d_bits_fast_int; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_by <= 1'h0; + end else begin + _T_132_bits_by <= io_lsu_pkt_d_bits_by; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_half <= 1'h0; + end else begin + _T_132_bits_half <= io_lsu_pkt_d_bits_half; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_word <= 1'h0; + end else begin + _T_132_bits_word <= io_lsu_pkt_d_bits_word; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_dword <= 1'h0; + end else begin + _T_132_bits_dword <= io_lsu_pkt_d_bits_dword; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_load <= 1'h0; + end else begin + _T_132_bits_load <= io_lsu_pkt_d_bits_load; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_store <= 1'h0; + end else begin + _T_132_bits_store <= io_lsu_pkt_d_bits_store; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_unsign <= 1'h0; + end else begin + _T_132_bits_unsign <= io_lsu_pkt_d_bits_unsign; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_dma <= 1'h0; + end else begin + _T_132_bits_dma <= io_lsu_pkt_d_bits_dma; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_132_bits_store_data_bypass_m <= 1'h0; + end else begin + _T_132_bits_store_data_bypass_m <= io_lsu_pkt_d_bits_store_data_bypass_m; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_134_bits_by <= 1'h0; + end else begin + _T_134_bits_by <= io_lsu_pkt_m_bits_by; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_134_bits_half <= 1'h0; + end else begin + _T_134_bits_half <= io_lsu_pkt_m_bits_half; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_134_bits_word <= 1'h0; + end else begin + _T_134_bits_word <= io_lsu_pkt_m_bits_word; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_134_bits_dword <= 1'h0; + end else begin + _T_134_bits_dword <= io_lsu_pkt_m_bits_dword; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_134_bits_load <= 1'h0; + end else begin + _T_134_bits_load <= io_lsu_pkt_m_bits_load; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_134_bits_store <= 1'h0; + end else begin + _T_134_bits_store <= io_lsu_pkt_m_bits_store; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_134_bits_unsign <= 1'h0; + end else begin + _T_134_bits_unsign <= io_lsu_pkt_m_bits_unsign; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_134_bits_dma <= 1'h0; + end else begin + _T_134_bits_dma <= io_lsu_pkt_m_bits_dma; + end + end + always @(posedge io_lsu_c2_m_clk or posedge reset) begin + if (reset) begin + _T_135 <= 1'h0; + end else begin + _T_135 <= io_lsu_pkt_d_valid & _T_125; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_136 <= 1'h0; + end else begin + _T_136 <= io_lsu_pkt_m_valid & _T_129; + end + end + always @(posedge io_lsu_store_c1_m_clk or posedge reset) begin + if (reset) begin + store_data_pre_m <= 32'h0; + end else if (io_lsu_pkt_d_bits_store_data_bypass_d) begin + store_data_pre_m <= io_lsu_result_m; + end else if (io_dma_lsc_ctl_dma_dccm_req) begin + store_data_pre_m <= dma_mem_wdata_shifted[31:0]; + end else begin + store_data_pre_m <= io_lsu_exu_exu_lsu_rs2_d; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_146 <= 32'h0; + end else begin + _T_146 <= io_lsu_addr_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_147 <= 32'h0; + end else begin + _T_147 <= io_lsu_addr_m; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_148 <= 32'h0; + end else begin + _T_148 <= io_end_addr_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_149 <= 32'h0; + end else begin + _T_149 <= io_end_addr_m; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_150 <= 1'h0; + end else begin + _T_150 <= io_addr_in_dccm_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_151 <= 1'h0; + end else begin + _T_151 <= io_addr_in_dccm_m; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_152 <= 1'h0; + end else begin + _T_152 <= io_addr_in_pic_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + _T_153 <= 1'h0; + end else begin + _T_153 <= io_addr_in_pic_m; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + _T_154 <= 1'h0; + end else begin + _T_154 <= addrcheck_io_addr_external_d; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + addr_external_r <= 1'h0; + end else begin + addr_external_r <= io_addr_external_m; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + bus_read_data_r <= 32'h0; + end else begin + bus_read_data_r <= io_bus_read_data_m; + end + end +endmodule +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module lsu_dccm_ctl( + input clock, + input reset, + input io_lsu_c2_m_clk, + input io_lsu_c2_r_clk, + input io_lsu_free_c2_clk, + input io_lsu_store_c1_r_clk, + input io_lsu_pkt_d_valid, + input io_lsu_pkt_d_bits_word, + input io_lsu_pkt_d_bits_dword, + input io_lsu_pkt_d_bits_load, + input io_lsu_pkt_d_bits_store, + input io_lsu_pkt_d_bits_dma, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_by, + input io_lsu_pkt_m_bits_half, + input io_lsu_pkt_m_bits_word, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_dma, + input io_lsu_pkt_r_valid, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_load, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_dma, + input io_addr_in_dccm_d, + input io_addr_in_dccm_m, + input io_addr_in_dccm_r, + input io_addr_in_pic_d, + input io_addr_in_pic_m, + input io_addr_in_pic_r, + input io_lsu_raw_fwd_lo_r, + input io_lsu_raw_fwd_hi_r, + input io_lsu_commit_r, + input [31:0] io_lsu_addr_d, + input [15:0] io_lsu_addr_m, + input [31:0] io_lsu_addr_r, + input [15:0] io_end_addr_d, + input [15:0] io_end_addr_m, + input [15:0] io_end_addr_r, + input io_stbuf_reqvld_any, + input [15:0] io_stbuf_addr_any, + input [31:0] io_stbuf_data_any, + input [6:0] io_stbuf_ecc_any, + input [31:0] io_stbuf_fwddata_hi_m, + input [31:0] io_stbuf_fwddata_lo_m, + input [3:0] io_stbuf_fwdbyteen_lo_m, + input [3:0] io_stbuf_fwdbyteen_hi_m, + output [31:0] io_lsu_ld_data_corr_r, + input io_lsu_double_ecc_error_r, + input io_single_ecc_error_hi_r, + input io_single_ecc_error_lo_r, + input [31:0] io_sec_data_hi_r_ff, + input [31:0] io_sec_data_lo_r_ff, + input [6:0] io_sec_data_ecc_hi_r_ff, + input [6:0] io_sec_data_ecc_lo_r_ff, + output [31:0] io_dccm_rdata_hi_m, + output [31:0] io_dccm_rdata_lo_m, + output [6:0] io_dccm_data_ecc_hi_m, + output [6:0] io_dccm_data_ecc_lo_m, + output [31:0] io_lsu_ld_data_m, + input io_lsu_double_ecc_error_m, + input [31:0] io_sec_data_hi_m, + input [31:0] io_sec_data_lo_m, + input [31:0] io_store_data_m, + input io_dma_dccm_wen, + input io_dma_pic_wen, + input [2:0] io_dma_mem_tag_m, + input [31:0] io_dma_dccm_wdata_lo, + input [31:0] io_dma_dccm_wdata_hi, + input [6:0] io_dma_dccm_wdata_ecc_hi, + input [6:0] io_dma_dccm_wdata_ecc_lo, + output [31:0] io_store_data_hi_r, + output [31:0] io_store_data_lo_r, + output [31:0] io_store_datafn_hi_r, + output [31:0] io_store_datafn_lo_r, + output [31:0] io_store_data_r, + output io_ld_single_ecc_error_r, + output io_ld_single_ecc_error_r_ff, + output [31:0] io_picm_mask_data_m, + output io_lsu_stbuf_commit_any, + output io_lsu_dccm_rden_m, + input [31:0] io_dma_dccm_ctl_dma_mem_addr, + input [63:0] io_dma_dccm_ctl_dma_mem_wdata, + output io_dma_dccm_ctl_dccm_dma_rvalid, + output io_dma_dccm_ctl_dccm_dma_ecc_error, + output [2:0] io_dma_dccm_ctl_dccm_dma_rtag, + output [63:0] io_dma_dccm_ctl_dccm_dma_rdata, + output io_dccm_wren, + output io_dccm_rden, + output [15:0] io_dccm_wr_addr_lo, + output [15:0] io_dccm_wr_addr_hi, + output [15:0] io_dccm_rd_addr_lo, + output [15:0] io_dccm_rd_addr_hi, + output [38:0] io_dccm_wr_data_lo, + output [38:0] io_dccm_wr_data_hi, + input [38:0] io_dccm_rd_data_lo, + input [38:0] io_dccm_rd_data_hi, + output io_lsu_pic_picm_wren, + output io_lsu_pic_picm_rden, + output io_lsu_pic_picm_mken, + output [31:0] io_lsu_pic_picm_rdaddr, + output [31:0] io_lsu_pic_picm_wraddr, + output [31:0] io_lsu_pic_picm_wr_data, + input [31:0] io_lsu_pic_picm_rd_data, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [63:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire [63:0] picm_rd_data_m = {io_lsu_pic_picm_rd_data,io_lsu_pic_picm_rd_data}; // @[Cat.scala 29:58] + wire [63:0] dccm_rdata_corr_m = {io_sec_data_hi_m,io_sec_data_lo_m}; // @[Cat.scala 29:58] + wire [63:0] dccm_rdata_m = {io_dccm_rdata_hi_m,io_dccm_rdata_lo_m}; // @[Cat.scala 29:58] + wire _T = io_lsu_pkt_m_valid & io_lsu_pkt_m_bits_load; // @[lsu_dccm_ctl.scala 137:63] + reg [63:0] _T_2; // @[lsu_dccm_ctl.scala 147:65] + wire [7:0] _T_3 = {io_stbuf_fwdbyteen_hi_m,io_stbuf_fwdbyteen_lo_m}; // @[Cat.scala 29:58] + wire [63:0] _T_6 = {io_stbuf_fwddata_hi_m,io_stbuf_fwddata_lo_m}; // @[Cat.scala 29:58] + wire [7:0] _T_11 = io_addr_in_pic_m ? picm_rd_data_m[7:0] : dccm_rdata_corr_m[7:0]; // @[lsu_dccm_ctl.scala 148:213] + wire [7:0] _T_12 = _T_3[0] ? _T_6[7:0] : _T_11; // @[lsu_dccm_ctl.scala 148:78] + wire [7:0] _T_16 = {{4'd0}, _T_12[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_18 = {_T_12[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_20 = _T_18 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_21 = _T_16 | _T_20; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_0 = {{2'd0}, _T_21[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_26 = _GEN_0 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_28 = {_T_21[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_30 = _T_28 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_31 = _T_26 | _T_30; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_1 = {{1'd0}, _T_31[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_36 = _GEN_1 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_38 = {_T_31[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_40 = _T_38 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_41 = _T_36 | _T_40; // @[Bitwise.scala 103:39] + wire [7:0] _T_50 = io_addr_in_pic_m ? picm_rd_data_m[15:8] : dccm_rdata_corr_m[15:8]; // @[lsu_dccm_ctl.scala 148:213] + wire [7:0] _T_51 = _T_3[1] ? _T_6[15:8] : _T_50; // @[lsu_dccm_ctl.scala 148:78] + wire [7:0] _T_55 = {{4'd0}, _T_51[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_57 = {_T_51[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_59 = _T_57 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_60 = _T_55 | _T_59; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_2 = {{2'd0}, _T_60[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_65 = _GEN_2 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_67 = {_T_60[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_69 = _T_67 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_70 = _T_65 | _T_69; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_3 = {{1'd0}, _T_70[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_75 = _GEN_3 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_77 = {_T_70[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_79 = _T_77 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_80 = _T_75 | _T_79; // @[Bitwise.scala 103:39] + wire [7:0] _T_89 = io_addr_in_pic_m ? picm_rd_data_m[23:16] : dccm_rdata_corr_m[23:16]; // @[lsu_dccm_ctl.scala 148:213] + wire [7:0] _T_90 = _T_3[2] ? _T_6[23:16] : _T_89; // @[lsu_dccm_ctl.scala 148:78] + wire [7:0] _T_94 = {{4'd0}, _T_90[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_96 = {_T_90[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_98 = _T_96 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_99 = _T_94 | _T_98; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_4 = {{2'd0}, _T_99[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_104 = _GEN_4 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_106 = {_T_99[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_108 = _T_106 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_109 = _T_104 | _T_108; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_5 = {{1'd0}, _T_109[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_114 = _GEN_5 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_116 = {_T_109[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_118 = _T_116 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_119 = _T_114 | _T_118; // @[Bitwise.scala 103:39] + wire [7:0] _T_128 = io_addr_in_pic_m ? picm_rd_data_m[31:24] : dccm_rdata_corr_m[31:24]; // @[lsu_dccm_ctl.scala 148:213] + wire [7:0] _T_129 = _T_3[3] ? _T_6[31:24] : _T_128; // @[lsu_dccm_ctl.scala 148:78] + wire [7:0] _T_133 = {{4'd0}, _T_129[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_135 = {_T_129[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_137 = _T_135 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_138 = _T_133 | _T_137; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_6 = {{2'd0}, _T_138[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_143 = _GEN_6 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_145 = {_T_138[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_147 = _T_145 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_148 = _T_143 | _T_147; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_7 = {{1'd0}, _T_148[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_153 = _GEN_7 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_155 = {_T_148[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_157 = _T_155 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_158 = _T_153 | _T_157; // @[Bitwise.scala 103:39] + wire [7:0] _T_167 = io_addr_in_pic_m ? picm_rd_data_m[39:32] : dccm_rdata_corr_m[39:32]; // @[lsu_dccm_ctl.scala 148:213] + wire [7:0] _T_168 = _T_3[4] ? _T_6[39:32] : _T_167; // @[lsu_dccm_ctl.scala 148:78] + wire [7:0] _T_172 = {{4'd0}, _T_168[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_174 = {_T_168[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_176 = _T_174 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_177 = _T_172 | _T_176; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_8 = {{2'd0}, _T_177[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_182 = _GEN_8 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_184 = {_T_177[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_186 = _T_184 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_187 = _T_182 | _T_186; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_9 = {{1'd0}, _T_187[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_192 = _GEN_9 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_194 = {_T_187[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_196 = _T_194 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_197 = _T_192 | _T_196; // @[Bitwise.scala 103:39] + wire [7:0] _T_206 = io_addr_in_pic_m ? picm_rd_data_m[47:40] : dccm_rdata_corr_m[47:40]; // @[lsu_dccm_ctl.scala 148:213] + wire [7:0] _T_207 = _T_3[5] ? _T_6[47:40] : _T_206; // @[lsu_dccm_ctl.scala 148:78] + wire [7:0] _T_211 = {{4'd0}, _T_207[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_213 = {_T_207[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_215 = _T_213 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_216 = _T_211 | _T_215; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_10 = {{2'd0}, _T_216[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_221 = _GEN_10 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_223 = {_T_216[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_225 = _T_223 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_226 = _T_221 | _T_225; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_11 = {{1'd0}, _T_226[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_231 = _GEN_11 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_233 = {_T_226[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_235 = _T_233 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_236 = _T_231 | _T_235; // @[Bitwise.scala 103:39] + wire [7:0] _T_245 = io_addr_in_pic_m ? picm_rd_data_m[55:48] : dccm_rdata_corr_m[55:48]; // @[lsu_dccm_ctl.scala 148:213] + wire [7:0] _T_246 = _T_3[6] ? _T_6[55:48] : _T_245; // @[lsu_dccm_ctl.scala 148:78] + wire [7:0] _T_250 = {{4'd0}, _T_246[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_252 = {_T_246[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_254 = _T_252 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_255 = _T_250 | _T_254; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_12 = {{2'd0}, _T_255[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_260 = _GEN_12 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_262 = {_T_255[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_264 = _T_262 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_265 = _T_260 | _T_264; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_13 = {{1'd0}, _T_265[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_270 = _GEN_13 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_272 = {_T_265[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_274 = _T_272 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_275 = _T_270 | _T_274; // @[Bitwise.scala 103:39] + wire [7:0] _T_284 = io_addr_in_pic_m ? picm_rd_data_m[63:56] : dccm_rdata_corr_m[63:56]; // @[lsu_dccm_ctl.scala 148:213] + wire [7:0] _T_285 = _T_3[7] ? _T_6[63:56] : _T_284; // @[lsu_dccm_ctl.scala 148:78] + wire [7:0] _T_289 = {{4'd0}, _T_285[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_291 = {_T_285[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_293 = _T_291 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_294 = _T_289 | _T_293; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_14 = {{2'd0}, _T_294[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_299 = _GEN_14 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_301 = {_T_294[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_303 = _T_301 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_304 = _T_299 | _T_303; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_15 = {{1'd0}, _T_304[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_309 = _GEN_15 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_311 = {_T_304[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_313 = _T_311 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_314 = _T_309 | _T_313; // @[Bitwise.scala 103:39] + wire [63:0] _T_322 = {_T_41,_T_80,_T_119,_T_158,_T_197,_T_236,_T_275,_T_314}; // @[Cat.scala 29:58] + wire [63:0] _T_326 = {{32'd0}, _T_322[63:32]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_328 = {_T_322[31:0], 32'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_330 = _T_328 & 64'hffffffff00000000; // @[Bitwise.scala 103:75] + wire [63:0] _T_331 = _T_326 | _T_330; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_16 = {{16'd0}, _T_331[63:16]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_336 = _GEN_16 & 64'hffff0000ffff; // @[Bitwise.scala 103:31] + wire [63:0] _T_338 = {_T_331[47:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_340 = _T_338 & 64'hffff0000ffff0000; // @[Bitwise.scala 103:75] + wire [63:0] _T_341 = _T_336 | _T_340; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_17 = {{8'd0}, _T_341[63:8]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_346 = _GEN_17 & 64'hff00ff00ff00ff; // @[Bitwise.scala 103:31] + wire [63:0] _T_348 = {_T_341[55:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_350 = _T_348 & 64'hff00ff00ff00ff00; // @[Bitwise.scala 103:75] + wire [63:0] _T_351 = _T_346 | _T_350; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_18 = {{4'd0}, _T_351[63:4]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_356 = _GEN_18 & 64'hf0f0f0f0f0f0f0f; // @[Bitwise.scala 103:31] + wire [63:0] _T_358 = {_T_351[59:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_360 = _T_358 & 64'hf0f0f0f0f0f0f0f0; // @[Bitwise.scala 103:75] + wire [63:0] _T_361 = _T_356 | _T_360; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_19 = {{2'd0}, _T_361[63:2]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_366 = _GEN_19 & 64'h3333333333333333; // @[Bitwise.scala 103:31] + wire [63:0] _T_368 = {_T_361[61:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_370 = _T_368 & 64'hcccccccccccccccc; // @[Bitwise.scala 103:75] + wire [63:0] _T_371 = _T_366 | _T_370; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_20 = {{1'd0}, _T_371[63:1]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_376 = _GEN_20 & 64'h5555555555555555; // @[Bitwise.scala 103:31] + wire [63:0] _T_378 = {_T_371[62:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_380 = _T_378 & 64'haaaaaaaaaaaaaaaa; // @[Bitwise.scala 103:75] + wire [63:0] lsu_rdata_corr_m = _T_376 | _T_380; // @[Bitwise.scala 103:39] + wire [7:0] _T_390 = io_addr_in_pic_m ? picm_rd_data_m[7:0] : dccm_rdata_m[7:0]; // @[lsu_dccm_ctl.scala 149:213] + wire [7:0] _T_391 = _T_3[0] ? _T_6[7:0] : _T_390; // @[lsu_dccm_ctl.scala 149:78] + wire [7:0] _T_395 = {{4'd0}, _T_391[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_397 = {_T_391[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_399 = _T_397 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_400 = _T_395 | _T_399; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_21 = {{2'd0}, _T_400[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_405 = _GEN_21 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_407 = {_T_400[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_409 = _T_407 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_410 = _T_405 | _T_409; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_22 = {{1'd0}, _T_410[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_415 = _GEN_22 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_417 = {_T_410[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_419 = _T_417 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_420 = _T_415 | _T_419; // @[Bitwise.scala 103:39] + wire [7:0] _T_429 = io_addr_in_pic_m ? picm_rd_data_m[15:8] : dccm_rdata_m[15:8]; // @[lsu_dccm_ctl.scala 149:213] + wire [7:0] _T_430 = _T_3[1] ? _T_6[15:8] : _T_429; // @[lsu_dccm_ctl.scala 149:78] + wire [7:0] _T_434 = {{4'd0}, _T_430[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_436 = {_T_430[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_438 = _T_436 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_439 = _T_434 | _T_438; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_23 = {{2'd0}, _T_439[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_444 = _GEN_23 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_446 = {_T_439[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_448 = _T_446 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_449 = _T_444 | _T_448; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_24 = {{1'd0}, _T_449[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_454 = _GEN_24 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_456 = {_T_449[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_458 = _T_456 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_459 = _T_454 | _T_458; // @[Bitwise.scala 103:39] + wire [7:0] _T_468 = io_addr_in_pic_m ? picm_rd_data_m[23:16] : dccm_rdata_m[23:16]; // @[lsu_dccm_ctl.scala 149:213] + wire [7:0] _T_469 = _T_3[2] ? _T_6[23:16] : _T_468; // @[lsu_dccm_ctl.scala 149:78] + wire [7:0] _T_473 = {{4'd0}, _T_469[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_475 = {_T_469[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_477 = _T_475 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_478 = _T_473 | _T_477; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_25 = {{2'd0}, _T_478[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_483 = _GEN_25 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_485 = {_T_478[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_487 = _T_485 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_488 = _T_483 | _T_487; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_26 = {{1'd0}, _T_488[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_493 = _GEN_26 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_495 = {_T_488[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_497 = _T_495 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_498 = _T_493 | _T_497; // @[Bitwise.scala 103:39] + wire [7:0] _T_507 = io_addr_in_pic_m ? picm_rd_data_m[31:24] : dccm_rdata_m[31:24]; // @[lsu_dccm_ctl.scala 149:213] + wire [7:0] _T_508 = _T_3[3] ? _T_6[31:24] : _T_507; // @[lsu_dccm_ctl.scala 149:78] + wire [7:0] _T_512 = {{4'd0}, _T_508[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_514 = {_T_508[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_516 = _T_514 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_517 = _T_512 | _T_516; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_27 = {{2'd0}, _T_517[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_522 = _GEN_27 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_524 = {_T_517[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_526 = _T_524 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_527 = _T_522 | _T_526; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_28 = {{1'd0}, _T_527[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_532 = _GEN_28 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_534 = {_T_527[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_536 = _T_534 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_537 = _T_532 | _T_536; // @[Bitwise.scala 103:39] + wire [7:0] _T_546 = io_addr_in_pic_m ? picm_rd_data_m[39:32] : dccm_rdata_m[39:32]; // @[lsu_dccm_ctl.scala 149:213] + wire [7:0] _T_547 = _T_3[4] ? _T_6[39:32] : _T_546; // @[lsu_dccm_ctl.scala 149:78] + wire [7:0] _T_551 = {{4'd0}, _T_547[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_553 = {_T_547[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_555 = _T_553 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_556 = _T_551 | _T_555; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_29 = {{2'd0}, _T_556[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_561 = _GEN_29 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_563 = {_T_556[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_565 = _T_563 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_566 = _T_561 | _T_565; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_30 = {{1'd0}, _T_566[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_571 = _GEN_30 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_573 = {_T_566[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_575 = _T_573 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_576 = _T_571 | _T_575; // @[Bitwise.scala 103:39] + wire [7:0] _T_585 = io_addr_in_pic_m ? picm_rd_data_m[47:40] : dccm_rdata_m[47:40]; // @[lsu_dccm_ctl.scala 149:213] + wire [7:0] _T_586 = _T_3[5] ? _T_6[47:40] : _T_585; // @[lsu_dccm_ctl.scala 149:78] + wire [7:0] _T_590 = {{4'd0}, _T_586[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_592 = {_T_586[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_594 = _T_592 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_595 = _T_590 | _T_594; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_31 = {{2'd0}, _T_595[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_600 = _GEN_31 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_602 = {_T_595[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_604 = _T_602 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_605 = _T_600 | _T_604; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_32 = {{1'd0}, _T_605[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_610 = _GEN_32 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_612 = {_T_605[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_614 = _T_612 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_615 = _T_610 | _T_614; // @[Bitwise.scala 103:39] + wire [7:0] _T_624 = io_addr_in_pic_m ? picm_rd_data_m[55:48] : dccm_rdata_m[55:48]; // @[lsu_dccm_ctl.scala 149:213] + wire [7:0] _T_625 = _T_3[6] ? _T_6[55:48] : _T_624; // @[lsu_dccm_ctl.scala 149:78] + wire [7:0] _T_629 = {{4'd0}, _T_625[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_631 = {_T_625[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_633 = _T_631 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_634 = _T_629 | _T_633; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_33 = {{2'd0}, _T_634[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_639 = _GEN_33 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_641 = {_T_634[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_643 = _T_641 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_644 = _T_639 | _T_643; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_34 = {{1'd0}, _T_644[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_649 = _GEN_34 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_651 = {_T_644[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_653 = _T_651 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_654 = _T_649 | _T_653; // @[Bitwise.scala 103:39] + wire [7:0] _T_663 = io_addr_in_pic_m ? picm_rd_data_m[63:56] : dccm_rdata_m[63:56]; // @[lsu_dccm_ctl.scala 149:213] + wire [7:0] _T_664 = _T_3[7] ? _T_6[63:56] : _T_663; // @[lsu_dccm_ctl.scala 149:78] + wire [7:0] _T_668 = {{4'd0}, _T_664[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_670 = {_T_664[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_672 = _T_670 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_673 = _T_668 | _T_672; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_35 = {{2'd0}, _T_673[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_678 = _GEN_35 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_680 = {_T_673[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_682 = _T_680 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_683 = _T_678 | _T_682; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_36 = {{1'd0}, _T_683[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_688 = _GEN_36 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_690 = {_T_683[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_692 = _T_690 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_693 = _T_688 | _T_692; // @[Bitwise.scala 103:39] + wire [63:0] _T_701 = {_T_420,_T_459,_T_498,_T_537,_T_576,_T_615,_T_654,_T_693}; // @[Cat.scala 29:58] + wire [63:0] _T_705 = {{32'd0}, _T_701[63:32]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_707 = {_T_701[31:0], 32'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_709 = _T_707 & 64'hffffffff00000000; // @[Bitwise.scala 103:75] + wire [63:0] _T_710 = _T_705 | _T_709; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_37 = {{16'd0}, _T_710[63:16]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_715 = _GEN_37 & 64'hffff0000ffff; // @[Bitwise.scala 103:31] + wire [63:0] _T_717 = {_T_710[47:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_719 = _T_717 & 64'hffff0000ffff0000; // @[Bitwise.scala 103:75] + wire [63:0] _T_720 = _T_715 | _T_719; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_38 = {{8'd0}, _T_720[63:8]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_725 = _GEN_38 & 64'hff00ff00ff00ff; // @[Bitwise.scala 103:31] + wire [63:0] _T_727 = {_T_720[55:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_729 = _T_727 & 64'hff00ff00ff00ff00; // @[Bitwise.scala 103:75] + wire [63:0] _T_730 = _T_725 | _T_729; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_39 = {{4'd0}, _T_730[63:4]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_735 = _GEN_39 & 64'hf0f0f0f0f0f0f0f; // @[Bitwise.scala 103:31] + wire [63:0] _T_737 = {_T_730[59:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_739 = _T_737 & 64'hf0f0f0f0f0f0f0f0; // @[Bitwise.scala 103:75] + wire [63:0] _T_740 = _T_735 | _T_739; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_40 = {{2'd0}, _T_740[63:2]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_745 = _GEN_40 & 64'h3333333333333333; // @[Bitwise.scala 103:31] + wire [63:0] _T_747 = {_T_740[61:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_749 = _T_747 & 64'hcccccccccccccccc; // @[Bitwise.scala 103:75] + wire [63:0] _T_750 = _T_745 | _T_749; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_41 = {{1'd0}, _T_750[63:1]}; // @[Bitwise.scala 103:31] + wire [63:0] _T_755 = _GEN_41 & 64'h5555555555555555; // @[Bitwise.scala 103:31] + wire [63:0] _T_757 = {_T_750[62:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [63:0] _T_759 = _T_757 & 64'haaaaaaaaaaaaaaaa; // @[Bitwise.scala 103:75] + wire [63:0] lsu_rdata_m = _T_755 | _T_759; // @[Bitwise.scala 103:39] + wire [3:0] _GEN_42 = {{2'd0}, io_lsu_addr_m[1:0]}; // @[lsu_dccm_ctl.scala 150:49] + wire [5:0] _T_762 = 4'h8 * _GEN_42; // @[lsu_dccm_ctl.scala 150:49] + wire [63:0] _T_763 = lsu_rdata_m >> _T_762; // @[lsu_dccm_ctl.scala 150:43] + wire _T_769 = io_lsu_addr_d[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 155:60] + wire _T_772 = io_end_addr_d[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 155:133] + wire _T_773 = _T_769 | _T_772; // @[lsu_dccm_ctl.scala 155:101] + wire _T_774 = _T_773 & io_lsu_pkt_d_valid; // @[lsu_dccm_ctl.scala 155:175] + wire _T_775 = _T_774 & io_lsu_pkt_d_bits_store; // @[lsu_dccm_ctl.scala 155:196] + wire _T_776 = _T_775 & io_lsu_pkt_d_bits_dma; // @[lsu_dccm_ctl.scala 155:222] + wire _T_777 = _T_776 & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 155:246] + wire _T_780 = io_lsu_addr_m[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 156:37] + wire _T_783 = io_end_addr_m[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 156:110] + wire _T_784 = _T_780 | _T_783; // @[lsu_dccm_ctl.scala 156:78] + wire _T_785 = _T_784 & io_lsu_pkt_m_valid; // @[lsu_dccm_ctl.scala 156:152] + wire _T_786 = _T_785 & io_lsu_pkt_m_bits_store; // @[lsu_dccm_ctl.scala 156:173] + wire _T_787 = _T_786 & io_lsu_pkt_m_bits_dma; // @[lsu_dccm_ctl.scala 156:199] + wire _T_788 = _T_787 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 156:223] + wire kill_ecc_corr_lo_r = _T_777 | _T_788; // @[lsu_dccm_ctl.scala 155:267] + wire _T_791 = io_lsu_addr_d[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 158:60] + wire _T_794 = io_end_addr_d[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 158:133] + wire _T_795 = _T_791 | _T_794; // @[lsu_dccm_ctl.scala 158:101] + wire _T_796 = _T_795 & io_lsu_pkt_d_valid; // @[lsu_dccm_ctl.scala 158:175] + wire _T_797 = _T_796 & io_lsu_pkt_d_bits_store; // @[lsu_dccm_ctl.scala 158:196] + wire _T_798 = _T_797 & io_lsu_pkt_d_bits_dma; // @[lsu_dccm_ctl.scala 158:222] + wire _T_799 = _T_798 & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 158:246] + wire _T_802 = io_lsu_addr_m[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 159:37] + wire _T_805 = io_end_addr_m[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 159:110] + wire _T_806 = _T_802 | _T_805; // @[lsu_dccm_ctl.scala 159:78] + wire _T_807 = _T_806 & io_lsu_pkt_m_valid; // @[lsu_dccm_ctl.scala 159:152] + wire _T_808 = _T_807 & io_lsu_pkt_m_bits_store; // @[lsu_dccm_ctl.scala 159:173] + wire _T_809 = _T_808 & io_lsu_pkt_m_bits_dma; // @[lsu_dccm_ctl.scala 159:199] + wire _T_810 = _T_809 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 159:223] + wire kill_ecc_corr_hi_r = _T_799 | _T_810; // @[lsu_dccm_ctl.scala 158:267] + wire _T_811 = io_lsu_pkt_r_bits_load & io_single_ecc_error_lo_r; // @[lsu_dccm_ctl.scala 161:60] + wire _T_812 = ~io_lsu_raw_fwd_lo_r; // @[lsu_dccm_ctl.scala 161:89] + wire ld_single_ecc_error_lo_r = _T_811 & _T_812; // @[lsu_dccm_ctl.scala 161:87] + wire _T_813 = io_lsu_pkt_r_bits_load & io_single_ecc_error_hi_r; // @[lsu_dccm_ctl.scala 162:60] + wire _T_814 = ~io_lsu_raw_fwd_hi_r; // @[lsu_dccm_ctl.scala 162:89] + wire ld_single_ecc_error_hi_r = _T_813 & _T_814; // @[lsu_dccm_ctl.scala 162:87] + wire _T_815 = ld_single_ecc_error_lo_r | ld_single_ecc_error_hi_r; // @[lsu_dccm_ctl.scala 163:63] + wire _T_816 = ~io_lsu_double_ecc_error_r; // @[lsu_dccm_ctl.scala 163:93] + wire _T_818 = io_lsu_commit_r | io_lsu_pkt_r_bits_dma; // @[lsu_dccm_ctl.scala 164:81] + wire _T_819 = ld_single_ecc_error_lo_r & _T_818; // @[lsu_dccm_ctl.scala 164:62] + wire _T_820 = ~kill_ecc_corr_lo_r; // @[lsu_dccm_ctl.scala 164:108] + wire _T_822 = ld_single_ecc_error_hi_r & _T_818; // @[lsu_dccm_ctl.scala 165:62] + wire _T_823 = ~kill_ecc_corr_hi_r; // @[lsu_dccm_ctl.scala 165:108] + reg lsu_double_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 167:74] + reg ld_single_ecc_error_hi_r_ff; // @[lsu_dccm_ctl.scala 168:74] + reg ld_single_ecc_error_lo_r_ff; // @[lsu_dccm_ctl.scala 169:74] + reg [15:0] ld_sec_addr_hi_r_ff; // @[lib.scala 374:16] + reg [15:0] ld_sec_addr_lo_r_ff; // @[lib.scala 374:16] + wire _T_830 = io_lsu_pkt_d_bits_word | io_lsu_pkt_d_bits_dword; // @[lsu_dccm_ctl.scala 173:125] + wire _T_831 = ~_T_830; // @[lsu_dccm_ctl.scala 173:100] + wire _T_833 = io_lsu_addr_d[1:0] != 2'h0; // @[lsu_dccm_ctl.scala 173:174] + wire _T_834 = _T_831 | _T_833; // @[lsu_dccm_ctl.scala 173:152] + wire _T_835 = io_lsu_pkt_d_bits_store & _T_834; // @[lsu_dccm_ctl.scala 173:97] + wire _T_836 = io_lsu_pkt_d_bits_load | _T_835; // @[lsu_dccm_ctl.scala 173:70] + wire _T_837 = io_lsu_pkt_d_valid & _T_836; // @[lsu_dccm_ctl.scala 173:44] + wire lsu_dccm_rden_d = _T_837 & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 173:191] + wire _T_838 = ld_single_ecc_error_lo_r_ff | ld_single_ecc_error_hi_r_ff; // @[lsu_dccm_ctl.scala 176:63] + wire _T_839 = ~lsu_double_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 176:96] + wire _T_841 = lsu_dccm_rden_d | io_dma_dccm_wen; // @[lsu_dccm_ctl.scala 177:75] + wire _T_842 = _T_841 | io_ld_single_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 177:93] + wire _T_843 = ~_T_842; // @[lsu_dccm_ctl.scala 177:57] + wire _T_846 = io_stbuf_addr_any[3:2] == io_lsu_addr_d[3:2]; // @[lsu_dccm_ctl.scala 178:95] + wire _T_849 = io_stbuf_addr_any[3:2] == io_end_addr_d[3:2]; // @[lsu_dccm_ctl.scala 179:76] + wire _T_850 = _T_846 | _T_849; // @[lsu_dccm_ctl.scala 178:171] + wire _T_851 = ~_T_850; // @[lsu_dccm_ctl.scala 178:24] + wire _T_852 = lsu_dccm_rden_d & _T_851; // @[lsu_dccm_ctl.scala 178:22] + wire _T_853 = _T_843 | _T_852; // @[lsu_dccm_ctl.scala 177:124] + wire _T_855 = io_dma_dccm_wen | io_lsu_stbuf_commit_any; // @[lsu_dccm_ctl.scala 183:41] + wire [15:0] _T_862 = ld_single_ecc_error_lo_r_ff ? ld_sec_addr_lo_r_ff : ld_sec_addr_hi_r_ff; // @[lsu_dccm_ctl.scala 187:8] + wire [15:0] _T_866 = io_dma_dccm_wen ? io_lsu_addr_d[15:0] : io_stbuf_addr_any; // @[lsu_dccm_ctl.scala 188:8] + wire [15:0] _T_872 = ld_single_ecc_error_hi_r_ff ? ld_sec_addr_hi_r_ff : ld_sec_addr_lo_r_ff; // @[lsu_dccm_ctl.scala 191:8] + wire [15:0] _T_876 = io_dma_dccm_wen ? io_end_addr_d : io_stbuf_addr_any; // @[lsu_dccm_ctl.scala 192:8] + wire [38:0] _T_884 = {io_sec_data_ecc_lo_r_ff,io_sec_data_lo_r_ff}; // @[Cat.scala 29:58] + wire [38:0] _T_887 = {io_sec_data_ecc_hi_r_ff,io_sec_data_hi_r_ff}; // @[Cat.scala 29:58] + wire [38:0] _T_888 = ld_single_ecc_error_lo_r_ff ? _T_884 : _T_887; // @[lsu_dccm_ctl.scala 198:8] + wire [38:0] _T_892 = {io_dma_dccm_wdata_ecc_lo,io_dma_dccm_wdata_lo}; // @[Cat.scala 29:58] + wire [38:0] _T_895 = {io_stbuf_ecc_any,io_stbuf_data_any}; // @[Cat.scala 29:58] + wire [38:0] _T_896 = io_dma_dccm_wen ? _T_892 : _T_895; // @[lsu_dccm_ctl.scala 200:8] + wire [38:0] _T_906 = ld_single_ecc_error_hi_r_ff ? _T_887 : _T_884; // @[lsu_dccm_ctl.scala 204:8] + wire [38:0] _T_910 = {io_dma_dccm_wdata_ecc_hi,io_dma_dccm_wdata_hi}; // @[Cat.scala 29:58] + wire [38:0] _T_914 = io_dma_dccm_wen ? _T_910 : _T_895; // @[lsu_dccm_ctl.scala 206:8] + wire [3:0] _T_917 = io_lsu_pkt_m_bits_store ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_919 = io_lsu_pkt_m_bits_by ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_920 = _T_919 & 4'h1; // @[lsu_dccm_ctl.scala 210:94] + wire [3:0] _T_922 = io_lsu_pkt_m_bits_half ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_923 = _T_922 & 4'h3; // @[lsu_dccm_ctl.scala 211:38] + wire [3:0] _T_924 = _T_920 | _T_923; // @[lsu_dccm_ctl.scala 210:107] + wire [3:0] _T_926 = io_lsu_pkt_m_bits_word ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_928 = _T_924 | _T_926; // @[lsu_dccm_ctl.scala 211:51] + wire [3:0] store_byteen_m = _T_917 & _T_928; // @[lsu_dccm_ctl.scala 210:58] + wire [3:0] _T_930 = io_lsu_pkt_r_bits_store ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_932 = io_lsu_pkt_r_bits_by ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_933 = _T_932 & 4'h1; // @[lsu_dccm_ctl.scala 214:94] + wire [3:0] _T_935 = io_lsu_pkt_r_bits_half ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_936 = _T_935 & 4'h3; // @[lsu_dccm_ctl.scala 215:38] + wire [3:0] _T_937 = _T_933 | _T_936; // @[lsu_dccm_ctl.scala 214:107] + wire [3:0] _T_939 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_941 = _T_937 | _T_939; // @[lsu_dccm_ctl.scala 215:51] + wire [3:0] store_byteen_r = _T_930 & _T_941; // @[lsu_dccm_ctl.scala 214:58] + wire [6:0] _GEN_44 = {{3'd0}, store_byteen_m}; // @[lsu_dccm_ctl.scala 218:45] + wire [6:0] _T_944 = _GEN_44 << io_lsu_addr_m[1:0]; // @[lsu_dccm_ctl.scala 218:45] + wire [6:0] _GEN_45 = {{3'd0}, store_byteen_r}; // @[lsu_dccm_ctl.scala 220:45] + wire [6:0] _T_947 = _GEN_45 << io_lsu_addr_r[1:0]; // @[lsu_dccm_ctl.scala 220:45] + wire _T_950 = io_stbuf_addr_any[15:2] == io_lsu_addr_m[15:2]; // @[lsu_dccm_ctl.scala 223:67] + wire dccm_wr_bypass_d_m_lo = _T_950 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 223:101] + wire _T_953 = io_stbuf_addr_any[15:2] == io_end_addr_m[15:2]; // @[lsu_dccm_ctl.scala 224:67] + wire dccm_wr_bypass_d_m_hi = _T_953 & io_addr_in_dccm_m; // @[lsu_dccm_ctl.scala 224:101] + wire _T_956 = io_stbuf_addr_any[15:2] == io_lsu_addr_r[15:2]; // @[lsu_dccm_ctl.scala 226:67] + wire dccm_wr_bypass_d_r_lo = _T_956 & io_addr_in_dccm_r; // @[lsu_dccm_ctl.scala 226:101] + wire _T_959 = io_stbuf_addr_any[15:2] == io_end_addr_r[15:2]; // @[lsu_dccm_ctl.scala 227:67] + wire dccm_wr_bypass_d_r_hi = _T_959 & io_addr_in_dccm_r; // @[lsu_dccm_ctl.scala 227:101] + wire [63:0] _T_962 = {32'h0,io_store_data_m}; // @[Cat.scala 29:58] + wire [126:0] _GEN_47 = {{63'd0}, _T_962}; // @[lsu_dccm_ctl.scala 256:72] + wire [126:0] _T_965 = _GEN_47 << _T_762; // @[lsu_dccm_ctl.scala 256:72] + wire [63:0] store_data_pre_m = _T_965[63:0]; // @[lsu_dccm_ctl.scala 256:29] + wire [31:0] store_data_hi_m = store_data_pre_m[63:32]; // @[lsu_dccm_ctl.scala 257:48] + wire [31:0] store_data_lo_m = store_data_pre_m[31:0]; // @[lsu_dccm_ctl.scala 258:48] + wire [7:0] store_byteen_ext_m = {{1'd0}, _T_944}; // @[lsu_dccm_ctl.scala 218:22] + wire _T_971 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_m_lo; // @[lsu_dccm_ctl.scala 259:211] + wire [7:0] _T_975 = _T_971 ? io_stbuf_data_any[7:0] : io_sec_data_lo_m[7:0]; // @[lsu_dccm_ctl.scala 259:185] + wire [7:0] _T_976 = store_byteen_ext_m[0] ? store_data_lo_m[7:0] : _T_975; // @[lsu_dccm_ctl.scala 259:120] + wire [7:0] _T_980 = {{4'd0}, _T_976[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_982 = {_T_976[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_984 = _T_982 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_985 = _T_980 | _T_984; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_48 = {{2'd0}, _T_985[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_990 = _GEN_48 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_992 = {_T_985[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_994 = _T_992 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_995 = _T_990 | _T_994; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_49 = {{1'd0}, _T_995[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1000 = _GEN_49 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1002 = {_T_995[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1004 = _T_1002 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1005 = _T_1000 | _T_1004; // @[Bitwise.scala 103:39] + wire [7:0] _T_1013 = _T_971 ? io_stbuf_data_any[15:8] : io_sec_data_lo_m[15:8]; // @[lsu_dccm_ctl.scala 259:185] + wire [7:0] _T_1014 = store_byteen_ext_m[1] ? store_data_lo_m[15:8] : _T_1013; // @[lsu_dccm_ctl.scala 259:120] + wire [7:0] _T_1018 = {{4'd0}, _T_1014[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1020 = {_T_1014[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1022 = _T_1020 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1023 = _T_1018 | _T_1022; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_50 = {{2'd0}, _T_1023[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1028 = _GEN_50 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1030 = {_T_1023[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1032 = _T_1030 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1033 = _T_1028 | _T_1032; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_51 = {{1'd0}, _T_1033[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1038 = _GEN_51 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1040 = {_T_1033[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1042 = _T_1040 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1043 = _T_1038 | _T_1042; // @[Bitwise.scala 103:39] + wire [7:0] _T_1051 = _T_971 ? io_stbuf_data_any[23:16] : io_sec_data_lo_m[23:16]; // @[lsu_dccm_ctl.scala 259:185] + wire [7:0] _T_1052 = store_byteen_ext_m[2] ? store_data_lo_m[23:16] : _T_1051; // @[lsu_dccm_ctl.scala 259:120] + wire [7:0] _T_1056 = {{4'd0}, _T_1052[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1058 = {_T_1052[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1060 = _T_1058 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1061 = _T_1056 | _T_1060; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_52 = {{2'd0}, _T_1061[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1066 = _GEN_52 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1068 = {_T_1061[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1070 = _T_1068 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1071 = _T_1066 | _T_1070; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_53 = {{1'd0}, _T_1071[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1076 = _GEN_53 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1078 = {_T_1071[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1080 = _T_1078 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1081 = _T_1076 | _T_1080; // @[Bitwise.scala 103:39] + wire [7:0] _T_1089 = _T_971 ? io_stbuf_data_any[31:24] : io_sec_data_lo_m[31:24]; // @[lsu_dccm_ctl.scala 259:185] + wire [7:0] _T_1090 = store_byteen_ext_m[3] ? store_data_lo_m[31:24] : _T_1089; // @[lsu_dccm_ctl.scala 259:120] + wire [7:0] _T_1094 = {{4'd0}, _T_1090[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1096 = {_T_1090[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1098 = _T_1096 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1099 = _T_1094 | _T_1098; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_54 = {{2'd0}, _T_1099[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1104 = _GEN_54 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1106 = {_T_1099[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1108 = _T_1106 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1109 = _T_1104 | _T_1108; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_55 = {{1'd0}, _T_1109[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1114 = _GEN_55 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1116 = {_T_1109[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1118 = _T_1116 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1119 = _T_1114 | _T_1118; // @[Bitwise.scala 103:39] + wire [31:0] _T_1123 = {_T_1005,_T_1043,_T_1081,_T_1119}; // @[Cat.scala 29:58] + wire [31:0] _T_1127 = {{16'd0}, _T_1123[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1129 = {_T_1123[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1131 = _T_1129 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1132 = _T_1127 | _T_1131; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_56 = {{8'd0}, _T_1132[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1137 = _GEN_56 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1139 = {_T_1132[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1141 = _T_1139 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1142 = _T_1137 | _T_1141; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_57 = {{4'd0}, _T_1142[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1147 = _GEN_57 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1149 = {_T_1142[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1151 = _T_1149 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1152 = _T_1147 | _T_1151; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_58 = {{2'd0}, _T_1152[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1157 = _GEN_58 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1159 = {_T_1152[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1161 = _T_1159 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1162 = _T_1157 | _T_1161; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_59 = {{1'd0}, _T_1162[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1167 = _GEN_59 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1169 = {_T_1162[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1171 = _T_1169 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + reg [31:0] _T_1173; // @[lsu_dccm_ctl.scala 259:72] + wire _T_1177 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_m_hi; // @[lsu_dccm_ctl.scala 260:211] + wire [7:0] _T_1181 = _T_1177 ? io_stbuf_data_any[7:0] : io_sec_data_hi_m[7:0]; // @[lsu_dccm_ctl.scala 260:185] + wire [7:0] _T_1182 = store_byteen_ext_m[4] ? store_data_hi_m[7:0] : _T_1181; // @[lsu_dccm_ctl.scala 260:120] + wire [7:0] _T_1186 = {{4'd0}, _T_1182[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1188 = {_T_1182[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1190 = _T_1188 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1191 = _T_1186 | _T_1190; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_60 = {{2'd0}, _T_1191[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1196 = _GEN_60 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1198 = {_T_1191[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1200 = _T_1198 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1201 = _T_1196 | _T_1200; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_61 = {{1'd0}, _T_1201[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1206 = _GEN_61 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1208 = {_T_1201[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1210 = _T_1208 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1211 = _T_1206 | _T_1210; // @[Bitwise.scala 103:39] + wire [7:0] _T_1219 = _T_1177 ? io_stbuf_data_any[15:8] : io_sec_data_hi_m[15:8]; // @[lsu_dccm_ctl.scala 260:185] + wire [7:0] _T_1220 = store_byteen_ext_m[5] ? store_data_hi_m[15:8] : _T_1219; // @[lsu_dccm_ctl.scala 260:120] + wire [7:0] _T_1224 = {{4'd0}, _T_1220[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1226 = {_T_1220[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1228 = _T_1226 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1229 = _T_1224 | _T_1228; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_62 = {{2'd0}, _T_1229[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1234 = _GEN_62 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1236 = {_T_1229[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1238 = _T_1236 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1239 = _T_1234 | _T_1238; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_63 = {{1'd0}, _T_1239[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1244 = _GEN_63 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1246 = {_T_1239[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1248 = _T_1246 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1249 = _T_1244 | _T_1248; // @[Bitwise.scala 103:39] + wire [7:0] _T_1257 = _T_1177 ? io_stbuf_data_any[23:16] : io_sec_data_hi_m[23:16]; // @[lsu_dccm_ctl.scala 260:185] + wire [7:0] _T_1258 = store_byteen_ext_m[6] ? store_data_hi_m[23:16] : _T_1257; // @[lsu_dccm_ctl.scala 260:120] + wire [7:0] _T_1262 = {{4'd0}, _T_1258[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1264 = {_T_1258[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1266 = _T_1264 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1267 = _T_1262 | _T_1266; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_64 = {{2'd0}, _T_1267[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1272 = _GEN_64 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1274 = {_T_1267[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1276 = _T_1274 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1277 = _T_1272 | _T_1276; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_65 = {{1'd0}, _T_1277[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1282 = _GEN_65 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1284 = {_T_1277[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1286 = _T_1284 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1287 = _T_1282 | _T_1286; // @[Bitwise.scala 103:39] + wire [7:0] _T_1295 = _T_1177 ? io_stbuf_data_any[31:24] : io_sec_data_hi_m[31:24]; // @[lsu_dccm_ctl.scala 260:185] + wire [7:0] _T_1296 = store_byteen_ext_m[7] ? store_data_hi_m[31:24] : _T_1295; // @[lsu_dccm_ctl.scala 260:120] + wire [7:0] _T_1300 = {{4'd0}, _T_1296[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1302 = {_T_1296[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1304 = _T_1302 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1305 = _T_1300 | _T_1304; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_66 = {{2'd0}, _T_1305[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1310 = _GEN_66 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1312 = {_T_1305[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1314 = _T_1312 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1315 = _T_1310 | _T_1314; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_67 = {{1'd0}, _T_1315[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1320 = _GEN_67 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1322 = {_T_1315[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1324 = _T_1322 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1325 = _T_1320 | _T_1324; // @[Bitwise.scala 103:39] + wire [31:0] _T_1329 = {_T_1211,_T_1249,_T_1287,_T_1325}; // @[Cat.scala 29:58] + wire [31:0] _T_1333 = {{16'd0}, _T_1329[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1335 = {_T_1329[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1337 = _T_1335 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1338 = _T_1333 | _T_1337; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_68 = {{8'd0}, _T_1338[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1343 = _GEN_68 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1345 = {_T_1338[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1347 = _T_1345 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1348 = _T_1343 | _T_1347; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_69 = {{4'd0}, _T_1348[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1353 = _GEN_69 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1355 = {_T_1348[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1357 = _T_1355 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1358 = _T_1353 | _T_1357; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_70 = {{2'd0}, _T_1358[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1363 = _GEN_70 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1365 = {_T_1358[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1367 = _T_1365 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1368 = _T_1363 | _T_1367; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_71 = {{1'd0}, _T_1368[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1373 = _GEN_71 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1375 = {_T_1368[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1377 = _T_1375 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + reg [31:0] _T_1379; // @[lsu_dccm_ctl.scala 260:72] + wire _T_1380 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_r_lo; // @[lsu_dccm_ctl.scala 261:105] + wire [7:0] store_byteen_ext_r = {{1'd0}, _T_947}; // @[lsu_dccm_ctl.scala 220:22] + wire _T_1382 = ~store_byteen_ext_r[0]; // @[lsu_dccm_ctl.scala 261:131] + wire _T_1383 = _T_1380 & _T_1382; // @[lsu_dccm_ctl.scala 261:129] + wire [7:0] _T_1387 = _T_1383 ? io_stbuf_data_any[7:0] : io_store_data_lo_r[7:0]; // @[lsu_dccm_ctl.scala 261:79] + wire [7:0] _T_1391 = {{4'd0}, _T_1387[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1393 = {_T_1387[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1395 = _T_1393 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1396 = _T_1391 | _T_1395; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_72 = {{2'd0}, _T_1396[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1401 = _GEN_72 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1403 = {_T_1396[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1405 = _T_1403 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1406 = _T_1401 | _T_1405; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_73 = {{1'd0}, _T_1406[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1411 = _GEN_73 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1413 = {_T_1406[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1415 = _T_1413 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1416 = _T_1411 | _T_1415; // @[Bitwise.scala 103:39] + wire _T_1419 = ~store_byteen_ext_r[1]; // @[lsu_dccm_ctl.scala 261:131] + wire _T_1420 = _T_1380 & _T_1419; // @[lsu_dccm_ctl.scala 261:129] + wire [7:0] _T_1424 = _T_1420 ? io_stbuf_data_any[15:8] : io_store_data_lo_r[15:8]; // @[lsu_dccm_ctl.scala 261:79] + wire [7:0] _T_1428 = {{4'd0}, _T_1424[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1430 = {_T_1424[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1432 = _T_1430 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1433 = _T_1428 | _T_1432; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_74 = {{2'd0}, _T_1433[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1438 = _GEN_74 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1440 = {_T_1433[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1442 = _T_1440 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1443 = _T_1438 | _T_1442; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_75 = {{1'd0}, _T_1443[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1448 = _GEN_75 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1450 = {_T_1443[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1452 = _T_1450 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1453 = _T_1448 | _T_1452; // @[Bitwise.scala 103:39] + wire _T_1456 = ~store_byteen_ext_r[2]; // @[lsu_dccm_ctl.scala 261:131] + wire _T_1457 = _T_1380 & _T_1456; // @[lsu_dccm_ctl.scala 261:129] + wire [7:0] _T_1461 = _T_1457 ? io_stbuf_data_any[23:16] : io_store_data_lo_r[23:16]; // @[lsu_dccm_ctl.scala 261:79] + wire [7:0] _T_1465 = {{4'd0}, _T_1461[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1467 = {_T_1461[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1469 = _T_1467 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1470 = _T_1465 | _T_1469; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_76 = {{2'd0}, _T_1470[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1475 = _GEN_76 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1477 = {_T_1470[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1479 = _T_1477 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1480 = _T_1475 | _T_1479; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_77 = {{1'd0}, _T_1480[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1485 = _GEN_77 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1487 = {_T_1480[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1489 = _T_1487 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1490 = _T_1485 | _T_1489; // @[Bitwise.scala 103:39] + wire _T_1493 = ~store_byteen_ext_r[3]; // @[lsu_dccm_ctl.scala 261:131] + wire _T_1494 = _T_1380 & _T_1493; // @[lsu_dccm_ctl.scala 261:129] + wire [7:0] _T_1498 = _T_1494 ? io_stbuf_data_any[31:24] : io_store_data_lo_r[31:24]; // @[lsu_dccm_ctl.scala 261:79] + wire [7:0] _T_1502 = {{4'd0}, _T_1498[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1504 = {_T_1498[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1506 = _T_1504 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1507 = _T_1502 | _T_1506; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_78 = {{2'd0}, _T_1507[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1512 = _GEN_78 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1514 = {_T_1507[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1516 = _T_1514 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1517 = _T_1512 | _T_1516; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_79 = {{1'd0}, _T_1517[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1522 = _GEN_79 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1524 = {_T_1517[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1526 = _T_1524 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1527 = _T_1522 | _T_1526; // @[Bitwise.scala 103:39] + wire [31:0] _T_1531 = {_T_1416,_T_1453,_T_1490,_T_1527}; // @[Cat.scala 29:58] + wire [31:0] _T_1535 = {{16'd0}, _T_1531[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1537 = {_T_1531[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1539 = _T_1537 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1540 = _T_1535 | _T_1539; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_80 = {{8'd0}, _T_1540[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1545 = _GEN_80 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1547 = {_T_1540[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1549 = _T_1547 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1550 = _T_1545 | _T_1549; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_81 = {{4'd0}, _T_1550[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1555 = _GEN_81 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1557 = {_T_1550[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1559 = _T_1557 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1560 = _T_1555 | _T_1559; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_82 = {{2'd0}, _T_1560[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1565 = _GEN_82 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1567 = {_T_1560[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1569 = _T_1567 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1570 = _T_1565 | _T_1569; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_83 = {{1'd0}, _T_1570[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1575 = _GEN_83 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1577 = {_T_1570[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1579 = _T_1577 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + wire _T_1581 = io_lsu_stbuf_commit_any & dccm_wr_bypass_d_r_hi; // @[lsu_dccm_ctl.scala 262:105] + wire _T_1583 = ~store_byteen_ext_r[4]; // @[lsu_dccm_ctl.scala 262:131] + wire _T_1584 = _T_1581 & _T_1583; // @[lsu_dccm_ctl.scala 262:129] + wire [7:0] _T_1588 = _T_1584 ? io_stbuf_data_any[7:0] : io_store_data_hi_r[7:0]; // @[lsu_dccm_ctl.scala 262:79] + wire [7:0] _T_1592 = {{4'd0}, _T_1588[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1594 = {_T_1588[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1596 = _T_1594 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1597 = _T_1592 | _T_1596; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_84 = {{2'd0}, _T_1597[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1602 = _GEN_84 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1604 = {_T_1597[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1606 = _T_1604 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1607 = _T_1602 | _T_1606; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_85 = {{1'd0}, _T_1607[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1612 = _GEN_85 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1614 = {_T_1607[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1616 = _T_1614 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1617 = _T_1612 | _T_1616; // @[Bitwise.scala 103:39] + wire _T_1620 = ~store_byteen_ext_r[5]; // @[lsu_dccm_ctl.scala 262:131] + wire _T_1621 = _T_1581 & _T_1620; // @[lsu_dccm_ctl.scala 262:129] + wire [7:0] _T_1625 = _T_1621 ? io_stbuf_data_any[15:8] : io_store_data_hi_r[15:8]; // @[lsu_dccm_ctl.scala 262:79] + wire [7:0] _T_1629 = {{4'd0}, _T_1625[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1631 = {_T_1625[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1633 = _T_1631 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1634 = _T_1629 | _T_1633; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_86 = {{2'd0}, _T_1634[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1639 = _GEN_86 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1641 = {_T_1634[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1643 = _T_1641 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1644 = _T_1639 | _T_1643; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_87 = {{1'd0}, _T_1644[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1649 = _GEN_87 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1651 = {_T_1644[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1653 = _T_1651 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1654 = _T_1649 | _T_1653; // @[Bitwise.scala 103:39] + wire _T_1657 = ~store_byteen_ext_r[6]; // @[lsu_dccm_ctl.scala 262:131] + wire _T_1658 = _T_1581 & _T_1657; // @[lsu_dccm_ctl.scala 262:129] + wire [7:0] _T_1662 = _T_1658 ? io_stbuf_data_any[23:16] : io_store_data_hi_r[23:16]; // @[lsu_dccm_ctl.scala 262:79] + wire [7:0] _T_1666 = {{4'd0}, _T_1662[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1668 = {_T_1662[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1670 = _T_1668 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1671 = _T_1666 | _T_1670; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_88 = {{2'd0}, _T_1671[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1676 = _GEN_88 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1678 = {_T_1671[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1680 = _T_1678 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1681 = _T_1676 | _T_1680; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_89 = {{1'd0}, _T_1681[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1686 = _GEN_89 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1688 = {_T_1681[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1690 = _T_1688 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1691 = _T_1686 | _T_1690; // @[Bitwise.scala 103:39] + wire _T_1694 = ~store_byteen_ext_r[7]; // @[lsu_dccm_ctl.scala 262:131] + wire _T_1695 = _T_1581 & _T_1694; // @[lsu_dccm_ctl.scala 262:129] + wire [7:0] _T_1699 = _T_1695 ? io_stbuf_data_any[31:24] : io_store_data_hi_r[31:24]; // @[lsu_dccm_ctl.scala 262:79] + wire [7:0] _T_1703 = {{4'd0}, _T_1699[7:4]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1705 = {_T_1699[3:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1707 = _T_1705 & 8'hf0; // @[Bitwise.scala 103:75] + wire [7:0] _T_1708 = _T_1703 | _T_1707; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_90 = {{2'd0}, _T_1708[7:2]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1713 = _GEN_90 & 8'h33; // @[Bitwise.scala 103:31] + wire [7:0] _T_1715 = {_T_1708[5:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1717 = _T_1715 & 8'hcc; // @[Bitwise.scala 103:75] + wire [7:0] _T_1718 = _T_1713 | _T_1717; // @[Bitwise.scala 103:39] + wire [7:0] _GEN_91 = {{1'd0}, _T_1718[7:1]}; // @[Bitwise.scala 103:31] + wire [7:0] _T_1723 = _GEN_91 & 8'h55; // @[Bitwise.scala 103:31] + wire [7:0] _T_1725 = {_T_1718[6:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [7:0] _T_1727 = _T_1725 & 8'haa; // @[Bitwise.scala 103:75] + wire [7:0] _T_1728 = _T_1723 | _T_1727; // @[Bitwise.scala 103:39] + wire [31:0] _T_1732 = {_T_1617,_T_1654,_T_1691,_T_1728}; // @[Cat.scala 29:58] + wire [31:0] _T_1736 = {{16'd0}, _T_1732[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1738 = {_T_1732[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1740 = _T_1738 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1741 = _T_1736 | _T_1740; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_92 = {{8'd0}, _T_1741[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1746 = _GEN_92 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1748 = {_T_1741[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1750 = _T_1748 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1751 = _T_1746 | _T_1750; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_93 = {{4'd0}, _T_1751[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1756 = _GEN_93 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1758 = {_T_1751[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1760 = _T_1758 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1761 = _T_1756 | _T_1760; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_94 = {{2'd0}, _T_1761[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1766 = _GEN_94 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1768 = {_T_1761[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1770 = _T_1768 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1771 = _T_1766 | _T_1770; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_95 = {{1'd0}, _T_1771[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1776 = _GEN_95 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1778 = {_T_1771[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1780 = _T_1778 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + wire [63:0] _T_1784 = {io_store_data_hi_r,io_store_data_lo_r}; // @[Cat.scala 29:58] + wire [3:0] _GEN_96 = {{2'd0}, io_lsu_addr_r[1:0]}; // @[lsu_dccm_ctl.scala 263:94] + wire [5:0] _T_1786 = 4'h8 * _GEN_96; // @[lsu_dccm_ctl.scala 263:94] + wire [63:0] _T_1787 = _T_1784 >> _T_1786; // @[lsu_dccm_ctl.scala 263:88] + wire [7:0] _T_1790 = store_byteen_r[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1793 = store_byteen_r[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1796 = store_byteen_r[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1799 = store_byteen_r[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1803 = {_T_1790,_T_1793,_T_1796,_T_1799}; // @[Cat.scala 29:58] + wire [31:0] _T_1807 = {{16'd0}, _T_1803[31:16]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1809 = {_T_1803[15:0], 16'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1811 = _T_1809 & 32'hffff0000; // @[Bitwise.scala 103:75] + wire [31:0] _T_1812 = _T_1807 | _T_1811; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_97 = {{8'd0}, _T_1812[31:8]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1817 = _GEN_97 & 32'hff00ff; // @[Bitwise.scala 103:31] + wire [31:0] _T_1819 = {_T_1812[23:0], 8'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1821 = _T_1819 & 32'hff00ff00; // @[Bitwise.scala 103:75] + wire [31:0] _T_1822 = _T_1817 | _T_1821; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_98 = {{4'd0}, _T_1822[31:4]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1827 = _GEN_98 & 32'hf0f0f0f; // @[Bitwise.scala 103:31] + wire [31:0] _T_1829 = {_T_1822[27:0], 4'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1831 = _T_1829 & 32'hf0f0f0f0; // @[Bitwise.scala 103:75] + wire [31:0] _T_1832 = _T_1827 | _T_1831; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_99 = {{2'd0}, _T_1832[31:2]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1837 = _GEN_99 & 32'h33333333; // @[Bitwise.scala 103:31] + wire [31:0] _T_1839 = {_T_1832[29:0], 2'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1841 = _T_1839 & 32'hcccccccc; // @[Bitwise.scala 103:75] + wire [31:0] _T_1842 = _T_1837 | _T_1841; // @[Bitwise.scala 103:39] + wire [31:0] _GEN_100 = {{1'd0}, _T_1842[31:1]}; // @[Bitwise.scala 103:31] + wire [31:0] _T_1847 = _GEN_100 & 32'h55555555; // @[Bitwise.scala 103:31] + wire [31:0] _T_1849 = {_T_1842[30:0], 1'h0}; // @[Bitwise.scala 103:65] + wire [31:0] _T_1851 = _T_1849 & 32'haaaaaaaa; // @[Bitwise.scala 103:75] + wire [31:0] _T_1852 = _T_1847 | _T_1851; // @[Bitwise.scala 103:39] + wire [63:0] _GEN_101 = {{32'd0}, _T_1852}; // @[lsu_dccm_ctl.scala 263:115] + wire [63:0] _T_1853 = _T_1787 & _GEN_101; // @[lsu_dccm_ctl.scala 263:115] + wire _T_1858 = io_lsu_pkt_r_valid & io_lsu_pkt_r_bits_store; // @[lsu_dccm_ctl.scala 270:58] + wire _T_1859 = _T_1858 & io_addr_in_pic_r; // @[lsu_dccm_ctl.scala 270:84] + wire _T_1860 = _T_1859 & io_lsu_commit_r; // @[lsu_dccm_ctl.scala 270:103] + wire _T_1862 = io_lsu_pkt_d_valid & io_lsu_pkt_d_bits_load; // @[lsu_dccm_ctl.scala 271:58] + wire _T_1864 = io_lsu_pkt_d_valid & io_lsu_pkt_d_bits_store; // @[lsu_dccm_ctl.scala 272:58] + wire [31:0] _T_1868 = {17'h0,io_lsu_addr_d[14:0]}; // @[Cat.scala 29:58] + wire [14:0] _T_1874 = io_dma_pic_wen ? io_dma_dccm_ctl_dma_mem_addr[14:0] : io_lsu_addr_r[14:0]; // @[lsu_dccm_ctl.scala 274:93] + wire [31:0] _T_1875 = {17'h0,_T_1874}; // @[Cat.scala 29:58] + reg _T_1882; // @[lsu_dccm_ctl.scala 279:61] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + assign io_lsu_ld_data_corr_r = _T_2[31:0]; // @[lsu_dccm_ctl.scala 147:28] + assign io_dccm_rdata_hi_m = io_dccm_rd_data_hi[31:0]; // @[lsu_dccm_ctl.scala 266:27] + assign io_dccm_rdata_lo_m = io_dccm_rd_data_lo[31:0]; // @[lsu_dccm_ctl.scala 265:27] + assign io_dccm_data_ecc_hi_m = io_dccm_rd_data_hi[38:32]; // @[lsu_dccm_ctl.scala 268:27] + assign io_dccm_data_ecc_lo_m = io_dccm_rd_data_lo[38:32]; // @[lsu_dccm_ctl.scala 267:27] + assign io_lsu_ld_data_m = _T_763[31:0]; // @[lsu_dccm_ctl.scala 150:28] + assign io_store_data_hi_r = _T_1379; // @[lsu_dccm_ctl.scala 260:29] + assign io_store_data_lo_r = _T_1173; // @[lsu_dccm_ctl.scala 259:29] + assign io_store_datafn_hi_r = _T_1776 | _T_1780; // @[lsu_dccm_ctl.scala 262:29] + assign io_store_datafn_lo_r = _T_1575 | _T_1579; // @[lsu_dccm_ctl.scala 261:29] + assign io_store_data_r = _T_1853[31:0]; // @[lsu_dccm_ctl.scala 263:29] + assign io_ld_single_ecc_error_r = _T_815 & _T_816; // @[lsu_dccm_ctl.scala 163:34] + assign io_ld_single_ecc_error_r_ff = _T_838 & _T_839; // @[lsu_dccm_ctl.scala 176:31] + assign io_picm_mask_data_m = picm_rd_data_m[31:0]; // @[lsu_dccm_ctl.scala 275:27] + assign io_lsu_stbuf_commit_any = io_stbuf_reqvld_any & _T_853; // @[lsu_dccm_ctl.scala 177:31] + assign io_lsu_dccm_rden_m = _T_1882; // @[lsu_dccm_ctl.scala 279:24] + assign io_dma_dccm_ctl_dccm_dma_rvalid = _T & io_lsu_pkt_m_bits_dma; // @[lsu_dccm_ctl.scala 137:41] + assign io_dma_dccm_ctl_dccm_dma_ecc_error = io_lsu_double_ecc_error_m; // @[lsu_dccm_ctl.scala 138:41] + assign io_dma_dccm_ctl_dccm_dma_rtag = io_dma_mem_tag_m; // @[lsu_dccm_ctl.scala 140:41] + assign io_dma_dccm_ctl_dccm_dma_rdata = _T_376 | _T_380; // @[lsu_dccm_ctl.scala 139:41] + assign io_dccm_wren = _T_855 | io_ld_single_ecc_error_r_ff; // @[lsu_dccm_ctl.scala 183:22] + assign io_dccm_rden = lsu_dccm_rden_d & io_addr_in_dccm_d; // @[lsu_dccm_ctl.scala 184:22] + assign io_dccm_wr_addr_lo = io_ld_single_ecc_error_r_ff ? _T_862 : _T_866; // @[lsu_dccm_ctl.scala 186:22] + assign io_dccm_wr_addr_hi = io_ld_single_ecc_error_r_ff ? _T_872 : _T_876; // @[lsu_dccm_ctl.scala 190:22] + assign io_dccm_rd_addr_lo = io_lsu_addr_d[15:0]; // @[lsu_dccm_ctl.scala 194:22] + assign io_dccm_rd_addr_hi = io_end_addr_d; // @[lsu_dccm_ctl.scala 195:22] + assign io_dccm_wr_data_lo = io_ld_single_ecc_error_r_ff ? _T_888 : _T_896; // @[lsu_dccm_ctl.scala 197:22] + assign io_dccm_wr_data_hi = io_ld_single_ecc_error_r_ff ? _T_906 : _T_914; // @[lsu_dccm_ctl.scala 203:22] + assign io_lsu_pic_picm_wren = _T_1860 | io_dma_pic_wen; // @[lsu_dccm_ctl.scala 270:35] + assign io_lsu_pic_picm_rden = _T_1862 & io_addr_in_pic_d; // @[lsu_dccm_ctl.scala 271:35] + assign io_lsu_pic_picm_mken = _T_1864 & io_addr_in_pic_d; // @[lsu_dccm_ctl.scala 272:35] + assign io_lsu_pic_picm_rdaddr = 32'hf00c0000 | _T_1868; // @[lsu_dccm_ctl.scala 273:35] + assign io_lsu_pic_picm_wraddr = 32'hf00c0000 | _T_1875; // @[lsu_dccm_ctl.scala 274:35] + assign io_lsu_pic_picm_wr_data = io_dma_pic_wen ? io_dma_dccm_ctl_dma_mem_wdata[31:0] : io_store_datafn_lo_r; // @[lsu_dccm_ctl.scala 276:35] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = io_ld_single_ecc_error_r; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = io_ld_single_ecc_error_r; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {2{`RANDOM}}; + _T_2 = _RAND_0[63:0]; + _RAND_1 = {1{`RANDOM}}; + lsu_double_ecc_error_r_ff = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + ld_single_ecc_error_hi_r_ff = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + ld_single_ecc_error_lo_r_ff = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + ld_sec_addr_hi_r_ff = _RAND_4[15:0]; + _RAND_5 = {1{`RANDOM}}; + ld_sec_addr_lo_r_ff = _RAND_5[15:0]; + _RAND_6 = {1{`RANDOM}}; + _T_1173 = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + _T_1379 = _RAND_7[31:0]; + _RAND_8 = {1{`RANDOM}}; + _T_1882 = _RAND_8[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + _T_2 = 64'h0; + end + if (reset) begin + lsu_double_ecc_error_r_ff = 1'h0; + end + if (reset) begin + ld_single_ecc_error_hi_r_ff = 1'h0; + end + if (reset) begin + ld_single_ecc_error_lo_r_ff = 1'h0; + end + if (reset) begin + ld_sec_addr_hi_r_ff = 16'h0; + end + if (reset) begin + ld_sec_addr_lo_r_ff = 16'h0; + end + if (reset) begin + _T_1173 = 32'h0; + end + if (reset) begin + _T_1379 = 32'h0; + end + if (reset) begin + _T_1882 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_2 <= 64'h0; + end else begin + _T_2 <= lsu_rdata_corr_m >> _T_762; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + lsu_double_ecc_error_r_ff <= 1'h0; + end else begin + lsu_double_ecc_error_r_ff <= io_lsu_double_ecc_error_r; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ld_single_ecc_error_hi_r_ff <= 1'h0; + end else begin + ld_single_ecc_error_hi_r_ff <= _T_822 & _T_823; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ld_single_ecc_error_lo_r_ff <= 1'h0; + end else begin + ld_single_ecc_error_lo_r_ff <= _T_819 & _T_820; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + ld_sec_addr_hi_r_ff <= 16'h0; + end else begin + ld_sec_addr_hi_r_ff <= io_end_addr_r; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + ld_sec_addr_lo_r_ff <= 16'h0; + end else begin + ld_sec_addr_lo_r_ff <= io_lsu_addr_r[15:0]; + end + end + always @(posedge io_lsu_store_c1_r_clk or posedge reset) begin + if (reset) begin + _T_1173 <= 32'h0; + end else begin + _T_1173 <= _T_1167 | _T_1171; + end + end + always @(posedge io_lsu_store_c1_r_clk or posedge reset) begin + if (reset) begin + _T_1379 <= 32'h0; + end else begin + _T_1379 <= _T_1373 | _T_1377; + end + end + always @(posedge io_lsu_c2_m_clk or posedge reset) begin + if (reset) begin + _T_1882 <= 1'h0; + end else begin + _T_1882 <= _T_837 & io_addr_in_dccm_d; + end + end +endmodule +module lsu_stbuf( + input clock, + input reset, + input io_lsu_c1_m_clk, + input io_lsu_c1_r_clk, + input io_lsu_stbuf_c1_clk, + input io_lsu_free_c2_clk, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_dma, + input io_lsu_pkt_r_valid, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_dword, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_dma, + input io_store_stbuf_reqvld_r, + input io_lsu_commit_r, + input io_dec_lsu_valid_raw_d, + input [31:0] io_store_data_hi_r, + input [31:0] io_store_data_lo_r, + input [31:0] io_store_datafn_hi_r, + input [31:0] io_store_datafn_lo_r, + input io_lsu_stbuf_commit_any, + input [15:0] io_lsu_addr_d, + input [31:0] io_lsu_addr_m, + input [31:0] io_lsu_addr_r, + input [15:0] io_end_addr_d, + input [31:0] io_end_addr_m, + input [31:0] io_end_addr_r, + input io_addr_in_dccm_m, + input io_addr_in_dccm_r, + input io_scan_mode, + output io_stbuf_reqvld_any, + output io_stbuf_reqvld_flushed_any, + output [15:0] io_stbuf_addr_any, + output [31:0] io_stbuf_data_any, + output io_lsu_stbuf_full_any, + output io_lsu_stbuf_empty_any, + output io_ldst_stbuf_reqvld_r, + output [31:0] io_stbuf_fwddata_hi_m, + output [31:0] io_stbuf_fwddata_lo_m, + output [3:0] io_stbuf_fwdbyteen_hi_m, + output [3:0] io_stbuf_fwdbyteen_lo_m +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + wire [1:0] _T_5 = io_lsu_pkt_r_bits_half ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [3:0] _T_6 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [7:0] _T_7 = io_lsu_pkt_r_bits_dword ? 8'hff : 8'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_10 = {{1'd0}, io_lsu_pkt_r_bits_by}; // @[Mux.scala 27:72] + wire [1:0] _T_8 = _GEN_10 | _T_5; // @[Mux.scala 27:72] + wire [3:0] _GEN_11 = {{2'd0}, _T_8}; // @[Mux.scala 27:72] + wire [3:0] _T_9 = _GEN_11 | _T_6; // @[Mux.scala 27:72] + wire [7:0] _GEN_12 = {{4'd0}, _T_9}; // @[Mux.scala 27:72] + wire [7:0] ldst_byteen_r = _GEN_12 | _T_7; // @[Mux.scala 27:72] + wire ldst_dual_d = io_lsu_addr_d[2] != io_end_addr_d[2]; // @[lsu_stbuf.scala 117:39] + reg ldst_dual_r; // @[lsu_stbuf.scala 171:52] + wire dual_stbuf_write_r = ldst_dual_r & io_store_stbuf_reqvld_r; // @[lsu_stbuf.scala 118:40] + wire [10:0] _GEN_13 = {{3'd0}, ldst_byteen_r}; // @[lsu_stbuf.scala 120:39] + wire [10:0] _T_14 = _GEN_13 << io_lsu_addr_r[1:0]; // @[lsu_stbuf.scala 120:39] + wire [7:0] store_byteen_ext_r = _T_14[7:0]; // @[lsu_stbuf.scala 120:22] + wire [3:0] _T_17 = io_lsu_pkt_r_bits_store ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] store_byteen_hi_r = store_byteen_ext_r[7:4] & _T_17; // @[lsu_stbuf.scala 121:52] + wire [3:0] store_byteen_lo_r = store_byteen_ext_r[3:0] & _T_17; // @[lsu_stbuf.scala 122:52] + reg [1:0] RdPtr; // @[Reg.scala 27:20] + wire [1:0] RdPtrPlus1 = RdPtr + 2'h1; // @[lsu_stbuf.scala 124:26] + reg [1:0] WrPtr; // @[Reg.scala 27:20] + wire [1:0] WrPtrPlus1 = WrPtr + 2'h1; // @[lsu_stbuf.scala 125:26] + wire [1:0] WrPtrPlus2 = WrPtr + 2'h2; // @[lsu_stbuf.scala 126:26] + reg [15:0] stbuf_addr_0; // @[lib.scala 374:16] + wire _T_27 = stbuf_addr_0[15:2] == io_lsu_addr_r[15:2]; // @[lsu_stbuf.scala 130:120] + reg _T_588; // @[lsu_stbuf.scala 163:88] + reg _T_580; // @[lsu_stbuf.scala 163:88] + reg _T_572; // @[lsu_stbuf.scala 163:88] + reg _T_564; // @[lsu_stbuf.scala 163:88] + wire [3:0] stbuf_vld = {_T_588,_T_580,_T_572,_T_564}; // @[Cat.scala 29:58] + wire _T_29 = _T_27 & stbuf_vld[0]; // @[lsu_stbuf.scala 130:179] + reg _T_623; // @[lsu_stbuf.scala 164:92] + reg _T_615; // @[lsu_stbuf.scala 164:92] + reg _T_607; // @[lsu_stbuf.scala 164:92] + reg _T_599; // @[lsu_stbuf.scala 164:92] + wire [3:0] stbuf_dma_kill = {_T_623,_T_615,_T_607,_T_599}; // @[Cat.scala 29:58] + wire _T_31 = ~stbuf_dma_kill[0]; // @[lsu_stbuf.scala 130:197] + wire _T_32 = _T_29 & _T_31; // @[lsu_stbuf.scala 130:195] + wire _T_212 = io_lsu_stbuf_commit_any | io_stbuf_reqvld_flushed_any; // @[lsu_stbuf.scala 141:78] + wire _T_213 = 2'h3 == RdPtr; // @[lsu_stbuf.scala 141:121] + wire _T_215 = _T_212 & _T_213; // @[lsu_stbuf.scala 141:109] + wire _T_209 = 2'h2 == RdPtr; // @[lsu_stbuf.scala 141:121] + wire _T_211 = _T_212 & _T_209; // @[lsu_stbuf.scala 141:109] + wire _T_205 = 2'h1 == RdPtr; // @[lsu_stbuf.scala 141:121] + wire _T_207 = _T_212 & _T_205; // @[lsu_stbuf.scala 141:109] + wire _T_201 = 2'h0 == RdPtr; // @[lsu_stbuf.scala 141:121] + wire _T_203 = _T_212 & _T_201; // @[lsu_stbuf.scala 141:109] + wire [3:0] stbuf_reset = {_T_215,_T_211,_T_207,_T_203}; // @[Cat.scala 29:58] + wire _T_34 = ~stbuf_reset[0]; // @[lsu_stbuf.scala 130:218] + wire _T_35 = _T_32 & _T_34; // @[lsu_stbuf.scala 130:216] + reg [15:0] stbuf_addr_1; // @[lib.scala 374:16] + wire _T_38 = stbuf_addr_1[15:2] == io_lsu_addr_r[15:2]; // @[lsu_stbuf.scala 130:120] + wire _T_40 = _T_38 & stbuf_vld[1]; // @[lsu_stbuf.scala 130:179] + wire _T_42 = ~stbuf_dma_kill[1]; // @[lsu_stbuf.scala 130:197] + wire _T_43 = _T_40 & _T_42; // @[lsu_stbuf.scala 130:195] + wire _T_45 = ~stbuf_reset[1]; // @[lsu_stbuf.scala 130:218] + wire _T_46 = _T_43 & _T_45; // @[lsu_stbuf.scala 130:216] + reg [15:0] stbuf_addr_2; // @[lib.scala 374:16] + wire _T_49 = stbuf_addr_2[15:2] == io_lsu_addr_r[15:2]; // @[lsu_stbuf.scala 130:120] + wire _T_51 = _T_49 & stbuf_vld[2]; // @[lsu_stbuf.scala 130:179] + wire _T_53 = ~stbuf_dma_kill[2]; // @[lsu_stbuf.scala 130:197] + wire _T_54 = _T_51 & _T_53; // @[lsu_stbuf.scala 130:195] + wire _T_56 = ~stbuf_reset[2]; // @[lsu_stbuf.scala 130:218] + wire _T_57 = _T_54 & _T_56; // @[lsu_stbuf.scala 130:216] + reg [15:0] stbuf_addr_3; // @[lib.scala 374:16] + wire _T_60 = stbuf_addr_3[15:2] == io_lsu_addr_r[15:2]; // @[lsu_stbuf.scala 130:120] + wire _T_62 = _T_60 & stbuf_vld[3]; // @[lsu_stbuf.scala 130:179] + wire _T_64 = ~stbuf_dma_kill[3]; // @[lsu_stbuf.scala 130:197] + wire _T_65 = _T_62 & _T_64; // @[lsu_stbuf.scala 130:195] + wire _T_67 = ~stbuf_reset[3]; // @[lsu_stbuf.scala 130:218] + wire _T_68 = _T_65 & _T_67; // @[lsu_stbuf.scala 130:216] + wire [3:0] store_matchvec_lo_r = {_T_68,_T_57,_T_46,_T_35}; // @[Cat.scala 29:58] + wire _T_73 = stbuf_addr_0[15:2] == io_end_addr_r[15:2]; // @[lsu_stbuf.scala 131:120] + wire _T_75 = _T_73 & stbuf_vld[0]; // @[lsu_stbuf.scala 131:179] + wire _T_78 = _T_75 & _T_31; // @[lsu_stbuf.scala 131:194] + wire _T_79 = _T_78 & dual_stbuf_write_r; // @[lsu_stbuf.scala 131:215] + wire _T_82 = _T_79 & _T_34; // @[lsu_stbuf.scala 131:236] + wire _T_85 = stbuf_addr_1[15:2] == io_end_addr_r[15:2]; // @[lsu_stbuf.scala 131:120] + wire _T_87 = _T_85 & stbuf_vld[1]; // @[lsu_stbuf.scala 131:179] + wire _T_90 = _T_87 & _T_42; // @[lsu_stbuf.scala 131:194] + wire _T_91 = _T_90 & dual_stbuf_write_r; // @[lsu_stbuf.scala 131:215] + wire _T_94 = _T_91 & _T_45; // @[lsu_stbuf.scala 131:236] + wire _T_97 = stbuf_addr_2[15:2] == io_end_addr_r[15:2]; // @[lsu_stbuf.scala 131:120] + wire _T_99 = _T_97 & stbuf_vld[2]; // @[lsu_stbuf.scala 131:179] + wire _T_102 = _T_99 & _T_53; // @[lsu_stbuf.scala 131:194] + wire _T_103 = _T_102 & dual_stbuf_write_r; // @[lsu_stbuf.scala 131:215] + wire _T_106 = _T_103 & _T_56; // @[lsu_stbuf.scala 131:236] + wire _T_109 = stbuf_addr_3[15:2] == io_end_addr_r[15:2]; // @[lsu_stbuf.scala 131:120] + wire _T_111 = _T_109 & stbuf_vld[3]; // @[lsu_stbuf.scala 131:179] + wire _T_114 = _T_111 & _T_64; // @[lsu_stbuf.scala 131:194] + wire _T_115 = _T_114 & dual_stbuf_write_r; // @[lsu_stbuf.scala 131:215] + wire _T_118 = _T_115 & _T_67; // @[lsu_stbuf.scala 131:236] + wire [3:0] store_matchvec_hi_r = {_T_118,_T_106,_T_94,_T_82}; // @[Cat.scala 29:58] + wire store_coalesce_lo_r = |store_matchvec_lo_r; // @[lsu_stbuf.scala 133:49] + wire store_coalesce_hi_r = |store_matchvec_hi_r; // @[lsu_stbuf.scala 134:49] + wire _T_121 = 2'h0 == WrPtr; // @[lsu_stbuf.scala 137:16] + wire _T_122 = ~store_coalesce_lo_r; // @[lsu_stbuf.scala 137:29] + wire _T_123 = _T_121 & _T_122; // @[lsu_stbuf.scala 137:27] + wire _T_125 = _T_121 & dual_stbuf_write_r; // @[lsu_stbuf.scala 138:29] + wire _T_126 = ~store_coalesce_hi_r; // @[lsu_stbuf.scala 138:52] + wire _T_127 = _T_125 & _T_126; // @[lsu_stbuf.scala 138:50] + wire _T_128 = _T_123 | _T_127; // @[lsu_stbuf.scala 137:51] + wire _T_129 = 2'h0 == WrPtrPlus1; // @[lsu_stbuf.scala 139:18] + wire _T_130 = _T_129 & dual_stbuf_write_r; // @[lsu_stbuf.scala 139:34] + wire _T_131 = store_coalesce_lo_r | store_coalesce_hi_r; // @[lsu_stbuf.scala 139:79] + wire _T_132 = ~_T_131; // @[lsu_stbuf.scala 139:57] + wire _T_133 = _T_130 & _T_132; // @[lsu_stbuf.scala 139:55] + wire _T_134 = _T_128 | _T_133; // @[lsu_stbuf.scala 138:74] + wire _T_136 = _T_134 | store_matchvec_lo_r[0]; // @[lsu_stbuf.scala 139:103] + wire _T_138 = _T_136 | store_matchvec_hi_r[0]; // @[lsu_stbuf.scala 140:30] + wire _T_139 = io_ldst_stbuf_reqvld_r & _T_138; // @[lsu_stbuf.scala 136:76] + wire _T_140 = 2'h1 == WrPtr; // @[lsu_stbuf.scala 137:16] + wire _T_142 = _T_140 & _T_122; // @[lsu_stbuf.scala 137:27] + wire _T_144 = _T_140 & dual_stbuf_write_r; // @[lsu_stbuf.scala 138:29] + wire _T_146 = _T_144 & _T_126; // @[lsu_stbuf.scala 138:50] + wire _T_147 = _T_142 | _T_146; // @[lsu_stbuf.scala 137:51] + wire _T_148 = 2'h1 == WrPtrPlus1; // @[lsu_stbuf.scala 139:18] + wire _T_149 = _T_148 & dual_stbuf_write_r; // @[lsu_stbuf.scala 139:34] + wire _T_152 = _T_149 & _T_132; // @[lsu_stbuf.scala 139:55] + wire _T_153 = _T_147 | _T_152; // @[lsu_stbuf.scala 138:74] + wire _T_155 = _T_153 | store_matchvec_lo_r[1]; // @[lsu_stbuf.scala 139:103] + wire _T_157 = _T_155 | store_matchvec_hi_r[1]; // @[lsu_stbuf.scala 140:30] + wire _T_158 = io_ldst_stbuf_reqvld_r & _T_157; // @[lsu_stbuf.scala 136:76] + wire _T_159 = 2'h2 == WrPtr; // @[lsu_stbuf.scala 137:16] + wire _T_161 = _T_159 & _T_122; // @[lsu_stbuf.scala 137:27] + wire _T_163 = _T_159 & dual_stbuf_write_r; // @[lsu_stbuf.scala 138:29] + wire _T_165 = _T_163 & _T_126; // @[lsu_stbuf.scala 138:50] + wire _T_166 = _T_161 | _T_165; // @[lsu_stbuf.scala 137:51] + wire _T_167 = 2'h2 == WrPtrPlus1; // @[lsu_stbuf.scala 139:18] + wire _T_168 = _T_167 & dual_stbuf_write_r; // @[lsu_stbuf.scala 139:34] + wire _T_171 = _T_168 & _T_132; // @[lsu_stbuf.scala 139:55] + wire _T_172 = _T_166 | _T_171; // @[lsu_stbuf.scala 138:74] + wire _T_174 = _T_172 | store_matchvec_lo_r[2]; // @[lsu_stbuf.scala 139:103] + wire _T_176 = _T_174 | store_matchvec_hi_r[2]; // @[lsu_stbuf.scala 140:30] + wire _T_177 = io_ldst_stbuf_reqvld_r & _T_176; // @[lsu_stbuf.scala 136:76] + wire _T_178 = 2'h3 == WrPtr; // @[lsu_stbuf.scala 137:16] + wire _T_180 = _T_178 & _T_122; // @[lsu_stbuf.scala 137:27] + wire _T_182 = _T_178 & dual_stbuf_write_r; // @[lsu_stbuf.scala 138:29] + wire _T_184 = _T_182 & _T_126; // @[lsu_stbuf.scala 138:50] + wire _T_185 = _T_180 | _T_184; // @[lsu_stbuf.scala 137:51] + wire _T_186 = 2'h3 == WrPtrPlus1; // @[lsu_stbuf.scala 139:18] + wire _T_187 = _T_186 & dual_stbuf_write_r; // @[lsu_stbuf.scala 139:34] + wire _T_190 = _T_187 & _T_132; // @[lsu_stbuf.scala 139:55] + wire _T_191 = _T_185 | _T_190; // @[lsu_stbuf.scala 138:74] + wire _T_193 = _T_191 | store_matchvec_lo_r[3]; // @[lsu_stbuf.scala 139:103] + wire _T_195 = _T_193 | store_matchvec_hi_r[3]; // @[lsu_stbuf.scala 140:30] + wire _T_196 = io_ldst_stbuf_reqvld_r & _T_195; // @[lsu_stbuf.scala 136:76] + wire [3:0] stbuf_wr_en = {_T_196,_T_177,_T_158,_T_139}; // @[Cat.scala 29:58] + wire _T_219 = ~ldst_dual_r; // @[lsu_stbuf.scala 142:53] + wire _T_220 = _T_219 | io_store_stbuf_reqvld_r; // @[lsu_stbuf.scala 142:66] + wire _T_223 = _T_220 & _T_121; // @[lsu_stbuf.scala 142:93] + wire _T_225 = _T_223 & _T_122; // @[lsu_stbuf.scala 142:123] + wire _T_227 = _T_225 | store_matchvec_lo_r[0]; // @[lsu_stbuf.scala 142:147] + wire _T_232 = _T_220 & _T_140; // @[lsu_stbuf.scala 142:93] + wire _T_234 = _T_232 & _T_122; // @[lsu_stbuf.scala 142:123] + wire _T_236 = _T_234 | store_matchvec_lo_r[1]; // @[lsu_stbuf.scala 142:147] + wire _T_241 = _T_220 & _T_159; // @[lsu_stbuf.scala 142:93] + wire _T_243 = _T_241 & _T_122; // @[lsu_stbuf.scala 142:123] + wire _T_245 = _T_243 | store_matchvec_lo_r[2]; // @[lsu_stbuf.scala 142:147] + wire _T_250 = _T_220 & _T_178; // @[lsu_stbuf.scala 142:93] + wire _T_252 = _T_250 & _T_122; // @[lsu_stbuf.scala 142:123] + wire _T_254 = _T_252 | store_matchvec_lo_r[3]; // @[lsu_stbuf.scala 142:147] + wire [3:0] sel_lo = {_T_254,_T_245,_T_236,_T_227}; // @[Cat.scala 29:58] + reg [3:0] stbuf_byteen_0; // @[lsu_stbuf.scala 165:92] + wire [3:0] _T_274 = stbuf_byteen_0 | store_byteen_lo_r; // @[lsu_stbuf.scala 145:86] + wire [3:0] _T_275 = stbuf_byteen_0 | store_byteen_hi_r; // @[lsu_stbuf.scala 145:123] + wire [3:0] stbuf_byteenin_0 = sel_lo[0] ? _T_274 : _T_275; // @[lsu_stbuf.scala 145:58] + reg [3:0] stbuf_byteen_1; // @[lsu_stbuf.scala 165:92] + wire [3:0] _T_278 = stbuf_byteen_1 | store_byteen_lo_r; // @[lsu_stbuf.scala 145:86] + wire [3:0] _T_279 = stbuf_byteen_1 | store_byteen_hi_r; // @[lsu_stbuf.scala 145:123] + wire [3:0] stbuf_byteenin_1 = sel_lo[1] ? _T_278 : _T_279; // @[lsu_stbuf.scala 145:58] + reg [3:0] stbuf_byteen_2; // @[lsu_stbuf.scala 165:92] + wire [3:0] _T_282 = stbuf_byteen_2 | store_byteen_lo_r; // @[lsu_stbuf.scala 145:86] + wire [3:0] _T_283 = stbuf_byteen_2 | store_byteen_hi_r; // @[lsu_stbuf.scala 145:123] + wire [3:0] stbuf_byteenin_2 = sel_lo[2] ? _T_282 : _T_283; // @[lsu_stbuf.scala 145:58] + reg [3:0] stbuf_byteen_3; // @[lsu_stbuf.scala 165:92] + wire [3:0] _T_286 = stbuf_byteen_3 | store_byteen_lo_r; // @[lsu_stbuf.scala 145:86] + wire [3:0] _T_287 = stbuf_byteen_3 | store_byteen_hi_r; // @[lsu_stbuf.scala 145:123] + wire [3:0] stbuf_byteenin_3 = sel_lo[3] ? _T_286 : _T_287; // @[lsu_stbuf.scala 145:58] + wire _T_291 = ~stbuf_byteen_0[0]; // @[lsu_stbuf.scala 147:67] + wire _T_293 = _T_291 | store_byteen_lo_r[0]; // @[lsu_stbuf.scala 147:87] + reg [31:0] stbuf_data_0; // @[lib.scala 374:16] + wire [7:0] _T_296 = _T_293 ? io_store_datafn_lo_r[7:0] : stbuf_data_0[7:0]; // @[lsu_stbuf.scala 147:66] + wire _T_300 = _T_291 | store_byteen_hi_r[0]; // @[lsu_stbuf.scala 148:29] + wire [7:0] _T_303 = _T_300 ? io_store_datafn_hi_r[7:0] : stbuf_data_0[7:0]; // @[lsu_stbuf.scala 148:8] + wire [7:0] datain1_0 = sel_lo[0] ? _T_296 : _T_303; // @[lsu_stbuf.scala 147:51] + wire _T_307 = ~stbuf_byteen_1[0]; // @[lsu_stbuf.scala 147:67] + wire _T_309 = _T_307 | store_byteen_lo_r[0]; // @[lsu_stbuf.scala 147:87] + reg [31:0] stbuf_data_1; // @[lib.scala 374:16] + wire [7:0] _T_312 = _T_309 ? io_store_datafn_lo_r[7:0] : stbuf_data_1[7:0]; // @[lsu_stbuf.scala 147:66] + wire _T_316 = _T_307 | store_byteen_hi_r[0]; // @[lsu_stbuf.scala 148:29] + wire [7:0] _T_319 = _T_316 ? io_store_datafn_hi_r[7:0] : stbuf_data_1[7:0]; // @[lsu_stbuf.scala 148:8] + wire [7:0] datain1_1 = sel_lo[1] ? _T_312 : _T_319; // @[lsu_stbuf.scala 147:51] + wire _T_323 = ~stbuf_byteen_2[0]; // @[lsu_stbuf.scala 147:67] + wire _T_325 = _T_323 | store_byteen_lo_r[0]; // @[lsu_stbuf.scala 147:87] + reg [31:0] stbuf_data_2; // @[lib.scala 374:16] + wire [7:0] _T_328 = _T_325 ? io_store_datafn_lo_r[7:0] : stbuf_data_2[7:0]; // @[lsu_stbuf.scala 147:66] + wire _T_332 = _T_323 | store_byteen_hi_r[0]; // @[lsu_stbuf.scala 148:29] + wire [7:0] _T_335 = _T_332 ? io_store_datafn_hi_r[7:0] : stbuf_data_2[7:0]; // @[lsu_stbuf.scala 148:8] + wire [7:0] datain1_2 = sel_lo[2] ? _T_328 : _T_335; // @[lsu_stbuf.scala 147:51] + wire _T_339 = ~stbuf_byteen_3[0]; // @[lsu_stbuf.scala 147:67] + wire _T_341 = _T_339 | store_byteen_lo_r[0]; // @[lsu_stbuf.scala 147:87] + reg [31:0] stbuf_data_3; // @[lib.scala 374:16] + wire [7:0] _T_344 = _T_341 ? io_store_datafn_lo_r[7:0] : stbuf_data_3[7:0]; // @[lsu_stbuf.scala 147:66] + wire _T_348 = _T_339 | store_byteen_hi_r[0]; // @[lsu_stbuf.scala 148:29] + wire [7:0] _T_351 = _T_348 ? io_store_datafn_hi_r[7:0] : stbuf_data_3[7:0]; // @[lsu_stbuf.scala 148:8] + wire [7:0] datain1_3 = sel_lo[3] ? _T_344 : _T_351; // @[lsu_stbuf.scala 147:51] + wire _T_355 = ~stbuf_byteen_0[1]; // @[lsu_stbuf.scala 150:68] + wire _T_357 = _T_355 | store_byteen_lo_r[1]; // @[lsu_stbuf.scala 150:88] + wire [7:0] _T_360 = _T_357 ? io_store_datafn_lo_r[15:8] : stbuf_data_0[15:8]; // @[lsu_stbuf.scala 150:67] + wire _T_364 = _T_355 | store_byteen_hi_r[1]; // @[lsu_stbuf.scala 151:29] + wire [7:0] _T_367 = _T_364 ? io_store_datafn_hi_r[15:8] : stbuf_data_0[15:8]; // @[lsu_stbuf.scala 151:8] + wire [7:0] datain2_0 = sel_lo[0] ? _T_360 : _T_367; // @[lsu_stbuf.scala 150:52] + wire _T_371 = ~stbuf_byteen_1[1]; // @[lsu_stbuf.scala 150:68] + wire _T_373 = _T_371 | store_byteen_lo_r[1]; // @[lsu_stbuf.scala 150:88] + wire [7:0] _T_376 = _T_373 ? io_store_datafn_lo_r[15:8] : stbuf_data_1[15:8]; // @[lsu_stbuf.scala 150:67] + wire _T_380 = _T_371 | store_byteen_hi_r[1]; // @[lsu_stbuf.scala 151:29] + wire [7:0] _T_383 = _T_380 ? io_store_datafn_hi_r[15:8] : stbuf_data_1[15:8]; // @[lsu_stbuf.scala 151:8] + wire [7:0] datain2_1 = sel_lo[1] ? _T_376 : _T_383; // @[lsu_stbuf.scala 150:52] + wire _T_387 = ~stbuf_byteen_2[1]; // @[lsu_stbuf.scala 150:68] + wire _T_389 = _T_387 | store_byteen_lo_r[1]; // @[lsu_stbuf.scala 150:88] + wire [7:0] _T_392 = _T_389 ? io_store_datafn_lo_r[15:8] : stbuf_data_2[15:8]; // @[lsu_stbuf.scala 150:67] + wire _T_396 = _T_387 | store_byteen_hi_r[1]; // @[lsu_stbuf.scala 151:29] + wire [7:0] _T_399 = _T_396 ? io_store_datafn_hi_r[15:8] : stbuf_data_2[15:8]; // @[lsu_stbuf.scala 151:8] + wire [7:0] datain2_2 = sel_lo[2] ? _T_392 : _T_399; // @[lsu_stbuf.scala 150:52] + wire _T_403 = ~stbuf_byteen_3[1]; // @[lsu_stbuf.scala 150:68] + wire _T_405 = _T_403 | store_byteen_lo_r[1]; // @[lsu_stbuf.scala 150:88] + wire [7:0] _T_408 = _T_405 ? io_store_datafn_lo_r[15:8] : stbuf_data_3[15:8]; // @[lsu_stbuf.scala 150:67] + wire _T_412 = _T_403 | store_byteen_hi_r[1]; // @[lsu_stbuf.scala 151:29] + wire [7:0] _T_415 = _T_412 ? io_store_datafn_hi_r[15:8] : stbuf_data_3[15:8]; // @[lsu_stbuf.scala 151:8] + wire [7:0] datain2_3 = sel_lo[3] ? _T_408 : _T_415; // @[lsu_stbuf.scala 150:52] + wire _T_419 = ~stbuf_byteen_0[2]; // @[lsu_stbuf.scala 153:68] + wire _T_421 = _T_419 | store_byteen_lo_r[2]; // @[lsu_stbuf.scala 153:88] + wire [7:0] _T_424 = _T_421 ? io_store_datafn_lo_r[23:16] : stbuf_data_0[23:16]; // @[lsu_stbuf.scala 153:67] + wire _T_428 = _T_419 | store_byteen_hi_r[2]; // @[lsu_stbuf.scala 154:29] + wire [7:0] _T_431 = _T_428 ? io_store_datafn_hi_r[23:16] : stbuf_data_0[23:16]; // @[lsu_stbuf.scala 154:8] + wire [7:0] datain3_0 = sel_lo[0] ? _T_424 : _T_431; // @[lsu_stbuf.scala 153:52] + wire _T_435 = ~stbuf_byteen_1[2]; // @[lsu_stbuf.scala 153:68] + wire _T_437 = _T_435 | store_byteen_lo_r[2]; // @[lsu_stbuf.scala 153:88] + wire [7:0] _T_440 = _T_437 ? io_store_datafn_lo_r[23:16] : stbuf_data_1[23:16]; // @[lsu_stbuf.scala 153:67] + wire _T_444 = _T_435 | store_byteen_hi_r[2]; // @[lsu_stbuf.scala 154:29] + wire [7:0] _T_447 = _T_444 ? io_store_datafn_hi_r[23:16] : stbuf_data_1[23:16]; // @[lsu_stbuf.scala 154:8] + wire [7:0] datain3_1 = sel_lo[1] ? _T_440 : _T_447; // @[lsu_stbuf.scala 153:52] + wire _T_451 = ~stbuf_byteen_2[2]; // @[lsu_stbuf.scala 153:68] + wire _T_453 = _T_451 | store_byteen_lo_r[2]; // @[lsu_stbuf.scala 153:88] + wire [7:0] _T_456 = _T_453 ? io_store_datafn_lo_r[23:16] : stbuf_data_2[23:16]; // @[lsu_stbuf.scala 153:67] + wire _T_460 = _T_451 | store_byteen_hi_r[2]; // @[lsu_stbuf.scala 154:29] + wire [7:0] _T_463 = _T_460 ? io_store_datafn_hi_r[23:16] : stbuf_data_2[23:16]; // @[lsu_stbuf.scala 154:8] + wire [7:0] datain3_2 = sel_lo[2] ? _T_456 : _T_463; // @[lsu_stbuf.scala 153:52] + wire _T_467 = ~stbuf_byteen_3[2]; // @[lsu_stbuf.scala 153:68] + wire _T_469 = _T_467 | store_byteen_lo_r[2]; // @[lsu_stbuf.scala 153:88] + wire [7:0] _T_472 = _T_469 ? io_store_datafn_lo_r[23:16] : stbuf_data_3[23:16]; // @[lsu_stbuf.scala 153:67] + wire _T_476 = _T_467 | store_byteen_hi_r[2]; // @[lsu_stbuf.scala 154:29] + wire [7:0] _T_479 = _T_476 ? io_store_datafn_hi_r[23:16] : stbuf_data_3[23:16]; // @[lsu_stbuf.scala 154:8] + wire [7:0] datain3_3 = sel_lo[3] ? _T_472 : _T_479; // @[lsu_stbuf.scala 153:52] + wire _T_483 = ~stbuf_byteen_0[3]; // @[lsu_stbuf.scala 156:68] + wire _T_485 = _T_483 | store_byteen_lo_r[3]; // @[lsu_stbuf.scala 156:88] + wire [7:0] _T_488 = _T_485 ? io_store_datafn_lo_r[31:24] : stbuf_data_0[31:24]; // @[lsu_stbuf.scala 156:67] + wire _T_492 = _T_483 | store_byteen_hi_r[3]; // @[lsu_stbuf.scala 157:29] + wire [7:0] _T_495 = _T_492 ? io_store_datafn_hi_r[31:24] : stbuf_data_0[31:24]; // @[lsu_stbuf.scala 157:8] + wire [7:0] datain4_0 = sel_lo[0] ? _T_488 : _T_495; // @[lsu_stbuf.scala 156:52] + wire _T_499 = ~stbuf_byteen_1[3]; // @[lsu_stbuf.scala 156:68] + wire _T_501 = _T_499 | store_byteen_lo_r[3]; // @[lsu_stbuf.scala 156:88] + wire [7:0] _T_504 = _T_501 ? io_store_datafn_lo_r[31:24] : stbuf_data_1[31:24]; // @[lsu_stbuf.scala 156:67] + wire _T_508 = _T_499 | store_byteen_hi_r[3]; // @[lsu_stbuf.scala 157:29] + wire [7:0] _T_511 = _T_508 ? io_store_datafn_hi_r[31:24] : stbuf_data_1[31:24]; // @[lsu_stbuf.scala 157:8] + wire [7:0] datain4_1 = sel_lo[1] ? _T_504 : _T_511; // @[lsu_stbuf.scala 156:52] + wire _T_515 = ~stbuf_byteen_2[3]; // @[lsu_stbuf.scala 156:68] + wire _T_517 = _T_515 | store_byteen_lo_r[3]; // @[lsu_stbuf.scala 156:88] + wire [7:0] _T_520 = _T_517 ? io_store_datafn_lo_r[31:24] : stbuf_data_2[31:24]; // @[lsu_stbuf.scala 156:67] + wire _T_524 = _T_515 | store_byteen_hi_r[3]; // @[lsu_stbuf.scala 157:29] + wire [7:0] _T_527 = _T_524 ? io_store_datafn_hi_r[31:24] : stbuf_data_2[31:24]; // @[lsu_stbuf.scala 157:8] + wire [7:0] datain4_2 = sel_lo[2] ? _T_520 : _T_527; // @[lsu_stbuf.scala 156:52] + wire _T_531 = ~stbuf_byteen_3[3]; // @[lsu_stbuf.scala 156:68] + wire _T_533 = _T_531 | store_byteen_lo_r[3]; // @[lsu_stbuf.scala 156:88] + wire [7:0] _T_536 = _T_533 ? io_store_datafn_lo_r[31:24] : stbuf_data_3[31:24]; // @[lsu_stbuf.scala 156:67] + wire _T_540 = _T_531 | store_byteen_hi_r[3]; // @[lsu_stbuf.scala 157:29] + wire [7:0] _T_543 = _T_540 ? io_store_datafn_hi_r[31:24] : stbuf_data_3[31:24]; // @[lsu_stbuf.scala 157:8] + wire [7:0] datain4_3 = sel_lo[3] ? _T_536 : _T_543; // @[lsu_stbuf.scala 156:52] + wire [15:0] _T_545 = {datain2_0,datain1_0}; // @[Cat.scala 29:58] + wire [15:0] _T_546 = {datain4_0,datain3_0}; // @[Cat.scala 29:58] + wire [15:0] _T_548 = {datain2_1,datain1_1}; // @[Cat.scala 29:58] + wire [15:0] _T_549 = {datain4_1,datain3_1}; // @[Cat.scala 29:58] + wire [15:0] _T_551 = {datain2_2,datain1_2}; // @[Cat.scala 29:58] + wire [15:0] _T_552 = {datain4_2,datain3_2}; // @[Cat.scala 29:58] + wire [15:0] _T_554 = {datain2_3,datain1_3}; // @[Cat.scala 29:58] + wire [15:0] _T_555 = {datain4_3,datain3_3}; // @[Cat.scala 29:58] + wire _T_560 = stbuf_wr_en[0] | stbuf_vld[0]; // @[lsu_stbuf.scala 163:92] + wire _T_568 = stbuf_wr_en[1] | stbuf_vld[1]; // @[lsu_stbuf.scala 163:92] + wire _T_576 = stbuf_wr_en[2] | stbuf_vld[2]; // @[lsu_stbuf.scala 163:92] + wire _T_584 = stbuf_wr_en[3] | stbuf_vld[3]; // @[lsu_stbuf.scala 163:92] + wire [15:0] cmpaddr_hi_m = {{2'd0}, io_end_addr_m[15:2]}; // @[lsu_stbuf.scala 200:16] + wire _T_789 = stbuf_addr_3[15:2] == cmpaddr_hi_m[13:0]; // @[lsu_stbuf.scala 206:115] + wire _T_791 = _T_789 & stbuf_vld[3]; // @[lsu_stbuf.scala 206:139] + wire _T_794 = _T_791 & _T_64; // @[lsu_stbuf.scala 206:154] + wire _T_795 = _T_794 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 206:175] + wire _T_780 = stbuf_addr_2[15:2] == cmpaddr_hi_m[13:0]; // @[lsu_stbuf.scala 206:115] + wire _T_782 = _T_780 & stbuf_vld[2]; // @[lsu_stbuf.scala 206:139] + wire _T_785 = _T_782 & _T_53; // @[lsu_stbuf.scala 206:154] + wire _T_786 = _T_785 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 206:175] + wire _T_771 = stbuf_addr_1[15:2] == cmpaddr_hi_m[13:0]; // @[lsu_stbuf.scala 206:115] + wire _T_773 = _T_771 & stbuf_vld[1]; // @[lsu_stbuf.scala 206:139] + wire _T_776 = _T_773 & _T_42; // @[lsu_stbuf.scala 206:154] + wire _T_777 = _T_776 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 206:175] + wire _T_762 = stbuf_addr_0[15:2] == cmpaddr_hi_m[13:0]; // @[lsu_stbuf.scala 206:115] + wire _T_764 = _T_762 & stbuf_vld[0]; // @[lsu_stbuf.scala 206:139] + wire _T_767 = _T_764 & _T_31; // @[lsu_stbuf.scala 206:154] + wire _T_768 = _T_767 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 206:175] + wire [3:0] stbuf_match_hi = {_T_795,_T_786,_T_777,_T_768}; // @[Cat.scala 29:58] + wire [15:0] cmpaddr_lo_m = {{2'd0}, io_lsu_addr_m[15:2]}; // @[lsu_stbuf.scala 203:17] + wire _T_827 = stbuf_addr_3[15:2] == cmpaddr_lo_m[13:0]; // @[lsu_stbuf.scala 207:115] + wire _T_829 = _T_827 & stbuf_vld[3]; // @[lsu_stbuf.scala 207:139] + wire _T_832 = _T_829 & _T_64; // @[lsu_stbuf.scala 207:154] + wire _T_833 = _T_832 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 207:175] + wire _T_818 = stbuf_addr_2[15:2] == cmpaddr_lo_m[13:0]; // @[lsu_stbuf.scala 207:115] + wire _T_820 = _T_818 & stbuf_vld[2]; // @[lsu_stbuf.scala 207:139] + wire _T_823 = _T_820 & _T_53; // @[lsu_stbuf.scala 207:154] + wire _T_824 = _T_823 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 207:175] + wire _T_809 = stbuf_addr_1[15:2] == cmpaddr_lo_m[13:0]; // @[lsu_stbuf.scala 207:115] + wire _T_811 = _T_809 & stbuf_vld[1]; // @[lsu_stbuf.scala 207:139] + wire _T_814 = _T_811 & _T_42; // @[lsu_stbuf.scala 207:154] + wire _T_815 = _T_814 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 207:175] + wire _T_800 = stbuf_addr_0[15:2] == cmpaddr_lo_m[13:0]; // @[lsu_stbuf.scala 207:115] + wire _T_802 = _T_800 & stbuf_vld[0]; // @[lsu_stbuf.scala 207:139] + wire _T_805 = _T_802 & _T_31; // @[lsu_stbuf.scala 207:154] + wire _T_806 = _T_805 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 207:175] + wire [3:0] stbuf_match_lo = {_T_833,_T_824,_T_815,_T_806}; // @[Cat.scala 29:58] + wire _T_856 = stbuf_match_hi[3] | stbuf_match_lo[3]; // @[lsu_stbuf.scala 208:78] + wire _T_857 = _T_856 & io_lsu_pkt_m_valid; // @[lsu_stbuf.scala 208:99] + wire _T_858 = _T_857 & io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 208:120] + wire _T_859 = _T_858 & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 208:144] + wire _T_850 = stbuf_match_hi[2] | stbuf_match_lo[2]; // @[lsu_stbuf.scala 208:78] + wire _T_851 = _T_850 & io_lsu_pkt_m_valid; // @[lsu_stbuf.scala 208:99] + wire _T_852 = _T_851 & io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 208:120] + wire _T_853 = _T_852 & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 208:144] + wire _T_844 = stbuf_match_hi[1] | stbuf_match_lo[1]; // @[lsu_stbuf.scala 208:78] + wire _T_845 = _T_844 & io_lsu_pkt_m_valid; // @[lsu_stbuf.scala 208:99] + wire _T_846 = _T_845 & io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 208:120] + wire _T_847 = _T_846 & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 208:144] + wire _T_838 = stbuf_match_hi[0] | stbuf_match_lo[0]; // @[lsu_stbuf.scala 208:78] + wire _T_839 = _T_838 & io_lsu_pkt_m_valid; // @[lsu_stbuf.scala 208:99] + wire _T_840 = _T_839 & io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 208:120] + wire _T_841 = _T_840 & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 208:144] + wire [3:0] stbuf_dma_kill_en = {_T_859,_T_853,_T_847,_T_841}; // @[Cat.scala 29:58] + wire _T_595 = stbuf_dma_kill_en[0] | stbuf_dma_kill[0]; // @[lsu_stbuf.scala 164:96] + wire _T_603 = stbuf_dma_kill_en[1] | stbuf_dma_kill[1]; // @[lsu_stbuf.scala 164:96] + wire _T_611 = stbuf_dma_kill_en[2] | stbuf_dma_kill[2]; // @[lsu_stbuf.scala 164:96] + wire _T_619 = stbuf_dma_kill_en[3] | stbuf_dma_kill[3]; // @[lsu_stbuf.scala 164:96] + wire [3:0] _T_629 = stbuf_wr_en[0] ? stbuf_byteenin_0 : stbuf_byteen_0; // @[lsu_stbuf.scala 165:96] + wire [3:0] _T_633 = _T_34 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_638 = stbuf_wr_en[1] ? stbuf_byteenin_1 : stbuf_byteen_1; // @[lsu_stbuf.scala 165:96] + wire [3:0] _T_642 = _T_45 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_647 = stbuf_wr_en[2] ? stbuf_byteenin_2 : stbuf_byteen_2; // @[lsu_stbuf.scala 165:96] + wire [3:0] _T_651 = _T_56 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_656 = stbuf_wr_en[3] ? stbuf_byteenin_3 : stbuf_byteen_3; // @[lsu_stbuf.scala 165:96] + wire [3:0] _T_660 = _T_67 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + reg ldst_dual_m; // @[lsu_stbuf.scala 170:52] + wire [3:0] _T_689 = stbuf_vld >> RdPtr; // @[lsu_stbuf.scala 174:43] + wire [3:0] _T_691 = stbuf_dma_kill >> RdPtr; // @[lsu_stbuf.scala 174:67] + wire _T_698 = ~_T_691[0]; // @[lsu_stbuf.scala 175:46] + wire _T_699 = _T_689[0] & _T_698; // @[lsu_stbuf.scala 175:44] + wire _T_700 = |stbuf_dma_kill_en; // @[lsu_stbuf.scala 175:91] + wire _T_701 = ~_T_700; // @[lsu_stbuf.scala 175:71] + wire [15:0] _GEN_1 = 2'h1 == RdPtr ? stbuf_addr_1 : stbuf_addr_0; // @[lsu_stbuf.scala 176:22] + wire [15:0] _GEN_2 = 2'h2 == RdPtr ? stbuf_addr_2 : _GEN_1; // @[lsu_stbuf.scala 176:22] + wire [31:0] _GEN_5 = 2'h1 == RdPtr ? stbuf_data_1 : stbuf_data_0; // @[lsu_stbuf.scala 177:22] + wire [31:0] _GEN_6 = 2'h2 == RdPtr ? stbuf_data_2 : _GEN_5; // @[lsu_stbuf.scala 177:22] + wire _T_703 = ~dual_stbuf_write_r; // @[lsu_stbuf.scala 179:44] + wire _T_704 = io_ldst_stbuf_reqvld_r & _T_703; // @[lsu_stbuf.scala 179:42] + wire _T_705 = store_coalesce_hi_r | store_coalesce_lo_r; // @[lsu_stbuf.scala 179:88] + wire _T_706 = ~_T_705; // @[lsu_stbuf.scala 179:66] + wire _T_707 = _T_704 & _T_706; // @[lsu_stbuf.scala 179:64] + wire _T_708 = io_ldst_stbuf_reqvld_r & dual_stbuf_write_r; // @[lsu_stbuf.scala 180:30] + wire _T_709 = store_coalesce_hi_r & store_coalesce_lo_r; // @[lsu_stbuf.scala 180:76] + wire _T_710 = ~_T_709; // @[lsu_stbuf.scala 180:54] + wire _T_711 = _T_708 & _T_710; // @[lsu_stbuf.scala 180:52] + wire WrPtrEn = _T_707 | _T_711; // @[lsu_stbuf.scala 179:113] + wire _T_716 = _T_708 & _T_706; // @[lsu_stbuf.scala 181:67] + wire [3:0] _T_721 = {3'h0,stbuf_vld[0]}; // @[Cat.scala 29:58] + wire [3:0] _T_723 = {3'h0,stbuf_vld[1]}; // @[Cat.scala 29:58] + wire [3:0] _T_725 = {3'h0,stbuf_vld[2]}; // @[Cat.scala 29:58] + wire [3:0] _T_727 = {3'h0,stbuf_vld[3]}; // @[Cat.scala 29:58] + wire [3:0] _T_730 = _T_721 + _T_723; // @[lsu_stbuf.scala 188:101] + wire [3:0] _T_732 = _T_730 + _T_725; // @[lsu_stbuf.scala 188:101] + wire [3:0] stbuf_numvld_any = _T_732 + _T_727; // @[lsu_stbuf.scala 188:101] + wire _T_734 = io_lsu_pkt_m_valid & io_lsu_pkt_m_bits_store; // @[lsu_stbuf.scala 189:39] + wire _T_735 = _T_734 & io_addr_in_dccm_m; // @[lsu_stbuf.scala 189:65] + wire _T_736 = ~io_lsu_pkt_m_bits_dma; // @[lsu_stbuf.scala 189:87] + wire isdccmst_m = _T_735 & _T_736; // @[lsu_stbuf.scala 189:85] + wire _T_737 = io_lsu_pkt_r_valid & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 190:39] + wire _T_738 = _T_737 & io_addr_in_dccm_r; // @[lsu_stbuf.scala 190:65] + wire _T_739 = ~io_lsu_pkt_r_bits_dma; // @[lsu_stbuf.scala 190:87] + wire isdccmst_r = _T_738 & _T_739; // @[lsu_stbuf.scala 190:85] + wire [1:0] _T_740 = {1'h0,isdccmst_m}; // @[Cat.scala 29:58] + wire _T_741 = isdccmst_m & ldst_dual_m; // @[lsu_stbuf.scala 192:62] + wire [2:0] _GEN_14 = {{1'd0}, _T_740}; // @[lsu_stbuf.scala 192:47] + wire [2:0] _T_742 = _GEN_14 << _T_741; // @[lsu_stbuf.scala 192:47] + wire [1:0] _T_743 = {1'h0,isdccmst_r}; // @[Cat.scala 29:58] + wire _T_744 = isdccmst_r & ldst_dual_r; // @[lsu_stbuf.scala 193:62] + wire [2:0] _GEN_15 = {{1'd0}, _T_743}; // @[lsu_stbuf.scala 193:47] + wire [2:0] _T_745 = _GEN_15 << _T_744; // @[lsu_stbuf.scala 193:47] + wire [1:0] stbuf_specvld_m = _T_742[1:0]; // @[lsu_stbuf.scala 192:19] + wire [3:0] _T_746 = {2'h0,stbuf_specvld_m}; // @[Cat.scala 29:58] + wire [3:0] _T_748 = stbuf_numvld_any + _T_746; // @[lsu_stbuf.scala 194:44] + wire [1:0] stbuf_specvld_r = _T_745[1:0]; // @[lsu_stbuf.scala 193:19] + wire [3:0] _T_749 = {2'h0,stbuf_specvld_r}; // @[Cat.scala 29:58] + wire [3:0] stbuf_specvld_any = _T_748 + _T_749; // @[lsu_stbuf.scala 194:78] + wire _T_751 = ~ldst_dual_d; // @[lsu_stbuf.scala 196:34] + wire _T_752 = _T_751 & io_dec_lsu_valid_raw_d; // @[lsu_stbuf.scala 196:47] + wire _T_754 = stbuf_specvld_any >= 4'h4; // @[lsu_stbuf.scala 196:99] + wire _T_755 = stbuf_specvld_any >= 4'h3; // @[lsu_stbuf.scala 196:140] + wire _T_865 = stbuf_match_hi[0] & stbuf_byteen_0[0]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_0_0 = _T_865 & stbuf_vld[0]; // @[lsu_stbuf.scala 211:137] + wire _T_869 = stbuf_match_hi[0] & stbuf_byteen_0[1]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_0_1 = _T_869 & stbuf_vld[0]; // @[lsu_stbuf.scala 211:137] + wire _T_873 = stbuf_match_hi[0] & stbuf_byteen_0[2]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_0_2 = _T_873 & stbuf_vld[0]; // @[lsu_stbuf.scala 211:137] + wire _T_877 = stbuf_match_hi[0] & stbuf_byteen_0[3]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_0_3 = _T_877 & stbuf_vld[0]; // @[lsu_stbuf.scala 211:137] + wire _T_881 = stbuf_match_hi[1] & stbuf_byteen_1[0]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_1_0 = _T_881 & stbuf_vld[1]; // @[lsu_stbuf.scala 211:137] + wire _T_885 = stbuf_match_hi[1] & stbuf_byteen_1[1]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_1_1 = _T_885 & stbuf_vld[1]; // @[lsu_stbuf.scala 211:137] + wire _T_889 = stbuf_match_hi[1] & stbuf_byteen_1[2]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_1_2 = _T_889 & stbuf_vld[1]; // @[lsu_stbuf.scala 211:137] + wire _T_893 = stbuf_match_hi[1] & stbuf_byteen_1[3]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_1_3 = _T_893 & stbuf_vld[1]; // @[lsu_stbuf.scala 211:137] + wire _T_897 = stbuf_match_hi[2] & stbuf_byteen_2[0]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_2_0 = _T_897 & stbuf_vld[2]; // @[lsu_stbuf.scala 211:137] + wire _T_901 = stbuf_match_hi[2] & stbuf_byteen_2[1]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_2_1 = _T_901 & stbuf_vld[2]; // @[lsu_stbuf.scala 211:137] + wire _T_905 = stbuf_match_hi[2] & stbuf_byteen_2[2]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_2_2 = _T_905 & stbuf_vld[2]; // @[lsu_stbuf.scala 211:137] + wire _T_909 = stbuf_match_hi[2] & stbuf_byteen_2[3]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_2_3 = _T_909 & stbuf_vld[2]; // @[lsu_stbuf.scala 211:137] + wire _T_913 = stbuf_match_hi[3] & stbuf_byteen_3[0]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_3_0 = _T_913 & stbuf_vld[3]; // @[lsu_stbuf.scala 211:137] + wire _T_917 = stbuf_match_hi[3] & stbuf_byteen_3[1]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_3_1 = _T_917 & stbuf_vld[3]; // @[lsu_stbuf.scala 211:137] + wire _T_921 = stbuf_match_hi[3] & stbuf_byteen_3[2]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_3_2 = _T_921 & stbuf_vld[3]; // @[lsu_stbuf.scala 211:137] + wire _T_925 = stbuf_match_hi[3] & stbuf_byteen_3[3]; // @[lsu_stbuf.scala 211:116] + wire stbuf_fwdbyteenvec_hi_3_3 = _T_925 & stbuf_vld[3]; // @[lsu_stbuf.scala 211:137] + wire _T_929 = stbuf_match_lo[0] & stbuf_byteen_0[0]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_0_0 = _T_929 & stbuf_vld[0]; // @[lsu_stbuf.scala 212:137] + wire _T_933 = stbuf_match_lo[0] & stbuf_byteen_0[1]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_0_1 = _T_933 & stbuf_vld[0]; // @[lsu_stbuf.scala 212:137] + wire _T_937 = stbuf_match_lo[0] & stbuf_byteen_0[2]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_0_2 = _T_937 & stbuf_vld[0]; // @[lsu_stbuf.scala 212:137] + wire _T_941 = stbuf_match_lo[0] & stbuf_byteen_0[3]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_0_3 = _T_941 & stbuf_vld[0]; // @[lsu_stbuf.scala 212:137] + wire _T_945 = stbuf_match_lo[1] & stbuf_byteen_1[0]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_1_0 = _T_945 & stbuf_vld[1]; // @[lsu_stbuf.scala 212:137] + wire _T_949 = stbuf_match_lo[1] & stbuf_byteen_1[1]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_1_1 = _T_949 & stbuf_vld[1]; // @[lsu_stbuf.scala 212:137] + wire _T_953 = stbuf_match_lo[1] & stbuf_byteen_1[2]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_1_2 = _T_953 & stbuf_vld[1]; // @[lsu_stbuf.scala 212:137] + wire _T_957 = stbuf_match_lo[1] & stbuf_byteen_1[3]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_1_3 = _T_957 & stbuf_vld[1]; // @[lsu_stbuf.scala 212:137] + wire _T_961 = stbuf_match_lo[2] & stbuf_byteen_2[0]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_2_0 = _T_961 & stbuf_vld[2]; // @[lsu_stbuf.scala 212:137] + wire _T_965 = stbuf_match_lo[2] & stbuf_byteen_2[1]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_2_1 = _T_965 & stbuf_vld[2]; // @[lsu_stbuf.scala 212:137] + wire _T_969 = stbuf_match_lo[2] & stbuf_byteen_2[2]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_2_2 = _T_969 & stbuf_vld[2]; // @[lsu_stbuf.scala 212:137] + wire _T_973 = stbuf_match_lo[2] & stbuf_byteen_2[3]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_2_3 = _T_973 & stbuf_vld[2]; // @[lsu_stbuf.scala 212:137] + wire _T_977 = stbuf_match_lo[3] & stbuf_byteen_3[0]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_3_0 = _T_977 & stbuf_vld[3]; // @[lsu_stbuf.scala 212:137] + wire _T_981 = stbuf_match_lo[3] & stbuf_byteen_3[1]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_3_1 = _T_981 & stbuf_vld[3]; // @[lsu_stbuf.scala 212:137] + wire _T_985 = stbuf_match_lo[3] & stbuf_byteen_3[2]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_3_2 = _T_985 & stbuf_vld[3]; // @[lsu_stbuf.scala 212:137] + wire _T_989 = stbuf_match_lo[3] & stbuf_byteen_3[3]; // @[lsu_stbuf.scala 212:116] + wire stbuf_fwdbyteenvec_lo_3_3 = _T_989 & stbuf_vld[3]; // @[lsu_stbuf.scala 212:137] + wire _T_991 = stbuf_fwdbyteenvec_hi_0_0 | stbuf_fwdbyteenvec_hi_1_0; // @[lsu_stbuf.scala 213:147] + wire _T_992 = _T_991 | stbuf_fwdbyteenvec_hi_2_0; // @[lsu_stbuf.scala 213:147] + wire stbuf_fwdbyteen_hi_pre_m_0 = _T_992 | stbuf_fwdbyteenvec_hi_3_0; // @[lsu_stbuf.scala 213:147] + wire _T_993 = stbuf_fwdbyteenvec_hi_0_1 | stbuf_fwdbyteenvec_hi_1_1; // @[lsu_stbuf.scala 213:147] + wire _T_994 = _T_993 | stbuf_fwdbyteenvec_hi_2_1; // @[lsu_stbuf.scala 213:147] + wire stbuf_fwdbyteen_hi_pre_m_1 = _T_994 | stbuf_fwdbyteenvec_hi_3_1; // @[lsu_stbuf.scala 213:147] + wire _T_995 = stbuf_fwdbyteenvec_hi_0_2 | stbuf_fwdbyteenvec_hi_1_2; // @[lsu_stbuf.scala 213:147] + wire _T_996 = _T_995 | stbuf_fwdbyteenvec_hi_2_2; // @[lsu_stbuf.scala 213:147] + wire stbuf_fwdbyteen_hi_pre_m_2 = _T_996 | stbuf_fwdbyteenvec_hi_3_2; // @[lsu_stbuf.scala 213:147] + wire _T_997 = stbuf_fwdbyteenvec_hi_0_3 | stbuf_fwdbyteenvec_hi_1_3; // @[lsu_stbuf.scala 213:147] + wire _T_998 = _T_997 | stbuf_fwdbyteenvec_hi_2_3; // @[lsu_stbuf.scala 213:147] + wire stbuf_fwdbyteen_hi_pre_m_3 = _T_998 | stbuf_fwdbyteenvec_hi_3_3; // @[lsu_stbuf.scala 213:147] + wire _T_999 = stbuf_fwdbyteenvec_lo_0_0 | stbuf_fwdbyteenvec_lo_1_0; // @[lsu_stbuf.scala 214:147] + wire _T_1000 = _T_999 | stbuf_fwdbyteenvec_lo_2_0; // @[lsu_stbuf.scala 214:147] + wire stbuf_fwdbyteen_lo_pre_m_0 = _T_1000 | stbuf_fwdbyteenvec_lo_3_0; // @[lsu_stbuf.scala 214:147] + wire _T_1001 = stbuf_fwdbyteenvec_lo_0_1 | stbuf_fwdbyteenvec_lo_1_1; // @[lsu_stbuf.scala 214:147] + wire _T_1002 = _T_1001 | stbuf_fwdbyteenvec_lo_2_1; // @[lsu_stbuf.scala 214:147] + wire stbuf_fwdbyteen_lo_pre_m_1 = _T_1002 | stbuf_fwdbyteenvec_lo_3_1; // @[lsu_stbuf.scala 214:147] + wire _T_1003 = stbuf_fwdbyteenvec_lo_0_2 | stbuf_fwdbyteenvec_lo_1_2; // @[lsu_stbuf.scala 214:147] + wire _T_1004 = _T_1003 | stbuf_fwdbyteenvec_lo_2_2; // @[lsu_stbuf.scala 214:147] + wire stbuf_fwdbyteen_lo_pre_m_2 = _T_1004 | stbuf_fwdbyteenvec_lo_3_2; // @[lsu_stbuf.scala 214:147] + wire _T_1005 = stbuf_fwdbyteenvec_lo_0_3 | stbuf_fwdbyteenvec_lo_1_3; // @[lsu_stbuf.scala 214:147] + wire _T_1006 = _T_1005 | stbuf_fwdbyteenvec_lo_2_3; // @[lsu_stbuf.scala 214:147] + wire stbuf_fwdbyteen_lo_pre_m_3 = _T_1006 | stbuf_fwdbyteenvec_lo_3_3; // @[lsu_stbuf.scala 214:147] + wire [31:0] _T_1009 = stbuf_match_hi[0] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1010 = _T_1009 & stbuf_data_0; // @[lsu_stbuf.scala 216:97] + wire [31:0] _T_1013 = stbuf_match_hi[1] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1014 = _T_1013 & stbuf_data_1; // @[lsu_stbuf.scala 216:97] + wire [31:0] _T_1017 = stbuf_match_hi[2] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1018 = _T_1017 & stbuf_data_2; // @[lsu_stbuf.scala 216:97] + wire [31:0] _T_1021 = stbuf_match_hi[3] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1022 = _T_1021 & stbuf_data_3; // @[lsu_stbuf.scala 216:97] + wire [31:0] _T_1024 = _T_1022 | _T_1018; // @[lsu_stbuf.scala 216:130] + wire [31:0] _T_1025 = _T_1024 | _T_1014; // @[lsu_stbuf.scala 216:130] + wire [31:0] stbuf_fwddata_hi_pre_m = _T_1025 | _T_1010; // @[lsu_stbuf.scala 216:130] + wire [31:0] _T_1028 = stbuf_match_lo[0] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1029 = _T_1028 & stbuf_data_0; // @[lsu_stbuf.scala 217:97] + wire [31:0] _T_1032 = stbuf_match_lo[1] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1033 = _T_1032 & stbuf_data_1; // @[lsu_stbuf.scala 217:97] + wire [31:0] _T_1036 = stbuf_match_lo[2] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1037 = _T_1036 & stbuf_data_2; // @[lsu_stbuf.scala 217:97] + wire [31:0] _T_1040 = stbuf_match_lo[3] ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_1041 = _T_1040 & stbuf_data_3; // @[lsu_stbuf.scala 217:97] + wire [31:0] _T_1043 = _T_1041 | _T_1037; // @[lsu_stbuf.scala 217:130] + wire [31:0] _T_1044 = _T_1043 | _T_1033; // @[lsu_stbuf.scala 217:130] + wire [31:0] stbuf_fwddata_lo_pre_m = _T_1044 | _T_1029; // @[lsu_stbuf.scala 217:130] + wire _T_1049 = io_lsu_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_stbuf.scala 224:49] + wire _T_1050 = _T_1049 & io_lsu_pkt_r_valid; // @[lsu_stbuf.scala 224:74] + wire _T_1051 = _T_1050 & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 224:95] + wire ld_addr_rhit_lo_lo = _T_1051 & _T_739; // @[lsu_stbuf.scala 224:121] + wire _T_1055 = io_end_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_stbuf.scala 225:49] + wire _T_1056 = _T_1055 & io_lsu_pkt_r_valid; // @[lsu_stbuf.scala 225:74] + wire _T_1057 = _T_1056 & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 225:95] + wire ld_addr_rhit_lo_hi = _T_1057 & _T_739; // @[lsu_stbuf.scala 225:121] + wire _T_1061 = io_lsu_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_stbuf.scala 226:49] + wire _T_1062 = _T_1061 & io_lsu_pkt_r_valid; // @[lsu_stbuf.scala 226:74] + wire _T_1063 = _T_1062 & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 226:95] + wire _T_1065 = _T_1063 & _T_739; // @[lsu_stbuf.scala 226:121] + wire ld_addr_rhit_hi_lo = _T_1065 & dual_stbuf_write_r; // @[lsu_stbuf.scala 226:146] + wire _T_1068 = io_end_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_stbuf.scala 227:49] + wire _T_1069 = _T_1068 & io_lsu_pkt_r_valid; // @[lsu_stbuf.scala 227:74] + wire _T_1070 = _T_1069 & io_lsu_pkt_r_bits_store; // @[lsu_stbuf.scala 227:95] + wire _T_1072 = _T_1070 & _T_739; // @[lsu_stbuf.scala 227:121] + wire ld_addr_rhit_hi_hi = _T_1072 & dual_stbuf_write_r; // @[lsu_stbuf.scala 227:146] + wire _T_1074 = ld_addr_rhit_lo_lo & store_byteen_ext_r[0]; // @[lsu_stbuf.scala 229:79] + wire _T_1076 = ld_addr_rhit_lo_lo & store_byteen_ext_r[1]; // @[lsu_stbuf.scala 229:79] + wire _T_1078 = ld_addr_rhit_lo_lo & store_byteen_ext_r[2]; // @[lsu_stbuf.scala 229:79] + wire _T_1080 = ld_addr_rhit_lo_lo & store_byteen_ext_r[3]; // @[lsu_stbuf.scala 229:79] + wire [3:0] ld_byte_rhit_lo_lo = {_T_1080,_T_1078,_T_1076,_T_1074}; // @[Cat.scala 29:58] + wire _T_1085 = ld_addr_rhit_lo_hi & store_byteen_ext_r[0]; // @[lsu_stbuf.scala 230:79] + wire _T_1087 = ld_addr_rhit_lo_hi & store_byteen_ext_r[1]; // @[lsu_stbuf.scala 230:79] + wire _T_1089 = ld_addr_rhit_lo_hi & store_byteen_ext_r[2]; // @[lsu_stbuf.scala 230:79] + wire _T_1091 = ld_addr_rhit_lo_hi & store_byteen_ext_r[3]; // @[lsu_stbuf.scala 230:79] + wire [3:0] ld_byte_rhit_lo_hi = {_T_1091,_T_1089,_T_1087,_T_1085}; // @[Cat.scala 29:58] + wire _T_1096 = ld_addr_rhit_hi_lo & store_byteen_ext_r[4]; // @[lsu_stbuf.scala 231:79] + wire _T_1098 = ld_addr_rhit_hi_lo & store_byteen_ext_r[5]; // @[lsu_stbuf.scala 231:79] + wire _T_1100 = ld_addr_rhit_hi_lo & store_byteen_ext_r[6]; // @[lsu_stbuf.scala 231:79] + wire _T_1102 = ld_addr_rhit_hi_lo & store_byteen_ext_r[7]; // @[lsu_stbuf.scala 231:79] + wire [3:0] ld_byte_rhit_hi_lo = {_T_1102,_T_1100,_T_1098,_T_1096}; // @[Cat.scala 29:58] + wire _T_1107 = ld_addr_rhit_hi_hi & store_byteen_ext_r[4]; // @[lsu_stbuf.scala 232:79] + wire _T_1109 = ld_addr_rhit_hi_hi & store_byteen_ext_r[5]; // @[lsu_stbuf.scala 232:79] + wire _T_1111 = ld_addr_rhit_hi_hi & store_byteen_ext_r[6]; // @[lsu_stbuf.scala 232:79] + wire _T_1113 = ld_addr_rhit_hi_hi & store_byteen_ext_r[7]; // @[lsu_stbuf.scala 232:79] + wire [3:0] ld_byte_rhit_hi_hi = {_T_1113,_T_1111,_T_1109,_T_1107}; // @[Cat.scala 29:58] + wire _T_1119 = ld_byte_rhit_lo_lo[0] | ld_byte_rhit_hi_lo[0]; // @[lsu_stbuf.scala 234:79] + wire _T_1122 = ld_byte_rhit_lo_lo[1] | ld_byte_rhit_hi_lo[1]; // @[lsu_stbuf.scala 234:79] + wire _T_1125 = ld_byte_rhit_lo_lo[2] | ld_byte_rhit_hi_lo[2]; // @[lsu_stbuf.scala 234:79] + wire _T_1128 = ld_byte_rhit_lo_lo[3] | ld_byte_rhit_hi_lo[3]; // @[lsu_stbuf.scala 234:79] + wire [3:0] ld_byte_rhit_lo = {_T_1128,_T_1125,_T_1122,_T_1119}; // @[Cat.scala 29:58] + wire _T_1134 = ld_byte_rhit_lo_hi[0] | ld_byte_rhit_hi_hi[0]; // @[lsu_stbuf.scala 235:79] + wire _T_1137 = ld_byte_rhit_lo_hi[1] | ld_byte_rhit_hi_hi[1]; // @[lsu_stbuf.scala 235:79] + wire _T_1140 = ld_byte_rhit_lo_hi[2] | ld_byte_rhit_hi_hi[2]; // @[lsu_stbuf.scala 235:79] + wire _T_1143 = ld_byte_rhit_lo_hi[3] | ld_byte_rhit_hi_hi[3]; // @[lsu_stbuf.scala 235:79] + wire [3:0] ld_byte_rhit_hi = {_T_1143,_T_1140,_T_1137,_T_1134}; // @[Cat.scala 29:58] + wire [7:0] _T_1149 = ld_byte_rhit_lo_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1151 = _T_1149 & io_store_data_lo_r[7:0]; // @[lsu_stbuf.scala 237:53] + wire [7:0] _T_1154 = ld_byte_rhit_hi_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1156 = _T_1154 & io_store_data_hi_r[7:0]; // @[lsu_stbuf.scala 237:114] + wire [7:0] fwdpipe1_lo = _T_1151 | _T_1156; // @[lsu_stbuf.scala 237:80] + wire [7:0] _T_1159 = ld_byte_rhit_lo_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1161 = _T_1159 & io_store_data_lo_r[15:8]; // @[lsu_stbuf.scala 238:53] + wire [7:0] _T_1164 = ld_byte_rhit_hi_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1166 = _T_1164 & io_store_data_hi_r[15:8]; // @[lsu_stbuf.scala 238:115] + wire [7:0] fwdpipe2_lo = _T_1161 | _T_1166; // @[lsu_stbuf.scala 238:81] + wire [7:0] _T_1169 = ld_byte_rhit_lo_lo[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1171 = _T_1169 & io_store_data_lo_r[23:16]; // @[lsu_stbuf.scala 239:53] + wire [7:0] _T_1174 = ld_byte_rhit_hi_lo[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1176 = _T_1174 & io_store_data_hi_r[23:16]; // @[lsu_stbuf.scala 239:116] + wire [7:0] fwdpipe3_lo = _T_1171 | _T_1176; // @[lsu_stbuf.scala 239:82] + wire [7:0] _T_1179 = ld_byte_rhit_lo_lo[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1181 = _T_1179 & io_store_data_lo_r[31:24]; // @[lsu_stbuf.scala 240:53] + wire [7:0] _T_1184 = ld_byte_rhit_hi_lo[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1186 = _T_1184 & io_store_data_hi_r[31:24]; // @[lsu_stbuf.scala 240:116] + wire [7:0] fwdpipe4_lo = _T_1181 | _T_1186; // @[lsu_stbuf.scala 240:82] + wire [31:0] ld_fwddata_rpipe_lo = {fwdpipe4_lo,fwdpipe3_lo,fwdpipe2_lo,fwdpipe1_lo}; // @[Cat.scala 29:58] + wire [7:0] _T_1192 = ld_byte_rhit_lo_hi[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1194 = _T_1192 & io_store_data_lo_r[7:0]; // @[lsu_stbuf.scala 243:53] + wire [7:0] _T_1197 = ld_byte_rhit_hi_hi[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1199 = _T_1197 & io_store_data_hi_r[7:0]; // @[lsu_stbuf.scala 243:114] + wire [7:0] fwdpipe1_hi = _T_1194 | _T_1199; // @[lsu_stbuf.scala 243:80] + wire [7:0] _T_1202 = ld_byte_rhit_lo_hi[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1204 = _T_1202 & io_store_data_lo_r[15:8]; // @[lsu_stbuf.scala 244:53] + wire [7:0] _T_1207 = ld_byte_rhit_hi_hi[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1209 = _T_1207 & io_store_data_hi_r[15:8]; // @[lsu_stbuf.scala 244:115] + wire [7:0] fwdpipe2_hi = _T_1204 | _T_1209; // @[lsu_stbuf.scala 244:81] + wire [7:0] _T_1212 = ld_byte_rhit_lo_hi[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1214 = _T_1212 & io_store_data_lo_r[23:16]; // @[lsu_stbuf.scala 245:53] + wire [7:0] _T_1217 = ld_byte_rhit_hi_hi[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1219 = _T_1217 & io_store_data_hi_r[23:16]; // @[lsu_stbuf.scala 245:116] + wire [7:0] fwdpipe3_hi = _T_1214 | _T_1219; // @[lsu_stbuf.scala 245:82] + wire [7:0] _T_1222 = ld_byte_rhit_lo_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1224 = _T_1222 & io_store_data_lo_r[31:24]; // @[lsu_stbuf.scala 246:53] + wire [7:0] _T_1227 = ld_byte_rhit_hi_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_1229 = _T_1227 & io_store_data_hi_r[31:24]; // @[lsu_stbuf.scala 246:116] + wire [7:0] fwdpipe4_hi = _T_1224 | _T_1229; // @[lsu_stbuf.scala 246:82] + wire [31:0] ld_fwddata_rpipe_hi = {fwdpipe4_hi,fwdpipe3_hi,fwdpipe2_hi,fwdpipe1_hi}; // @[Cat.scala 29:58] + wire _T_1264 = ld_byte_rhit_hi[0] | stbuf_fwdbyteen_hi_pre_m_0; // @[lsu_stbuf.scala 252:83] + wire _T_1266 = ld_byte_rhit_hi[1] | stbuf_fwdbyteen_hi_pre_m_1; // @[lsu_stbuf.scala 252:83] + wire _T_1268 = ld_byte_rhit_hi[2] | stbuf_fwdbyteen_hi_pre_m_2; // @[lsu_stbuf.scala 252:83] + wire _T_1270 = ld_byte_rhit_hi[3] | stbuf_fwdbyteen_hi_pre_m_3; // @[lsu_stbuf.scala 252:83] + wire [2:0] _T_1272 = {_T_1270,_T_1268,_T_1266}; // @[Cat.scala 29:58] + wire _T_1275 = ld_byte_rhit_lo[0] | stbuf_fwdbyteen_lo_pre_m_0; // @[lsu_stbuf.scala 253:83] + wire _T_1277 = ld_byte_rhit_lo[1] | stbuf_fwdbyteen_lo_pre_m_1; // @[lsu_stbuf.scala 253:83] + wire _T_1279 = ld_byte_rhit_lo[2] | stbuf_fwdbyteen_lo_pre_m_2; // @[lsu_stbuf.scala 253:83] + wire _T_1281 = ld_byte_rhit_lo[3] | stbuf_fwdbyteen_lo_pre_m_3; // @[lsu_stbuf.scala 253:83] + wire [2:0] _T_1283 = {_T_1281,_T_1279,_T_1277}; // @[Cat.scala 29:58] + wire [7:0] stbuf_fwdpipe1_lo = ld_byte_rhit_lo[0] ? ld_fwddata_rpipe_lo[7:0] : stbuf_fwddata_lo_pre_m[7:0]; // @[lsu_stbuf.scala 256:30] + wire [7:0] stbuf_fwdpipe2_lo = ld_byte_rhit_lo[1] ? ld_fwddata_rpipe_lo[15:8] : stbuf_fwddata_lo_pre_m[15:8]; // @[lsu_stbuf.scala 257:30] + wire [7:0] stbuf_fwdpipe3_lo = ld_byte_rhit_lo[2] ? ld_fwddata_rpipe_lo[23:16] : stbuf_fwddata_lo_pre_m[23:16]; // @[lsu_stbuf.scala 258:30] + wire [7:0] stbuf_fwdpipe4_lo = ld_byte_rhit_lo[3] ? ld_fwddata_rpipe_lo[31:24] : stbuf_fwddata_lo_pre_m[31:24]; // @[lsu_stbuf.scala 259:30] + wire [15:0] _T_1297 = {stbuf_fwdpipe2_lo,stbuf_fwdpipe1_lo}; // @[Cat.scala 29:58] + wire [15:0] _T_1298 = {stbuf_fwdpipe4_lo,stbuf_fwdpipe3_lo}; // @[Cat.scala 29:58] + wire [7:0] stbuf_fwdpipe1_hi = ld_byte_rhit_hi[0] ? ld_fwddata_rpipe_hi[7:0] : stbuf_fwddata_hi_pre_m[7:0]; // @[lsu_stbuf.scala 262:30] + wire [7:0] stbuf_fwdpipe2_hi = ld_byte_rhit_hi[1] ? ld_fwddata_rpipe_hi[15:8] : stbuf_fwddata_hi_pre_m[15:8]; // @[lsu_stbuf.scala 263:30] + wire [7:0] stbuf_fwdpipe3_hi = ld_byte_rhit_hi[2] ? ld_fwddata_rpipe_hi[23:16] : stbuf_fwddata_hi_pre_m[23:16]; // @[lsu_stbuf.scala 264:30] + wire [7:0] stbuf_fwdpipe4_hi = ld_byte_rhit_hi[3] ? ld_fwddata_rpipe_hi[31:24] : stbuf_fwddata_hi_pre_m[31:24]; // @[lsu_stbuf.scala 265:30] + wire [15:0] _T_1312 = {stbuf_fwdpipe2_hi,stbuf_fwdpipe1_hi}; // @[Cat.scala 29:58] + wire [15:0] _T_1313 = {stbuf_fwdpipe4_hi,stbuf_fwdpipe3_hi}; // @[Cat.scala 29:58] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + assign io_stbuf_reqvld_any = _T_699 & _T_701; // @[lsu_stbuf.scala 51:47 lsu_stbuf.scala 175:24] + assign io_stbuf_reqvld_flushed_any = _T_689[0] & _T_691[0]; // @[lsu_stbuf.scala 52:35 lsu_stbuf.scala 174:31] + assign io_stbuf_addr_any = 2'h3 == RdPtr ? stbuf_addr_3 : _GEN_2; // @[lsu_stbuf.scala 53:35 lsu_stbuf.scala 176:22] + assign io_stbuf_data_any = 2'h3 == RdPtr ? stbuf_data_3 : _GEN_6; // @[lsu_stbuf.scala 54:35 lsu_stbuf.scala 177:22] + assign io_lsu_stbuf_full_any = _T_752 ? _T_754 : _T_755; // @[lsu_stbuf.scala 55:43 lsu_stbuf.scala 196:26] + assign io_lsu_stbuf_empty_any = stbuf_numvld_any == 4'h0; // @[lsu_stbuf.scala 56:43 lsu_stbuf.scala 197:26] + assign io_ldst_stbuf_reqvld_r = io_lsu_commit_r & io_store_stbuf_reqvld_r; // @[lsu_stbuf.scala 57:43 lsu_stbuf.scala 128:26] + assign io_stbuf_fwddata_hi_m = {_T_1313,_T_1312}; // @[lsu_stbuf.scala 58:43 lsu_stbuf.scala 266:25] + assign io_stbuf_fwddata_lo_m = {_T_1298,_T_1297}; // @[lsu_stbuf.scala 59:43 lsu_stbuf.scala 260:25] + assign io_stbuf_fwdbyteen_hi_m = {_T_1272,_T_1264}; // @[lsu_stbuf.scala 60:37 lsu_stbuf.scala 252:27] + assign io_stbuf_fwdbyteen_lo_m = {_T_1283,_T_1275}; // @[lsu_stbuf.scala 61:37 lsu_stbuf.scala 253:27] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = stbuf_wr_en[0]; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = stbuf_wr_en[0]; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = stbuf_wr_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = stbuf_wr_en[1]; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = stbuf_wr_en[2]; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = stbuf_wr_en[2]; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = stbuf_wr_en[3]; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = stbuf_wr_en[3]; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + ldst_dual_r = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + RdPtr = _RAND_1[1:0]; + _RAND_2 = {1{`RANDOM}}; + WrPtr = _RAND_2[1:0]; + _RAND_3 = {1{`RANDOM}}; + stbuf_addr_0 = _RAND_3[15:0]; + _RAND_4 = {1{`RANDOM}}; + _T_588 = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + _T_580 = _RAND_5[0:0]; + _RAND_6 = {1{`RANDOM}}; + _T_572 = _RAND_6[0:0]; + _RAND_7 = {1{`RANDOM}}; + _T_564 = _RAND_7[0:0]; + _RAND_8 = {1{`RANDOM}}; + _T_623 = _RAND_8[0:0]; + _RAND_9 = {1{`RANDOM}}; + _T_615 = _RAND_9[0:0]; + _RAND_10 = {1{`RANDOM}}; + _T_607 = _RAND_10[0:0]; + _RAND_11 = {1{`RANDOM}}; + _T_599 = _RAND_11[0:0]; + _RAND_12 = {1{`RANDOM}}; + stbuf_addr_1 = _RAND_12[15:0]; + _RAND_13 = {1{`RANDOM}}; + stbuf_addr_2 = _RAND_13[15:0]; + _RAND_14 = {1{`RANDOM}}; + stbuf_addr_3 = _RAND_14[15:0]; + _RAND_15 = {1{`RANDOM}}; + stbuf_byteen_0 = _RAND_15[3:0]; + _RAND_16 = {1{`RANDOM}}; + stbuf_byteen_1 = _RAND_16[3:0]; + _RAND_17 = {1{`RANDOM}}; + stbuf_byteen_2 = _RAND_17[3:0]; + _RAND_18 = {1{`RANDOM}}; + stbuf_byteen_3 = _RAND_18[3:0]; + _RAND_19 = {1{`RANDOM}}; + stbuf_data_0 = _RAND_19[31:0]; + _RAND_20 = {1{`RANDOM}}; + stbuf_data_1 = _RAND_20[31:0]; + _RAND_21 = {1{`RANDOM}}; + stbuf_data_2 = _RAND_21[31:0]; + _RAND_22 = {1{`RANDOM}}; + stbuf_data_3 = _RAND_22[31:0]; + _RAND_23 = {1{`RANDOM}}; + ldst_dual_m = _RAND_23[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + ldst_dual_r = 1'h0; + end + if (reset) begin + RdPtr = 2'h0; + end + if (reset) begin + WrPtr = 2'h0; + end + if (reset) begin + stbuf_addr_0 = 16'h0; + end + if (reset) begin + _T_588 = 1'h0; + end + if (reset) begin + _T_580 = 1'h0; + end + if (reset) begin + _T_572 = 1'h0; + end + if (reset) begin + _T_564 = 1'h0; + end + if (reset) begin + _T_623 = 1'h0; + end + if (reset) begin + _T_615 = 1'h0; + end + if (reset) begin + _T_607 = 1'h0; + end + if (reset) begin + _T_599 = 1'h0; + end + if (reset) begin + stbuf_addr_1 = 16'h0; + end + if (reset) begin + stbuf_addr_2 = 16'h0; + end + if (reset) begin + stbuf_addr_3 = 16'h0; + end + if (reset) begin + stbuf_byteen_0 = 4'h0; + end + if (reset) begin + stbuf_byteen_1 = 4'h0; + end + if (reset) begin + stbuf_byteen_2 = 4'h0; + end + if (reset) begin + stbuf_byteen_3 = 4'h0; + end + if (reset) begin + stbuf_data_0 = 32'h0; + end + if (reset) begin + stbuf_data_1 = 32'h0; + end + if (reset) begin + stbuf_data_2 = 32'h0; + end + if (reset) begin + stbuf_data_3 = 32'h0; + end + if (reset) begin + ldst_dual_m = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + ldst_dual_r <= 1'h0; + end else begin + ldst_dual_r <= ldst_dual_m; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + RdPtr <= 2'h0; + end else if (_T_212) begin + RdPtr <= RdPtrPlus1; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + WrPtr <= 2'h0; + end else if (WrPtrEn) begin + if (_T_716) begin + WrPtr <= WrPtrPlus2; + end else begin + WrPtr <= WrPtrPlus1; + end + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_addr_0 <= 16'h0; + end else if (sel_lo[0]) begin + stbuf_addr_0 <= io_lsu_addr_r[15:0]; + end else begin + stbuf_addr_0 <= io_end_addr_r[15:0]; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_588 <= 1'h0; + end else begin + _T_588 <= _T_584 & _T_67; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_580 <= 1'h0; + end else begin + _T_580 <= _T_576 & _T_56; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_572 <= 1'h0; + end else begin + _T_572 <= _T_568 & _T_45; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_564 <= 1'h0; + end else begin + _T_564 <= _T_560 & _T_34; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_623 <= 1'h0; + end else begin + _T_623 <= _T_619 & _T_67; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_615 <= 1'h0; + end else begin + _T_615 <= _T_611 & _T_56; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_607 <= 1'h0; + end else begin + _T_607 <= _T_603 & _T_45; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + _T_599 <= 1'h0; + end else begin + _T_599 <= _T_595 & _T_34; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_addr_1 <= 16'h0; + end else if (sel_lo[1]) begin + stbuf_addr_1 <= io_lsu_addr_r[15:0]; + end else begin + stbuf_addr_1 <= io_end_addr_r[15:0]; + end + end + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_addr_2 <= 16'h0; + end else if (sel_lo[2]) begin + stbuf_addr_2 <= io_lsu_addr_r[15:0]; + end else begin + stbuf_addr_2 <= io_end_addr_r[15:0]; + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_addr_3 <= 16'h0; + end else if (sel_lo[3]) begin + stbuf_addr_3 <= io_lsu_addr_r[15:0]; + end else begin + stbuf_addr_3 <= io_end_addr_r[15:0]; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + stbuf_byteen_0 <= 4'h0; + end else begin + stbuf_byteen_0 <= _T_629 & _T_633; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + stbuf_byteen_1 <= 4'h0; + end else begin + stbuf_byteen_1 <= _T_638 & _T_642; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + stbuf_byteen_2 <= 4'h0; + end else begin + stbuf_byteen_2 <= _T_647 & _T_651; + end + end + always @(posedge io_lsu_stbuf_c1_clk or posedge reset) begin + if (reset) begin + stbuf_byteen_3 <= 4'h0; + end else begin + stbuf_byteen_3 <= _T_656 & _T_660; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_data_0 <= 32'h0; + end else begin + stbuf_data_0 <= {_T_546,_T_545}; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_data_1 <= 32'h0; + end else begin + stbuf_data_1 <= {_T_549,_T_548}; + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_data_2 <= 32'h0; + end else begin + stbuf_data_2 <= {_T_552,_T_551}; + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + stbuf_data_3 <= 32'h0; + end else begin + stbuf_data_3 <= {_T_555,_T_554}; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + ldst_dual_m <= 1'h0; + end else begin + ldst_dual_m <= io_lsu_addr_d[2] != io_end_addr_d[2]; + end + end +endmodule +module lsu_ecc( + input clock, + input reset, + input io_lsu_c2_r_clk, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_dma, + input [31:0] io_stbuf_data_any, + input io_dec_tlu_core_ecc_disable, + input [15:0] io_lsu_addr_m, + input [15:0] io_end_addr_m, + input [31:0] io_dccm_rdata_hi_m, + input [31:0] io_dccm_rdata_lo_m, + input [6:0] io_dccm_data_ecc_hi_m, + input [6:0] io_dccm_data_ecc_lo_m, + input io_ld_single_ecc_error_r, + input io_ld_single_ecc_error_r_ff, + input io_lsu_dccm_rden_m, + input io_addr_in_dccm_m, + input io_dma_dccm_wen, + input [31:0] io_dma_dccm_wdata_lo, + input [31:0] io_dma_dccm_wdata_hi, + input io_scan_mode, + output [31:0] io_sec_data_hi_r, + output [31:0] io_sec_data_lo_r, + output [31:0] io_sec_data_hi_m, + output [31:0] io_sec_data_lo_m, + output [31:0] io_sec_data_hi_r_ff, + output [31:0] io_sec_data_lo_r_ff, + output [6:0] io_dma_dccm_wdata_ecc_hi, + output [6:0] io_dma_dccm_wdata_ecc_lo, + output [6:0] io_stbuf_ecc_any, + output [6:0] io_sec_data_ecc_hi_r_ff, + output [6:0] io_sec_data_ecc_lo_r_ff, + output io_single_ecc_error_hi_r, + output io_single_ecc_error_lo_r, + output io_lsu_single_ecc_error_r, + output io_lsu_double_ecc_error_r, + output io_lsu_single_ecc_error_m, + output io_lsu_double_ecc_error_m +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire _T_96 = ^io_dccm_rdata_hi_m; // @[lib.scala 193:30] + wire _T_97 = ^io_dccm_data_ecc_hi_m; // @[lib.scala 193:44] + wire _T_98 = _T_96 ^ _T_97; // @[lib.scala 193:35] + wire [5:0] _T_106 = {io_dccm_rdata_hi_m[31],io_dccm_rdata_hi_m[30],io_dccm_rdata_hi_m[29],io_dccm_rdata_hi_m[28],io_dccm_rdata_hi_m[27],io_dccm_rdata_hi_m[26]}; // @[lib.scala 193:76] + wire _T_107 = ^_T_106; // @[lib.scala 193:83] + wire _T_108 = io_dccm_data_ecc_hi_m[5] ^ _T_107; // @[lib.scala 193:71] + wire [6:0] _T_115 = {io_dccm_rdata_hi_m[17],io_dccm_rdata_hi_m[16],io_dccm_rdata_hi_m[15],io_dccm_rdata_hi_m[14],io_dccm_rdata_hi_m[13],io_dccm_rdata_hi_m[12],io_dccm_rdata_hi_m[11]}; // @[lib.scala 193:103] + wire [14:0] _T_123 = {io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[24],io_dccm_rdata_hi_m[23],io_dccm_rdata_hi_m[22],io_dccm_rdata_hi_m[21],io_dccm_rdata_hi_m[20],io_dccm_rdata_hi_m[19],io_dccm_rdata_hi_m[18],_T_115}; // @[lib.scala 193:103] + wire _T_124 = ^_T_123; // @[lib.scala 193:110] + wire _T_125 = io_dccm_data_ecc_hi_m[4] ^ _T_124; // @[lib.scala 193:98] + wire [6:0] _T_132 = {io_dccm_rdata_hi_m[10],io_dccm_rdata_hi_m[9],io_dccm_rdata_hi_m[8],io_dccm_rdata_hi_m[7],io_dccm_rdata_hi_m[6],io_dccm_rdata_hi_m[5],io_dccm_rdata_hi_m[4]}; // @[lib.scala 193:130] + wire [14:0] _T_140 = {io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[24],io_dccm_rdata_hi_m[23],io_dccm_rdata_hi_m[22],io_dccm_rdata_hi_m[21],io_dccm_rdata_hi_m[20],io_dccm_rdata_hi_m[19],io_dccm_rdata_hi_m[18],_T_132}; // @[lib.scala 193:130] + wire _T_141 = ^_T_140; // @[lib.scala 193:137] + wire _T_142 = io_dccm_data_ecc_hi_m[3] ^ _T_141; // @[lib.scala 193:125] + wire [8:0] _T_151 = {io_dccm_rdata_hi_m[15],io_dccm_rdata_hi_m[14],io_dccm_rdata_hi_m[10],io_dccm_rdata_hi_m[9],io_dccm_rdata_hi_m[8],io_dccm_rdata_hi_m[7],io_dccm_rdata_hi_m[3],io_dccm_rdata_hi_m[2],io_dccm_rdata_hi_m[1]}; // @[lib.scala 193:157] + wire [17:0] _T_160 = {io_dccm_rdata_hi_m[31],io_dccm_rdata_hi_m[30],io_dccm_rdata_hi_m[29],io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[24],io_dccm_rdata_hi_m[23],io_dccm_rdata_hi_m[22],io_dccm_rdata_hi_m[17],io_dccm_rdata_hi_m[16],_T_151}; // @[lib.scala 193:157] + wire _T_161 = ^_T_160; // @[lib.scala 193:164] + wire _T_162 = io_dccm_data_ecc_hi_m[2] ^ _T_161; // @[lib.scala 193:152] + wire [8:0] _T_171 = {io_dccm_rdata_hi_m[13],io_dccm_rdata_hi_m[12],io_dccm_rdata_hi_m[10],io_dccm_rdata_hi_m[9],io_dccm_rdata_hi_m[6],io_dccm_rdata_hi_m[5],io_dccm_rdata_hi_m[3],io_dccm_rdata_hi_m[2],io_dccm_rdata_hi_m[0]}; // @[lib.scala 193:184] + wire [17:0] _T_180 = {io_dccm_rdata_hi_m[31],io_dccm_rdata_hi_m[28],io_dccm_rdata_hi_m[27],io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[24],io_dccm_rdata_hi_m[21],io_dccm_rdata_hi_m[20],io_dccm_rdata_hi_m[17],io_dccm_rdata_hi_m[16],_T_171}; // @[lib.scala 193:184] + wire _T_181 = ^_T_180; // @[lib.scala 193:191] + wire _T_182 = io_dccm_data_ecc_hi_m[1] ^ _T_181; // @[lib.scala 193:179] + wire [8:0] _T_191 = {io_dccm_rdata_hi_m[13],io_dccm_rdata_hi_m[11],io_dccm_rdata_hi_m[10],io_dccm_rdata_hi_m[8],io_dccm_rdata_hi_m[6],io_dccm_rdata_hi_m[4],io_dccm_rdata_hi_m[3],io_dccm_rdata_hi_m[1],io_dccm_rdata_hi_m[0]}; // @[lib.scala 193:211] + wire [17:0] _T_200 = {io_dccm_rdata_hi_m[30],io_dccm_rdata_hi_m[28],io_dccm_rdata_hi_m[26],io_dccm_rdata_hi_m[25],io_dccm_rdata_hi_m[23],io_dccm_rdata_hi_m[21],io_dccm_rdata_hi_m[19],io_dccm_rdata_hi_m[17],io_dccm_rdata_hi_m[15],_T_191}; // @[lib.scala 193:211] + wire _T_201 = ^_T_200; // @[lib.scala 193:218] + wire _T_202 = io_dccm_data_ecc_hi_m[0] ^ _T_201; // @[lib.scala 193:206] + wire [6:0] _T_208 = {_T_98,_T_108,_T_125,_T_142,_T_162,_T_182,_T_202}; // @[Cat.scala 29:58] + wire _T_209 = _T_208 != 7'h0; // @[lib.scala 194:44] + wire _T_1131 = ~io_dec_tlu_core_ecc_disable; // @[lsu_ecc.scala 107:73] + wire _T_1138 = io_lsu_pkt_m_bits_load | io_lsu_pkt_m_bits_store; // @[lsu_ecc.scala 125:65] + wire _T_1139 = io_lsu_pkt_m_valid & _T_1138; // @[lsu_ecc.scala 125:39] + wire _T_1140 = _T_1139 & io_addr_in_dccm_m; // @[lsu_ecc.scala 125:92] + wire is_ldst_m = _T_1140 & io_lsu_dccm_rden_m; // @[lsu_ecc.scala 125:112] + wire ldst_dual_m = io_lsu_addr_m[2] != io_end_addr_m[2]; // @[lsu_ecc.scala 124:39] + wire _T_1144 = ldst_dual_m | io_lsu_pkt_m_bits_dma; // @[lsu_ecc.scala 127:48] + wire _T_1145 = is_ldst_m & _T_1144; // @[lsu_ecc.scala 127:33] + wire is_ldst_hi_m = _T_1145 & _T_1131; // @[lsu_ecc.scala 127:73] + wire _T_210 = is_ldst_hi_m & _T_209; // @[lib.scala 194:32] + wire single_ecc_error_hi_any = _T_210 & _T_208[6]; // @[lib.scala 194:53] + wire _T_215 = ~_T_208[6]; // @[lib.scala 195:55] + wire double_ecc_error_hi_any = _T_210 & _T_215; // @[lib.scala 195:53] + wire _T_218 = _T_208[5:0] == 6'h1; // @[lib.scala 199:41] + wire _T_220 = _T_208[5:0] == 6'h2; // @[lib.scala 199:41] + wire _T_222 = _T_208[5:0] == 6'h3; // @[lib.scala 199:41] + wire _T_224 = _T_208[5:0] == 6'h4; // @[lib.scala 199:41] + wire _T_226 = _T_208[5:0] == 6'h5; // @[lib.scala 199:41] + wire _T_228 = _T_208[5:0] == 6'h6; // @[lib.scala 199:41] + wire _T_230 = _T_208[5:0] == 6'h7; // @[lib.scala 199:41] + wire _T_232 = _T_208[5:0] == 6'h8; // @[lib.scala 199:41] + wire _T_234 = _T_208[5:0] == 6'h9; // @[lib.scala 199:41] + wire _T_236 = _T_208[5:0] == 6'ha; // @[lib.scala 199:41] + wire _T_238 = _T_208[5:0] == 6'hb; // @[lib.scala 199:41] + wire _T_240 = _T_208[5:0] == 6'hc; // @[lib.scala 199:41] + wire _T_242 = _T_208[5:0] == 6'hd; // @[lib.scala 199:41] + wire _T_244 = _T_208[5:0] == 6'he; // @[lib.scala 199:41] + wire _T_246 = _T_208[5:0] == 6'hf; // @[lib.scala 199:41] + wire _T_248 = _T_208[5:0] == 6'h10; // @[lib.scala 199:41] + wire _T_250 = _T_208[5:0] == 6'h11; // @[lib.scala 199:41] + wire _T_252 = _T_208[5:0] == 6'h12; // @[lib.scala 199:41] + wire _T_254 = _T_208[5:0] == 6'h13; // @[lib.scala 199:41] + wire _T_256 = _T_208[5:0] == 6'h14; // @[lib.scala 199:41] + wire _T_258 = _T_208[5:0] == 6'h15; // @[lib.scala 199:41] + wire _T_260 = _T_208[5:0] == 6'h16; // @[lib.scala 199:41] + wire _T_262 = _T_208[5:0] == 6'h17; // @[lib.scala 199:41] + wire _T_264 = _T_208[5:0] == 6'h18; // @[lib.scala 199:41] + wire _T_266 = _T_208[5:0] == 6'h19; // @[lib.scala 199:41] + wire _T_268 = _T_208[5:0] == 6'h1a; // @[lib.scala 199:41] + wire _T_270 = _T_208[5:0] == 6'h1b; // @[lib.scala 199:41] + wire _T_272 = _T_208[5:0] == 6'h1c; // @[lib.scala 199:41] + wire _T_274 = _T_208[5:0] == 6'h1d; // @[lib.scala 199:41] + wire _T_276 = _T_208[5:0] == 6'h1e; // @[lib.scala 199:41] + wire _T_278 = _T_208[5:0] == 6'h1f; // @[lib.scala 199:41] + wire _T_280 = _T_208[5:0] == 6'h20; // @[lib.scala 199:41] + wire _T_282 = _T_208[5:0] == 6'h21; // @[lib.scala 199:41] + wire _T_284 = _T_208[5:0] == 6'h22; // @[lib.scala 199:41] + wire _T_286 = _T_208[5:0] == 6'h23; // @[lib.scala 199:41] + wire _T_288 = _T_208[5:0] == 6'h24; // @[lib.scala 199:41] + wire _T_290 = _T_208[5:0] == 6'h25; // @[lib.scala 199:41] + wire _T_292 = _T_208[5:0] == 6'h26; // @[lib.scala 199:41] + wire _T_294 = _T_208[5:0] == 6'h27; // @[lib.scala 199:41] + wire [7:0] _T_309 = {io_dccm_data_ecc_hi_m[3],io_dccm_rdata_hi_m[3:1],io_dccm_data_ecc_hi_m[2],io_dccm_rdata_hi_m[0],io_dccm_data_ecc_hi_m[1:0]}; // @[Cat.scala 29:58] + wire [38:0] _T_315 = {io_dccm_data_ecc_hi_m[6],io_dccm_rdata_hi_m[31:26],io_dccm_data_ecc_hi_m[5],io_dccm_rdata_hi_m[25:11],io_dccm_data_ecc_hi_m[4],io_dccm_rdata_hi_m[10:4],_T_309}; // @[Cat.scala 29:58] + wire [9:0] _T_333 = {_T_254,_T_252,_T_250,_T_248,_T_246,_T_244,_T_242,_T_240,_T_238,_T_236}; // @[lib.scala 202:69] + wire [18:0] _T_334 = {_T_333,_T_234,_T_232,_T_230,_T_228,_T_226,_T_224,_T_222,_T_220,_T_218}; // @[lib.scala 202:69] + wire [9:0] _T_343 = {_T_274,_T_272,_T_270,_T_268,_T_266,_T_264,_T_262,_T_260,_T_258,_T_256}; // @[lib.scala 202:69] + wire [9:0] _T_352 = {_T_294,_T_292,_T_290,_T_288,_T_286,_T_284,_T_282,_T_280,_T_278,_T_276}; // @[lib.scala 202:69] + wire [38:0] _T_354 = {_T_352,_T_343,_T_334}; // @[lib.scala 202:69] + wire [38:0] _T_355 = _T_354 ^ _T_315; // @[lib.scala 202:76] + wire [38:0] _T_356 = single_ecc_error_hi_any ? _T_355 : _T_315; // @[lib.scala 202:31] + wire [3:0] _T_362 = {_T_356[6:4],_T_356[2]}; // @[Cat.scala 29:58] + wire [27:0] _T_364 = {_T_356[37:32],_T_356[30:16],_T_356[14:8]}; // @[Cat.scala 29:58] + wire _T_474 = ^io_dccm_rdata_lo_m; // @[lib.scala 193:30] + wire _T_475 = ^io_dccm_data_ecc_lo_m; // @[lib.scala 193:44] + wire _T_476 = _T_474 ^ _T_475; // @[lib.scala 193:35] + wire [5:0] _T_484 = {io_dccm_rdata_lo_m[31],io_dccm_rdata_lo_m[30],io_dccm_rdata_lo_m[29],io_dccm_rdata_lo_m[28],io_dccm_rdata_lo_m[27],io_dccm_rdata_lo_m[26]}; // @[lib.scala 193:76] + wire _T_485 = ^_T_484; // @[lib.scala 193:83] + wire _T_486 = io_dccm_data_ecc_lo_m[5] ^ _T_485; // @[lib.scala 193:71] + wire [6:0] _T_493 = {io_dccm_rdata_lo_m[17],io_dccm_rdata_lo_m[16],io_dccm_rdata_lo_m[15],io_dccm_rdata_lo_m[14],io_dccm_rdata_lo_m[13],io_dccm_rdata_lo_m[12],io_dccm_rdata_lo_m[11]}; // @[lib.scala 193:103] + wire [14:0] _T_501 = {io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[24],io_dccm_rdata_lo_m[23],io_dccm_rdata_lo_m[22],io_dccm_rdata_lo_m[21],io_dccm_rdata_lo_m[20],io_dccm_rdata_lo_m[19],io_dccm_rdata_lo_m[18],_T_493}; // @[lib.scala 193:103] + wire _T_502 = ^_T_501; // @[lib.scala 193:110] + wire _T_503 = io_dccm_data_ecc_lo_m[4] ^ _T_502; // @[lib.scala 193:98] + wire [6:0] _T_510 = {io_dccm_rdata_lo_m[10],io_dccm_rdata_lo_m[9],io_dccm_rdata_lo_m[8],io_dccm_rdata_lo_m[7],io_dccm_rdata_lo_m[6],io_dccm_rdata_lo_m[5],io_dccm_rdata_lo_m[4]}; // @[lib.scala 193:130] + wire [14:0] _T_518 = {io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[24],io_dccm_rdata_lo_m[23],io_dccm_rdata_lo_m[22],io_dccm_rdata_lo_m[21],io_dccm_rdata_lo_m[20],io_dccm_rdata_lo_m[19],io_dccm_rdata_lo_m[18],_T_510}; // @[lib.scala 193:130] + wire _T_519 = ^_T_518; // @[lib.scala 193:137] + wire _T_520 = io_dccm_data_ecc_lo_m[3] ^ _T_519; // @[lib.scala 193:125] + wire [8:0] _T_529 = {io_dccm_rdata_lo_m[15],io_dccm_rdata_lo_m[14],io_dccm_rdata_lo_m[10],io_dccm_rdata_lo_m[9],io_dccm_rdata_lo_m[8],io_dccm_rdata_lo_m[7],io_dccm_rdata_lo_m[3],io_dccm_rdata_lo_m[2],io_dccm_rdata_lo_m[1]}; // @[lib.scala 193:157] + wire [17:0] _T_538 = {io_dccm_rdata_lo_m[31],io_dccm_rdata_lo_m[30],io_dccm_rdata_lo_m[29],io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[24],io_dccm_rdata_lo_m[23],io_dccm_rdata_lo_m[22],io_dccm_rdata_lo_m[17],io_dccm_rdata_lo_m[16],_T_529}; // @[lib.scala 193:157] + wire _T_539 = ^_T_538; // @[lib.scala 193:164] + wire _T_540 = io_dccm_data_ecc_lo_m[2] ^ _T_539; // @[lib.scala 193:152] + wire [8:0] _T_549 = {io_dccm_rdata_lo_m[13],io_dccm_rdata_lo_m[12],io_dccm_rdata_lo_m[10],io_dccm_rdata_lo_m[9],io_dccm_rdata_lo_m[6],io_dccm_rdata_lo_m[5],io_dccm_rdata_lo_m[3],io_dccm_rdata_lo_m[2],io_dccm_rdata_lo_m[0]}; // @[lib.scala 193:184] + wire [17:0] _T_558 = {io_dccm_rdata_lo_m[31],io_dccm_rdata_lo_m[28],io_dccm_rdata_lo_m[27],io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[24],io_dccm_rdata_lo_m[21],io_dccm_rdata_lo_m[20],io_dccm_rdata_lo_m[17],io_dccm_rdata_lo_m[16],_T_549}; // @[lib.scala 193:184] + wire _T_559 = ^_T_558; // @[lib.scala 193:191] + wire _T_560 = io_dccm_data_ecc_lo_m[1] ^ _T_559; // @[lib.scala 193:179] + wire [8:0] _T_569 = {io_dccm_rdata_lo_m[13],io_dccm_rdata_lo_m[11],io_dccm_rdata_lo_m[10],io_dccm_rdata_lo_m[8],io_dccm_rdata_lo_m[6],io_dccm_rdata_lo_m[4],io_dccm_rdata_lo_m[3],io_dccm_rdata_lo_m[1],io_dccm_rdata_lo_m[0]}; // @[lib.scala 193:211] + wire [17:0] _T_578 = {io_dccm_rdata_lo_m[30],io_dccm_rdata_lo_m[28],io_dccm_rdata_lo_m[26],io_dccm_rdata_lo_m[25],io_dccm_rdata_lo_m[23],io_dccm_rdata_lo_m[21],io_dccm_rdata_lo_m[19],io_dccm_rdata_lo_m[17],io_dccm_rdata_lo_m[15],_T_569}; // @[lib.scala 193:211] + wire _T_579 = ^_T_578; // @[lib.scala 193:218] + wire _T_580 = io_dccm_data_ecc_lo_m[0] ^ _T_579; // @[lib.scala 193:206] + wire [6:0] _T_586 = {_T_476,_T_486,_T_503,_T_520,_T_540,_T_560,_T_580}; // @[Cat.scala 29:58] + wire _T_587 = _T_586 != 7'h0; // @[lib.scala 194:44] + wire is_ldst_lo_m = is_ldst_m & _T_1131; // @[lsu_ecc.scala 126:33] + wire _T_588 = is_ldst_lo_m & _T_587; // @[lib.scala 194:32] + wire single_ecc_error_lo_any = _T_588 & _T_586[6]; // @[lib.scala 194:53] + wire _T_593 = ~_T_586[6]; // @[lib.scala 195:55] + wire double_ecc_error_lo_any = _T_588 & _T_593; // @[lib.scala 195:53] + wire _T_596 = _T_586[5:0] == 6'h1; // @[lib.scala 199:41] + wire _T_598 = _T_586[5:0] == 6'h2; // @[lib.scala 199:41] + wire _T_600 = _T_586[5:0] == 6'h3; // @[lib.scala 199:41] + wire _T_602 = _T_586[5:0] == 6'h4; // @[lib.scala 199:41] + wire _T_604 = _T_586[5:0] == 6'h5; // @[lib.scala 199:41] + wire _T_606 = _T_586[5:0] == 6'h6; // @[lib.scala 199:41] + wire _T_608 = _T_586[5:0] == 6'h7; // @[lib.scala 199:41] + wire _T_610 = _T_586[5:0] == 6'h8; // @[lib.scala 199:41] + wire _T_612 = _T_586[5:0] == 6'h9; // @[lib.scala 199:41] + wire _T_614 = _T_586[5:0] == 6'ha; // @[lib.scala 199:41] + wire _T_616 = _T_586[5:0] == 6'hb; // @[lib.scala 199:41] + wire _T_618 = _T_586[5:0] == 6'hc; // @[lib.scala 199:41] + wire _T_620 = _T_586[5:0] == 6'hd; // @[lib.scala 199:41] + wire _T_622 = _T_586[5:0] == 6'he; // @[lib.scala 199:41] + wire _T_624 = _T_586[5:0] == 6'hf; // @[lib.scala 199:41] + wire _T_626 = _T_586[5:0] == 6'h10; // @[lib.scala 199:41] + wire _T_628 = _T_586[5:0] == 6'h11; // @[lib.scala 199:41] + wire _T_630 = _T_586[5:0] == 6'h12; // @[lib.scala 199:41] + wire _T_632 = _T_586[5:0] == 6'h13; // @[lib.scala 199:41] + wire _T_634 = _T_586[5:0] == 6'h14; // @[lib.scala 199:41] + wire _T_636 = _T_586[5:0] == 6'h15; // @[lib.scala 199:41] + wire _T_638 = _T_586[5:0] == 6'h16; // @[lib.scala 199:41] + wire _T_640 = _T_586[5:0] == 6'h17; // @[lib.scala 199:41] + wire _T_642 = _T_586[5:0] == 6'h18; // @[lib.scala 199:41] + wire _T_644 = _T_586[5:0] == 6'h19; // @[lib.scala 199:41] + wire _T_646 = _T_586[5:0] == 6'h1a; // @[lib.scala 199:41] + wire _T_648 = _T_586[5:0] == 6'h1b; // @[lib.scala 199:41] + wire _T_650 = _T_586[5:0] == 6'h1c; // @[lib.scala 199:41] + wire _T_652 = _T_586[5:0] == 6'h1d; // @[lib.scala 199:41] + wire _T_654 = _T_586[5:0] == 6'h1e; // @[lib.scala 199:41] + wire _T_656 = _T_586[5:0] == 6'h1f; // @[lib.scala 199:41] + wire _T_658 = _T_586[5:0] == 6'h20; // @[lib.scala 199:41] + wire _T_660 = _T_586[5:0] == 6'h21; // @[lib.scala 199:41] + wire _T_662 = _T_586[5:0] == 6'h22; // @[lib.scala 199:41] + wire _T_664 = _T_586[5:0] == 6'h23; // @[lib.scala 199:41] + wire _T_666 = _T_586[5:0] == 6'h24; // @[lib.scala 199:41] + wire _T_668 = _T_586[5:0] == 6'h25; // @[lib.scala 199:41] + wire _T_670 = _T_586[5:0] == 6'h26; // @[lib.scala 199:41] + wire _T_672 = _T_586[5:0] == 6'h27; // @[lib.scala 199:41] + wire [7:0] _T_687 = {io_dccm_data_ecc_lo_m[3],io_dccm_rdata_lo_m[3:1],io_dccm_data_ecc_lo_m[2],io_dccm_rdata_lo_m[0],io_dccm_data_ecc_lo_m[1:0]}; // @[Cat.scala 29:58] + wire [38:0] _T_693 = {io_dccm_data_ecc_lo_m[6],io_dccm_rdata_lo_m[31:26],io_dccm_data_ecc_lo_m[5],io_dccm_rdata_lo_m[25:11],io_dccm_data_ecc_lo_m[4],io_dccm_rdata_lo_m[10:4],_T_687}; // @[Cat.scala 29:58] + wire [9:0] _T_711 = {_T_632,_T_630,_T_628,_T_626,_T_624,_T_622,_T_620,_T_618,_T_616,_T_614}; // @[lib.scala 202:69] + wire [18:0] _T_712 = {_T_711,_T_612,_T_610,_T_608,_T_606,_T_604,_T_602,_T_600,_T_598,_T_596}; // @[lib.scala 202:69] + wire [9:0] _T_721 = {_T_652,_T_650,_T_648,_T_646,_T_644,_T_642,_T_640,_T_638,_T_636,_T_634}; // @[lib.scala 202:69] + wire [9:0] _T_730 = {_T_672,_T_670,_T_668,_T_666,_T_664,_T_662,_T_660,_T_658,_T_656,_T_654}; // @[lib.scala 202:69] + wire [38:0] _T_732 = {_T_730,_T_721,_T_712}; // @[lib.scala 202:69] + wire [38:0] _T_733 = _T_732 ^ _T_693; // @[lib.scala 202:76] + wire [38:0] _T_734 = single_ecc_error_lo_any ? _T_733 : _T_693; // @[lib.scala 202:31] + wire [3:0] _T_740 = {_T_734[6:4],_T_734[2]}; // @[Cat.scala 29:58] + wire [27:0] _T_742 = {_T_734[37:32],_T_734[30:16],_T_734[14:8]}; // @[Cat.scala 29:58] + wire [31:0] _T_1158 = io_dma_dccm_wen ? io_dma_dccm_wdata_lo : io_stbuf_data_any; // @[lsu_ecc.scala 149:87] + wire [31:0] dccm_wdata_lo_any = io_ld_single_ecc_error_r_ff ? io_sec_data_lo_r_ff : _T_1158; // @[lsu_ecc.scala 149:27] + wire _T_774 = dccm_wdata_lo_any[0] ^ dccm_wdata_lo_any[1]; // @[lib.scala 119:74] + wire _T_775 = _T_774 ^ dccm_wdata_lo_any[3]; // @[lib.scala 119:74] + wire _T_776 = _T_775 ^ dccm_wdata_lo_any[4]; // @[lib.scala 119:74] + wire _T_777 = _T_776 ^ dccm_wdata_lo_any[6]; // @[lib.scala 119:74] + wire _T_778 = _T_777 ^ dccm_wdata_lo_any[8]; // @[lib.scala 119:74] + wire _T_779 = _T_778 ^ dccm_wdata_lo_any[10]; // @[lib.scala 119:74] + wire _T_780 = _T_779 ^ dccm_wdata_lo_any[11]; // @[lib.scala 119:74] + wire _T_781 = _T_780 ^ dccm_wdata_lo_any[13]; // @[lib.scala 119:74] + wire _T_782 = _T_781 ^ dccm_wdata_lo_any[15]; // @[lib.scala 119:74] + wire _T_783 = _T_782 ^ dccm_wdata_lo_any[17]; // @[lib.scala 119:74] + wire _T_784 = _T_783 ^ dccm_wdata_lo_any[19]; // @[lib.scala 119:74] + wire _T_785 = _T_784 ^ dccm_wdata_lo_any[21]; // @[lib.scala 119:74] + wire _T_786 = _T_785 ^ dccm_wdata_lo_any[23]; // @[lib.scala 119:74] + wire _T_787 = _T_786 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_788 = _T_787 ^ dccm_wdata_lo_any[26]; // @[lib.scala 119:74] + wire _T_789 = _T_788 ^ dccm_wdata_lo_any[28]; // @[lib.scala 119:74] + wire _T_790 = _T_789 ^ dccm_wdata_lo_any[30]; // @[lib.scala 119:74] + wire _T_809 = dccm_wdata_lo_any[0] ^ dccm_wdata_lo_any[2]; // @[lib.scala 119:74] + wire _T_810 = _T_809 ^ dccm_wdata_lo_any[3]; // @[lib.scala 119:74] + wire _T_811 = _T_810 ^ dccm_wdata_lo_any[5]; // @[lib.scala 119:74] + wire _T_812 = _T_811 ^ dccm_wdata_lo_any[6]; // @[lib.scala 119:74] + wire _T_813 = _T_812 ^ dccm_wdata_lo_any[9]; // @[lib.scala 119:74] + wire _T_814 = _T_813 ^ dccm_wdata_lo_any[10]; // @[lib.scala 119:74] + wire _T_815 = _T_814 ^ dccm_wdata_lo_any[12]; // @[lib.scala 119:74] + wire _T_816 = _T_815 ^ dccm_wdata_lo_any[13]; // @[lib.scala 119:74] + wire _T_817 = _T_816 ^ dccm_wdata_lo_any[16]; // @[lib.scala 119:74] + wire _T_818 = _T_817 ^ dccm_wdata_lo_any[17]; // @[lib.scala 119:74] + wire _T_819 = _T_818 ^ dccm_wdata_lo_any[20]; // @[lib.scala 119:74] + wire _T_820 = _T_819 ^ dccm_wdata_lo_any[21]; // @[lib.scala 119:74] + wire _T_821 = _T_820 ^ dccm_wdata_lo_any[24]; // @[lib.scala 119:74] + wire _T_822 = _T_821 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_823 = _T_822 ^ dccm_wdata_lo_any[27]; // @[lib.scala 119:74] + wire _T_824 = _T_823 ^ dccm_wdata_lo_any[28]; // @[lib.scala 119:74] + wire _T_825 = _T_824 ^ dccm_wdata_lo_any[31]; // @[lib.scala 119:74] + wire _T_844 = dccm_wdata_lo_any[1] ^ dccm_wdata_lo_any[2]; // @[lib.scala 119:74] + wire _T_845 = _T_844 ^ dccm_wdata_lo_any[3]; // @[lib.scala 119:74] + wire _T_846 = _T_845 ^ dccm_wdata_lo_any[7]; // @[lib.scala 119:74] + wire _T_847 = _T_846 ^ dccm_wdata_lo_any[8]; // @[lib.scala 119:74] + wire _T_848 = _T_847 ^ dccm_wdata_lo_any[9]; // @[lib.scala 119:74] + wire _T_849 = _T_848 ^ dccm_wdata_lo_any[10]; // @[lib.scala 119:74] + wire _T_850 = _T_849 ^ dccm_wdata_lo_any[14]; // @[lib.scala 119:74] + wire _T_851 = _T_850 ^ dccm_wdata_lo_any[15]; // @[lib.scala 119:74] + wire _T_852 = _T_851 ^ dccm_wdata_lo_any[16]; // @[lib.scala 119:74] + wire _T_853 = _T_852 ^ dccm_wdata_lo_any[17]; // @[lib.scala 119:74] + wire _T_854 = _T_853 ^ dccm_wdata_lo_any[22]; // @[lib.scala 119:74] + wire _T_855 = _T_854 ^ dccm_wdata_lo_any[23]; // @[lib.scala 119:74] + wire _T_856 = _T_855 ^ dccm_wdata_lo_any[24]; // @[lib.scala 119:74] + wire _T_857 = _T_856 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_858 = _T_857 ^ dccm_wdata_lo_any[29]; // @[lib.scala 119:74] + wire _T_859 = _T_858 ^ dccm_wdata_lo_any[30]; // @[lib.scala 119:74] + wire _T_860 = _T_859 ^ dccm_wdata_lo_any[31]; // @[lib.scala 119:74] + wire _T_876 = dccm_wdata_lo_any[4] ^ dccm_wdata_lo_any[5]; // @[lib.scala 119:74] + wire _T_877 = _T_876 ^ dccm_wdata_lo_any[6]; // @[lib.scala 119:74] + wire _T_878 = _T_877 ^ dccm_wdata_lo_any[7]; // @[lib.scala 119:74] + wire _T_879 = _T_878 ^ dccm_wdata_lo_any[8]; // @[lib.scala 119:74] + wire _T_880 = _T_879 ^ dccm_wdata_lo_any[9]; // @[lib.scala 119:74] + wire _T_881 = _T_880 ^ dccm_wdata_lo_any[10]; // @[lib.scala 119:74] + wire _T_882 = _T_881 ^ dccm_wdata_lo_any[18]; // @[lib.scala 119:74] + wire _T_883 = _T_882 ^ dccm_wdata_lo_any[19]; // @[lib.scala 119:74] + wire _T_884 = _T_883 ^ dccm_wdata_lo_any[20]; // @[lib.scala 119:74] + wire _T_885 = _T_884 ^ dccm_wdata_lo_any[21]; // @[lib.scala 119:74] + wire _T_886 = _T_885 ^ dccm_wdata_lo_any[22]; // @[lib.scala 119:74] + wire _T_887 = _T_886 ^ dccm_wdata_lo_any[23]; // @[lib.scala 119:74] + wire _T_888 = _T_887 ^ dccm_wdata_lo_any[24]; // @[lib.scala 119:74] + wire _T_889 = _T_888 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_905 = dccm_wdata_lo_any[11] ^ dccm_wdata_lo_any[12]; // @[lib.scala 119:74] + wire _T_906 = _T_905 ^ dccm_wdata_lo_any[13]; // @[lib.scala 119:74] + wire _T_907 = _T_906 ^ dccm_wdata_lo_any[14]; // @[lib.scala 119:74] + wire _T_908 = _T_907 ^ dccm_wdata_lo_any[15]; // @[lib.scala 119:74] + wire _T_909 = _T_908 ^ dccm_wdata_lo_any[16]; // @[lib.scala 119:74] + wire _T_910 = _T_909 ^ dccm_wdata_lo_any[17]; // @[lib.scala 119:74] + wire _T_911 = _T_910 ^ dccm_wdata_lo_any[18]; // @[lib.scala 119:74] + wire _T_912 = _T_911 ^ dccm_wdata_lo_any[19]; // @[lib.scala 119:74] + wire _T_913 = _T_912 ^ dccm_wdata_lo_any[20]; // @[lib.scala 119:74] + wire _T_914 = _T_913 ^ dccm_wdata_lo_any[21]; // @[lib.scala 119:74] + wire _T_915 = _T_914 ^ dccm_wdata_lo_any[22]; // @[lib.scala 119:74] + wire _T_916 = _T_915 ^ dccm_wdata_lo_any[23]; // @[lib.scala 119:74] + wire _T_917 = _T_916 ^ dccm_wdata_lo_any[24]; // @[lib.scala 119:74] + wire _T_918 = _T_917 ^ dccm_wdata_lo_any[25]; // @[lib.scala 119:74] + wire _T_925 = dccm_wdata_lo_any[26] ^ dccm_wdata_lo_any[27]; // @[lib.scala 119:74] + wire _T_926 = _T_925 ^ dccm_wdata_lo_any[28]; // @[lib.scala 119:74] + wire _T_927 = _T_926 ^ dccm_wdata_lo_any[29]; // @[lib.scala 119:74] + wire _T_928 = _T_927 ^ dccm_wdata_lo_any[30]; // @[lib.scala 119:74] + wire _T_929 = _T_928 ^ dccm_wdata_lo_any[31]; // @[lib.scala 119:74] + wire [5:0] _T_934 = {_T_929,_T_918,_T_889,_T_860,_T_825,_T_790}; // @[Cat.scala 29:58] + wire _T_935 = ^dccm_wdata_lo_any; // @[lib.scala 127:13] + wire _T_936 = ^_T_934; // @[lib.scala 127:23] + wire _T_937 = _T_935 ^ _T_936; // @[lib.scala 127:18] + wire [31:0] _T_1162 = io_dma_dccm_wen ? io_dma_dccm_wdata_hi : io_stbuf_data_any; // @[lsu_ecc.scala 150:87] + wire [31:0] dccm_wdata_hi_any = io_ld_single_ecc_error_r_ff ? io_sec_data_hi_r_ff : _T_1162; // @[lsu_ecc.scala 150:27] + wire _T_956 = dccm_wdata_hi_any[0] ^ dccm_wdata_hi_any[1]; // @[lib.scala 119:74] + wire _T_957 = _T_956 ^ dccm_wdata_hi_any[3]; // @[lib.scala 119:74] + wire _T_958 = _T_957 ^ dccm_wdata_hi_any[4]; // @[lib.scala 119:74] + wire _T_959 = _T_958 ^ dccm_wdata_hi_any[6]; // @[lib.scala 119:74] + wire _T_960 = _T_959 ^ dccm_wdata_hi_any[8]; // @[lib.scala 119:74] + wire _T_961 = _T_960 ^ dccm_wdata_hi_any[10]; // @[lib.scala 119:74] + wire _T_962 = _T_961 ^ dccm_wdata_hi_any[11]; // @[lib.scala 119:74] + wire _T_963 = _T_962 ^ dccm_wdata_hi_any[13]; // @[lib.scala 119:74] + wire _T_964 = _T_963 ^ dccm_wdata_hi_any[15]; // @[lib.scala 119:74] + wire _T_965 = _T_964 ^ dccm_wdata_hi_any[17]; // @[lib.scala 119:74] + wire _T_966 = _T_965 ^ dccm_wdata_hi_any[19]; // @[lib.scala 119:74] + wire _T_967 = _T_966 ^ dccm_wdata_hi_any[21]; // @[lib.scala 119:74] + wire _T_968 = _T_967 ^ dccm_wdata_hi_any[23]; // @[lib.scala 119:74] + wire _T_969 = _T_968 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_970 = _T_969 ^ dccm_wdata_hi_any[26]; // @[lib.scala 119:74] + wire _T_971 = _T_970 ^ dccm_wdata_hi_any[28]; // @[lib.scala 119:74] + wire _T_972 = _T_971 ^ dccm_wdata_hi_any[30]; // @[lib.scala 119:74] + wire _T_991 = dccm_wdata_hi_any[0] ^ dccm_wdata_hi_any[2]; // @[lib.scala 119:74] + wire _T_992 = _T_991 ^ dccm_wdata_hi_any[3]; // @[lib.scala 119:74] + wire _T_993 = _T_992 ^ dccm_wdata_hi_any[5]; // @[lib.scala 119:74] + wire _T_994 = _T_993 ^ dccm_wdata_hi_any[6]; // @[lib.scala 119:74] + wire _T_995 = _T_994 ^ dccm_wdata_hi_any[9]; // @[lib.scala 119:74] + wire _T_996 = _T_995 ^ dccm_wdata_hi_any[10]; // @[lib.scala 119:74] + wire _T_997 = _T_996 ^ dccm_wdata_hi_any[12]; // @[lib.scala 119:74] + wire _T_998 = _T_997 ^ dccm_wdata_hi_any[13]; // @[lib.scala 119:74] + wire _T_999 = _T_998 ^ dccm_wdata_hi_any[16]; // @[lib.scala 119:74] + wire _T_1000 = _T_999 ^ dccm_wdata_hi_any[17]; // @[lib.scala 119:74] + wire _T_1001 = _T_1000 ^ dccm_wdata_hi_any[20]; // @[lib.scala 119:74] + wire _T_1002 = _T_1001 ^ dccm_wdata_hi_any[21]; // @[lib.scala 119:74] + wire _T_1003 = _T_1002 ^ dccm_wdata_hi_any[24]; // @[lib.scala 119:74] + wire _T_1004 = _T_1003 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_1005 = _T_1004 ^ dccm_wdata_hi_any[27]; // @[lib.scala 119:74] + wire _T_1006 = _T_1005 ^ dccm_wdata_hi_any[28]; // @[lib.scala 119:74] + wire _T_1007 = _T_1006 ^ dccm_wdata_hi_any[31]; // @[lib.scala 119:74] + wire _T_1026 = dccm_wdata_hi_any[1] ^ dccm_wdata_hi_any[2]; // @[lib.scala 119:74] + wire _T_1027 = _T_1026 ^ dccm_wdata_hi_any[3]; // @[lib.scala 119:74] + wire _T_1028 = _T_1027 ^ dccm_wdata_hi_any[7]; // @[lib.scala 119:74] + wire _T_1029 = _T_1028 ^ dccm_wdata_hi_any[8]; // @[lib.scala 119:74] + wire _T_1030 = _T_1029 ^ dccm_wdata_hi_any[9]; // @[lib.scala 119:74] + wire _T_1031 = _T_1030 ^ dccm_wdata_hi_any[10]; // @[lib.scala 119:74] + wire _T_1032 = _T_1031 ^ dccm_wdata_hi_any[14]; // @[lib.scala 119:74] + wire _T_1033 = _T_1032 ^ dccm_wdata_hi_any[15]; // @[lib.scala 119:74] + wire _T_1034 = _T_1033 ^ dccm_wdata_hi_any[16]; // @[lib.scala 119:74] + wire _T_1035 = _T_1034 ^ dccm_wdata_hi_any[17]; // @[lib.scala 119:74] + wire _T_1036 = _T_1035 ^ dccm_wdata_hi_any[22]; // @[lib.scala 119:74] + wire _T_1037 = _T_1036 ^ dccm_wdata_hi_any[23]; // @[lib.scala 119:74] + wire _T_1038 = _T_1037 ^ dccm_wdata_hi_any[24]; // @[lib.scala 119:74] + wire _T_1039 = _T_1038 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_1040 = _T_1039 ^ dccm_wdata_hi_any[29]; // @[lib.scala 119:74] + wire _T_1041 = _T_1040 ^ dccm_wdata_hi_any[30]; // @[lib.scala 119:74] + wire _T_1042 = _T_1041 ^ dccm_wdata_hi_any[31]; // @[lib.scala 119:74] + wire _T_1058 = dccm_wdata_hi_any[4] ^ dccm_wdata_hi_any[5]; // @[lib.scala 119:74] + wire _T_1059 = _T_1058 ^ dccm_wdata_hi_any[6]; // @[lib.scala 119:74] + wire _T_1060 = _T_1059 ^ dccm_wdata_hi_any[7]; // @[lib.scala 119:74] + wire _T_1061 = _T_1060 ^ dccm_wdata_hi_any[8]; // @[lib.scala 119:74] + wire _T_1062 = _T_1061 ^ dccm_wdata_hi_any[9]; // @[lib.scala 119:74] + wire _T_1063 = _T_1062 ^ dccm_wdata_hi_any[10]; // @[lib.scala 119:74] + wire _T_1064 = _T_1063 ^ dccm_wdata_hi_any[18]; // @[lib.scala 119:74] + wire _T_1065 = _T_1064 ^ dccm_wdata_hi_any[19]; // @[lib.scala 119:74] + wire _T_1066 = _T_1065 ^ dccm_wdata_hi_any[20]; // @[lib.scala 119:74] + wire _T_1067 = _T_1066 ^ dccm_wdata_hi_any[21]; // @[lib.scala 119:74] + wire _T_1068 = _T_1067 ^ dccm_wdata_hi_any[22]; // @[lib.scala 119:74] + wire _T_1069 = _T_1068 ^ dccm_wdata_hi_any[23]; // @[lib.scala 119:74] + wire _T_1070 = _T_1069 ^ dccm_wdata_hi_any[24]; // @[lib.scala 119:74] + wire _T_1071 = _T_1070 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_1087 = dccm_wdata_hi_any[11] ^ dccm_wdata_hi_any[12]; // @[lib.scala 119:74] + wire _T_1088 = _T_1087 ^ dccm_wdata_hi_any[13]; // @[lib.scala 119:74] + wire _T_1089 = _T_1088 ^ dccm_wdata_hi_any[14]; // @[lib.scala 119:74] + wire _T_1090 = _T_1089 ^ dccm_wdata_hi_any[15]; // @[lib.scala 119:74] + wire _T_1091 = _T_1090 ^ dccm_wdata_hi_any[16]; // @[lib.scala 119:74] + wire _T_1092 = _T_1091 ^ dccm_wdata_hi_any[17]; // @[lib.scala 119:74] + wire _T_1093 = _T_1092 ^ dccm_wdata_hi_any[18]; // @[lib.scala 119:74] + wire _T_1094 = _T_1093 ^ dccm_wdata_hi_any[19]; // @[lib.scala 119:74] + wire _T_1095 = _T_1094 ^ dccm_wdata_hi_any[20]; // @[lib.scala 119:74] + wire _T_1096 = _T_1095 ^ dccm_wdata_hi_any[21]; // @[lib.scala 119:74] + wire _T_1097 = _T_1096 ^ dccm_wdata_hi_any[22]; // @[lib.scala 119:74] + wire _T_1098 = _T_1097 ^ dccm_wdata_hi_any[23]; // @[lib.scala 119:74] + wire _T_1099 = _T_1098 ^ dccm_wdata_hi_any[24]; // @[lib.scala 119:74] + wire _T_1100 = _T_1099 ^ dccm_wdata_hi_any[25]; // @[lib.scala 119:74] + wire _T_1107 = dccm_wdata_hi_any[26] ^ dccm_wdata_hi_any[27]; // @[lib.scala 119:74] + wire _T_1108 = _T_1107 ^ dccm_wdata_hi_any[28]; // @[lib.scala 119:74] + wire _T_1109 = _T_1108 ^ dccm_wdata_hi_any[29]; // @[lib.scala 119:74] + wire _T_1110 = _T_1109 ^ dccm_wdata_hi_any[30]; // @[lib.scala 119:74] + wire _T_1111 = _T_1110 ^ dccm_wdata_hi_any[31]; // @[lib.scala 119:74] + wire [5:0] _T_1116 = {_T_1111,_T_1100,_T_1071,_T_1042,_T_1007,_T_972}; // @[Cat.scala 29:58] + wire _T_1117 = ^dccm_wdata_hi_any; // @[lib.scala 127:13] + wire _T_1118 = ^_T_1116; // @[lib.scala 127:23] + wire _T_1119 = _T_1117 ^ _T_1118; // @[lib.scala 127:18] + reg _T_1150; // @[lsu_ecc.scala 141:72] + reg _T_1151; // @[lsu_ecc.scala 142:72] + reg _T_1152; // @[lsu_ecc.scala 143:72] + reg _T_1153; // @[lsu_ecc.scala 144:72] + reg [31:0] _T_1154; // @[lsu_ecc.scala 145:72] + reg [31:0] _T_1155; // @[lsu_ecc.scala 146:72] + reg [31:0] _T_1164; // @[lib.scala 374:16] + reg [31:0] _T_1165; // @[lib.scala 374:16] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + assign io_sec_data_hi_r = _T_1154; // @[lsu_ecc.scala 114:22 lsu_ecc.scala 145:62] + assign io_sec_data_lo_r = _T_1155; // @[lsu_ecc.scala 117:25 lsu_ecc.scala 146:62] + assign io_sec_data_hi_m = {_T_364,_T_362}; // @[lsu_ecc.scala 90:32 lsu_ecc.scala 134:27] + assign io_sec_data_lo_m = {_T_742,_T_740}; // @[lsu_ecc.scala 91:32 lsu_ecc.scala 136:27] + assign io_sec_data_hi_r_ff = _T_1164; // @[lsu_ecc.scala 157:23] + assign io_sec_data_lo_r_ff = _T_1165; // @[lsu_ecc.scala 158:23] + assign io_dma_dccm_wdata_ecc_hi = {_T_1119,_T_1116}; // @[lsu_ecc.scala 154:28] + assign io_dma_dccm_wdata_ecc_lo = {_T_937,_T_934}; // @[lsu_ecc.scala 155:28] + assign io_stbuf_ecc_any = {_T_937,_T_934}; // @[lsu_ecc.scala 153:28] + assign io_sec_data_ecc_hi_r_ff = {_T_1119,_T_1116}; // @[lsu_ecc.scala 151:28] + assign io_sec_data_ecc_lo_r_ff = {_T_937,_T_934}; // @[lsu_ecc.scala 152:28] + assign io_single_ecc_error_hi_r = _T_1153; // @[lsu_ecc.scala 115:31 lsu_ecc.scala 144:62] + assign io_single_ecc_error_lo_r = _T_1152; // @[lsu_ecc.scala 118:31 lsu_ecc.scala 143:62] + assign io_lsu_single_ecc_error_r = _T_1150; // @[lsu_ecc.scala 120:31 lsu_ecc.scala 141:62] + assign io_lsu_double_ecc_error_r = _T_1151; // @[lsu_ecc.scala 121:31 lsu_ecc.scala 142:62] + assign io_lsu_single_ecc_error_m = single_ecc_error_hi_any | single_ecc_error_lo_any; // @[lsu_ecc.scala 92:30 lsu_ecc.scala 138:33] + assign io_lsu_double_ecc_error_m = double_ecc_error_hi_any | double_ecc_error_lo_any; // @[lsu_ecc.scala 93:30 lsu_ecc.scala 139:33] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = io_ld_single_ecc_error_r; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = io_ld_single_ecc_error_r; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + _T_1150 = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + _T_1151 = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + _T_1152 = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + _T_1153 = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + _T_1154 = _RAND_4[31:0]; + _RAND_5 = {1{`RANDOM}}; + _T_1155 = _RAND_5[31:0]; + _RAND_6 = {1{`RANDOM}}; + _T_1164 = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + _T_1165 = _RAND_7[31:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + _T_1150 = 1'h0; + end + if (reset) begin + _T_1151 = 1'h0; + end + if (reset) begin + _T_1152 = 1'h0; + end + if (reset) begin + _T_1153 = 1'h0; + end + if (reset) begin + _T_1154 = 32'h0; + end + if (reset) begin + _T_1155 = 32'h0; + end + if (reset) begin + _T_1164 = 32'h0; + end + if (reset) begin + _T_1165 = 32'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1150 <= 1'h0; + end else begin + _T_1150 <= io_lsu_single_ecc_error_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1151 <= 1'h0; + end else begin + _T_1151 <= io_lsu_double_ecc_error_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1152 <= 1'h0; + end else begin + _T_1152 <= _T_588 & _T_586[6]; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1153 <= 1'h0; + end else begin + _T_1153 <= _T_210 & _T_208[6]; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1154 <= 32'h0; + end else begin + _T_1154 <= io_sec_data_hi_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_1155 <= 32'h0; + end else begin + _T_1155 <= io_sec_data_lo_m; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + _T_1164 <= 32'h0; + end else begin + _T_1164 <= io_sec_data_hi_r; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + _T_1165 <= 32'h0; + end else begin + _T_1165 <= io_sec_data_lo_r; + end + end +endmodule +module lsu_trigger( + input io_trigger_pkt_any_0_select, + input io_trigger_pkt_any_0_match_pkt, + input io_trigger_pkt_any_0_store, + input io_trigger_pkt_any_0_load, + input [31:0] io_trigger_pkt_any_0_tdata2, + input io_trigger_pkt_any_1_select, + input io_trigger_pkt_any_1_match_pkt, + input io_trigger_pkt_any_1_store, + input io_trigger_pkt_any_1_load, + input [31:0] io_trigger_pkt_any_1_tdata2, + input io_trigger_pkt_any_2_select, + input io_trigger_pkt_any_2_match_pkt, + input io_trigger_pkt_any_2_store, + input io_trigger_pkt_any_2_load, + input [31:0] io_trigger_pkt_any_2_tdata2, + input io_trigger_pkt_any_3_select, + input io_trigger_pkt_any_3_match_pkt, + input io_trigger_pkt_any_3_store, + input io_trigger_pkt_any_3_load, + input [31:0] io_trigger_pkt_any_3_tdata2, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_half, + input io_lsu_pkt_m_bits_word, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_dma, + input [31:0] io_lsu_addr_m, + input [31:0] io_store_data_m, + output [3:0] io_lsu_trigger_match_m +); + wire [15:0] _T_1 = io_lsu_pkt_m_bits_word ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [15:0] _T_3 = _T_1 & io_store_data_m[31:16]; // @[lsu_trigger.scala 16:66] + wire _T_4 = io_lsu_pkt_m_bits_half | io_lsu_pkt_m_bits_word; // @[lsu_trigger.scala 16:124] + wire [7:0] _T_6 = _T_4 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_8 = _T_6 & io_store_data_m[15:8]; // @[lsu_trigger.scala 16:151] + wire [31:0] store_data_trigger_m = {_T_3,_T_8,io_store_data_m[7:0]}; // @[Cat.scala 29:58] + wire _T_12 = ~io_trigger_pkt_any_0_select; // @[lsu_trigger.scala 17:53] + wire _T_13 = io_trigger_pkt_any_0_select & io_trigger_pkt_any_0_store; // @[lsu_trigger.scala 17:136] + wire [31:0] _T_15 = _T_12 ? io_lsu_addr_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_16 = _T_13 ? store_data_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] lsu_match_data_0 = _T_15 | _T_16; // @[Mux.scala 27:72] + wire _T_19 = ~io_trigger_pkt_any_1_select; // @[lsu_trigger.scala 17:53] + wire _T_20 = io_trigger_pkt_any_1_select & io_trigger_pkt_any_1_store; // @[lsu_trigger.scala 17:136] + wire [31:0] _T_22 = _T_19 ? io_lsu_addr_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_23 = _T_20 ? store_data_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] lsu_match_data_1 = _T_22 | _T_23; // @[Mux.scala 27:72] + wire _T_26 = ~io_trigger_pkt_any_2_select; // @[lsu_trigger.scala 17:53] + wire _T_27 = io_trigger_pkt_any_2_select & io_trigger_pkt_any_2_store; // @[lsu_trigger.scala 17:136] + wire [31:0] _T_29 = _T_26 ? io_lsu_addr_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_30 = _T_27 ? store_data_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] lsu_match_data_2 = _T_29 | _T_30; // @[Mux.scala 27:72] + wire _T_33 = ~io_trigger_pkt_any_3_select; // @[lsu_trigger.scala 17:53] + wire _T_34 = io_trigger_pkt_any_3_select & io_trigger_pkt_any_3_store; // @[lsu_trigger.scala 17:136] + wire [31:0] _T_36 = _T_33 ? io_lsu_addr_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_37 = _T_34 ? store_data_trigger_m : 32'h0; // @[Mux.scala 27:72] + wire [31:0] lsu_match_data_3 = _T_36 | _T_37; // @[Mux.scala 27:72] + wire _T_39 = ~io_lsu_pkt_m_bits_dma; // @[lsu_trigger.scala 18:71] + wire _T_40 = io_lsu_pkt_m_valid & _T_39; // @[lsu_trigger.scala 18:69] + wire _T_41 = io_trigger_pkt_any_0_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] + wire _T_42 = io_trigger_pkt_any_0_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] + wire _T_44 = _T_42 & _T_12; // @[lsu_trigger.scala 19:58] + wire _T_45 = _T_41 | _T_44; // @[lsu_trigger.scala 18:152] + wire _T_46 = _T_40 & _T_45; // @[lsu_trigger.scala 18:94] + wire _T_49 = &io_trigger_pkt_any_0_tdata2; // @[lib.scala 101:45] + wire _T_50 = ~_T_49; // @[lib.scala 101:39] + wire _T_51 = io_trigger_pkt_any_0_match_pkt & _T_50; // @[lib.scala 101:37] + wire _T_54 = io_trigger_pkt_any_0_tdata2[0] == lsu_match_data_0[0]; // @[lib.scala 102:52] + wire _T_55 = _T_51 | _T_54; // @[lib.scala 102:41] + wire _T_57 = &io_trigger_pkt_any_0_tdata2[0]; // @[lib.scala 104:36] + wire _T_58 = _T_57 & _T_51; // @[lib.scala 104:41] + wire _T_61 = io_trigger_pkt_any_0_tdata2[1] == lsu_match_data_0[1]; // @[lib.scala 104:78] + wire _T_62 = _T_58 | _T_61; // @[lib.scala 104:23] + wire _T_64 = &io_trigger_pkt_any_0_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_65 = _T_64 & _T_51; // @[lib.scala 104:41] + wire _T_68 = io_trigger_pkt_any_0_tdata2[2] == lsu_match_data_0[2]; // @[lib.scala 104:78] + wire _T_69 = _T_65 | _T_68; // @[lib.scala 104:23] + wire _T_71 = &io_trigger_pkt_any_0_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_72 = _T_71 & _T_51; // @[lib.scala 104:41] + wire _T_75 = io_trigger_pkt_any_0_tdata2[3] == lsu_match_data_0[3]; // @[lib.scala 104:78] + wire _T_76 = _T_72 | _T_75; // @[lib.scala 104:23] + wire _T_78 = &io_trigger_pkt_any_0_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_79 = _T_78 & _T_51; // @[lib.scala 104:41] + wire _T_82 = io_trigger_pkt_any_0_tdata2[4] == lsu_match_data_0[4]; // @[lib.scala 104:78] + wire _T_83 = _T_79 | _T_82; // @[lib.scala 104:23] + wire _T_85 = &io_trigger_pkt_any_0_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_86 = _T_85 & _T_51; // @[lib.scala 104:41] + wire _T_89 = io_trigger_pkt_any_0_tdata2[5] == lsu_match_data_0[5]; // @[lib.scala 104:78] + wire _T_90 = _T_86 | _T_89; // @[lib.scala 104:23] + wire _T_92 = &io_trigger_pkt_any_0_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_93 = _T_92 & _T_51; // @[lib.scala 104:41] + wire _T_96 = io_trigger_pkt_any_0_tdata2[6] == lsu_match_data_0[6]; // @[lib.scala 104:78] + wire _T_97 = _T_93 | _T_96; // @[lib.scala 104:23] + wire _T_99 = &io_trigger_pkt_any_0_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_100 = _T_99 & _T_51; // @[lib.scala 104:41] + wire _T_103 = io_trigger_pkt_any_0_tdata2[7] == lsu_match_data_0[7]; // @[lib.scala 104:78] + wire _T_104 = _T_100 | _T_103; // @[lib.scala 104:23] + wire _T_106 = &io_trigger_pkt_any_0_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_107 = _T_106 & _T_51; // @[lib.scala 104:41] + wire _T_110 = io_trigger_pkt_any_0_tdata2[8] == lsu_match_data_0[8]; // @[lib.scala 104:78] + wire _T_111 = _T_107 | _T_110; // @[lib.scala 104:23] + wire _T_113 = &io_trigger_pkt_any_0_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_114 = _T_113 & _T_51; // @[lib.scala 104:41] + wire _T_117 = io_trigger_pkt_any_0_tdata2[9] == lsu_match_data_0[9]; // @[lib.scala 104:78] + wire _T_118 = _T_114 | _T_117; // @[lib.scala 104:23] + wire _T_120 = &io_trigger_pkt_any_0_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_121 = _T_120 & _T_51; // @[lib.scala 104:41] + wire _T_124 = io_trigger_pkt_any_0_tdata2[10] == lsu_match_data_0[10]; // @[lib.scala 104:78] + wire _T_125 = _T_121 | _T_124; // @[lib.scala 104:23] + wire _T_127 = &io_trigger_pkt_any_0_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_128 = _T_127 & _T_51; // @[lib.scala 104:41] + wire _T_131 = io_trigger_pkt_any_0_tdata2[11] == lsu_match_data_0[11]; // @[lib.scala 104:78] + wire _T_132 = _T_128 | _T_131; // @[lib.scala 104:23] + wire _T_134 = &io_trigger_pkt_any_0_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_135 = _T_134 & _T_51; // @[lib.scala 104:41] + wire _T_138 = io_trigger_pkt_any_0_tdata2[12] == lsu_match_data_0[12]; // @[lib.scala 104:78] + wire _T_139 = _T_135 | _T_138; // @[lib.scala 104:23] + wire _T_141 = &io_trigger_pkt_any_0_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_142 = _T_141 & _T_51; // @[lib.scala 104:41] + wire _T_145 = io_trigger_pkt_any_0_tdata2[13] == lsu_match_data_0[13]; // @[lib.scala 104:78] + wire _T_146 = _T_142 | _T_145; // @[lib.scala 104:23] + wire _T_148 = &io_trigger_pkt_any_0_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_149 = _T_148 & _T_51; // @[lib.scala 104:41] + wire _T_152 = io_trigger_pkt_any_0_tdata2[14] == lsu_match_data_0[14]; // @[lib.scala 104:78] + wire _T_153 = _T_149 | _T_152; // @[lib.scala 104:23] + wire _T_155 = &io_trigger_pkt_any_0_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_156 = _T_155 & _T_51; // @[lib.scala 104:41] + wire _T_159 = io_trigger_pkt_any_0_tdata2[15] == lsu_match_data_0[15]; // @[lib.scala 104:78] + wire _T_160 = _T_156 | _T_159; // @[lib.scala 104:23] + wire _T_162 = &io_trigger_pkt_any_0_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_163 = _T_162 & _T_51; // @[lib.scala 104:41] + wire _T_166 = io_trigger_pkt_any_0_tdata2[16] == lsu_match_data_0[16]; // @[lib.scala 104:78] + wire _T_167 = _T_163 | _T_166; // @[lib.scala 104:23] + wire _T_169 = &io_trigger_pkt_any_0_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_170 = _T_169 & _T_51; // @[lib.scala 104:41] + wire _T_173 = io_trigger_pkt_any_0_tdata2[17] == lsu_match_data_0[17]; // @[lib.scala 104:78] + wire _T_174 = _T_170 | _T_173; // @[lib.scala 104:23] + wire _T_176 = &io_trigger_pkt_any_0_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_177 = _T_176 & _T_51; // @[lib.scala 104:41] + wire _T_180 = io_trigger_pkt_any_0_tdata2[18] == lsu_match_data_0[18]; // @[lib.scala 104:78] + wire _T_181 = _T_177 | _T_180; // @[lib.scala 104:23] + wire _T_183 = &io_trigger_pkt_any_0_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_184 = _T_183 & _T_51; // @[lib.scala 104:41] + wire _T_187 = io_trigger_pkt_any_0_tdata2[19] == lsu_match_data_0[19]; // @[lib.scala 104:78] + wire _T_188 = _T_184 | _T_187; // @[lib.scala 104:23] + wire _T_190 = &io_trigger_pkt_any_0_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_191 = _T_190 & _T_51; // @[lib.scala 104:41] + wire _T_194 = io_trigger_pkt_any_0_tdata2[20] == lsu_match_data_0[20]; // @[lib.scala 104:78] + wire _T_195 = _T_191 | _T_194; // @[lib.scala 104:23] + wire _T_197 = &io_trigger_pkt_any_0_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_198 = _T_197 & _T_51; // @[lib.scala 104:41] + wire _T_201 = io_trigger_pkt_any_0_tdata2[21] == lsu_match_data_0[21]; // @[lib.scala 104:78] + wire _T_202 = _T_198 | _T_201; // @[lib.scala 104:23] + wire _T_204 = &io_trigger_pkt_any_0_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_205 = _T_204 & _T_51; // @[lib.scala 104:41] + wire _T_208 = io_trigger_pkt_any_0_tdata2[22] == lsu_match_data_0[22]; // @[lib.scala 104:78] + wire _T_209 = _T_205 | _T_208; // @[lib.scala 104:23] + wire _T_211 = &io_trigger_pkt_any_0_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_212 = _T_211 & _T_51; // @[lib.scala 104:41] + wire _T_215 = io_trigger_pkt_any_0_tdata2[23] == lsu_match_data_0[23]; // @[lib.scala 104:78] + wire _T_216 = _T_212 | _T_215; // @[lib.scala 104:23] + wire _T_218 = &io_trigger_pkt_any_0_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_219 = _T_218 & _T_51; // @[lib.scala 104:41] + wire _T_222 = io_trigger_pkt_any_0_tdata2[24] == lsu_match_data_0[24]; // @[lib.scala 104:78] + wire _T_223 = _T_219 | _T_222; // @[lib.scala 104:23] + wire _T_225 = &io_trigger_pkt_any_0_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_226 = _T_225 & _T_51; // @[lib.scala 104:41] + wire _T_229 = io_trigger_pkt_any_0_tdata2[25] == lsu_match_data_0[25]; // @[lib.scala 104:78] + wire _T_230 = _T_226 | _T_229; // @[lib.scala 104:23] + wire _T_232 = &io_trigger_pkt_any_0_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_233 = _T_232 & _T_51; // @[lib.scala 104:41] + wire _T_236 = io_trigger_pkt_any_0_tdata2[26] == lsu_match_data_0[26]; // @[lib.scala 104:78] + wire _T_237 = _T_233 | _T_236; // @[lib.scala 104:23] + wire _T_239 = &io_trigger_pkt_any_0_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_240 = _T_239 & _T_51; // @[lib.scala 104:41] + wire _T_243 = io_trigger_pkt_any_0_tdata2[27] == lsu_match_data_0[27]; // @[lib.scala 104:78] + wire _T_244 = _T_240 | _T_243; // @[lib.scala 104:23] + wire _T_246 = &io_trigger_pkt_any_0_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_247 = _T_246 & _T_51; // @[lib.scala 104:41] + wire _T_250 = io_trigger_pkt_any_0_tdata2[28] == lsu_match_data_0[28]; // @[lib.scala 104:78] + wire _T_251 = _T_247 | _T_250; // @[lib.scala 104:23] + wire _T_253 = &io_trigger_pkt_any_0_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_254 = _T_253 & _T_51; // @[lib.scala 104:41] + wire _T_257 = io_trigger_pkt_any_0_tdata2[29] == lsu_match_data_0[29]; // @[lib.scala 104:78] + wire _T_258 = _T_254 | _T_257; // @[lib.scala 104:23] + wire _T_260 = &io_trigger_pkt_any_0_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_261 = _T_260 & _T_51; // @[lib.scala 104:41] + wire _T_264 = io_trigger_pkt_any_0_tdata2[30] == lsu_match_data_0[30]; // @[lib.scala 104:78] + wire _T_265 = _T_261 | _T_264; // @[lib.scala 104:23] + wire _T_267 = &io_trigger_pkt_any_0_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_268 = _T_267 & _T_51; // @[lib.scala 104:41] + wire _T_271 = io_trigger_pkt_any_0_tdata2[31] == lsu_match_data_0[31]; // @[lib.scala 104:78] + wire _T_272 = _T_268 | _T_271; // @[lib.scala 104:23] + wire [7:0] _T_279 = {_T_104,_T_97,_T_90,_T_83,_T_76,_T_69,_T_62,_T_55}; // @[lib.scala 105:14] + wire [15:0] _T_287 = {_T_160,_T_153,_T_146,_T_139,_T_132,_T_125,_T_118,_T_111,_T_279}; // @[lib.scala 105:14] + wire [7:0] _T_294 = {_T_216,_T_209,_T_202,_T_195,_T_188,_T_181,_T_174,_T_167}; // @[lib.scala 105:14] + wire [31:0] _T_303 = {_T_272,_T_265,_T_258,_T_251,_T_244,_T_237,_T_230,_T_223,_T_294,_T_287}; // @[lib.scala 105:14] + wire _T_304 = &_T_303; // @[lib.scala 105:25] + wire _T_305 = _T_46 & _T_304; // @[lsu_trigger.scala 19:92] + wire _T_308 = io_trigger_pkt_any_1_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] + wire _T_309 = io_trigger_pkt_any_1_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] + wire _T_311 = _T_309 & _T_19; // @[lsu_trigger.scala 19:58] + wire _T_312 = _T_308 | _T_311; // @[lsu_trigger.scala 18:152] + wire _T_313 = _T_40 & _T_312; // @[lsu_trigger.scala 18:94] + wire _T_316 = &io_trigger_pkt_any_1_tdata2; // @[lib.scala 101:45] + wire _T_317 = ~_T_316; // @[lib.scala 101:39] + wire _T_318 = io_trigger_pkt_any_1_match_pkt & _T_317; // @[lib.scala 101:37] + wire _T_321 = io_trigger_pkt_any_1_tdata2[0] == lsu_match_data_1[0]; // @[lib.scala 102:52] + wire _T_322 = _T_318 | _T_321; // @[lib.scala 102:41] + wire _T_324 = &io_trigger_pkt_any_1_tdata2[0]; // @[lib.scala 104:36] + wire _T_325 = _T_324 & _T_318; // @[lib.scala 104:41] + wire _T_328 = io_trigger_pkt_any_1_tdata2[1] == lsu_match_data_1[1]; // @[lib.scala 104:78] + wire _T_329 = _T_325 | _T_328; // @[lib.scala 104:23] + wire _T_331 = &io_trigger_pkt_any_1_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_332 = _T_331 & _T_318; // @[lib.scala 104:41] + wire _T_335 = io_trigger_pkt_any_1_tdata2[2] == lsu_match_data_1[2]; // @[lib.scala 104:78] + wire _T_336 = _T_332 | _T_335; // @[lib.scala 104:23] + wire _T_338 = &io_trigger_pkt_any_1_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_339 = _T_338 & _T_318; // @[lib.scala 104:41] + wire _T_342 = io_trigger_pkt_any_1_tdata2[3] == lsu_match_data_1[3]; // @[lib.scala 104:78] + wire _T_343 = _T_339 | _T_342; // @[lib.scala 104:23] + wire _T_345 = &io_trigger_pkt_any_1_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_346 = _T_345 & _T_318; // @[lib.scala 104:41] + wire _T_349 = io_trigger_pkt_any_1_tdata2[4] == lsu_match_data_1[4]; // @[lib.scala 104:78] + wire _T_350 = _T_346 | _T_349; // @[lib.scala 104:23] + wire _T_352 = &io_trigger_pkt_any_1_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_353 = _T_352 & _T_318; // @[lib.scala 104:41] + wire _T_356 = io_trigger_pkt_any_1_tdata2[5] == lsu_match_data_1[5]; // @[lib.scala 104:78] + wire _T_357 = _T_353 | _T_356; // @[lib.scala 104:23] + wire _T_359 = &io_trigger_pkt_any_1_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_360 = _T_359 & _T_318; // @[lib.scala 104:41] + wire _T_363 = io_trigger_pkt_any_1_tdata2[6] == lsu_match_data_1[6]; // @[lib.scala 104:78] + wire _T_364 = _T_360 | _T_363; // @[lib.scala 104:23] + wire _T_366 = &io_trigger_pkt_any_1_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_367 = _T_366 & _T_318; // @[lib.scala 104:41] + wire _T_370 = io_trigger_pkt_any_1_tdata2[7] == lsu_match_data_1[7]; // @[lib.scala 104:78] + wire _T_371 = _T_367 | _T_370; // @[lib.scala 104:23] + wire _T_373 = &io_trigger_pkt_any_1_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_374 = _T_373 & _T_318; // @[lib.scala 104:41] + wire _T_377 = io_trigger_pkt_any_1_tdata2[8] == lsu_match_data_1[8]; // @[lib.scala 104:78] + wire _T_378 = _T_374 | _T_377; // @[lib.scala 104:23] + wire _T_380 = &io_trigger_pkt_any_1_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_381 = _T_380 & _T_318; // @[lib.scala 104:41] + wire _T_384 = io_trigger_pkt_any_1_tdata2[9] == lsu_match_data_1[9]; // @[lib.scala 104:78] + wire _T_385 = _T_381 | _T_384; // @[lib.scala 104:23] + wire _T_387 = &io_trigger_pkt_any_1_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_388 = _T_387 & _T_318; // @[lib.scala 104:41] + wire _T_391 = io_trigger_pkt_any_1_tdata2[10] == lsu_match_data_1[10]; // @[lib.scala 104:78] + wire _T_392 = _T_388 | _T_391; // @[lib.scala 104:23] + wire _T_394 = &io_trigger_pkt_any_1_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_395 = _T_394 & _T_318; // @[lib.scala 104:41] + wire _T_398 = io_trigger_pkt_any_1_tdata2[11] == lsu_match_data_1[11]; // @[lib.scala 104:78] + wire _T_399 = _T_395 | _T_398; // @[lib.scala 104:23] + wire _T_401 = &io_trigger_pkt_any_1_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_402 = _T_401 & _T_318; // @[lib.scala 104:41] + wire _T_405 = io_trigger_pkt_any_1_tdata2[12] == lsu_match_data_1[12]; // @[lib.scala 104:78] + wire _T_406 = _T_402 | _T_405; // @[lib.scala 104:23] + wire _T_408 = &io_trigger_pkt_any_1_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_409 = _T_408 & _T_318; // @[lib.scala 104:41] + wire _T_412 = io_trigger_pkt_any_1_tdata2[13] == lsu_match_data_1[13]; // @[lib.scala 104:78] + wire _T_413 = _T_409 | _T_412; // @[lib.scala 104:23] + wire _T_415 = &io_trigger_pkt_any_1_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_416 = _T_415 & _T_318; // @[lib.scala 104:41] + wire _T_419 = io_trigger_pkt_any_1_tdata2[14] == lsu_match_data_1[14]; // @[lib.scala 104:78] + wire _T_420 = _T_416 | _T_419; // @[lib.scala 104:23] + wire _T_422 = &io_trigger_pkt_any_1_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_423 = _T_422 & _T_318; // @[lib.scala 104:41] + wire _T_426 = io_trigger_pkt_any_1_tdata2[15] == lsu_match_data_1[15]; // @[lib.scala 104:78] + wire _T_427 = _T_423 | _T_426; // @[lib.scala 104:23] + wire _T_429 = &io_trigger_pkt_any_1_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_430 = _T_429 & _T_318; // @[lib.scala 104:41] + wire _T_433 = io_trigger_pkt_any_1_tdata2[16] == lsu_match_data_1[16]; // @[lib.scala 104:78] + wire _T_434 = _T_430 | _T_433; // @[lib.scala 104:23] + wire _T_436 = &io_trigger_pkt_any_1_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_437 = _T_436 & _T_318; // @[lib.scala 104:41] + wire _T_440 = io_trigger_pkt_any_1_tdata2[17] == lsu_match_data_1[17]; // @[lib.scala 104:78] + wire _T_441 = _T_437 | _T_440; // @[lib.scala 104:23] + wire _T_443 = &io_trigger_pkt_any_1_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_444 = _T_443 & _T_318; // @[lib.scala 104:41] + wire _T_447 = io_trigger_pkt_any_1_tdata2[18] == lsu_match_data_1[18]; // @[lib.scala 104:78] + wire _T_448 = _T_444 | _T_447; // @[lib.scala 104:23] + wire _T_450 = &io_trigger_pkt_any_1_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_451 = _T_450 & _T_318; // @[lib.scala 104:41] + wire _T_454 = io_trigger_pkt_any_1_tdata2[19] == lsu_match_data_1[19]; // @[lib.scala 104:78] + wire _T_455 = _T_451 | _T_454; // @[lib.scala 104:23] + wire _T_457 = &io_trigger_pkt_any_1_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_458 = _T_457 & _T_318; // @[lib.scala 104:41] + wire _T_461 = io_trigger_pkt_any_1_tdata2[20] == lsu_match_data_1[20]; // @[lib.scala 104:78] + wire _T_462 = _T_458 | _T_461; // @[lib.scala 104:23] + wire _T_464 = &io_trigger_pkt_any_1_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_465 = _T_464 & _T_318; // @[lib.scala 104:41] + wire _T_468 = io_trigger_pkt_any_1_tdata2[21] == lsu_match_data_1[21]; // @[lib.scala 104:78] + wire _T_469 = _T_465 | _T_468; // @[lib.scala 104:23] + wire _T_471 = &io_trigger_pkt_any_1_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_472 = _T_471 & _T_318; // @[lib.scala 104:41] + wire _T_475 = io_trigger_pkt_any_1_tdata2[22] == lsu_match_data_1[22]; // @[lib.scala 104:78] + wire _T_476 = _T_472 | _T_475; // @[lib.scala 104:23] + wire _T_478 = &io_trigger_pkt_any_1_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_479 = _T_478 & _T_318; // @[lib.scala 104:41] + wire _T_482 = io_trigger_pkt_any_1_tdata2[23] == lsu_match_data_1[23]; // @[lib.scala 104:78] + wire _T_483 = _T_479 | _T_482; // @[lib.scala 104:23] + wire _T_485 = &io_trigger_pkt_any_1_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_486 = _T_485 & _T_318; // @[lib.scala 104:41] + wire _T_489 = io_trigger_pkt_any_1_tdata2[24] == lsu_match_data_1[24]; // @[lib.scala 104:78] + wire _T_490 = _T_486 | _T_489; // @[lib.scala 104:23] + wire _T_492 = &io_trigger_pkt_any_1_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_493 = _T_492 & _T_318; // @[lib.scala 104:41] + wire _T_496 = io_trigger_pkt_any_1_tdata2[25] == lsu_match_data_1[25]; // @[lib.scala 104:78] + wire _T_497 = _T_493 | _T_496; // @[lib.scala 104:23] + wire _T_499 = &io_trigger_pkt_any_1_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_500 = _T_499 & _T_318; // @[lib.scala 104:41] + wire _T_503 = io_trigger_pkt_any_1_tdata2[26] == lsu_match_data_1[26]; // @[lib.scala 104:78] + wire _T_504 = _T_500 | _T_503; // @[lib.scala 104:23] + wire _T_506 = &io_trigger_pkt_any_1_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_507 = _T_506 & _T_318; // @[lib.scala 104:41] + wire _T_510 = io_trigger_pkt_any_1_tdata2[27] == lsu_match_data_1[27]; // @[lib.scala 104:78] + wire _T_511 = _T_507 | _T_510; // @[lib.scala 104:23] + wire _T_513 = &io_trigger_pkt_any_1_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_514 = _T_513 & _T_318; // @[lib.scala 104:41] + wire _T_517 = io_trigger_pkt_any_1_tdata2[28] == lsu_match_data_1[28]; // @[lib.scala 104:78] + wire _T_518 = _T_514 | _T_517; // @[lib.scala 104:23] + wire _T_520 = &io_trigger_pkt_any_1_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_521 = _T_520 & _T_318; // @[lib.scala 104:41] + wire _T_524 = io_trigger_pkt_any_1_tdata2[29] == lsu_match_data_1[29]; // @[lib.scala 104:78] + wire _T_525 = _T_521 | _T_524; // @[lib.scala 104:23] + wire _T_527 = &io_trigger_pkt_any_1_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_528 = _T_527 & _T_318; // @[lib.scala 104:41] + wire _T_531 = io_trigger_pkt_any_1_tdata2[30] == lsu_match_data_1[30]; // @[lib.scala 104:78] + wire _T_532 = _T_528 | _T_531; // @[lib.scala 104:23] + wire _T_534 = &io_trigger_pkt_any_1_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_535 = _T_534 & _T_318; // @[lib.scala 104:41] + wire _T_538 = io_trigger_pkt_any_1_tdata2[31] == lsu_match_data_1[31]; // @[lib.scala 104:78] + wire _T_539 = _T_535 | _T_538; // @[lib.scala 104:23] + wire [7:0] _T_546 = {_T_371,_T_364,_T_357,_T_350,_T_343,_T_336,_T_329,_T_322}; // @[lib.scala 105:14] + wire [15:0] _T_554 = {_T_427,_T_420,_T_413,_T_406,_T_399,_T_392,_T_385,_T_378,_T_546}; // @[lib.scala 105:14] + wire [7:0] _T_561 = {_T_483,_T_476,_T_469,_T_462,_T_455,_T_448,_T_441,_T_434}; // @[lib.scala 105:14] + wire [31:0] _T_570 = {_T_539,_T_532,_T_525,_T_518,_T_511,_T_504,_T_497,_T_490,_T_561,_T_554}; // @[lib.scala 105:14] + wire _T_571 = &_T_570; // @[lib.scala 105:25] + wire _T_572 = _T_313 & _T_571; // @[lsu_trigger.scala 19:92] + wire _T_575 = io_trigger_pkt_any_2_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] + wire _T_576 = io_trigger_pkt_any_2_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] + wire _T_578 = _T_576 & _T_26; // @[lsu_trigger.scala 19:58] + wire _T_579 = _T_575 | _T_578; // @[lsu_trigger.scala 18:152] + wire _T_580 = _T_40 & _T_579; // @[lsu_trigger.scala 18:94] + wire _T_583 = &io_trigger_pkt_any_2_tdata2; // @[lib.scala 101:45] + wire _T_584 = ~_T_583; // @[lib.scala 101:39] + wire _T_585 = io_trigger_pkt_any_2_match_pkt & _T_584; // @[lib.scala 101:37] + wire _T_588 = io_trigger_pkt_any_2_tdata2[0] == lsu_match_data_2[0]; // @[lib.scala 102:52] + wire _T_589 = _T_585 | _T_588; // @[lib.scala 102:41] + wire _T_591 = &io_trigger_pkt_any_2_tdata2[0]; // @[lib.scala 104:36] + wire _T_592 = _T_591 & _T_585; // @[lib.scala 104:41] + wire _T_595 = io_trigger_pkt_any_2_tdata2[1] == lsu_match_data_2[1]; // @[lib.scala 104:78] + wire _T_596 = _T_592 | _T_595; // @[lib.scala 104:23] + wire _T_598 = &io_trigger_pkt_any_2_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_599 = _T_598 & _T_585; // @[lib.scala 104:41] + wire _T_602 = io_trigger_pkt_any_2_tdata2[2] == lsu_match_data_2[2]; // @[lib.scala 104:78] + wire _T_603 = _T_599 | _T_602; // @[lib.scala 104:23] + wire _T_605 = &io_trigger_pkt_any_2_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_606 = _T_605 & _T_585; // @[lib.scala 104:41] + wire _T_609 = io_trigger_pkt_any_2_tdata2[3] == lsu_match_data_2[3]; // @[lib.scala 104:78] + wire _T_610 = _T_606 | _T_609; // @[lib.scala 104:23] + wire _T_612 = &io_trigger_pkt_any_2_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_613 = _T_612 & _T_585; // @[lib.scala 104:41] + wire _T_616 = io_trigger_pkt_any_2_tdata2[4] == lsu_match_data_2[4]; // @[lib.scala 104:78] + wire _T_617 = _T_613 | _T_616; // @[lib.scala 104:23] + wire _T_619 = &io_trigger_pkt_any_2_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_620 = _T_619 & _T_585; // @[lib.scala 104:41] + wire _T_623 = io_trigger_pkt_any_2_tdata2[5] == lsu_match_data_2[5]; // @[lib.scala 104:78] + wire _T_624 = _T_620 | _T_623; // @[lib.scala 104:23] + wire _T_626 = &io_trigger_pkt_any_2_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_627 = _T_626 & _T_585; // @[lib.scala 104:41] + wire _T_630 = io_trigger_pkt_any_2_tdata2[6] == lsu_match_data_2[6]; // @[lib.scala 104:78] + wire _T_631 = _T_627 | _T_630; // @[lib.scala 104:23] + wire _T_633 = &io_trigger_pkt_any_2_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_634 = _T_633 & _T_585; // @[lib.scala 104:41] + wire _T_637 = io_trigger_pkt_any_2_tdata2[7] == lsu_match_data_2[7]; // @[lib.scala 104:78] + wire _T_638 = _T_634 | _T_637; // @[lib.scala 104:23] + wire _T_640 = &io_trigger_pkt_any_2_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_641 = _T_640 & _T_585; // @[lib.scala 104:41] + wire _T_644 = io_trigger_pkt_any_2_tdata2[8] == lsu_match_data_2[8]; // @[lib.scala 104:78] + wire _T_645 = _T_641 | _T_644; // @[lib.scala 104:23] + wire _T_647 = &io_trigger_pkt_any_2_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_648 = _T_647 & _T_585; // @[lib.scala 104:41] + wire _T_651 = io_trigger_pkt_any_2_tdata2[9] == lsu_match_data_2[9]; // @[lib.scala 104:78] + wire _T_652 = _T_648 | _T_651; // @[lib.scala 104:23] + wire _T_654 = &io_trigger_pkt_any_2_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_655 = _T_654 & _T_585; // @[lib.scala 104:41] + wire _T_658 = io_trigger_pkt_any_2_tdata2[10] == lsu_match_data_2[10]; // @[lib.scala 104:78] + wire _T_659 = _T_655 | _T_658; // @[lib.scala 104:23] + wire _T_661 = &io_trigger_pkt_any_2_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_662 = _T_661 & _T_585; // @[lib.scala 104:41] + wire _T_665 = io_trigger_pkt_any_2_tdata2[11] == lsu_match_data_2[11]; // @[lib.scala 104:78] + wire _T_666 = _T_662 | _T_665; // @[lib.scala 104:23] + wire _T_668 = &io_trigger_pkt_any_2_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_669 = _T_668 & _T_585; // @[lib.scala 104:41] + wire _T_672 = io_trigger_pkt_any_2_tdata2[12] == lsu_match_data_2[12]; // @[lib.scala 104:78] + wire _T_673 = _T_669 | _T_672; // @[lib.scala 104:23] + wire _T_675 = &io_trigger_pkt_any_2_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_676 = _T_675 & _T_585; // @[lib.scala 104:41] + wire _T_679 = io_trigger_pkt_any_2_tdata2[13] == lsu_match_data_2[13]; // @[lib.scala 104:78] + wire _T_680 = _T_676 | _T_679; // @[lib.scala 104:23] + wire _T_682 = &io_trigger_pkt_any_2_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_683 = _T_682 & _T_585; // @[lib.scala 104:41] + wire _T_686 = io_trigger_pkt_any_2_tdata2[14] == lsu_match_data_2[14]; // @[lib.scala 104:78] + wire _T_687 = _T_683 | _T_686; // @[lib.scala 104:23] + wire _T_689 = &io_trigger_pkt_any_2_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_690 = _T_689 & _T_585; // @[lib.scala 104:41] + wire _T_693 = io_trigger_pkt_any_2_tdata2[15] == lsu_match_data_2[15]; // @[lib.scala 104:78] + wire _T_694 = _T_690 | _T_693; // @[lib.scala 104:23] + wire _T_696 = &io_trigger_pkt_any_2_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_697 = _T_696 & _T_585; // @[lib.scala 104:41] + wire _T_700 = io_trigger_pkt_any_2_tdata2[16] == lsu_match_data_2[16]; // @[lib.scala 104:78] + wire _T_701 = _T_697 | _T_700; // @[lib.scala 104:23] + wire _T_703 = &io_trigger_pkt_any_2_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_704 = _T_703 & _T_585; // @[lib.scala 104:41] + wire _T_707 = io_trigger_pkt_any_2_tdata2[17] == lsu_match_data_2[17]; // @[lib.scala 104:78] + wire _T_708 = _T_704 | _T_707; // @[lib.scala 104:23] + wire _T_710 = &io_trigger_pkt_any_2_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_711 = _T_710 & _T_585; // @[lib.scala 104:41] + wire _T_714 = io_trigger_pkt_any_2_tdata2[18] == lsu_match_data_2[18]; // @[lib.scala 104:78] + wire _T_715 = _T_711 | _T_714; // @[lib.scala 104:23] + wire _T_717 = &io_trigger_pkt_any_2_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_718 = _T_717 & _T_585; // @[lib.scala 104:41] + wire _T_721 = io_trigger_pkt_any_2_tdata2[19] == lsu_match_data_2[19]; // @[lib.scala 104:78] + wire _T_722 = _T_718 | _T_721; // @[lib.scala 104:23] + wire _T_724 = &io_trigger_pkt_any_2_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_725 = _T_724 & _T_585; // @[lib.scala 104:41] + wire _T_728 = io_trigger_pkt_any_2_tdata2[20] == lsu_match_data_2[20]; // @[lib.scala 104:78] + wire _T_729 = _T_725 | _T_728; // @[lib.scala 104:23] + wire _T_731 = &io_trigger_pkt_any_2_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_732 = _T_731 & _T_585; // @[lib.scala 104:41] + wire _T_735 = io_trigger_pkt_any_2_tdata2[21] == lsu_match_data_2[21]; // @[lib.scala 104:78] + wire _T_736 = _T_732 | _T_735; // @[lib.scala 104:23] + wire _T_738 = &io_trigger_pkt_any_2_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_739 = _T_738 & _T_585; // @[lib.scala 104:41] + wire _T_742 = io_trigger_pkt_any_2_tdata2[22] == lsu_match_data_2[22]; // @[lib.scala 104:78] + wire _T_743 = _T_739 | _T_742; // @[lib.scala 104:23] + wire _T_745 = &io_trigger_pkt_any_2_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_746 = _T_745 & _T_585; // @[lib.scala 104:41] + wire _T_749 = io_trigger_pkt_any_2_tdata2[23] == lsu_match_data_2[23]; // @[lib.scala 104:78] + wire _T_750 = _T_746 | _T_749; // @[lib.scala 104:23] + wire _T_752 = &io_trigger_pkt_any_2_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_753 = _T_752 & _T_585; // @[lib.scala 104:41] + wire _T_756 = io_trigger_pkt_any_2_tdata2[24] == lsu_match_data_2[24]; // @[lib.scala 104:78] + wire _T_757 = _T_753 | _T_756; // @[lib.scala 104:23] + wire _T_759 = &io_trigger_pkt_any_2_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_760 = _T_759 & _T_585; // @[lib.scala 104:41] + wire _T_763 = io_trigger_pkt_any_2_tdata2[25] == lsu_match_data_2[25]; // @[lib.scala 104:78] + wire _T_764 = _T_760 | _T_763; // @[lib.scala 104:23] + wire _T_766 = &io_trigger_pkt_any_2_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_767 = _T_766 & _T_585; // @[lib.scala 104:41] + wire _T_770 = io_trigger_pkt_any_2_tdata2[26] == lsu_match_data_2[26]; // @[lib.scala 104:78] + wire _T_771 = _T_767 | _T_770; // @[lib.scala 104:23] + wire _T_773 = &io_trigger_pkt_any_2_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_774 = _T_773 & _T_585; // @[lib.scala 104:41] + wire _T_777 = io_trigger_pkt_any_2_tdata2[27] == lsu_match_data_2[27]; // @[lib.scala 104:78] + wire _T_778 = _T_774 | _T_777; // @[lib.scala 104:23] + wire _T_780 = &io_trigger_pkt_any_2_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_781 = _T_780 & _T_585; // @[lib.scala 104:41] + wire _T_784 = io_trigger_pkt_any_2_tdata2[28] == lsu_match_data_2[28]; // @[lib.scala 104:78] + wire _T_785 = _T_781 | _T_784; // @[lib.scala 104:23] + wire _T_787 = &io_trigger_pkt_any_2_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_788 = _T_787 & _T_585; // @[lib.scala 104:41] + wire _T_791 = io_trigger_pkt_any_2_tdata2[29] == lsu_match_data_2[29]; // @[lib.scala 104:78] + wire _T_792 = _T_788 | _T_791; // @[lib.scala 104:23] + wire _T_794 = &io_trigger_pkt_any_2_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_795 = _T_794 & _T_585; // @[lib.scala 104:41] + wire _T_798 = io_trigger_pkt_any_2_tdata2[30] == lsu_match_data_2[30]; // @[lib.scala 104:78] + wire _T_799 = _T_795 | _T_798; // @[lib.scala 104:23] + wire _T_801 = &io_trigger_pkt_any_2_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_802 = _T_801 & _T_585; // @[lib.scala 104:41] + wire _T_805 = io_trigger_pkt_any_2_tdata2[31] == lsu_match_data_2[31]; // @[lib.scala 104:78] + wire _T_806 = _T_802 | _T_805; // @[lib.scala 104:23] + wire [7:0] _T_813 = {_T_638,_T_631,_T_624,_T_617,_T_610,_T_603,_T_596,_T_589}; // @[lib.scala 105:14] + wire [15:0] _T_821 = {_T_694,_T_687,_T_680,_T_673,_T_666,_T_659,_T_652,_T_645,_T_813}; // @[lib.scala 105:14] + wire [7:0] _T_828 = {_T_750,_T_743,_T_736,_T_729,_T_722,_T_715,_T_708,_T_701}; // @[lib.scala 105:14] + wire [31:0] _T_837 = {_T_806,_T_799,_T_792,_T_785,_T_778,_T_771,_T_764,_T_757,_T_828,_T_821}; // @[lib.scala 105:14] + wire _T_838 = &_T_837; // @[lib.scala 105:25] + wire _T_839 = _T_580 & _T_838; // @[lsu_trigger.scala 19:92] + wire _T_842 = io_trigger_pkt_any_3_store & io_lsu_pkt_m_bits_store; // @[lsu_trigger.scala 18:126] + wire _T_843 = io_trigger_pkt_any_3_load & io_lsu_pkt_m_bits_load; // @[lsu_trigger.scala 19:33] + wire _T_845 = _T_843 & _T_33; // @[lsu_trigger.scala 19:58] + wire _T_846 = _T_842 | _T_845; // @[lsu_trigger.scala 18:152] + wire _T_847 = _T_40 & _T_846; // @[lsu_trigger.scala 18:94] + wire _T_850 = &io_trigger_pkt_any_3_tdata2; // @[lib.scala 101:45] + wire _T_851 = ~_T_850; // @[lib.scala 101:39] + wire _T_852 = io_trigger_pkt_any_3_match_pkt & _T_851; // @[lib.scala 101:37] + wire _T_855 = io_trigger_pkt_any_3_tdata2[0] == lsu_match_data_3[0]; // @[lib.scala 102:52] + wire _T_856 = _T_852 | _T_855; // @[lib.scala 102:41] + wire _T_858 = &io_trigger_pkt_any_3_tdata2[0]; // @[lib.scala 104:36] + wire _T_859 = _T_858 & _T_852; // @[lib.scala 104:41] + wire _T_862 = io_trigger_pkt_any_3_tdata2[1] == lsu_match_data_3[1]; // @[lib.scala 104:78] + wire _T_863 = _T_859 | _T_862; // @[lib.scala 104:23] + wire _T_865 = &io_trigger_pkt_any_3_tdata2[1:0]; // @[lib.scala 104:36] + wire _T_866 = _T_865 & _T_852; // @[lib.scala 104:41] + wire _T_869 = io_trigger_pkt_any_3_tdata2[2] == lsu_match_data_3[2]; // @[lib.scala 104:78] + wire _T_870 = _T_866 | _T_869; // @[lib.scala 104:23] + wire _T_872 = &io_trigger_pkt_any_3_tdata2[2:0]; // @[lib.scala 104:36] + wire _T_873 = _T_872 & _T_852; // @[lib.scala 104:41] + wire _T_876 = io_trigger_pkt_any_3_tdata2[3] == lsu_match_data_3[3]; // @[lib.scala 104:78] + wire _T_877 = _T_873 | _T_876; // @[lib.scala 104:23] + wire _T_879 = &io_trigger_pkt_any_3_tdata2[3:0]; // @[lib.scala 104:36] + wire _T_880 = _T_879 & _T_852; // @[lib.scala 104:41] + wire _T_883 = io_trigger_pkt_any_3_tdata2[4] == lsu_match_data_3[4]; // @[lib.scala 104:78] + wire _T_884 = _T_880 | _T_883; // @[lib.scala 104:23] + wire _T_886 = &io_trigger_pkt_any_3_tdata2[4:0]; // @[lib.scala 104:36] + wire _T_887 = _T_886 & _T_852; // @[lib.scala 104:41] + wire _T_890 = io_trigger_pkt_any_3_tdata2[5] == lsu_match_data_3[5]; // @[lib.scala 104:78] + wire _T_891 = _T_887 | _T_890; // @[lib.scala 104:23] + wire _T_893 = &io_trigger_pkt_any_3_tdata2[5:0]; // @[lib.scala 104:36] + wire _T_894 = _T_893 & _T_852; // @[lib.scala 104:41] + wire _T_897 = io_trigger_pkt_any_3_tdata2[6] == lsu_match_data_3[6]; // @[lib.scala 104:78] + wire _T_898 = _T_894 | _T_897; // @[lib.scala 104:23] + wire _T_900 = &io_trigger_pkt_any_3_tdata2[6:0]; // @[lib.scala 104:36] + wire _T_901 = _T_900 & _T_852; // @[lib.scala 104:41] + wire _T_904 = io_trigger_pkt_any_3_tdata2[7] == lsu_match_data_3[7]; // @[lib.scala 104:78] + wire _T_905 = _T_901 | _T_904; // @[lib.scala 104:23] + wire _T_907 = &io_trigger_pkt_any_3_tdata2[7:0]; // @[lib.scala 104:36] + wire _T_908 = _T_907 & _T_852; // @[lib.scala 104:41] + wire _T_911 = io_trigger_pkt_any_3_tdata2[8] == lsu_match_data_3[8]; // @[lib.scala 104:78] + wire _T_912 = _T_908 | _T_911; // @[lib.scala 104:23] + wire _T_914 = &io_trigger_pkt_any_3_tdata2[8:0]; // @[lib.scala 104:36] + wire _T_915 = _T_914 & _T_852; // @[lib.scala 104:41] + wire _T_918 = io_trigger_pkt_any_3_tdata2[9] == lsu_match_data_3[9]; // @[lib.scala 104:78] + wire _T_919 = _T_915 | _T_918; // @[lib.scala 104:23] + wire _T_921 = &io_trigger_pkt_any_3_tdata2[9:0]; // @[lib.scala 104:36] + wire _T_922 = _T_921 & _T_852; // @[lib.scala 104:41] + wire _T_925 = io_trigger_pkt_any_3_tdata2[10] == lsu_match_data_3[10]; // @[lib.scala 104:78] + wire _T_926 = _T_922 | _T_925; // @[lib.scala 104:23] + wire _T_928 = &io_trigger_pkt_any_3_tdata2[10:0]; // @[lib.scala 104:36] + wire _T_929 = _T_928 & _T_852; // @[lib.scala 104:41] + wire _T_932 = io_trigger_pkt_any_3_tdata2[11] == lsu_match_data_3[11]; // @[lib.scala 104:78] + wire _T_933 = _T_929 | _T_932; // @[lib.scala 104:23] + wire _T_935 = &io_trigger_pkt_any_3_tdata2[11:0]; // @[lib.scala 104:36] + wire _T_936 = _T_935 & _T_852; // @[lib.scala 104:41] + wire _T_939 = io_trigger_pkt_any_3_tdata2[12] == lsu_match_data_3[12]; // @[lib.scala 104:78] + wire _T_940 = _T_936 | _T_939; // @[lib.scala 104:23] + wire _T_942 = &io_trigger_pkt_any_3_tdata2[12:0]; // @[lib.scala 104:36] + wire _T_943 = _T_942 & _T_852; // @[lib.scala 104:41] + wire _T_946 = io_trigger_pkt_any_3_tdata2[13] == lsu_match_data_3[13]; // @[lib.scala 104:78] + wire _T_947 = _T_943 | _T_946; // @[lib.scala 104:23] + wire _T_949 = &io_trigger_pkt_any_3_tdata2[13:0]; // @[lib.scala 104:36] + wire _T_950 = _T_949 & _T_852; // @[lib.scala 104:41] + wire _T_953 = io_trigger_pkt_any_3_tdata2[14] == lsu_match_data_3[14]; // @[lib.scala 104:78] + wire _T_954 = _T_950 | _T_953; // @[lib.scala 104:23] + wire _T_956 = &io_trigger_pkt_any_3_tdata2[14:0]; // @[lib.scala 104:36] + wire _T_957 = _T_956 & _T_852; // @[lib.scala 104:41] + wire _T_960 = io_trigger_pkt_any_3_tdata2[15] == lsu_match_data_3[15]; // @[lib.scala 104:78] + wire _T_961 = _T_957 | _T_960; // @[lib.scala 104:23] + wire _T_963 = &io_trigger_pkt_any_3_tdata2[15:0]; // @[lib.scala 104:36] + wire _T_964 = _T_963 & _T_852; // @[lib.scala 104:41] + wire _T_967 = io_trigger_pkt_any_3_tdata2[16] == lsu_match_data_3[16]; // @[lib.scala 104:78] + wire _T_968 = _T_964 | _T_967; // @[lib.scala 104:23] + wire _T_970 = &io_trigger_pkt_any_3_tdata2[16:0]; // @[lib.scala 104:36] + wire _T_971 = _T_970 & _T_852; // @[lib.scala 104:41] + wire _T_974 = io_trigger_pkt_any_3_tdata2[17] == lsu_match_data_3[17]; // @[lib.scala 104:78] + wire _T_975 = _T_971 | _T_974; // @[lib.scala 104:23] + wire _T_977 = &io_trigger_pkt_any_3_tdata2[17:0]; // @[lib.scala 104:36] + wire _T_978 = _T_977 & _T_852; // @[lib.scala 104:41] + wire _T_981 = io_trigger_pkt_any_3_tdata2[18] == lsu_match_data_3[18]; // @[lib.scala 104:78] + wire _T_982 = _T_978 | _T_981; // @[lib.scala 104:23] + wire _T_984 = &io_trigger_pkt_any_3_tdata2[18:0]; // @[lib.scala 104:36] + wire _T_985 = _T_984 & _T_852; // @[lib.scala 104:41] + wire _T_988 = io_trigger_pkt_any_3_tdata2[19] == lsu_match_data_3[19]; // @[lib.scala 104:78] + wire _T_989 = _T_985 | _T_988; // @[lib.scala 104:23] + wire _T_991 = &io_trigger_pkt_any_3_tdata2[19:0]; // @[lib.scala 104:36] + wire _T_992 = _T_991 & _T_852; // @[lib.scala 104:41] + wire _T_995 = io_trigger_pkt_any_3_tdata2[20] == lsu_match_data_3[20]; // @[lib.scala 104:78] + wire _T_996 = _T_992 | _T_995; // @[lib.scala 104:23] + wire _T_998 = &io_trigger_pkt_any_3_tdata2[20:0]; // @[lib.scala 104:36] + wire _T_999 = _T_998 & _T_852; // @[lib.scala 104:41] + wire _T_1002 = io_trigger_pkt_any_3_tdata2[21] == lsu_match_data_3[21]; // @[lib.scala 104:78] + wire _T_1003 = _T_999 | _T_1002; // @[lib.scala 104:23] + wire _T_1005 = &io_trigger_pkt_any_3_tdata2[21:0]; // @[lib.scala 104:36] + wire _T_1006 = _T_1005 & _T_852; // @[lib.scala 104:41] + wire _T_1009 = io_trigger_pkt_any_3_tdata2[22] == lsu_match_data_3[22]; // @[lib.scala 104:78] + wire _T_1010 = _T_1006 | _T_1009; // @[lib.scala 104:23] + wire _T_1012 = &io_trigger_pkt_any_3_tdata2[22:0]; // @[lib.scala 104:36] + wire _T_1013 = _T_1012 & _T_852; // @[lib.scala 104:41] + wire _T_1016 = io_trigger_pkt_any_3_tdata2[23] == lsu_match_data_3[23]; // @[lib.scala 104:78] + wire _T_1017 = _T_1013 | _T_1016; // @[lib.scala 104:23] + wire _T_1019 = &io_trigger_pkt_any_3_tdata2[23:0]; // @[lib.scala 104:36] + wire _T_1020 = _T_1019 & _T_852; // @[lib.scala 104:41] + wire _T_1023 = io_trigger_pkt_any_3_tdata2[24] == lsu_match_data_3[24]; // @[lib.scala 104:78] + wire _T_1024 = _T_1020 | _T_1023; // @[lib.scala 104:23] + wire _T_1026 = &io_trigger_pkt_any_3_tdata2[24:0]; // @[lib.scala 104:36] + wire _T_1027 = _T_1026 & _T_852; // @[lib.scala 104:41] + wire _T_1030 = io_trigger_pkt_any_3_tdata2[25] == lsu_match_data_3[25]; // @[lib.scala 104:78] + wire _T_1031 = _T_1027 | _T_1030; // @[lib.scala 104:23] + wire _T_1033 = &io_trigger_pkt_any_3_tdata2[25:0]; // @[lib.scala 104:36] + wire _T_1034 = _T_1033 & _T_852; // @[lib.scala 104:41] + wire _T_1037 = io_trigger_pkt_any_3_tdata2[26] == lsu_match_data_3[26]; // @[lib.scala 104:78] + wire _T_1038 = _T_1034 | _T_1037; // @[lib.scala 104:23] + wire _T_1040 = &io_trigger_pkt_any_3_tdata2[26:0]; // @[lib.scala 104:36] + wire _T_1041 = _T_1040 & _T_852; // @[lib.scala 104:41] + wire _T_1044 = io_trigger_pkt_any_3_tdata2[27] == lsu_match_data_3[27]; // @[lib.scala 104:78] + wire _T_1045 = _T_1041 | _T_1044; // @[lib.scala 104:23] + wire _T_1047 = &io_trigger_pkt_any_3_tdata2[27:0]; // @[lib.scala 104:36] + wire _T_1048 = _T_1047 & _T_852; // @[lib.scala 104:41] + wire _T_1051 = io_trigger_pkt_any_3_tdata2[28] == lsu_match_data_3[28]; // @[lib.scala 104:78] + wire _T_1052 = _T_1048 | _T_1051; // @[lib.scala 104:23] + wire _T_1054 = &io_trigger_pkt_any_3_tdata2[28:0]; // @[lib.scala 104:36] + wire _T_1055 = _T_1054 & _T_852; // @[lib.scala 104:41] + wire _T_1058 = io_trigger_pkt_any_3_tdata2[29] == lsu_match_data_3[29]; // @[lib.scala 104:78] + wire _T_1059 = _T_1055 | _T_1058; // @[lib.scala 104:23] + wire _T_1061 = &io_trigger_pkt_any_3_tdata2[29:0]; // @[lib.scala 104:36] + wire _T_1062 = _T_1061 & _T_852; // @[lib.scala 104:41] + wire _T_1065 = io_trigger_pkt_any_3_tdata2[30] == lsu_match_data_3[30]; // @[lib.scala 104:78] + wire _T_1066 = _T_1062 | _T_1065; // @[lib.scala 104:23] + wire _T_1068 = &io_trigger_pkt_any_3_tdata2[30:0]; // @[lib.scala 104:36] + wire _T_1069 = _T_1068 & _T_852; // @[lib.scala 104:41] + wire _T_1072 = io_trigger_pkt_any_3_tdata2[31] == lsu_match_data_3[31]; // @[lib.scala 104:78] + wire _T_1073 = _T_1069 | _T_1072; // @[lib.scala 104:23] + wire [7:0] _T_1080 = {_T_905,_T_898,_T_891,_T_884,_T_877,_T_870,_T_863,_T_856}; // @[lib.scala 105:14] + wire [15:0] _T_1088 = {_T_961,_T_954,_T_947,_T_940,_T_933,_T_926,_T_919,_T_912,_T_1080}; // @[lib.scala 105:14] + wire [7:0] _T_1095 = {_T_1017,_T_1010,_T_1003,_T_996,_T_989,_T_982,_T_975,_T_968}; // @[lib.scala 105:14] + wire [31:0] _T_1104 = {_T_1073,_T_1066,_T_1059,_T_1052,_T_1045,_T_1038,_T_1031,_T_1024,_T_1095,_T_1088}; // @[lib.scala 105:14] + wire _T_1105 = &_T_1104; // @[lib.scala 105:25] + wire _T_1106 = _T_847 & _T_1105; // @[lsu_trigger.scala 19:92] + wire [2:0] _T_1108 = {_T_1106,_T_839,_T_572}; // @[Cat.scala 29:58] + assign io_lsu_trigger_match_m = {_T_1108,_T_305}; // @[lsu_trigger.scala 18:26] +endmodule +module lsu_clkdomain( + input clock, + input reset, + input io_free_clk, + input io_clk_override, + input io_dma_dccm_req, + input io_ldst_stbuf_reqvld_r, + input io_stbuf_reqvld_any, + input io_stbuf_reqvld_flushed_any, + input io_lsu_busreq_r, + input io_lsu_bus_buffer_pend_any, + input io_lsu_bus_buffer_empty_any, + input io_lsu_stbuf_empty_any, + input io_lsu_bus_clk_en, + input io_lsu_p_valid, + input io_lsu_pkt_d_valid, + input io_lsu_pkt_d_bits_store, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_r_valid, + output io_lsu_c1_m_clk, + output io_lsu_c1_r_clk, + output io_lsu_c2_m_clk, + output io_lsu_c2_r_clk, + output io_lsu_store_c1_m_clk, + output io_lsu_store_c1_r_clk, + output io_lsu_stbuf_c1_clk, + output io_lsu_bus_obuf_c1_clk, + output io_lsu_bus_ibuf_c1_clk, + output io_lsu_bus_buf_c1_clk, + output io_lsu_busm_clk, + output io_lsu_free_c2_clk, + input io_scan_mode +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_io_en; // @[lib.scala 343:22] + wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_1_io_en; // @[lib.scala 343:22] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_2_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_2_io_en; // @[lib.scala 343:22] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_3_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_3_io_en; // @[lib.scala 343:22] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_4_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_4_io_en; // @[lib.scala 343:22] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_5_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_5_io_en; // @[lib.scala 343:22] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_6_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_6_io_en; // @[lib.scala 343:22] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_7_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_7_io_en; // @[lib.scala 343:22] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_8_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_8_io_en; // @[lib.scala 343:22] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_9_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_9_io_en; // @[lib.scala 343:22] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_10_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_10_io_en; // @[lib.scala 343:22] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 343:22] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 343:22] + wire rvclkhdr_11_io_clk; // @[lib.scala 343:22] + wire rvclkhdr_11_io_en; // @[lib.scala 343:22] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 343:22] + wire _T = io_lsu_p_valid | io_dma_dccm_req; // @[lsu_clkdomain.scala 62:51] + reg lsu_c1_d_clken_q; // @[lsu_clkdomain.scala 81:67] + wire _T_1 = io_lsu_pkt_d_valid | lsu_c1_d_clken_q; // @[lsu_clkdomain.scala 63:51] + wire lsu_c1_m_clken = _T_1 | io_clk_override; // @[lsu_clkdomain.scala 63:70] + reg lsu_c1_m_clken_q; // @[lsu_clkdomain.scala 82:67] + wire _T_2 = io_lsu_pkt_m_valid | lsu_c1_m_clken_q; // @[lsu_clkdomain.scala 64:51] + wire lsu_c1_r_clken = _T_2 | io_clk_override; // @[lsu_clkdomain.scala 64:70] + wire _T_3 = lsu_c1_m_clken | lsu_c1_m_clken_q; // @[lsu_clkdomain.scala 66:47] + reg lsu_c1_r_clken_q; // @[lsu_clkdomain.scala 83:67] + wire _T_4 = lsu_c1_r_clken | lsu_c1_r_clken_q; // @[lsu_clkdomain.scala 67:47] + wire _T_5 = lsu_c1_m_clken & io_lsu_pkt_d_bits_store; // @[lsu_clkdomain.scala 69:49] + wire _T_6 = lsu_c1_r_clken & io_lsu_pkt_m_bits_store; // @[lsu_clkdomain.scala 70:49] + wire _T_7 = io_ldst_stbuf_reqvld_r | io_stbuf_reqvld_any; // @[lsu_clkdomain.scala 71:55] + wire _T_8 = _T_7 | io_stbuf_reqvld_flushed_any; // @[lsu_clkdomain.scala 71:77] + wire _T_9 = io_lsu_bus_buffer_pend_any | io_lsu_busreq_r; // @[lsu_clkdomain.scala 73:61] + wire _T_10 = _T_9 | io_clk_override; // @[lsu_clkdomain.scala 73:79] + wire _T_11 = ~io_lsu_bus_buffer_empty_any; // @[lsu_clkdomain.scala 74:32] + wire _T_12 = _T_11 | io_lsu_busreq_r; // @[lsu_clkdomain.scala 74:61] + wire _T_13 = io_lsu_p_valid | io_lsu_pkt_d_valid; // @[lsu_clkdomain.scala 76:48] + wire _T_14 = _T_13 | io_lsu_pkt_m_valid; // @[lsu_clkdomain.scala 76:69] + wire _T_15 = _T_14 | io_lsu_pkt_r_valid; // @[lsu_clkdomain.scala 76:90] + wire _T_17 = _T_15 | _T_11; // @[lsu_clkdomain.scala 76:112] + wire _T_18 = ~io_lsu_stbuf_empty_any; // @[lsu_clkdomain.scala 76:145] + wire _T_19 = _T_17 | _T_18; // @[lsu_clkdomain.scala 76:143] + wire lsu_free_c1_clken = _T_19 | io_clk_override; // @[lsu_clkdomain.scala 76:169] + reg lsu_free_c1_clken_q; // @[lsu_clkdomain.scala 80:60] + wire _T_20 = lsu_free_c1_clken | lsu_free_c1_clken_q; // @[lsu_clkdomain.scala 77:50] + rvclkhdr rvclkhdr ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 343:22] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + assign io_lsu_c1_m_clk = rvclkhdr_io_l1clk; // @[lsu_clkdomain.scala 85:26] + assign io_lsu_c1_r_clk = rvclkhdr_1_io_l1clk; // @[lsu_clkdomain.scala 86:26] + assign io_lsu_c2_m_clk = rvclkhdr_2_io_l1clk; // @[lsu_clkdomain.scala 87:26] + assign io_lsu_c2_r_clk = rvclkhdr_3_io_l1clk; // @[lsu_clkdomain.scala 88:26] + assign io_lsu_store_c1_m_clk = rvclkhdr_4_io_l1clk; // @[lsu_clkdomain.scala 89:26] + assign io_lsu_store_c1_r_clk = rvclkhdr_5_io_l1clk; // @[lsu_clkdomain.scala 90:26] + assign io_lsu_stbuf_c1_clk = rvclkhdr_6_io_l1clk; // @[lsu_clkdomain.scala 91:26] + assign io_lsu_bus_obuf_c1_clk = rvclkhdr_8_io_l1clk; // @[lsu_clkdomain.scala 93:26] + assign io_lsu_bus_ibuf_c1_clk = rvclkhdr_7_io_l1clk; // @[lsu_clkdomain.scala 92:26] + assign io_lsu_bus_buf_c1_clk = rvclkhdr_9_io_l1clk; // @[lsu_clkdomain.scala 94:26] + assign io_lsu_busm_clk = rvclkhdr_10_io_l1clk; // @[lsu_clkdomain.scala 95:26] + assign io_lsu_free_c2_clk = rvclkhdr_11_io_l1clk; // @[lsu_clkdomain.scala 96:26] + assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_io_en = _T_1 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_1_io_en = _T_2 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_2_io_en = _T_3 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_3_io_en = _T_4 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_4_io_en = _T_5 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_5_io_en = _T_6 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_6_io_en = _T_8 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_7_io_en = io_lsu_busreq_r | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_8_io_en = _T_10 & io_lsu_bus_clk_en; // @[lib.scala 345:16] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_9_io_en = _T_12 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_10_io_en = io_lsu_bus_clk_en; // @[lib.scala 345:16] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 344:17] + assign rvclkhdr_11_io_en = _T_20 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + lsu_c1_d_clken_q = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + lsu_c1_m_clken_q = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + lsu_c1_r_clken_q = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + lsu_free_c1_clken_q = _RAND_3[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + lsu_c1_d_clken_q = 1'h0; + end + if (reset) begin + lsu_c1_m_clken_q = 1'h0; + end + if (reset) begin + lsu_c1_r_clken_q = 1'h0; + end + if (reset) begin + lsu_free_c1_clken_q = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + lsu_c1_d_clken_q <= 1'h0; + end else begin + lsu_c1_d_clken_q <= _T | io_clk_override; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + lsu_c1_m_clken_q <= 1'h0; + end else begin + lsu_c1_m_clken_q <= _T_1 | io_clk_override; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + lsu_c1_r_clken_q <= 1'h0; + end else begin + lsu_c1_r_clken_q <= _T_2 | io_clk_override; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + lsu_free_c1_clken_q <= 1'h0; + end else begin + lsu_free_c1_clken_q <= _T_19 | io_clk_override; + end + end +endmodule +module lsu_bus_buffer( + input clock, + input reset, + input io_scan_mode, + output io_tlu_busbuff_lsu_pmu_bus_trxn, + output io_tlu_busbuff_lsu_pmu_bus_misaligned, + output io_tlu_busbuff_lsu_pmu_bus_error, + output io_tlu_busbuff_lsu_pmu_bus_busy, + input io_tlu_busbuff_dec_tlu_external_ldfwd_disable, + input io_tlu_busbuff_dec_tlu_wb_coalescing_disable, + input io_tlu_busbuff_dec_tlu_sideeffect_posted_disable, + output io_tlu_busbuff_lsu_imprecise_error_load_any, + output io_tlu_busbuff_lsu_imprecise_error_store_any, + output [31:0] io_tlu_busbuff_lsu_imprecise_error_addr_any, + output io_dctl_busbuff_lsu_nonblock_load_valid_m, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_tag_m, + output io_dctl_busbuff_lsu_nonblock_load_inv_r, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_inv_tag_r, + output io_dctl_busbuff_lsu_nonblock_load_data_valid, + output io_dctl_busbuff_lsu_nonblock_load_data_error, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_data_tag, + output [31:0] io_dctl_busbuff_lsu_nonblock_load_data, + input io_dec_tlu_force_halt, + input io_lsu_c2_r_clk, + input io_lsu_bus_ibuf_c1_clk, + input io_lsu_bus_obuf_c1_clk, + input io_lsu_bus_buf_c1_clk, + input io_lsu_free_c2_clk, + input io_lsu_busm_clk, + input io_dec_lsu_valid_raw_d, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_load, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_unsign, + input [31:0] io_lsu_addr_m, + input [31:0] io_end_addr_m, + input [31:0] io_lsu_addr_r, + input [31:0] io_end_addr_r, + input [31:0] io_store_data_r, + input io_no_word_merge_r, + input io_no_dword_merge_r, + input io_lsu_busreq_m, + input io_ld_full_hit_m, + input io_flush_m_up, + input io_flush_r, + input io_lsu_commit_r, + input io_is_sideeffects_r, + input io_ldst_dual_d, + input io_ldst_dual_m, + input io_ldst_dual_r, + input [7:0] io_ldst_byteen_ext_m, + input io_lsu_axi_aw_ready, + output io_lsu_axi_aw_valid, + output [2:0] io_lsu_axi_aw_bits_id, + output [31:0] io_lsu_axi_aw_bits_addr, + output [3:0] io_lsu_axi_aw_bits_region, + output [2:0] io_lsu_axi_aw_bits_size, + output [3:0] io_lsu_axi_aw_bits_cache, + input io_lsu_axi_w_ready, + output io_lsu_axi_w_valid, + output [63:0] io_lsu_axi_w_bits_data, + output [7:0] io_lsu_axi_w_bits_strb, + output io_lsu_axi_b_ready, + input io_lsu_axi_b_valid, + input [1:0] io_lsu_axi_b_bits_resp, + input [2:0] io_lsu_axi_b_bits_id, + input io_lsu_axi_ar_ready, + output io_lsu_axi_ar_valid, + output [2:0] io_lsu_axi_ar_bits_id, + output [31:0] io_lsu_axi_ar_bits_addr, + output [3:0] io_lsu_axi_ar_bits_region, + output [2:0] io_lsu_axi_ar_bits_size, + output [3:0] io_lsu_axi_ar_bits_cache, + output io_lsu_axi_r_ready, + input io_lsu_axi_r_valid, + input [2:0] io_lsu_axi_r_bits_id, + input [63:0] io_lsu_axi_r_bits_data, + input [1:0] io_lsu_axi_r_bits_resp, + input io_lsu_bus_clk_en, + input io_lsu_bus_clk_en_q, + output io_lsu_busreq_r, + output io_lsu_bus_buffer_pend_any, + output io_lsu_bus_buffer_full_any, + output io_lsu_bus_buffer_empty_any, + output [3:0] io_ld_byte_hit_buf_lo, + output [3:0] io_ld_byte_hit_buf_hi, + output [31:0] io_ld_fwddata_buf_lo, + output [31:0] io_ld_fwddata_buf_hi +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [31:0] _RAND_65; + reg [31:0] _RAND_66; + reg [31:0] _RAND_67; + reg [31:0] _RAND_68; + reg [31:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; + reg [31:0] _RAND_74; + reg [31:0] _RAND_75; + reg [31:0] _RAND_76; + reg [31:0] _RAND_77; + reg [31:0] _RAND_78; + reg [31:0] _RAND_79; + reg [63:0] _RAND_80; + reg [31:0] _RAND_81; + reg [31:0] _RAND_82; + reg [31:0] _RAND_83; + reg [31:0] _RAND_84; + reg [31:0] _RAND_85; + reg [31:0] _RAND_86; + reg [31:0] _RAND_87; + reg [31:0] _RAND_88; + reg [31:0] _RAND_89; + reg [31:0] _RAND_90; + reg [31:0] _RAND_91; + reg [31:0] _RAND_92; + reg [31:0] _RAND_93; + reg [31:0] _RAND_94; + reg [31:0] _RAND_95; + reg [31:0] _RAND_96; + reg [31:0] _RAND_97; + reg [31:0] _RAND_98; + reg [31:0] _RAND_99; + reg [31:0] _RAND_100; + reg [31:0] _RAND_101; + reg [31:0] _RAND_102; + reg [31:0] _RAND_103; + reg [31:0] _RAND_104; + reg [31:0] _RAND_105; + reg [31:0] _RAND_106; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_en; // @[lib.scala 368:23] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_en; // @[lib.scala 368:23] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_en; // @[lib.scala 368:23] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_en; // @[lib.scala 368:23] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 368:23] + wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[lsu_bus_buffer.scala 73:46] + wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[lsu_bus_buffer.scala 74:46] + reg [31:0] buf_addr_0; // @[lib.scala 374:16] + wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 76:74] + reg _T_4360; // @[Reg.scala 27:20] + reg _T_4357; // @[Reg.scala 27:20] + reg _T_4354; // @[Reg.scala 27:20] + reg _T_4351; // @[Reg.scala 27:20] + wire [3:0] buf_write = {_T_4360,_T_4357,_T_4354,_T_4351}; // @[Cat.scala 29:58] + wire _T_4 = _T_2 & buf_write[0]; // @[lsu_bus_buffer.scala 76:98] + reg [2:0] buf_state_0; // @[Reg.scala 27:20] + wire _T_5 = buf_state_0 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_6 = _T_4 & _T_5; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + reg [31:0] buf_addr_1; // @[lib.scala 374:16] + wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_11 = _T_9 & buf_write[1]; // @[lsu_bus_buffer.scala 76:98] + reg [2:0] buf_state_1; // @[Reg.scala 27:20] + wire _T_12 = buf_state_1 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_13 = _T_11 & _T_12; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + reg [31:0] buf_addr_2; // @[lib.scala 374:16] + wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_18 = _T_16 & buf_write[2]; // @[lsu_bus_buffer.scala 76:98] + reg [2:0] buf_state_2; // @[Reg.scala 27:20] + wire _T_19 = buf_state_2 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_20 = _T_18 & _T_19; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + reg [31:0] buf_addr_3; // @[lib.scala 374:16] + wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_25 = _T_23 & buf_write[3]; // @[lsu_bus_buffer.scala 76:98] + reg [2:0] buf_state_3; // @[Reg.scala 27:20] + wire _T_26 = buf_state_3 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_27 = _T_25 & _T_26; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_32 = _T_30 & buf_write[0]; // @[lsu_bus_buffer.scala 77:98] + wire _T_34 = _T_32 & _T_5; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_39 = _T_37 & buf_write[1]; // @[lsu_bus_buffer.scala 77:98] + wire _T_41 = _T_39 & _T_12; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_46 = _T_44 & buf_write[2]; // @[lsu_bus_buffer.scala 77:98] + wire _T_48 = _T_46 & _T_19; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_53 = _T_51 & buf_write[3]; // @[lsu_bus_buffer.scala 77:98] + wire _T_55 = _T_53 & _T_26; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + reg [3:0] buf_byteen_3; // @[Reg.scala 27:20] + wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + reg [3:0] buf_byteen_2; // @[Reg.scala 27:20] + wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + reg [3:0] buf_byteen_1; // @[Reg.scala 27:20] + wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + reg [3:0] buf_byteen_0; // @[Reg.scala 27:20] + wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 500:60] + wire _T_2621 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 412:93] + wire _T_4107 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4130 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4134 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [1:0] _T_1848; // @[Reg.scala 27:20] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 352:13] + wire _T_4141 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 455:48] + reg obuf_merge; // @[Reg.scala 27:20] + reg [1:0] obuf_tag1; // @[Reg.scala 27:20] + wire [2:0] _GEN_358 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 455:104] + wire _T_4142 = _GEN_358 == 3'h3; // @[lsu_bus_buffer.scala 455:104] + wire _T_4143 = obuf_merge & _T_4142; // @[lsu_bus_buffer.scala 455:91] + wire _T_4144 = _T_4141 | _T_4143; // @[lsu_bus_buffer.scala 455:77] + reg obuf_valid; // @[lsu_bus_buffer.scala 346:54] + wire _T_4145 = _T_4144 & obuf_valid; // @[lsu_bus_buffer.scala 455:135] + reg obuf_wr_enQ; // @[lsu_bus_buffer.scala 345:55] + wire _T_4146 = _T_4145 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 455:148] + wire _GEN_280 = _T_4134 & _T_4146; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4130 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4107 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] + wire _T_2622 = _T_2621 & buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 412:103] + wire _T_2623 = ~_T_2622; // @[lsu_bus_buffer.scala 412:78] + wire _T_2624 = buf_ageQ_3[3] & _T_2623; // @[lsu_bus_buffer.scala 412:76] + wire _T_2616 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 412:93] + wire _T_3914 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3937 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3941 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3948 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 455:48] + wire _T_3949 = _GEN_358 == 3'h2; // @[lsu_bus_buffer.scala 455:104] + wire _T_3950 = obuf_merge & _T_3949; // @[lsu_bus_buffer.scala 455:91] + wire _T_3951 = _T_3948 | _T_3950; // @[lsu_bus_buffer.scala 455:77] + wire _T_3952 = _T_3951 & obuf_valid; // @[lsu_bus_buffer.scala 455:135] + wire _T_3953 = _T_3952 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 455:148] + wire _GEN_204 = _T_3941 & _T_3953; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3937 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3914 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] + wire _T_2617 = _T_2616 & buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 412:103] + wire _T_2618 = ~_T_2617; // @[lsu_bus_buffer.scala 412:78] + wire _T_2619 = buf_ageQ_3[2] & _T_2618; // @[lsu_bus_buffer.scala 412:76] + wire _T_2611 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 412:93] + wire _T_3721 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3744 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3748 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3755 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 455:48] + wire _T_3756 = _GEN_358 == 3'h1; // @[lsu_bus_buffer.scala 455:104] + wire _T_3757 = obuf_merge & _T_3756; // @[lsu_bus_buffer.scala 455:91] + wire _T_3758 = _T_3755 | _T_3757; // @[lsu_bus_buffer.scala 455:77] + wire _T_3759 = _T_3758 & obuf_valid; // @[lsu_bus_buffer.scala 455:135] + wire _T_3760 = _T_3759 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 455:148] + wire _GEN_128 = _T_3748 & _T_3760; // @[Conditional.scala 39:67] + wire _GEN_141 = _T_3744 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] + wire _T_2612 = _T_2611 & buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 412:103] + wire _T_2613 = ~_T_2612; // @[lsu_bus_buffer.scala 412:78] + wire _T_2614 = buf_ageQ_3[1] & _T_2613; // @[lsu_bus_buffer.scala 412:76] + wire _T_2606 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 412:93] + wire _T_3528 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3551 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3555 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3562 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 455:48] + wire _T_3563 = _GEN_358 == 3'h0; // @[lsu_bus_buffer.scala 455:104] + wire _T_3564 = obuf_merge & _T_3563; // @[lsu_bus_buffer.scala 455:91] + wire _T_3565 = _T_3562 | _T_3564; // @[lsu_bus_buffer.scala 455:77] + wire _T_3566 = _T_3565 & obuf_valid; // @[lsu_bus_buffer.scala 455:135] + wire _T_3567 = _T_3566 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 455:148] + wire _GEN_52 = _T_3555 & _T_3567; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3551 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3528 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2607 = _T_2606 & buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 412:103] + wire _T_2608 = ~_T_2607; // @[lsu_bus_buffer.scala 412:78] + wire _T_2609 = buf_ageQ_3[0] & _T_2608; // @[lsu_bus_buffer.scala 412:76] + wire [3:0] buf_age_3 = {_T_2624,_T_2619,_T_2614,_T_2609}; // @[Cat.scala 29:58] + wire _T_2723 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2725 = _T_2723 & _T_19; // @[lsu_bus_buffer.scala 413:104] + wire _T_2717 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2719 = _T_2717 & _T_12; // @[lsu_bus_buffer.scala 413:104] + wire _T_2711 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2713 = _T_2711 & _T_5; // @[lsu_bus_buffer.scala 413:104] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2725,_T_2719,_T_2713}; // @[Cat.scala 29:58] + wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_256 = |_T_255; // @[lsu_bus_buffer.scala 146:144] + wire _T_257 = ~_T_256; // @[lsu_bus_buffer.scala 146:99] + wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[lsu_bus_buffer.scala 146:97] + reg [31:0] ibuf_addr; // @[lib.scala 374:16] + wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 152:51] + reg ibuf_write; // @[Reg.scala 27:20] + wire _T_513 = _T_512 & ibuf_write; // @[lsu_bus_buffer.scala 152:73] + reg ibuf_valid; // @[lsu_bus_buffer.scala 240:54] + wire _T_514 = _T_513 & ibuf_valid; // @[lsu_bus_buffer.scala 152:86] + wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 152:99] + wire [3:0] _T_521 = ld_addr_ibuf_hit_lo ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] + wire [3:0] _T_522 = _T_521 & ibuf_byteen; // @[lsu_bus_buffer.scala 157:55] + wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[lsu_bus_buffer.scala 157:69] + wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 146:150] + wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 146:148] + reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 500:60] + wire _T_2601 = buf_ageQ_2[3] & _T_2623; // @[lsu_bus_buffer.scala 412:76] + wire _T_2596 = buf_ageQ_2[2] & _T_2618; // @[lsu_bus_buffer.scala 412:76] + wire _T_2591 = buf_ageQ_2[1] & _T_2613; // @[lsu_bus_buffer.scala 412:76] + wire _T_2586 = buf_ageQ_2[0] & _T_2608; // @[lsu_bus_buffer.scala 412:76] + wire [3:0] buf_age_2 = {_T_2601,_T_2596,_T_2591,_T_2586}; // @[Cat.scala 29:58] + wire _T_2702 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2704 = _T_2702 & _T_26; // @[lsu_bus_buffer.scala 413:104] + wire _T_2690 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2692 = _T_2690 & _T_12; // @[lsu_bus_buffer.scala 413:104] + wire _T_2684 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2686 = _T_2684 & _T_5; // @[lsu_bus_buffer.scala 413:104] + wire [3:0] buf_age_younger_2 = {_T_2704,1'h0,_T_2692,_T_2686}; // @[Cat.scala 29:58] + wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_248 = |_T_247; // @[lsu_bus_buffer.scala 146:144] + wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 146:99] + wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 146:97] + wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 146:148] + reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 500:60] + wire _T_2578 = buf_ageQ_1[3] & _T_2623; // @[lsu_bus_buffer.scala 412:76] + wire _T_2573 = buf_ageQ_1[2] & _T_2618; // @[lsu_bus_buffer.scala 412:76] + wire _T_2568 = buf_ageQ_1[1] & _T_2613; // @[lsu_bus_buffer.scala 412:76] + wire _T_2563 = buf_ageQ_1[0] & _T_2608; // @[lsu_bus_buffer.scala 412:76] + wire [3:0] buf_age_1 = {_T_2578,_T_2573,_T_2568,_T_2563}; // @[Cat.scala 29:58] + wire _T_2675 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2677 = _T_2675 & _T_26; // @[lsu_bus_buffer.scala 413:104] + wire _T_2669 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2671 = _T_2669 & _T_19; // @[lsu_bus_buffer.scala 413:104] + wire _T_2657 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2659 = _T_2657 & _T_5; // @[lsu_bus_buffer.scala 413:104] + wire [3:0] buf_age_younger_1 = {_T_2677,_T_2671,1'h0,_T_2659}; // @[Cat.scala 29:58] + wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_240 = |_T_239; // @[lsu_bus_buffer.scala 146:144] + wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 146:99] + wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 146:97] + wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 146:148] + reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 500:60] + wire _T_2555 = buf_ageQ_0[3] & _T_2623; // @[lsu_bus_buffer.scala 412:76] + wire _T_2550 = buf_ageQ_0[2] & _T_2618; // @[lsu_bus_buffer.scala 412:76] + wire _T_2545 = buf_ageQ_0[1] & _T_2613; // @[lsu_bus_buffer.scala 412:76] + wire _T_2540 = buf_ageQ_0[0] & _T_2608; // @[lsu_bus_buffer.scala 412:76] + wire [3:0] buf_age_0 = {_T_2555,_T_2550,_T_2545,_T_2540}; // @[Cat.scala 29:58] + wire _T_2648 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2650 = _T_2648 & _T_26; // @[lsu_bus_buffer.scala 413:104] + wire _T_2642 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2644 = _T_2642 & _T_19; // @[lsu_bus_buffer.scala 413:104] + wire _T_2636 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 413:89] + wire _T_2638 = _T_2636 & _T_12; // @[lsu_bus_buffer.scala 413:104] + wire [3:0] buf_age_younger_0 = {_T_2650,_T_2644,_T_2638,1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_232 = |_T_231; // @[lsu_bus_buffer.scala 146:144] + wire _T_233 = ~_T_232; // @[lsu_bus_buffer.scala 146:99] + wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[lsu_bus_buffer.scala 146:97] + wire _T_237 = _T_234 & _T_260; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] ld_byte_hitvecfn_lo_0 = {_T_261,_T_253,_T_245,_T_237}; // @[Cat.scala 29:58] + wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[lsu_bus_buffer.scala 138:73] + wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 138:77] + wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire [3:0] ld_byte_hitvec_lo_1 = {_T_119,_T_115,_T_111,_T_107}; // @[Cat.scala 29:58] + wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_291 = |_T_290; // @[lsu_bus_buffer.scala 146:144] + wire _T_292 = ~_T_291; // @[lsu_bus_buffer.scala 146:99] + wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[lsu_bus_buffer.scala 146:97] + wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 146:150] + wire _T_296 = _T_293 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_283 = |_T_282; // @[lsu_bus_buffer.scala 146:144] + wire _T_284 = ~_T_283; // @[lsu_bus_buffer.scala 146:99] + wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[lsu_bus_buffer.scala 146:97] + wire _T_288 = _T_285 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_275 = |_T_274; // @[lsu_bus_buffer.scala 146:144] + wire _T_276 = ~_T_275; // @[lsu_bus_buffer.scala 146:99] + wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[lsu_bus_buffer.scala 146:97] + wire _T_280 = _T_277 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_267 = |_T_266; // @[lsu_bus_buffer.scala 146:144] + wire _T_268 = ~_T_267; // @[lsu_bus_buffer.scala 146:99] + wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[lsu_bus_buffer.scala 146:97] + wire _T_272 = _T_269 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] ld_byte_hitvecfn_lo_1 = {_T_296,_T_288,_T_280,_T_272}; // @[Cat.scala 29:58] + wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[lsu_bus_buffer.scala 138:73] + wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 138:77] + wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire [3:0] ld_byte_hitvec_lo_2 = {_T_137,_T_133,_T_129,_T_125}; // @[Cat.scala 29:58] + wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_326 = |_T_325; // @[lsu_bus_buffer.scala 146:144] + wire _T_327 = ~_T_326; // @[lsu_bus_buffer.scala 146:99] + wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[lsu_bus_buffer.scala 146:97] + wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 146:150] + wire _T_331 = _T_328 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_318 = |_T_317; // @[lsu_bus_buffer.scala 146:144] + wire _T_319 = ~_T_318; // @[lsu_bus_buffer.scala 146:99] + wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[lsu_bus_buffer.scala 146:97] + wire _T_323 = _T_320 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_310 = |_T_309; // @[lsu_bus_buffer.scala 146:144] + wire _T_311 = ~_T_310; // @[lsu_bus_buffer.scala 146:99] + wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[lsu_bus_buffer.scala 146:97] + wire _T_315 = _T_312 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_302 = |_T_301; // @[lsu_bus_buffer.scala 146:144] + wire _T_303 = ~_T_302; // @[lsu_bus_buffer.scala 146:99] + wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[lsu_bus_buffer.scala 146:97] + wire _T_307 = _T_304 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] ld_byte_hitvecfn_lo_2 = {_T_331,_T_323,_T_315,_T_307}; // @[Cat.scala 29:58] + wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[lsu_bus_buffer.scala 138:73] + wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 138:77] + wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire [3:0] ld_byte_hitvec_lo_3 = {_T_155,_T_151,_T_147,_T_143}; // @[Cat.scala 29:58] + wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_361 = |_T_360; // @[lsu_bus_buffer.scala 146:144] + wire _T_362 = ~_T_361; // @[lsu_bus_buffer.scala 146:99] + wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[lsu_bus_buffer.scala 146:97] + wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 146:150] + wire _T_366 = _T_363 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_353 = |_T_352; // @[lsu_bus_buffer.scala 146:144] + wire _T_354 = ~_T_353; // @[lsu_bus_buffer.scala 146:99] + wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[lsu_bus_buffer.scala 146:97] + wire _T_358 = _T_355 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_345 = |_T_344; // @[lsu_bus_buffer.scala 146:144] + wire _T_346 = ~_T_345; // @[lsu_bus_buffer.scala 146:99] + wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[lsu_bus_buffer.scala 146:97] + wire _T_350 = _T_347 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_337 = |_T_336; // @[lsu_bus_buffer.scala 146:144] + wire _T_338 = ~_T_337; // @[lsu_bus_buffer.scala 146:99] + wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[lsu_bus_buffer.scala 146:97] + wire _T_342 = _T_339 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] ld_byte_hitvecfn_lo_3 = {_T_366,_T_358,_T_350,_T_342}; // @[Cat.scala 29:58] + wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[lsu_bus_buffer.scala 138:73] + wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 138:77] + wire [2:0] _T_69 = {_T_67,_T_64,_T_61}; // @[Cat.scala 29:58] + wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire [3:0] ld_byte_hitvec_hi_0 = {_T_173,_T_169,_T_165,_T_161}; // @[Cat.scala 29:58] + wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_396 = |_T_395; // @[lsu_bus_buffer.scala 147:144] + wire _T_397 = ~_T_396; // @[lsu_bus_buffer.scala 147:99] + wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[lsu_bus_buffer.scala 147:97] + wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 153:51] + wire _T_518 = _T_517 & ibuf_write; // @[lsu_bus_buffer.scala 153:73] + wire _T_519 = _T_518 & ibuf_valid; // @[lsu_bus_buffer.scala 153:86] + wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 153:99] + wire [3:0] _T_525 = ld_addr_ibuf_hit_hi ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_526 = _T_525 & ibuf_byteen; // @[lsu_bus_buffer.scala 158:55] + wire [3:0] ld_byte_ibuf_hit_hi = _T_526 & ldst_byteen_hi_m; // @[lsu_bus_buffer.scala 158:69] + wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 147:150] + wire _T_401 = _T_398 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_388 = |_T_387; // @[lsu_bus_buffer.scala 147:144] + wire _T_389 = ~_T_388; // @[lsu_bus_buffer.scala 147:99] + wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[lsu_bus_buffer.scala 147:97] + wire _T_393 = _T_390 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_380 = |_T_379; // @[lsu_bus_buffer.scala 147:144] + wire _T_381 = ~_T_380; // @[lsu_bus_buffer.scala 147:99] + wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[lsu_bus_buffer.scala 147:97] + wire _T_385 = _T_382 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_372 = |_T_371; // @[lsu_bus_buffer.scala 147:144] + wire _T_373 = ~_T_372; // @[lsu_bus_buffer.scala 147:99] + wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[lsu_bus_buffer.scala 147:97] + wire _T_377 = _T_374 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] ld_byte_hitvecfn_hi_0 = {_T_401,_T_393,_T_385,_T_377}; // @[Cat.scala 29:58] + wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[lsu_bus_buffer.scala 139:73] + wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 139:77] + wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire [3:0] ld_byte_hitvec_hi_1 = {_T_191,_T_187,_T_183,_T_179}; // @[Cat.scala 29:58] + wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_431 = |_T_430; // @[lsu_bus_buffer.scala 147:144] + wire _T_432 = ~_T_431; // @[lsu_bus_buffer.scala 147:99] + wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[lsu_bus_buffer.scala 147:97] + wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 147:150] + wire _T_436 = _T_433 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_423 = |_T_422; // @[lsu_bus_buffer.scala 147:144] + wire _T_424 = ~_T_423; // @[lsu_bus_buffer.scala 147:99] + wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[lsu_bus_buffer.scala 147:97] + wire _T_428 = _T_425 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_415 = |_T_414; // @[lsu_bus_buffer.scala 147:144] + wire _T_416 = ~_T_415; // @[lsu_bus_buffer.scala 147:99] + wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[lsu_bus_buffer.scala 147:97] + wire _T_420 = _T_417 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_407 = |_T_406; // @[lsu_bus_buffer.scala 147:144] + wire _T_408 = ~_T_407; // @[lsu_bus_buffer.scala 147:99] + wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[lsu_bus_buffer.scala 147:97] + wire _T_412 = _T_409 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] ld_byte_hitvecfn_hi_1 = {_T_436,_T_428,_T_420,_T_412}; // @[Cat.scala 29:58] + wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[lsu_bus_buffer.scala 139:73] + wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 139:77] + wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire [3:0] ld_byte_hitvec_hi_2 = {_T_209,_T_205,_T_201,_T_197}; // @[Cat.scala 29:58] + wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_466 = |_T_465; // @[lsu_bus_buffer.scala 147:144] + wire _T_467 = ~_T_466; // @[lsu_bus_buffer.scala 147:99] + wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[lsu_bus_buffer.scala 147:97] + wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 147:150] + wire _T_471 = _T_468 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_458 = |_T_457; // @[lsu_bus_buffer.scala 147:144] + wire _T_459 = ~_T_458; // @[lsu_bus_buffer.scala 147:99] + wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[lsu_bus_buffer.scala 147:97] + wire _T_463 = _T_460 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_450 = |_T_449; // @[lsu_bus_buffer.scala 147:144] + wire _T_451 = ~_T_450; // @[lsu_bus_buffer.scala 147:99] + wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[lsu_bus_buffer.scala 147:97] + wire _T_455 = _T_452 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_442 = |_T_441; // @[lsu_bus_buffer.scala 147:144] + wire _T_443 = ~_T_442; // @[lsu_bus_buffer.scala 147:99] + wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[lsu_bus_buffer.scala 147:97] + wire _T_447 = _T_444 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] ld_byte_hitvecfn_hi_2 = {_T_471,_T_463,_T_455,_T_447}; // @[Cat.scala 29:58] + wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[lsu_bus_buffer.scala 139:73] + wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 139:77] + wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire [3:0] ld_byte_hitvec_hi_3 = {_T_227,_T_223,_T_219,_T_215}; // @[Cat.scala 29:58] + wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_501 = |_T_500; // @[lsu_bus_buffer.scala 147:144] + wire _T_502 = ~_T_501; // @[lsu_bus_buffer.scala 147:99] + wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[lsu_bus_buffer.scala 147:97] + wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 147:150] + wire _T_506 = _T_503 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_493 = |_T_492; // @[lsu_bus_buffer.scala 147:144] + wire _T_494 = ~_T_493; // @[lsu_bus_buffer.scala 147:99] + wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[lsu_bus_buffer.scala 147:97] + wire _T_498 = _T_495 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_485 = |_T_484; // @[lsu_bus_buffer.scala 147:144] + wire _T_486 = ~_T_485; // @[lsu_bus_buffer.scala 147:99] + wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[lsu_bus_buffer.scala 147:97] + wire _T_490 = _T_487 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_477 = |_T_476; // @[lsu_bus_buffer.scala 147:144] + wire _T_478 = ~_T_477; // @[lsu_bus_buffer.scala 147:99] + wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[lsu_bus_buffer.scala 147:97] + wire _T_482 = _T_479 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] ld_byte_hitvecfn_hi_3 = {_T_506,_T_498,_T_490,_T_482}; // @[Cat.scala 29:58] + wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[lsu_bus_buffer.scala 139:73] + wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 139:77] + wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] + wire [7:0] _T_530 = ld_byte_ibuf_hit_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_533 = ld_byte_ibuf_hit_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_536 = ld_byte_ibuf_hit_lo[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_539 = ld_byte_ibuf_hit_lo[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] ld_fwddata_buf_lo_initial = {_T_539,_T_536,_T_533,_T_530}; // @[Cat.scala 29:58] + wire [7:0] _T_544 = ld_byte_ibuf_hit_hi[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_547 = ld_byte_ibuf_hit_hi[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_550 = ld_byte_ibuf_hit_hi[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_553 = ld_byte_ibuf_hit_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] ld_fwddata_buf_hi_initial = {_T_553,_T_550,_T_547,_T_544}; // @[Cat.scala 29:58] + wire [7:0] _T_558 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_0; // @[lib.scala 374:16] + wire [7:0] _T_560 = _T_558 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_563 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_1; // @[lib.scala 374:16] + wire [7:0] _T_565 = _T_563 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_568 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_2; // @[lib.scala 374:16] + wire [7:0] _T_570 = _T_568 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_573 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_3; // @[lib.scala 374:16] + wire [7:0] _T_575 = _T_573 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_576 = _T_560 | _T_565; // @[lsu_bus_buffer.scala 165:123] + wire [7:0] _T_577 = _T_576 | _T_570; // @[lsu_bus_buffer.scala 165:123] + wire [7:0] _T_578 = _T_577 | _T_575; // @[lsu_bus_buffer.scala 165:123] + wire [7:0] _T_581 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_583 = _T_581 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_586 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_588 = _T_586 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_591 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_593 = _T_591 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_596 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_598 = _T_596 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_599 = _T_583 | _T_588; // @[lsu_bus_buffer.scala 166:97] + wire [7:0] _T_600 = _T_599 | _T_593; // @[lsu_bus_buffer.scala 166:97] + wire [7:0] _T_601 = _T_600 | _T_598; // @[lsu_bus_buffer.scala 166:97] + wire [7:0] _T_604 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_606 = _T_604 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_609 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_611 = _T_609 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_614 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_616 = _T_614 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_619 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_621 = _T_619 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_622 = _T_606 | _T_611; // @[lsu_bus_buffer.scala 167:97] + wire [7:0] _T_623 = _T_622 | _T_616; // @[lsu_bus_buffer.scala 167:97] + wire [7:0] _T_624 = _T_623 | _T_621; // @[lsu_bus_buffer.scala 167:97] + wire [7:0] _T_627 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_629 = _T_627 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_632 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_634 = _T_632 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_637 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_639 = _T_637 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_642 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_644 = _T_642 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_645 = _T_629 | _T_634; // @[lsu_bus_buffer.scala 168:97] + wire [7:0] _T_646 = _T_645 | _T_639; // @[lsu_bus_buffer.scala 168:97] + wire [7:0] _T_647 = _T_646 | _T_644; // @[lsu_bus_buffer.scala 168:97] + wire [31:0] _T_650 = {_T_578,_T_601,_T_624,_T_647}; // @[Cat.scala 29:58] + reg [31:0] ibuf_data; // @[lib.scala 374:16] + wire [31:0] _T_651 = ld_fwddata_buf_lo_initial & ibuf_data; // @[lsu_bus_buffer.scala 169:32] + wire [7:0] _T_655 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_657 = _T_655 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_660 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_662 = _T_660 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_665 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_667 = _T_665 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_670 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_672 = _T_670 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_673 = _T_657 | _T_662; // @[lsu_bus_buffer.scala 171:123] + wire [7:0] _T_674 = _T_673 | _T_667; // @[lsu_bus_buffer.scala 171:123] + wire [7:0] _T_675 = _T_674 | _T_672; // @[lsu_bus_buffer.scala 171:123] + wire [7:0] _T_678 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_680 = _T_678 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_683 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_685 = _T_683 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_688 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_690 = _T_688 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_693 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_695 = _T_693 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_696 = _T_680 | _T_685; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_697 = _T_696 | _T_690; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_698 = _T_697 | _T_695; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_701 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_703 = _T_701 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_706 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_708 = _T_706 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_711 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_713 = _T_711 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_716 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_718 = _T_716 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_719 = _T_703 | _T_708; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_720 = _T_719 | _T_713; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_721 = _T_720 | _T_718; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_724 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_726 = _T_724 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_729 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_731 = _T_729 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_734 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_736 = _T_734 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_739 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_741 = _T_739 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_742 = _T_726 | _T_731; // @[lsu_bus_buffer.scala 174:97] + wire [7:0] _T_743 = _T_742 | _T_736; // @[lsu_bus_buffer.scala 174:97] + wire [7:0] _T_744 = _T_743 | _T_741; // @[lsu_bus_buffer.scala 174:97] + wire [31:0] _T_747 = {_T_675,_T_698,_T_721,_T_744}; // @[Cat.scala 29:58] + wire [31:0] _T_748 = ld_fwddata_buf_hi_initial & ibuf_data; // @[lsu_bus_buffer.scala 175:32] + wire [3:0] _T_750 = io_lsu_pkt_r_bits_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_751 = io_lsu_pkt_r_bits_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_752 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_753 = _T_750 | _T_751; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_r = _T_753 | _T_752; // @[Mux.scala 27:72] + wire _T_756 = io_lsu_addr_r[1:0] == 2'h0; // @[lsu_bus_buffer.scala 182:55] + wire _T_758 = io_lsu_addr_r[1:0] == 2'h1; // @[lsu_bus_buffer.scala 183:24] + wire [3:0] _T_760 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] + wire _T_762 = io_lsu_addr_r[1:0] == 2'h2; // @[lsu_bus_buffer.scala 184:24] + wire [3:0] _T_764 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] + wire _T_766 = io_lsu_addr_r[1:0] == 2'h3; // @[lsu_bus_buffer.scala 185:24] + wire [3:0] _T_768 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] + wire [3:0] _T_770 = _T_758 ? _T_760 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_771 = _T_762 ? _T_764 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_772 = _T_766 ? _T_768 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_774 = _T_770 | _T_771; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_hi_r = _T_774 | _T_772; // @[Mux.scala 27:72] + wire [3:0] _T_781 = {ldst_byteen_r[2:0],1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_785 = {ldst_byteen_r[1:0],2'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_789 = {ldst_byteen_r[0],3'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_790 = _T_756 ? ldst_byteen_r : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_791 = _T_758 ? _T_781 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_792 = _T_762 ? _T_785 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_793 = _T_766 ? _T_789 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_794 = _T_790 | _T_791; // @[Mux.scala 27:72] + wire [3:0] _T_795 = _T_794 | _T_792; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_lo_r = _T_795 | _T_793; // @[Mux.scala 27:72] + wire [31:0] _T_802 = {24'h0,io_store_data_r[31:24]}; // @[Cat.scala 29:58] + wire [31:0] _T_806 = {16'h0,io_store_data_r[31:16]}; // @[Cat.scala 29:58] + wire [31:0] _T_810 = {8'h0,io_store_data_r[31:8]}; // @[Cat.scala 29:58] + wire [31:0] _T_812 = _T_758 ? _T_802 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_813 = _T_762 ? _T_806 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_814 = _T_766 ? _T_810 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_816 = _T_812 | _T_813; // @[Mux.scala 27:72] + wire [31:0] store_data_hi_r = _T_816 | _T_814; // @[Mux.scala 27:72] + wire [31:0] _T_823 = {io_store_data_r[23:0],8'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_827 = {io_store_data_r[15:0],16'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_831 = {io_store_data_r[7:0],24'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_832 = _T_756 ? io_store_data_r : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_833 = _T_758 ? _T_823 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_834 = _T_762 ? _T_827 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_835 = _T_766 ? _T_831 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_836 = _T_832 | _T_833; // @[Mux.scala 27:72] + wire [31:0] _T_837 = _T_836 | _T_834; // @[Mux.scala 27:72] + wire [31:0] store_data_lo_r = _T_837 | _T_835; // @[Mux.scala 27:72] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[lsu_bus_buffer.scala 203:40] + wire _T_844 = ~io_lsu_addr_r[0]; // @[lsu_bus_buffer.scala 205:31] + wire _T_845 = io_lsu_pkt_r_bits_word & _T_756; // @[Mux.scala 27:72] + wire _T_846 = io_lsu_pkt_r_bits_half & _T_844; // @[Mux.scala 27:72] + wire _T_848 = _T_845 | _T_846; // @[Mux.scala 27:72] + wire is_aligned_r = _T_848 | io_lsu_pkt_r_bits_by; // @[Mux.scala 27:72] + wire _T_850 = io_lsu_pkt_r_bits_load | io_no_word_merge_r; // @[lsu_bus_buffer.scala 207:60] + wire _T_851 = io_lsu_busreq_r & _T_850; // @[lsu_bus_buffer.scala 207:34] + wire _T_852 = ~ibuf_valid; // @[lsu_bus_buffer.scala 207:84] + wire ibuf_byp = _T_851 & _T_852; // @[lsu_bus_buffer.scala 207:82] + wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[lsu_bus_buffer.scala 208:36] + wire _T_854 = ~ibuf_byp; // @[lsu_bus_buffer.scala 208:56] + wire ibuf_wr_en = _T_853 & _T_854; // @[lsu_bus_buffer.scala 208:54] + wire _T_855 = ~ibuf_wr_en; // @[lsu_bus_buffer.scala 210:36] + reg [2:0] ibuf_timer; // @[lsu_bus_buffer.scala 253:55] + wire _T_864 = ibuf_timer == 3'h7; // @[lsu_bus_buffer.scala 216:62] + wire _T_865 = ibuf_wr_en | _T_864; // @[lsu_bus_buffer.scala 216:48] + wire _T_929 = _T_853 & io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 235:54] + wire _T_930 = _T_929 & ibuf_valid; // @[lsu_bus_buffer.scala 235:80] + wire _T_931 = _T_930 & ibuf_write; // @[lsu_bus_buffer.scala 235:93] + wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 235:129] + wire _T_935 = _T_931 & _T_934; // @[lsu_bus_buffer.scala 235:106] + wire _T_936 = ~io_is_sideeffects_r; // @[lsu_bus_buffer.scala 235:152] + wire _T_937 = _T_935 & _T_936; // @[lsu_bus_buffer.scala 235:150] + wire _T_938 = ~io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 235:175] + wire ibuf_merge_en = _T_937 & _T_938; // @[lsu_bus_buffer.scala 235:173] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[lsu_bus_buffer.scala 236:20] + wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[lsu_bus_buffer.scala 216:98] + wire _T_867 = ~_T_866; // @[lsu_bus_buffer.scala 216:82] + wire _T_868 = _T_865 & _T_867; // @[lsu_bus_buffer.scala 216:80] + wire _T_869 = _T_868 | ibuf_byp; // @[lsu_bus_buffer.scala 217:5] + wire _T_857 = ~io_lsu_busreq_r; // @[lsu_bus_buffer.scala 211:44] + wire _T_858 = io_lsu_busreq_m & _T_857; // @[lsu_bus_buffer.scala 211:42] + wire _T_859 = _T_858 & ibuf_valid; // @[lsu_bus_buffer.scala 211:61] + wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[lsu_bus_buffer.scala 211:120] + wire _T_863 = io_lsu_pkt_m_bits_load | _T_862; // @[lsu_bus_buffer.scala 211:100] + wire ibuf_force_drain = _T_859 & _T_863; // @[lsu_bus_buffer.scala 211:74] + wire _T_870 = _T_869 | ibuf_force_drain; // @[lsu_bus_buffer.scala 217:16] + reg ibuf_sideeffect; // @[Reg.scala 27:20] + wire _T_871 = _T_870 | ibuf_sideeffect; // @[lsu_bus_buffer.scala 217:35] + wire _T_872 = ~ibuf_write; // @[lsu_bus_buffer.scala 217:55] + wire _T_873 = _T_871 | _T_872; // @[lsu_bus_buffer.scala 217:53] + wire _T_874 = _T_873 | io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 217:67] + wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 216:32] + wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 210:34] + wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 210:49] + reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 616:49] + reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 615:49] + reg [1:0] ibuf_tag; // @[Reg.scala 27:20] + wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_bits_word,io_lsu_pkt_r_bits_half}; // @[Cat.scala 29:58] + wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 226:77] + wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[lsu_bus_buffer.scala 232:8] + wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[lsu_bus_buffer.scala 230:46] + wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[lsu_bus_buffer.scala 232:8] + wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[lsu_bus_buffer.scala 230:46] + wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[lsu_bus_buffer.scala 232:8] + wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[lsu_bus_buffer.scala 230:46] + wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[lsu_bus_buffer.scala 232:8] + wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[lsu_bus_buffer.scala 230:46] + wire [23:0] _T_922 = {_T_920,_T_911,_T_902}; // @[Cat.scala 29:58] + wire _T_923 = ibuf_timer < 3'h7; // @[lsu_bus_buffer.scala 233:59] + wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[lsu_bus_buffer.scala 233:93] + wire _T_941 = ~ibuf_merge_in; // @[lsu_bus_buffer.scala 237:65] + wire _T_942 = ibuf_merge_en & _T_941; // @[lsu_bus_buffer.scala 237:63] + wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[lsu_bus_buffer.scala 237:96] + wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[lsu_bus_buffer.scala 237:48] + wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[lsu_bus_buffer.scala 237:96] + wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[lsu_bus_buffer.scala 237:48] + wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[lsu_bus_buffer.scala 237:96] + wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[lsu_bus_buffer.scala 237:48] + wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[lsu_bus_buffer.scala 237:96] + wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[lsu_bus_buffer.scala 237:48] + wire [3:0] ibuf_byteen_out = {_T_968,_T_961,_T_954,_T_947}; // @[Cat.scala 29:58] + wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 238:45] + wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 238:45] + wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 238:45] + wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 238:45] + wire [31:0] ibuf_data_out = {_T_1002,_T_994,_T_986,_T_978}; // @[Cat.scala 29:58] + wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[lsu_bus_buffer.scala 240:58] + wire _T_1006 = ~ibuf_rst; // @[lsu_bus_buffer.scala 240:93] + reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] + reg ibuf_dual; // @[Reg.scala 27:20] + reg ibuf_samedw; // @[Reg.scala 27:20] + reg ibuf_nomerge; // @[Reg.scala 27:20] + reg ibuf_unsign; // @[Reg.scala 27:20] + reg [1:0] ibuf_sz; // @[Reg.scala 27:20] + wire _T_4446 = buf_write[3] & _T_2621; // @[lsu_bus_buffer.scala 522:64] + wire _T_4447 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 522:91] + wire _T_4448 = _T_4446 & _T_4447; // @[lsu_bus_buffer.scala 522:89] + wire _T_4441 = buf_write[2] & _T_2616; // @[lsu_bus_buffer.scala 522:64] + wire _T_4442 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 522:91] + wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 522:89] + wire [1:0] _T_4449 = _T_4448 + _T_4443; // @[lsu_bus_buffer.scala 522:142] + wire _T_4436 = buf_write[1] & _T_2611; // @[lsu_bus_buffer.scala 522:64] + wire _T_4437 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 522:91] + wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 522:89] + wire [1:0] _GEN_362 = {{1'd0}, _T_4438}; // @[lsu_bus_buffer.scala 522:142] + wire [2:0] _T_4450 = _T_4449 + _GEN_362; // @[lsu_bus_buffer.scala 522:142] + wire _T_4431 = buf_write[0] & _T_2606; // @[lsu_bus_buffer.scala 522:64] + wire _T_4432 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 522:91] + wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 522:89] + wire [2:0] _GEN_363 = {{2'd0}, _T_4433}; // @[lsu_bus_buffer.scala 522:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4450 + _GEN_363; // @[lsu_bus_buffer.scala 522:142] + wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 263:43] + wire _T_4463 = _T_2621 & _T_4447; // @[lsu_bus_buffer.scala 523:73] + wire _T_4460 = _T_2616 & _T_4442; // @[lsu_bus_buffer.scala 523:73] + wire [1:0] _T_4464 = _T_4463 + _T_4460; // @[lsu_bus_buffer.scala 523:126] + wire _T_4457 = _T_2611 & _T_4437; // @[lsu_bus_buffer.scala 523:73] + wire [1:0] _GEN_364 = {{1'd0}, _T_4457}; // @[lsu_bus_buffer.scala 523:126] + wire [2:0] _T_4465 = _T_4464 + _GEN_364; // @[lsu_bus_buffer.scala 523:126] + wire _T_4454 = _T_2606 & _T_4432; // @[lsu_bus_buffer.scala 523:73] + wire [2:0] _GEN_365 = {{2'd0}, _T_4454}; // @[lsu_bus_buffer.scala 523:126] + wire [3:0] buf_numvld_cmd_any = _T_4465 + _GEN_365; // @[lsu_bus_buffer.scala 523:126] + wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 263:72] + wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 263:51] + reg [2:0] obuf_wr_timer; // @[lsu_bus_buffer.scala 361:54] + wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 263:97] + wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 263:80] + wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 263:114] + wire _T_1979 = |buf_age_3; // @[lsu_bus_buffer.scala 378:58] + wire _T_1980 = ~_T_1979; // @[lsu_bus_buffer.scala 378:45] + wire _T_1982 = _T_1980 & _T_2621; // @[lsu_bus_buffer.scala 378:63] + wire _T_1984 = _T_1982 & _T_4447; // @[lsu_bus_buffer.scala 378:88] + wire _T_1973 = |buf_age_2; // @[lsu_bus_buffer.scala 378:58] + wire _T_1974 = ~_T_1973; // @[lsu_bus_buffer.scala 378:45] + wire _T_1976 = _T_1974 & _T_2616; // @[lsu_bus_buffer.scala 378:63] + wire _T_1978 = _T_1976 & _T_4442; // @[lsu_bus_buffer.scala 378:88] + wire _T_1967 = |buf_age_1; // @[lsu_bus_buffer.scala 378:58] + wire _T_1968 = ~_T_1967; // @[lsu_bus_buffer.scala 378:45] + wire _T_1970 = _T_1968 & _T_2611; // @[lsu_bus_buffer.scala 378:63] + wire _T_1972 = _T_1970 & _T_4437; // @[lsu_bus_buffer.scala 378:88] + wire _T_1961 = |buf_age_0; // @[lsu_bus_buffer.scala 378:58] + wire _T_1962 = ~_T_1961; // @[lsu_bus_buffer.scala 378:45] + wire _T_1964 = _T_1962 & _T_2606; // @[lsu_bus_buffer.scala 378:63] + wire _T_1966 = _T_1964 & _T_4432; // @[lsu_bus_buffer.scala 378:88] + wire [3:0] CmdPtr0Dec = {_T_1984,_T_1978,_T_1972,_T_1966}; // @[Cat.scala 29:58] + wire [7:0] _T_2054 = {4'h0,_T_1984,_T_1978,_T_1972,_T_1966}; // @[Cat.scala 29:58] + wire _T_2057 = _T_2054[4] | _T_2054[5]; // @[lsu_bus_buffer.scala 386:42] + wire _T_2059 = _T_2057 | _T_2054[6]; // @[lsu_bus_buffer.scala 386:48] + wire _T_2061 = _T_2059 | _T_2054[7]; // @[lsu_bus_buffer.scala 386:54] + wire _T_2064 = _T_2054[2] | _T_2054[3]; // @[lsu_bus_buffer.scala 386:67] + wire _T_2066 = _T_2064 | _T_2054[6]; // @[lsu_bus_buffer.scala 386:73] + wire _T_2068 = _T_2066 | _T_2054[7]; // @[lsu_bus_buffer.scala 386:79] + wire _T_2071 = _T_2054[1] | _T_2054[3]; // @[lsu_bus_buffer.scala 386:92] + wire _T_2073 = _T_2071 | _T_2054[5]; // @[lsu_bus_buffer.scala 386:98] + wire _T_2075 = _T_2073 | _T_2054[7]; // @[lsu_bus_buffer.scala 386:104] + wire [2:0] _T_2077 = {_T_2061,_T_2068,_T_2075}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr0 = _T_2077[1:0]; // @[lsu_bus_buffer.scala 391:11] + wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 264:114] + wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 264:114] + wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 264:114] + wire _T_1026 = CmdPtr0 == 2'h3; // @[lsu_bus_buffer.scala 264:114] + reg buf_nomerge_0; // @[Reg.scala 27:20] + wire _T_1027 = _T_1023 & buf_nomerge_0; // @[Mux.scala 27:72] + reg buf_nomerge_1; // @[Reg.scala 27:20] + wire _T_1028 = _T_1024 & buf_nomerge_1; // @[Mux.scala 27:72] + reg buf_nomerge_2; // @[Reg.scala 27:20] + wire _T_1029 = _T_1025 & buf_nomerge_2; // @[Mux.scala 27:72] + reg buf_nomerge_3; // @[Reg.scala 27:20] + wire _T_1030 = _T_1026 & buf_nomerge_3; // @[Mux.scala 27:72] + wire _T_1031 = _T_1027 | _T_1028; // @[Mux.scala 27:72] + wire _T_1032 = _T_1031 | _T_1029; // @[Mux.scala 27:72] + wire _T_1033 = _T_1032 | _T_1030; // @[Mux.scala 27:72] + wire _T_1035 = ~_T_1033; // @[lsu_bus_buffer.scala 264:31] + wire _T_1036 = _T_1022 & _T_1035; // @[lsu_bus_buffer.scala 264:29] + reg _T_4330; // @[Reg.scala 27:20] + reg _T_4327; // @[Reg.scala 27:20] + reg _T_4324; // @[Reg.scala 27:20] + reg _T_4321; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4330,_T_4327,_T_4324,_T_4321}; // @[Cat.scala 29:58] + wire _T_1045 = _T_1023 & buf_sideeffect[0]; // @[Mux.scala 27:72] + wire _T_1046 = _T_1024 & buf_sideeffect[1]; // @[Mux.scala 27:72] + wire _T_1047 = _T_1025 & buf_sideeffect[2]; // @[Mux.scala 27:72] + wire _T_1048 = _T_1026 & buf_sideeffect[3]; // @[Mux.scala 27:72] + wire _T_1049 = _T_1045 | _T_1046; // @[Mux.scala 27:72] + wire _T_1050 = _T_1049 | _T_1047; // @[Mux.scala 27:72] + wire _T_1051 = _T_1050 | _T_1048; // @[Mux.scala 27:72] + wire _T_1053 = ~_T_1051; // @[lsu_bus_buffer.scala 265:5] + wire _T_1054 = _T_1036 & _T_1053; // @[lsu_bus_buffer.scala 264:140] + wire _T_1065 = _T_858 & _T_852; // @[lsu_bus_buffer.scala 267:58] + wire _T_1067 = _T_1065 & _T_1017; // @[lsu_bus_buffer.scala 267:72] + wire [29:0] _T_1077 = _T_1023 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1078 = _T_1024 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1081 = _T_1077 | _T_1078; // @[Mux.scala 27:72] + wire [29:0] _T_1079 = _T_1025 ? buf_addr_2[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1082 = _T_1081 | _T_1079; // @[Mux.scala 27:72] + wire [29:0] _T_1080 = _T_1026 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1083 = _T_1082 | _T_1080; // @[Mux.scala 27:72] + wire _T_1085 = io_lsu_addr_m[31:2] != _T_1083; // @[lsu_bus_buffer.scala 267:123] + wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 267:101] + wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 265:119] + wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 265:117] + wire _T_1056 = |buf_numvld_cmd_any; // @[lsu_bus_buffer.scala 266:75] + wire _T_1057 = obuf_wr_timer < 3'h7; // @[lsu_bus_buffer.scala 266:95] + wire _T_1058 = _T_1056 & _T_1057; // @[lsu_bus_buffer.scala 266:79] + wire [2:0] _T_1060 = obuf_wr_timer + 3'h1; // @[lsu_bus_buffer.scala 266:123] + wire _T_4482 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 524:63] + wire _T_4486 = _T_4482 | _T_4463; // @[lsu_bus_buffer.scala 524:74] + wire _T_4477 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 524:63] + wire _T_4481 = _T_4477 | _T_4460; // @[lsu_bus_buffer.scala 524:74] + wire [1:0] _T_4487 = _T_4486 + _T_4481; // @[lsu_bus_buffer.scala 524:154] + wire _T_4472 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 524:63] + wire _T_4476 = _T_4472 | _T_4457; // @[lsu_bus_buffer.scala 524:74] + wire [1:0] _GEN_366 = {{1'd0}, _T_4476}; // @[lsu_bus_buffer.scala 524:154] + wire [2:0] _T_4488 = _T_4487 + _GEN_366; // @[lsu_bus_buffer.scala 524:154] + wire _T_4467 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 524:63] + wire _T_4471 = _T_4467 | _T_4454; // @[lsu_bus_buffer.scala 524:74] + wire [2:0] _GEN_367 = {{2'd0}, _T_4471}; // @[lsu_bus_buffer.scala 524:154] + wire [3:0] buf_numvld_pend_any = _T_4488 + _GEN_367; // @[lsu_bus_buffer.scala 524:154] + wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 269:53] + wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 269:31] + wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 269:64] + wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 269:89] + wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 269:61] + wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 284:32] + wire _T_4778 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 552:62] + wire _T_4780 = _T_4778 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 552:73] + wire _T_4781 = _T_4780 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:93] + wire _T_4782 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 552:62] + wire _T_4784 = _T_4782 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 552:73] + wire _T_4785 = _T_4784 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:93] + wire _T_4794 = _T_4781 | _T_4785; // @[lsu_bus_buffer.scala 552:153] + wire _T_4786 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 552:62] + wire _T_4788 = _T_4786 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 552:73] + wire _T_4789 = _T_4788 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:93] + wire _T_4795 = _T_4794 | _T_4789; // @[lsu_bus_buffer.scala 552:153] + wire _T_4790 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 552:62] + wire _T_4792 = _T_4790 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 552:73] + wire _T_4793 = _T_4792 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:93] + wire _T_4796 = _T_4795 | _T_4793; // @[lsu_bus_buffer.scala 552:153] + reg obuf_sideeffect; // @[Reg.scala 27:20] + wire _T_4797 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 552:171] + wire _T_4798 = _T_4797 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 552:189] + wire bus_sideeffect_pend = _T_4796 | _T_4798; // @[lsu_bus_buffer.scala 552:157] + wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 284:74] + wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 284:52] + wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 284:50] + wire [2:0] _T_1099 = _T_1023 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1100 = _T_1024 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1103 = _T_1099 | _T_1100; // @[Mux.scala 27:72] + wire [2:0] _T_1101 = _T_1025 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1104 = _T_1103 | _T_1101; // @[Mux.scala 27:72] + wire [2:0] _T_1102 = _T_1026 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1105 = _T_1104 | _T_1102; // @[Mux.scala 27:72] + wire _T_1107 = _T_1105 == 3'h2; // @[lsu_bus_buffer.scala 285:36] + wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 383:31] + wire _T_1108 = _T_1107 & found_cmdptr0; // @[lsu_bus_buffer.scala 285:47] + wire [3:0] _T_1111 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] + wire _T_1120 = _T_1023 & _T_1111[0]; // @[Mux.scala 27:72] + wire _T_1121 = _T_1024 & _T_1111[1]; // @[Mux.scala 27:72] + wire _T_1124 = _T_1120 | _T_1121; // @[Mux.scala 27:72] + wire _T_1122 = _T_1025 & _T_1111[2]; // @[Mux.scala 27:72] + wire _T_1125 = _T_1124 | _T_1122; // @[Mux.scala 27:72] + wire _T_1123 = _T_1026 & _T_1111[3]; // @[Mux.scala 27:72] + wire _T_1126 = _T_1125 | _T_1123; // @[Mux.scala 27:72] + wire _T_1128 = ~_T_1126; // @[lsu_bus_buffer.scala 286:23] + wire _T_1129 = _T_1108 & _T_1128; // @[lsu_bus_buffer.scala 286:21] + wire _T_1146 = _T_1051 & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 286:141] + wire _T_1147 = ~_T_1146; // @[lsu_bus_buffer.scala 286:105] + wire _T_1148 = _T_1129 & _T_1147; // @[lsu_bus_buffer.scala 286:103] + reg buf_dual_3; // @[Reg.scala 27:20] + reg buf_dual_2; // @[Reg.scala 27:20] + reg buf_dual_1; // @[Reg.scala 27:20] + reg buf_dual_0; // @[Reg.scala 27:20] + wire [3:0] _T_1151 = {buf_dual_3,buf_dual_2,buf_dual_1,buf_dual_0}; // @[Cat.scala 29:58] + wire _T_1160 = _T_1023 & _T_1151[0]; // @[Mux.scala 27:72] + wire _T_1161 = _T_1024 & _T_1151[1]; // @[Mux.scala 27:72] + wire _T_1164 = _T_1160 | _T_1161; // @[Mux.scala 27:72] + wire _T_1162 = _T_1025 & _T_1151[2]; // @[Mux.scala 27:72] + wire _T_1165 = _T_1164 | _T_1162; // @[Mux.scala 27:72] + wire _T_1163 = _T_1026 & _T_1151[3]; // @[Mux.scala 27:72] + wire _T_1166 = _T_1165 | _T_1163; // @[Mux.scala 27:72] + reg buf_samedw_3; // @[Reg.scala 27:20] + reg buf_samedw_2; // @[Reg.scala 27:20] + reg buf_samedw_1; // @[Reg.scala 27:20] + reg buf_samedw_0; // @[Reg.scala 27:20] + wire [3:0] _T_1170 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] + wire _T_1179 = _T_1023 & _T_1170[0]; // @[Mux.scala 27:72] + wire _T_1180 = _T_1024 & _T_1170[1]; // @[Mux.scala 27:72] + wire _T_1183 = _T_1179 | _T_1180; // @[Mux.scala 27:72] + wire _T_1181 = _T_1025 & _T_1170[2]; // @[Mux.scala 27:72] + wire _T_1184 = _T_1183 | _T_1181; // @[Mux.scala 27:72] + wire _T_1182 = _T_1026 & _T_1170[3]; // @[Mux.scala 27:72] + wire _T_1185 = _T_1184 | _T_1182; // @[Mux.scala 27:72] + wire _T_1187 = _T_1166 & _T_1185; // @[lsu_bus_buffer.scala 287:77] + wire _T_1196 = _T_1023 & buf_write[0]; // @[Mux.scala 27:72] + wire _T_1197 = _T_1024 & buf_write[1]; // @[Mux.scala 27:72] + wire _T_1200 = _T_1196 | _T_1197; // @[Mux.scala 27:72] + wire _T_1198 = _T_1025 & buf_write[2]; // @[Mux.scala 27:72] + wire _T_1201 = _T_1200 | _T_1198; // @[Mux.scala 27:72] + wire _T_1199 = _T_1026 & buf_write[3]; // @[Mux.scala 27:72] + wire _T_1202 = _T_1201 | _T_1199; // @[Mux.scala 27:72] + wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 287:150] + wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 287:148] + wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 287:8] + wire [3:0] _T_2020 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 379:62] + wire [3:0] _T_2021 = buf_age_3 & _T_2020; // @[lsu_bus_buffer.scala 379:59] + wire _T_2022 = |_T_2021; // @[lsu_bus_buffer.scala 379:76] + wire _T_2023 = ~_T_2022; // @[lsu_bus_buffer.scala 379:45] + wire _T_2025 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 379:83] + wire _T_2026 = _T_2023 & _T_2025; // @[lsu_bus_buffer.scala 379:81] + wire _T_2028 = _T_2026 & _T_2621; // @[lsu_bus_buffer.scala 379:98] + wire _T_2030 = _T_2028 & _T_4447; // @[lsu_bus_buffer.scala 379:123] + wire [3:0] _T_2010 = buf_age_2 & _T_2020; // @[lsu_bus_buffer.scala 379:59] + wire _T_2011 = |_T_2010; // @[lsu_bus_buffer.scala 379:76] + wire _T_2012 = ~_T_2011; // @[lsu_bus_buffer.scala 379:45] + wire _T_2014 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 379:83] + wire _T_2015 = _T_2012 & _T_2014; // @[lsu_bus_buffer.scala 379:81] + wire _T_2017 = _T_2015 & _T_2616; // @[lsu_bus_buffer.scala 379:98] + wire _T_2019 = _T_2017 & _T_4442; // @[lsu_bus_buffer.scala 379:123] + wire [3:0] _T_1999 = buf_age_1 & _T_2020; // @[lsu_bus_buffer.scala 379:59] + wire _T_2000 = |_T_1999; // @[lsu_bus_buffer.scala 379:76] + wire _T_2001 = ~_T_2000; // @[lsu_bus_buffer.scala 379:45] + wire _T_2003 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 379:83] + wire _T_2004 = _T_2001 & _T_2003; // @[lsu_bus_buffer.scala 379:81] + wire _T_2006 = _T_2004 & _T_2611; // @[lsu_bus_buffer.scala 379:98] + wire _T_2008 = _T_2006 & _T_4437; // @[lsu_bus_buffer.scala 379:123] + wire [3:0] _T_1988 = buf_age_0 & _T_2020; // @[lsu_bus_buffer.scala 379:59] + wire _T_1989 = |_T_1988; // @[lsu_bus_buffer.scala 379:76] + wire _T_1990 = ~_T_1989; // @[lsu_bus_buffer.scala 379:45] + wire _T_1992 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 379:83] + wire _T_1993 = _T_1990 & _T_1992; // @[lsu_bus_buffer.scala 379:81] + wire _T_1995 = _T_1993 & _T_2606; // @[lsu_bus_buffer.scala 379:98] + wire _T_1997 = _T_1995 & _T_4432; // @[lsu_bus_buffer.scala 379:123] + wire [3:0] CmdPtr1Dec = {_T_2030,_T_2019,_T_2008,_T_1997}; // @[Cat.scala 29:58] + wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 384:31] + wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 287:181] + wire [3:0] _T_1210 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] + wire _T_1219 = _T_1023 & _T_1210[0]; // @[Mux.scala 27:72] + wire _T_1220 = _T_1024 & _T_1210[1]; // @[Mux.scala 27:72] + wire _T_1223 = _T_1219 | _T_1220; // @[Mux.scala 27:72] + wire _T_1221 = _T_1025 & _T_1210[2]; // @[Mux.scala 27:72] + wire _T_1224 = _T_1223 | _T_1221; // @[Mux.scala 27:72] + wire _T_1222 = _T_1026 & _T_1210[3]; // @[Mux.scala 27:72] + wire _T_1225 = _T_1224 | _T_1222; // @[Mux.scala 27:72] + wire _T_1227 = _T_1207 | _T_1225; // @[lsu_bus_buffer.scala 287:197] + wire _T_1228 = _T_1227 | obuf_force_wr_en; // @[lsu_bus_buffer.scala 287:269] + wire _T_1229 = _T_1148 & _T_1228; // @[lsu_bus_buffer.scala 286:164] + wire _T_1230 = _T_1094 | _T_1229; // @[lsu_bus_buffer.scala 284:98] + reg obuf_write; // @[Reg.scala 27:20] + reg obuf_cmd_done; // @[lsu_bus_buffer.scala 348:54] + reg obuf_data_done; // @[lsu_bus_buffer.scala 349:55] + wire _T_4856 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 556:54] + wire _T_4857 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 556:75] + wire _T_4858 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 556:153] + wire _T_4859 = _T_4856 ? _T_4857 : _T_4858; // @[lsu_bus_buffer.scala 556:39] + wire bus_cmd_ready = obuf_write ? _T_4859 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 556:23] + wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 288:48] + wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 288:46] + reg obuf_nosend; // @[Reg.scala 27:20] + wire _T_1233 = _T_1232 | obuf_nosend; // @[lsu_bus_buffer.scala 288:60] + wire _T_1234 = _T_1230 & _T_1233; // @[lsu_bus_buffer.scala 288:29] + wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 288:77] + wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 288:75] + reg [31:0] obuf_addr; // @[lib.scala 374:16] + wire _T_4804 = obuf_addr[31:3] == buf_addr_0[31:3]; // @[lsu_bus_buffer.scala 554:56] + wire _T_4805 = obuf_valid & _T_4804; // @[lsu_bus_buffer.scala 554:38] + wire _T_4807 = obuf_tag1 == 2'h0; // @[lsu_bus_buffer.scala 554:126] + wire _T_4808 = obuf_merge & _T_4807; // @[lsu_bus_buffer.scala 554:114] + wire _T_4809 = _T_3562 | _T_4808; // @[lsu_bus_buffer.scala 554:100] + wire _T_4810 = ~_T_4809; // @[lsu_bus_buffer.scala 554:80] + wire _T_4811 = _T_4805 & _T_4810; // @[lsu_bus_buffer.scala 554:78] + wire _T_4848 = _T_4778 & _T_4811; // @[Mux.scala 27:72] + wire _T_4816 = obuf_addr[31:3] == buf_addr_1[31:3]; // @[lsu_bus_buffer.scala 554:56] + wire _T_4817 = obuf_valid & _T_4816; // @[lsu_bus_buffer.scala 554:38] + wire _T_4819 = obuf_tag1 == 2'h1; // @[lsu_bus_buffer.scala 554:126] + wire _T_4820 = obuf_merge & _T_4819; // @[lsu_bus_buffer.scala 554:114] + wire _T_4821 = _T_3755 | _T_4820; // @[lsu_bus_buffer.scala 554:100] + wire _T_4822 = ~_T_4821; // @[lsu_bus_buffer.scala 554:80] + wire _T_4823 = _T_4817 & _T_4822; // @[lsu_bus_buffer.scala 554:78] + wire _T_4849 = _T_4782 & _T_4823; // @[Mux.scala 27:72] + wire _T_4852 = _T_4848 | _T_4849; // @[Mux.scala 27:72] + wire _T_4828 = obuf_addr[31:3] == buf_addr_2[31:3]; // @[lsu_bus_buffer.scala 554:56] + wire _T_4829 = obuf_valid & _T_4828; // @[lsu_bus_buffer.scala 554:38] + wire _T_4831 = obuf_tag1 == 2'h2; // @[lsu_bus_buffer.scala 554:126] + wire _T_4832 = obuf_merge & _T_4831; // @[lsu_bus_buffer.scala 554:114] + wire _T_4833 = _T_3948 | _T_4832; // @[lsu_bus_buffer.scala 554:100] + wire _T_4834 = ~_T_4833; // @[lsu_bus_buffer.scala 554:80] + wire _T_4835 = _T_4829 & _T_4834; // @[lsu_bus_buffer.scala 554:78] + wire _T_4850 = _T_4786 & _T_4835; // @[Mux.scala 27:72] + wire _T_4853 = _T_4852 | _T_4850; // @[Mux.scala 27:72] + wire _T_4840 = obuf_addr[31:3] == buf_addr_3[31:3]; // @[lsu_bus_buffer.scala 554:56] + wire _T_4841 = obuf_valid & _T_4840; // @[lsu_bus_buffer.scala 554:38] + wire _T_4843 = obuf_tag1 == 2'h3; // @[lsu_bus_buffer.scala 554:126] + wire _T_4844 = obuf_merge & _T_4843; // @[lsu_bus_buffer.scala 554:114] + wire _T_4845 = _T_4141 | _T_4844; // @[lsu_bus_buffer.scala 554:100] + wire _T_4846 = ~_T_4845; // @[lsu_bus_buffer.scala 554:80] + wire _T_4847 = _T_4841 & _T_4846; // @[lsu_bus_buffer.scala 554:78] + wire _T_4851 = _T_4790 & _T_4847; // @[Mux.scala 27:72] + wire bus_addr_match_pending = _T_4853 | _T_4851; // @[Mux.scala 27:72] + wire _T_1239 = ~bus_addr_match_pending; // @[lsu_bus_buffer.scala 288:118] + wire _T_1240 = _T_1236 & _T_1239; // @[lsu_bus_buffer.scala 288:116] + wire obuf_wr_en = _T_1240 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 288:142] + wire _T_1242 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 290:47] + wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 557:40] + wire _T_4863 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 559:35] + wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 558:40] + wire _T_4864 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 559:70] + wire _T_4865 = _T_4863 & _T_4864; // @[lsu_bus_buffer.scala 559:52] + wire _T_4866 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 559:112] + wire bus_cmd_sent = _T_4865 | _T_4866; // @[lsu_bus_buffer.scala 559:89] + wire _T_1243 = bus_cmd_sent | _T_1242; // @[lsu_bus_buffer.scala 290:33] + wire _T_1244 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 290:65] + wire _T_1245 = _T_1243 & _T_1244; // @[lsu_bus_buffer.scala 290:63] + wire _T_1246 = _T_1245 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 290:77] + wire obuf_rst = _T_1246 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 290:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_bits_store : _T_1202; // @[lsu_bus_buffer.scala 291:26] + wire [31:0] _T_1283 = _T_1023 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1284 = _T_1024 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1285 = _T_1025 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1286 = _T_1026 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1287 = _T_1283 | _T_1284; // @[Mux.scala 27:72] + wire [31:0] _T_1288 = _T_1287 | _T_1285; // @[Mux.scala 27:72] + wire [31:0] _T_1289 = _T_1288 | _T_1286; // @[Mux.scala 27:72] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1289; // @[lsu_bus_buffer.scala 293:25] + reg [1:0] buf_sz_0; // @[Reg.scala 27:20] + wire [1:0] _T_1296 = _T_1023 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_1; // @[Reg.scala 27:20] + wire [1:0] _T_1297 = _T_1024 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_2; // @[Reg.scala 27:20] + wire [1:0] _T_1298 = _T_1025 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_3; // @[Reg.scala 27:20] + wire [1:0] _T_1299 = _T_1026 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_1300 = _T_1296 | _T_1297; // @[Mux.scala 27:72] + wire [1:0] _T_1301 = _T_1300 | _T_1298; // @[Mux.scala 27:72] + wire [1:0] _T_1302 = _T_1301 | _T_1299; // @[Mux.scala 27:72] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1302; // @[lsu_bus_buffer.scala 296:23] + wire [7:0] _T_2079 = {4'h0,_T_2030,_T_2019,_T_2008,_T_1997}; // @[Cat.scala 29:58] + wire _T_2082 = _T_2079[4] | _T_2079[5]; // @[lsu_bus_buffer.scala 386:42] + wire _T_2084 = _T_2082 | _T_2079[6]; // @[lsu_bus_buffer.scala 386:48] + wire _T_2086 = _T_2084 | _T_2079[7]; // @[lsu_bus_buffer.scala 386:54] + wire _T_2089 = _T_2079[2] | _T_2079[3]; // @[lsu_bus_buffer.scala 386:67] + wire _T_2091 = _T_2089 | _T_2079[6]; // @[lsu_bus_buffer.scala 386:73] + wire _T_2093 = _T_2091 | _T_2079[7]; // @[lsu_bus_buffer.scala 386:79] + wire _T_2096 = _T_2079[1] | _T_2079[3]; // @[lsu_bus_buffer.scala 386:92] + wire _T_2098 = _T_2096 | _T_2079[5]; // @[lsu_bus_buffer.scala 386:98] + wire _T_2100 = _T_2098 | _T_2079[7]; // @[lsu_bus_buffer.scala 386:104] + wire [2:0] _T_2102 = {_T_2086,_T_2093,_T_2100}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr1 = _T_2102[1:0]; // @[lsu_bus_buffer.scala 393:11] + wire _T_1304 = obuf_wr_en | obuf_rst; // @[lsu_bus_buffer.scala 304:39] + wire _T_1305 = ~_T_1304; // @[lsu_bus_buffer.scala 304:26] + wire _T_1311 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 308:72] + wire _T_1314 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 308:98] + wire _T_1315 = obuf_sz_in[0] & _T_1314; // @[lsu_bus_buffer.scala 308:96] + wire _T_1316 = _T_1311 | _T_1315; // @[lsu_bus_buffer.scala 308:79] + wire _T_1319 = |obuf_addr_in[1:0]; // @[lsu_bus_buffer.scala 308:153] + wire _T_1320 = ~_T_1319; // @[lsu_bus_buffer.scala 308:134] + wire _T_1321 = obuf_sz_in[1] & _T_1320; // @[lsu_bus_buffer.scala 308:132] + wire _T_1322 = _T_1316 | _T_1321; // @[lsu_bus_buffer.scala 308:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1322; // @[lsu_bus_buffer.scala 308:28] + wire _T_1339 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[lsu_bus_buffer.scala 322:40] + wire _T_1340 = _T_1339 & obuf_aligned_in; // @[lsu_bus_buffer.scala 322:60] + wire _T_1341 = ~obuf_sideeffect; // @[lsu_bus_buffer.scala 322:80] + wire _T_1342 = _T_1340 & _T_1341; // @[lsu_bus_buffer.scala 322:78] + wire _T_1343 = ~obuf_write; // @[lsu_bus_buffer.scala 322:99] + wire _T_1344 = _T_1342 & _T_1343; // @[lsu_bus_buffer.scala 322:97] + wire _T_1345 = ~obuf_write_in; // @[lsu_bus_buffer.scala 322:113] + wire _T_1346 = _T_1344 & _T_1345; // @[lsu_bus_buffer.scala 322:111] + wire _T_1347 = ~io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_buffer.scala 322:130] + wire _T_1348 = _T_1346 & _T_1347; // @[lsu_bus_buffer.scala 322:128] + wire _T_1349 = ~obuf_nosend; // @[lsu_bus_buffer.scala 323:20] + wire _T_1350 = obuf_valid & _T_1349; // @[lsu_bus_buffer.scala 323:18] + reg obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 350:56] + wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 560:38] + reg [2:0] obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 351:55] + wire _T_1351 = io_lsu_axi_r_bits_id == obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 323:90] + wire _T_1352 = bus_rsp_read & _T_1351; // @[lsu_bus_buffer.scala 323:70] + wire _T_1353 = ~_T_1352; // @[lsu_bus_buffer.scala 323:55] + wire _T_1354 = obuf_rdrsp_pend & _T_1353; // @[lsu_bus_buffer.scala 323:53] + wire _T_1355 = _T_1350 | _T_1354; // @[lsu_bus_buffer.scala 323:34] + wire obuf_nosend_in = _T_1348 & _T_1355; // @[lsu_bus_buffer.scala 322:177] + wire _T_1323 = ~obuf_nosend_in; // @[lsu_bus_buffer.scala 316:44] + wire _T_1324 = obuf_wr_en & _T_1323; // @[lsu_bus_buffer.scala 316:42] + wire _T_1325 = ~_T_1324; // @[lsu_bus_buffer.scala 316:29] + wire _T_1326 = _T_1325 & obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 316:61] + wire _T_1330 = _T_1326 & _T_1353; // @[lsu_bus_buffer.scala 316:79] + wire _T_1332 = bus_cmd_sent & _T_1343; // @[lsu_bus_buffer.scala 317:20] + wire _T_1333 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 317:37] + wire _T_1334 = _T_1332 & _T_1333; // @[lsu_bus_buffer.scala 317:35] + wire [7:0] _T_1358 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1359 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1360 = io_lsu_addr_r[2] ? _T_1358 : _T_1359; // @[lsu_bus_buffer.scala 324:46] + wire [3:0] _T_1379 = _T_1023 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1380 = _T_1024 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1381 = _T_1025 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1382 = _T_1026 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1383 = _T_1379 | _T_1380; // @[Mux.scala 27:72] + wire [3:0] _T_1384 = _T_1383 | _T_1381; // @[Mux.scala 27:72] + wire [3:0] _T_1385 = _T_1384 | _T_1382; // @[Mux.scala 27:72] + wire [7:0] _T_1387 = {_T_1385,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1400 = {4'h0,_T_1385}; // @[Cat.scala 29:58] + wire [7:0] _T_1401 = _T_1289[2] ? _T_1387 : _T_1400; // @[lsu_bus_buffer.scala 325:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1360 : _T_1401; // @[lsu_bus_buffer.scala 324:28] + wire [7:0] _T_1403 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1404 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1405 = io_end_addr_r[2] ? _T_1403 : _T_1404; // @[lsu_bus_buffer.scala 326:46] + wire _T_1406 = CmdPtr1 == 2'h0; // @[lsu_bus_buffer.scala 58:123] + wire _T_1407 = CmdPtr1 == 2'h1; // @[lsu_bus_buffer.scala 58:123] + wire _T_1408 = CmdPtr1 == 2'h2; // @[lsu_bus_buffer.scala 58:123] + wire _T_1409 = CmdPtr1 == 2'h3; // @[lsu_bus_buffer.scala 58:123] + wire [31:0] _T_1410 = _T_1406 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1411 = _T_1407 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1412 = _T_1408 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1413 = _T_1409 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1414 = _T_1410 | _T_1411; // @[Mux.scala 27:72] + wire [31:0] _T_1415 = _T_1414 | _T_1412; // @[Mux.scala 27:72] + wire [31:0] _T_1416 = _T_1415 | _T_1413; // @[Mux.scala 27:72] + wire [3:0] _T_1424 = _T_1406 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1425 = _T_1407 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1426 = _T_1408 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1427 = _T_1409 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1428 = _T_1424 | _T_1425; // @[Mux.scala 27:72] + wire [3:0] _T_1429 = _T_1428 | _T_1426; // @[Mux.scala 27:72] + wire [3:0] _T_1430 = _T_1429 | _T_1427; // @[Mux.scala 27:72] + wire [7:0] _T_1432 = {_T_1430,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1445 = {4'h0,_T_1430}; // @[Cat.scala 29:58] + wire [7:0] _T_1446 = _T_1416[2] ? _T_1432 : _T_1445; // @[lsu_bus_buffer.scala 327:8] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1405 : _T_1446; // @[lsu_bus_buffer.scala 326:28] + wire [63:0] _T_1448 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1449 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1450 = io_lsu_addr_r[2] ? _T_1448 : _T_1449; // @[lsu_bus_buffer.scala 329:44] + wire [31:0] _T_1469 = _T_1023 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1470 = _T_1024 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1471 = _T_1025 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1472 = _T_1026 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1473 = _T_1469 | _T_1470; // @[Mux.scala 27:72] + wire [31:0] _T_1474 = _T_1473 | _T_1471; // @[Mux.scala 27:72] + wire [31:0] _T_1475 = _T_1474 | _T_1472; // @[Mux.scala 27:72] + wire [63:0] _T_1477 = {_T_1475,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1490 = {32'h0,_T_1475}; // @[Cat.scala 29:58] + wire [63:0] _T_1491 = _T_1289[2] ? _T_1477 : _T_1490; // @[lsu_bus_buffer.scala 330:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1450 : _T_1491; // @[lsu_bus_buffer.scala 329:26] + wire [63:0] _T_1493 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1494 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1495 = io_lsu_addr_r[2] ? _T_1493 : _T_1494; // @[lsu_bus_buffer.scala 331:44] + wire [31:0] _T_1514 = _T_1406 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1515 = _T_1407 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1516 = _T_1408 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1517 = _T_1409 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1518 = _T_1514 | _T_1515; // @[Mux.scala 27:72] + wire [31:0] _T_1519 = _T_1518 | _T_1516; // @[Mux.scala 27:72] + wire [31:0] _T_1520 = _T_1519 | _T_1517; // @[Mux.scala 27:72] + wire [63:0] _T_1522 = {_T_1520,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1535 = {32'h0,_T_1520}; // @[Cat.scala 29:58] + wire [63:0] _T_1536 = _T_1416[2] ? _T_1522 : _T_1535; // @[lsu_bus_buffer.scala 332:8] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1495 : _T_1536; // @[lsu_bus_buffer.scala 331:26] + wire _T_1621 = CmdPtr0 != CmdPtr1; // @[lsu_bus_buffer.scala 338:30] + wire _T_1622 = _T_1621 & found_cmdptr0; // @[lsu_bus_buffer.scala 338:43] + wire _T_1623 = _T_1622 & found_cmdptr1; // @[lsu_bus_buffer.scala 338:59] + wire _T_1637 = _T_1623 & _T_1107; // @[lsu_bus_buffer.scala 338:75] + wire [2:0] _T_1642 = _T_1406 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1643 = _T_1407 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1646 = _T_1642 | _T_1643; // @[Mux.scala 27:72] + wire [2:0] _T_1644 = _T_1408 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1647 = _T_1646 | _T_1644; // @[Mux.scala 27:72] + wire [2:0] _T_1645 = _T_1409 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1648 = _T_1647 | _T_1645; // @[Mux.scala 27:72] + wire _T_1650 = _T_1648 == 3'h2; // @[lsu_bus_buffer.scala 338:150] + wire _T_1651 = _T_1637 & _T_1650; // @[lsu_bus_buffer.scala 338:118] + wire _T_1672 = _T_1651 & _T_1128; // @[lsu_bus_buffer.scala 338:161] + wire _T_1690 = _T_1672 & _T_1053; // @[lsu_bus_buffer.scala 339:85] + wire _T_1792 = _T_1204 & _T_1166; // @[lsu_bus_buffer.scala 342:38] + reg buf_dualhi_3; // @[Reg.scala 27:20] + reg buf_dualhi_2; // @[Reg.scala 27:20] + reg buf_dualhi_1; // @[Reg.scala 27:20] + reg buf_dualhi_0; // @[Reg.scala 27:20] + wire [3:0] _T_1795 = {buf_dualhi_3,buf_dualhi_2,buf_dualhi_1,buf_dualhi_0}; // @[Cat.scala 29:58] + wire _T_1804 = _T_1023 & _T_1795[0]; // @[Mux.scala 27:72] + wire _T_1805 = _T_1024 & _T_1795[1]; // @[Mux.scala 27:72] + wire _T_1808 = _T_1804 | _T_1805; // @[Mux.scala 27:72] + wire _T_1806 = _T_1025 & _T_1795[2]; // @[Mux.scala 27:72] + wire _T_1809 = _T_1808 | _T_1806; // @[Mux.scala 27:72] + wire _T_1807 = _T_1026 & _T_1795[3]; // @[Mux.scala 27:72] + wire _T_1810 = _T_1809 | _T_1807; // @[Mux.scala 27:72] + wire _T_1812 = ~_T_1810; // @[lsu_bus_buffer.scala 342:109] + wire _T_1813 = _T_1792 & _T_1812; // @[lsu_bus_buffer.scala 342:107] + wire _T_1833 = _T_1813 & _T_1185; // @[lsu_bus_buffer.scala 342:179] + wire _T_1835 = _T_1690 & _T_1833; // @[lsu_bus_buffer.scala 339:122] + wire _T_1836 = ibuf_buf_byp & ldst_samedw_r; // @[lsu_bus_buffer.scala 343:19] + wire _T_1837 = _T_1836 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 343:35] + wire obuf_merge_en = _T_1835 | _T_1837; // @[lsu_bus_buffer.scala 342:253] + wire _T_1539 = obuf_merge_en & obuf_byteen1_in[0]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1540 = obuf_byteen0_in[0] | _T_1539; // @[lsu_bus_buffer.scala 333:63] + wire _T_1543 = obuf_merge_en & obuf_byteen1_in[1]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1544 = obuf_byteen0_in[1] | _T_1543; // @[lsu_bus_buffer.scala 333:63] + wire _T_1547 = obuf_merge_en & obuf_byteen1_in[2]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1548 = obuf_byteen0_in[2] | _T_1547; // @[lsu_bus_buffer.scala 333:63] + wire _T_1551 = obuf_merge_en & obuf_byteen1_in[3]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1552 = obuf_byteen0_in[3] | _T_1551; // @[lsu_bus_buffer.scala 333:63] + wire _T_1555 = obuf_merge_en & obuf_byteen1_in[4]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1556 = obuf_byteen0_in[4] | _T_1555; // @[lsu_bus_buffer.scala 333:63] + wire _T_1559 = obuf_merge_en & obuf_byteen1_in[5]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1560 = obuf_byteen0_in[5] | _T_1559; // @[lsu_bus_buffer.scala 333:63] + wire _T_1563 = obuf_merge_en & obuf_byteen1_in[6]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1564 = obuf_byteen0_in[6] | _T_1563; // @[lsu_bus_buffer.scala 333:63] + wire _T_1567 = obuf_merge_en & obuf_byteen1_in[7]; // @[lsu_bus_buffer.scala 333:80] + wire _T_1568 = obuf_byteen0_in[7] | _T_1567; // @[lsu_bus_buffer.scala 333:63] + wire [7:0] obuf_byteen_in = {_T_1568,_T_1564,_T_1560,_T_1556,_T_1552,_T_1548,_T_1544,_T_1540}; // @[Cat.scala 29:58] + wire [7:0] _T_1579 = _T_1539 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1584 = _T_1543 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1589 = _T_1547 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1594 = _T_1551 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1599 = _T_1555 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1604 = _T_1559 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1609 = _T_1563 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[lsu_bus_buffer.scala 334:44] + wire [7:0] _T_1614 = _T_1567 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[lsu_bus_buffer.scala 334:44] + wire [55:0] _T_1620 = {_T_1614,_T_1609,_T_1604,_T_1599,_T_1594,_T_1589,_T_1584}; // @[Cat.scala 29:58] + wire _T_1839 = obuf_wr_en | obuf_valid; // @[lsu_bus_buffer.scala 346:58] + wire _T_1840 = ~obuf_rst; // @[lsu_bus_buffer.scala 346:93] + reg [1:0] obuf_sz; // @[Reg.scala 27:20] + reg [7:0] obuf_byteen; // @[Reg.scala 27:20] + reg [63:0] obuf_data; // @[lib.scala 374:16] + wire _T_1853 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 364:65] + wire _T_1854 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 365:30] + wire _T_1855 = ibuf_valid & _T_1854; // @[lsu_bus_buffer.scala 365:19] + wire _T_1856 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 366:18] + wire _T_1857 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 366:57] + wire _T_1858 = io_ldst_dual_r & _T_1857; // @[lsu_bus_buffer.scala 366:45] + wire _T_1859 = _T_1856 | _T_1858; // @[lsu_bus_buffer.scala 366:27] + wire _T_1860 = io_lsu_busreq_r & _T_1859; // @[lsu_bus_buffer.scala 365:58] + wire _T_1861 = _T_1855 | _T_1860; // @[lsu_bus_buffer.scala 365:39] + wire _T_1862 = ~_T_1861; // @[lsu_bus_buffer.scala 365:5] + wire _T_1863 = _T_1853 & _T_1862; // @[lsu_bus_buffer.scala 364:76] + wire _T_1864 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 364:65] + wire _T_1865 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 365:30] + wire _T_1866 = ibuf_valid & _T_1865; // @[lsu_bus_buffer.scala 365:19] + wire _T_1867 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 366:18] + wire _T_1868 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 366:57] + wire _T_1869 = io_ldst_dual_r & _T_1868; // @[lsu_bus_buffer.scala 366:45] + wire _T_1870 = _T_1867 | _T_1869; // @[lsu_bus_buffer.scala 366:27] + wire _T_1871 = io_lsu_busreq_r & _T_1870; // @[lsu_bus_buffer.scala 365:58] + wire _T_1872 = _T_1866 | _T_1871; // @[lsu_bus_buffer.scala 365:39] + wire _T_1873 = ~_T_1872; // @[lsu_bus_buffer.scala 365:5] + wire _T_1874 = _T_1864 & _T_1873; // @[lsu_bus_buffer.scala 364:76] + wire _T_1875 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 364:65] + wire _T_1876 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 365:30] + wire _T_1877 = ibuf_valid & _T_1876; // @[lsu_bus_buffer.scala 365:19] + wire _T_1878 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 366:18] + wire _T_1879 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 366:57] + wire _T_1880 = io_ldst_dual_r & _T_1879; // @[lsu_bus_buffer.scala 366:45] + wire _T_1881 = _T_1878 | _T_1880; // @[lsu_bus_buffer.scala 366:27] + wire _T_1882 = io_lsu_busreq_r & _T_1881; // @[lsu_bus_buffer.scala 365:58] + wire _T_1883 = _T_1877 | _T_1882; // @[lsu_bus_buffer.scala 365:39] + wire _T_1884 = ~_T_1883; // @[lsu_bus_buffer.scala 365:5] + wire _T_1885 = _T_1875 & _T_1884; // @[lsu_bus_buffer.scala 364:76] + wire _T_1886 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 364:65] + wire _T_1887 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 365:30] + wire _T_1889 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 366:18] + wire _T_1890 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 366:57] + wire [1:0] _T_1898 = _T_1885 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] + wire [1:0] _T_1899 = _T_1874 ? 2'h1 : _T_1898; // @[Mux.scala 98:16] + wire [1:0] WrPtr0_m = _T_1863 ? 2'h0 : _T_1899; // @[Mux.scala 98:16] + wire _T_1904 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 371:33] + wire _T_1905 = io_lsu_busreq_m & _T_1904; // @[lsu_bus_buffer.scala 371:22] + wire _T_1906 = _T_1855 | _T_1905; // @[lsu_bus_buffer.scala 370:112] + wire _T_1912 = _T_1906 | _T_1860; // @[lsu_bus_buffer.scala 371:42] + wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 370:78] + wire _T_1914 = _T_1853 & _T_1913; // @[lsu_bus_buffer.scala 370:76] + wire _T_1918 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 371:33] + wire _T_1919 = io_lsu_busreq_m & _T_1918; // @[lsu_bus_buffer.scala 371:22] + wire _T_1920 = _T_1866 | _T_1919; // @[lsu_bus_buffer.scala 370:112] + wire _T_1926 = _T_1920 | _T_1871; // @[lsu_bus_buffer.scala 371:42] + wire _T_1927 = ~_T_1926; // @[lsu_bus_buffer.scala 370:78] + wire _T_1928 = _T_1864 & _T_1927; // @[lsu_bus_buffer.scala 370:76] + wire _T_1932 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 371:33] + wire _T_1933 = io_lsu_busreq_m & _T_1932; // @[lsu_bus_buffer.scala 371:22] + wire _T_1934 = _T_1877 | _T_1933; // @[lsu_bus_buffer.scala 370:112] + wire _T_1940 = _T_1934 | _T_1882; // @[lsu_bus_buffer.scala 371:42] + wire _T_1941 = ~_T_1940; // @[lsu_bus_buffer.scala 370:78] + wire _T_1942 = _T_1875 & _T_1941; // @[lsu_bus_buffer.scala 370:76] + reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 501:63] + wire _T_2746 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 414:102] + wire _T_2747 = buf_rspageQ_0[3] & _T_2746; // @[lsu_bus_buffer.scala 414:87] + wire _T_2743 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 414:102] + wire _T_2744 = buf_rspageQ_0[2] & _T_2743; // @[lsu_bus_buffer.scala 414:87] + wire _T_2740 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 414:102] + wire _T_2741 = buf_rspageQ_0[1] & _T_2740; // @[lsu_bus_buffer.scala 414:87] + wire _T_2737 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 414:102] + wire _T_2738 = buf_rspageQ_0[0] & _T_2737; // @[lsu_bus_buffer.scala 414:87] + wire [3:0] buf_rsp_pickage_0 = {_T_2747,_T_2744,_T_2741,_T_2738}; // @[Cat.scala 29:58] + wire _T_2033 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 382:65] + wire _T_2034 = ~_T_2033; // @[lsu_bus_buffer.scala 382:44] + wire _T_2036 = _T_2034 & _T_2737; // @[lsu_bus_buffer.scala 382:70] + reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 501:63] + wire _T_2762 = buf_rspageQ_1[3] & _T_2746; // @[lsu_bus_buffer.scala 414:87] + wire _T_2759 = buf_rspageQ_1[2] & _T_2743; // @[lsu_bus_buffer.scala 414:87] + wire _T_2756 = buf_rspageQ_1[1] & _T_2740; // @[lsu_bus_buffer.scala 414:87] + wire _T_2753 = buf_rspageQ_1[0] & _T_2737; // @[lsu_bus_buffer.scala 414:87] + wire [3:0] buf_rsp_pickage_1 = {_T_2762,_T_2759,_T_2756,_T_2753}; // @[Cat.scala 29:58] + wire _T_2037 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 382:65] + wire _T_2038 = ~_T_2037; // @[lsu_bus_buffer.scala 382:44] + wire _T_2040 = _T_2038 & _T_2740; // @[lsu_bus_buffer.scala 382:70] + reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 501:63] + wire _T_2777 = buf_rspageQ_2[3] & _T_2746; // @[lsu_bus_buffer.scala 414:87] + wire _T_2774 = buf_rspageQ_2[2] & _T_2743; // @[lsu_bus_buffer.scala 414:87] + wire _T_2771 = buf_rspageQ_2[1] & _T_2740; // @[lsu_bus_buffer.scala 414:87] + wire _T_2768 = buf_rspageQ_2[0] & _T_2737; // @[lsu_bus_buffer.scala 414:87] + wire [3:0] buf_rsp_pickage_2 = {_T_2777,_T_2774,_T_2771,_T_2768}; // @[Cat.scala 29:58] + wire _T_2041 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 382:65] + wire _T_2042 = ~_T_2041; // @[lsu_bus_buffer.scala 382:44] + wire _T_2044 = _T_2042 & _T_2743; // @[lsu_bus_buffer.scala 382:70] + reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 501:63] + wire _T_2792 = buf_rspageQ_3[3] & _T_2746; // @[lsu_bus_buffer.scala 414:87] + wire _T_2789 = buf_rspageQ_3[2] & _T_2743; // @[lsu_bus_buffer.scala 414:87] + wire _T_2786 = buf_rspageQ_3[1] & _T_2740; // @[lsu_bus_buffer.scala 414:87] + wire _T_2783 = buf_rspageQ_3[0] & _T_2737; // @[lsu_bus_buffer.scala 414:87] + wire [3:0] buf_rsp_pickage_3 = {_T_2792,_T_2789,_T_2786,_T_2783}; // @[Cat.scala 29:58] + wire _T_2045 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 382:65] + wire _T_2046 = ~_T_2045; // @[lsu_bus_buffer.scala 382:44] + wire _T_2048 = _T_2046 & _T_2746; // @[lsu_bus_buffer.scala 382:70] + wire [7:0] _T_2104 = {4'h0,_T_2048,_T_2044,_T_2040,_T_2036}; // @[Cat.scala 29:58] + wire _T_2107 = _T_2104[4] | _T_2104[5]; // @[lsu_bus_buffer.scala 386:42] + wire _T_2109 = _T_2107 | _T_2104[6]; // @[lsu_bus_buffer.scala 386:48] + wire _T_2111 = _T_2109 | _T_2104[7]; // @[lsu_bus_buffer.scala 386:54] + wire _T_2114 = _T_2104[2] | _T_2104[3]; // @[lsu_bus_buffer.scala 386:67] + wire _T_2116 = _T_2114 | _T_2104[6]; // @[lsu_bus_buffer.scala 386:73] + wire _T_2118 = _T_2116 | _T_2104[7]; // @[lsu_bus_buffer.scala 386:79] + wire _T_2121 = _T_2104[1] | _T_2104[3]; // @[lsu_bus_buffer.scala 386:92] + wire _T_2123 = _T_2121 | _T_2104[5]; // @[lsu_bus_buffer.scala 386:98] + wire _T_2125 = _T_2123 | _T_2104[7]; // @[lsu_bus_buffer.scala 386:104] + wire [2:0] _T_2127 = {_T_2111,_T_2118,_T_2125}; // @[Cat.scala 29:58] + wire _T_3532 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 444:77] + wire _T_3533 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 444:97] + wire _T_3534 = _T_3532 & _T_3533; // @[lsu_bus_buffer.scala 444:95] + wire _T_3535 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] + wire _T_3536 = _T_3534 & _T_3535; // @[lsu_bus_buffer.scala 444:112] + wire _T_3537 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 444:144] + wire _T_3538 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] + wire _T_3539 = _T_3537 & _T_3538; // @[lsu_bus_buffer.scala 444:161] + wire _T_3540 = _T_3536 | _T_3539; // @[lsu_bus_buffer.scala 444:132] + wire _T_3541 = _T_853 & _T_3540; // @[lsu_bus_buffer.scala 444:63] + wire _T_3542 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] + wire _T_3543 = ibuf_drain_vld & _T_3542; // @[lsu_bus_buffer.scala 444:201] + wire _T_3544 = _T_3541 | _T_3543; // @[lsu_bus_buffer.scala 444:183] + wire _T_3554 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 451:46] + wire _T_3589 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 561:39] + wire _T_3634 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 469:73] + wire _T_3635 = bus_rsp_write & _T_3634; // @[lsu_bus_buffer.scala 469:52] + wire _T_3636 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 470:46] + reg _T_4307; // @[Reg.scala 27:20] + reg _T_4305; // @[Reg.scala 27:20] + reg _T_4303; // @[Reg.scala 27:20] + reg _T_4301; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4307,_T_4305,_T_4303,_T_4301}; // @[Cat.scala 29:58] + reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] + wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 471:47] + wire _T_3638 = io_lsu_axi_r_bits_id == _GEN_368; // @[lsu_bus_buffer.scala 471:47] + wire _T_3639 = buf_ldfwd[0] & _T_3638; // @[lsu_bus_buffer.scala 471:27] + wire _T_3640 = _T_3636 | _T_3639; // @[lsu_bus_buffer.scala 470:77] + wire _T_3641 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 472:26] + wire _T_3643 = ~buf_write[0]; // @[lsu_bus_buffer.scala 472:44] + wire _T_3644 = _T_3641 & _T_3643; // @[lsu_bus_buffer.scala 472:42] + wire _T_3645 = _T_3644 & buf_samedw_0; // @[lsu_bus_buffer.scala 472:58] + reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] + wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 472:94] + wire _T_3646 = io_lsu_axi_r_bits_id == _GEN_369; // @[lsu_bus_buffer.scala 472:94] + wire _T_3647 = _T_3645 & _T_3646; // @[lsu_bus_buffer.scala 472:74] + wire _T_3648 = _T_3640 | _T_3647; // @[lsu_bus_buffer.scala 471:71] + wire _T_3649 = bus_rsp_read & _T_3648; // @[lsu_bus_buffer.scala 470:25] + wire _T_3650 = _T_3635 | _T_3649; // @[lsu_bus_buffer.scala 469:105] + wire _GEN_42 = _T_3589 & _T_3650; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_3555 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_3551 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3528 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] + wire _T_3676 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire [3:0] _T_3686 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 484:21] + reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] + reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] + reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[lsu_bus_buffer.scala 484:58] + wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[lsu_bus_buffer.scala 484:58] + wire _T_3688 = io_lsu_axi_r_bits_id == _GEN_371; // @[lsu_bus_buffer.scala 484:58] + wire _T_3689 = _T_3686[0] & _T_3688; // @[lsu_bus_buffer.scala 484:38] + wire _T_3690 = _T_3646 | _T_3689; // @[lsu_bus_buffer.scala 483:95] + wire _T_3691 = bus_rsp_read & _T_3690; // @[lsu_bus_buffer.scala 483:45] + wire _GEN_36 = _T_3676 & _T_3691; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3589 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_3555 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3551 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3528 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_3568 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 457:49] + wire _T_3569 = _T_3568 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 457:70] + wire _T_3694 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire [1:0] RspPtr = _T_2127[1:0]; // @[lsu_bus_buffer.scala 394:10] + wire _T_3697 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 489:37] + wire _T_3698 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 489:98] + wire _T_3699 = buf_dual_0 & _T_3698; // @[lsu_bus_buffer.scala 489:80] + wire _T_3700 = _T_3697 | _T_3699; // @[lsu_bus_buffer.scala 489:65] + wire _T_3701 = _T_3700 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 489:112] + wire _T_3702 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_31 = _T_3694 ? _T_3701 : _T_3702; // @[Conditional.scala 39:67] + wire _GEN_37 = _T_3676 ? _T_3569 : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3589 ? _T_3569 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3555 ? _T_3569 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_3551 ? _T_3554 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3528 ? _T_3544 : _GEN_64; // @[Conditional.scala 40:58] + wire _T_2129 = _T_1853 & buf_state_en_0; // @[lsu_bus_buffer.scala 406:94] + wire _T_2135 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 408:23] + wire _T_2137 = _T_2135 & _T_3532; // @[lsu_bus_buffer.scala 408:41] + wire _T_2139 = _T_2137 & _T_1856; // @[lsu_bus_buffer.scala 408:71] + wire _T_2141 = _T_2139 & _T_1854; // @[lsu_bus_buffer.scala 408:92] + wire _T_2142 = _T_4471 | _T_2141; // @[lsu_bus_buffer.scala 407:86] + wire _T_2143 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 409:17] + wire _T_2144 = _T_2143 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 409:35] + wire _T_2146 = _T_2144 & _T_1857; // @[lsu_bus_buffer.scala 409:52] + wire _T_2148 = _T_2146 & _T_1856; // @[lsu_bus_buffer.scala 409:73] + wire _T_2149 = _T_2142 | _T_2148; // @[lsu_bus_buffer.scala 408:114] + wire _T_2150 = _T_2129 & _T_2149; // @[lsu_bus_buffer.scala 406:113] + wire _T_2152 = _T_2150 | buf_age_0[0]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2166 = _T_2139 & _T_1865; // @[lsu_bus_buffer.scala 408:92] + wire _T_2167 = _T_4476 | _T_2166; // @[lsu_bus_buffer.scala 407:86] + wire _T_2173 = _T_2146 & _T_1867; // @[lsu_bus_buffer.scala 409:73] + wire _T_2174 = _T_2167 | _T_2173; // @[lsu_bus_buffer.scala 408:114] + wire _T_2175 = _T_2129 & _T_2174; // @[lsu_bus_buffer.scala 406:113] + wire _T_2177 = _T_2175 | buf_age_0[1]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2191 = _T_2139 & _T_1876; // @[lsu_bus_buffer.scala 408:92] + wire _T_2192 = _T_4481 | _T_2191; // @[lsu_bus_buffer.scala 407:86] + wire _T_2198 = _T_2146 & _T_1878; // @[lsu_bus_buffer.scala 409:73] + wire _T_2199 = _T_2192 | _T_2198; // @[lsu_bus_buffer.scala 408:114] + wire _T_2200 = _T_2129 & _T_2199; // @[lsu_bus_buffer.scala 406:113] + wire _T_2202 = _T_2200 | buf_age_0[2]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2216 = _T_2139 & _T_1887; // @[lsu_bus_buffer.scala 408:92] + wire _T_2217 = _T_4486 | _T_2216; // @[lsu_bus_buffer.scala 407:86] + wire _T_2223 = _T_2146 & _T_1889; // @[lsu_bus_buffer.scala 409:73] + wire _T_2224 = _T_2217 | _T_2223; // @[lsu_bus_buffer.scala 408:114] + wire _T_2225 = _T_2129 & _T_2224; // @[lsu_bus_buffer.scala 406:113] + wire _T_2227 = _T_2225 | buf_age_0[3]; // @[lsu_bus_buffer.scala 409:97] + wire [2:0] _T_2229 = {_T_2227,_T_2202,_T_2177}; // @[Cat.scala 29:58] + wire _T_3728 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] + wire _T_3729 = _T_3534 & _T_3728; // @[lsu_bus_buffer.scala 444:112] + wire _T_3731 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] + wire _T_3732 = _T_3537 & _T_3731; // @[lsu_bus_buffer.scala 444:161] + wire _T_3733 = _T_3729 | _T_3732; // @[lsu_bus_buffer.scala 444:132] + wire _T_3734 = _T_853 & _T_3733; // @[lsu_bus_buffer.scala 444:63] + wire _T_3735 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] + wire _T_3736 = ibuf_drain_vld & _T_3735; // @[lsu_bus_buffer.scala 444:201] + wire _T_3737 = _T_3734 | _T_3736; // @[lsu_bus_buffer.scala 444:183] + wire _T_3782 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 469:73] + wire _T_3828 = bus_rsp_write & _T_3827; // @[lsu_bus_buffer.scala 469:52] + wire _T_3829 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 470:46] + wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 471:47] + wire _T_3831 = io_lsu_axi_r_bits_id == _GEN_372; // @[lsu_bus_buffer.scala 471:47] + wire _T_3832 = buf_ldfwd[1] & _T_3831; // @[lsu_bus_buffer.scala 471:27] + wire _T_3833 = _T_3829 | _T_3832; // @[lsu_bus_buffer.scala 470:77] + wire _T_3834 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 472:26] + wire _T_3836 = ~buf_write[1]; // @[lsu_bus_buffer.scala 472:44] + wire _T_3837 = _T_3834 & _T_3836; // @[lsu_bus_buffer.scala 472:42] + wire _T_3838 = _T_3837 & buf_samedw_1; // @[lsu_bus_buffer.scala 472:58] + reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] + wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 472:94] + wire _T_3839 = io_lsu_axi_r_bits_id == _GEN_373; // @[lsu_bus_buffer.scala 472:94] + wire _T_3840 = _T_3838 & _T_3839; // @[lsu_bus_buffer.scala 472:74] + wire _T_3841 = _T_3833 | _T_3840; // @[lsu_bus_buffer.scala 471:71] + wire _T_3842 = bus_rsp_read & _T_3841; // @[lsu_bus_buffer.scala 470:25] + wire _T_3843 = _T_3828 | _T_3842; // @[lsu_bus_buffer.scala 469:105] + wire _GEN_118 = _T_3782 & _T_3843; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3748 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3744 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3869 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire [3:0] _T_3879 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 484:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[lsu_bus_buffer.scala 484:58] + wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[lsu_bus_buffer.scala 484:58] + wire _T_3881 = io_lsu_axi_r_bits_id == _GEN_375; // @[lsu_bus_buffer.scala 484:58] + wire _T_3882 = _T_3879[0] & _T_3881; // @[lsu_bus_buffer.scala 484:38] + wire _T_3883 = _T_3839 | _T_3882; // @[lsu_bus_buffer.scala 483:95] + wire _T_3884 = bus_rsp_read & _T_3883; // @[lsu_bus_buffer.scala 483:45] + wire _GEN_112 = _T_3869 & _T_3884; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3782 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_129 = _T_3748 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3744 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_3761 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 457:49] + wire _T_3762 = _T_3761 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 457:70] + wire _T_3887 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3890 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 489:37] + wire _T_3891 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 489:98] + wire _T_3892 = buf_dual_1 & _T_3891; // @[lsu_bus_buffer.scala 489:80] + wire _T_3893 = _T_3890 | _T_3892; // @[lsu_bus_buffer.scala 489:65] + wire _T_3894 = _T_3893 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 489:112] + wire _T_3895 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_107 = _T_3887 ? _T_3894 : _T_3895; // @[Conditional.scala 39:67] + wire _GEN_113 = _T_3869 ? _T_3762 : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_120 = _T_3782 ? _T_3762 : _GEN_113; // @[Conditional.scala 39:67] + wire _GEN_130 = _T_3748 ? _T_3762 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3744 ? _T_3554 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3721 ? _T_3737 : _GEN_140; // @[Conditional.scala 40:58] + wire _T_2231 = _T_1864 & buf_state_en_1; // @[lsu_bus_buffer.scala 406:94] + wire _T_2241 = _T_2137 & _T_1867; // @[lsu_bus_buffer.scala 408:71] + wire _T_2243 = _T_2241 & _T_1854; // @[lsu_bus_buffer.scala 408:92] + wire _T_2244 = _T_4471 | _T_2243; // @[lsu_bus_buffer.scala 407:86] + wire _T_2248 = _T_2144 & _T_1868; // @[lsu_bus_buffer.scala 409:52] + wire _T_2250 = _T_2248 & _T_1856; // @[lsu_bus_buffer.scala 409:73] + wire _T_2251 = _T_2244 | _T_2250; // @[lsu_bus_buffer.scala 408:114] + wire _T_2252 = _T_2231 & _T_2251; // @[lsu_bus_buffer.scala 406:113] + wire _T_2254 = _T_2252 | buf_age_1[0]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2268 = _T_2241 & _T_1865; // @[lsu_bus_buffer.scala 408:92] + wire _T_2269 = _T_4476 | _T_2268; // @[lsu_bus_buffer.scala 407:86] + wire _T_2275 = _T_2248 & _T_1867; // @[lsu_bus_buffer.scala 409:73] + wire _T_2276 = _T_2269 | _T_2275; // @[lsu_bus_buffer.scala 408:114] + wire _T_2277 = _T_2231 & _T_2276; // @[lsu_bus_buffer.scala 406:113] + wire _T_2279 = _T_2277 | buf_age_1[1]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2293 = _T_2241 & _T_1876; // @[lsu_bus_buffer.scala 408:92] + wire _T_2294 = _T_4481 | _T_2293; // @[lsu_bus_buffer.scala 407:86] + wire _T_2300 = _T_2248 & _T_1878; // @[lsu_bus_buffer.scala 409:73] + wire _T_2301 = _T_2294 | _T_2300; // @[lsu_bus_buffer.scala 408:114] + wire _T_2302 = _T_2231 & _T_2301; // @[lsu_bus_buffer.scala 406:113] + wire _T_2304 = _T_2302 | buf_age_1[2]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2318 = _T_2241 & _T_1887; // @[lsu_bus_buffer.scala 408:92] + wire _T_2319 = _T_4486 | _T_2318; // @[lsu_bus_buffer.scala 407:86] + wire _T_2325 = _T_2248 & _T_1889; // @[lsu_bus_buffer.scala 409:73] + wire _T_2326 = _T_2319 | _T_2325; // @[lsu_bus_buffer.scala 408:114] + wire _T_2327 = _T_2231 & _T_2326; // @[lsu_bus_buffer.scala 406:113] + wire _T_2329 = _T_2327 | buf_age_1[3]; // @[lsu_bus_buffer.scala 409:97] + wire [2:0] _T_2331 = {_T_2329,_T_2304,_T_2279}; // @[Cat.scala 29:58] + wire _T_3921 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] + wire _T_3922 = _T_3534 & _T_3921; // @[lsu_bus_buffer.scala 444:112] + wire _T_3924 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] + wire _T_3925 = _T_3537 & _T_3924; // @[lsu_bus_buffer.scala 444:161] + wire _T_3926 = _T_3922 | _T_3925; // @[lsu_bus_buffer.scala 444:132] + wire _T_3927 = _T_853 & _T_3926; // @[lsu_bus_buffer.scala 444:63] + wire _T_3928 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] + wire _T_3929 = ibuf_drain_vld & _T_3928; // @[lsu_bus_buffer.scala 444:201] + wire _T_3930 = _T_3927 | _T_3929; // @[lsu_bus_buffer.scala 444:183] + wire _T_3975 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4020 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 469:73] + wire _T_4021 = bus_rsp_write & _T_4020; // @[lsu_bus_buffer.scala 469:52] + wire _T_4022 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 470:46] + wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 471:47] + wire _T_4024 = io_lsu_axi_r_bits_id == _GEN_376; // @[lsu_bus_buffer.scala 471:47] + wire _T_4025 = buf_ldfwd[2] & _T_4024; // @[lsu_bus_buffer.scala 471:27] + wire _T_4026 = _T_4022 | _T_4025; // @[lsu_bus_buffer.scala 470:77] + wire _T_4027 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 472:26] + wire _T_4029 = ~buf_write[2]; // @[lsu_bus_buffer.scala 472:44] + wire _T_4030 = _T_4027 & _T_4029; // @[lsu_bus_buffer.scala 472:42] + wire _T_4031 = _T_4030 & buf_samedw_2; // @[lsu_bus_buffer.scala 472:58] + reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] + wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 472:94] + wire _T_4032 = io_lsu_axi_r_bits_id == _GEN_377; // @[lsu_bus_buffer.scala 472:94] + wire _T_4033 = _T_4031 & _T_4032; // @[lsu_bus_buffer.scala 472:74] + wire _T_4034 = _T_4026 | _T_4033; // @[lsu_bus_buffer.scala 471:71] + wire _T_4035 = bus_rsp_read & _T_4034; // @[lsu_bus_buffer.scala 470:25] + wire _T_4036 = _T_4021 | _T_4035; // @[lsu_bus_buffer.scala 469:105] + wire _GEN_194 = _T_3975 & _T_4036; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3941 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3937 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3914 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] + wire _T_4062 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire [3:0] _T_4072 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 484:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[lsu_bus_buffer.scala 484:58] + wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[lsu_bus_buffer.scala 484:58] + wire _T_4074 = io_lsu_axi_r_bits_id == _GEN_379; // @[lsu_bus_buffer.scala 484:58] + wire _T_4075 = _T_4072[0] & _T_4074; // @[lsu_bus_buffer.scala 484:38] + wire _T_4076 = _T_4032 | _T_4075; // @[lsu_bus_buffer.scala 483:95] + wire _T_4077 = bus_rsp_read & _T_4076; // @[lsu_bus_buffer.scala 483:45] + wire _GEN_188 = _T_4062 & _T_4077; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_3975 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3941 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_218 = _T_3937 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3914 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] + wire _T_3954 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 457:49] + wire _T_3955 = _T_3954 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 457:70] + wire _T_4080 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4083 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 489:37] + wire _T_4084 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 489:98] + wire _T_4085 = buf_dual_2 & _T_4084; // @[lsu_bus_buffer.scala 489:80] + wire _T_4086 = _T_4083 | _T_4085; // @[lsu_bus_buffer.scala 489:65] + wire _T_4087 = _T_4086 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 489:112] + wire _T_4088 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_183 = _T_4080 ? _T_4087 : _T_4088; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_4062 ? _T_3955 : _GEN_183; // @[Conditional.scala 39:67] + wire _GEN_196 = _T_3975 ? _T_3955 : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_206 = _T_3941 ? _T_3955 : _GEN_196; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3937 ? _T_3554 : _GEN_206; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3914 ? _T_3930 : _GEN_216; // @[Conditional.scala 40:58] + wire _T_2333 = _T_1875 & buf_state_en_2; // @[lsu_bus_buffer.scala 406:94] + wire _T_2343 = _T_2137 & _T_1878; // @[lsu_bus_buffer.scala 408:71] + wire _T_2345 = _T_2343 & _T_1854; // @[lsu_bus_buffer.scala 408:92] + wire _T_2346 = _T_4471 | _T_2345; // @[lsu_bus_buffer.scala 407:86] + wire _T_2350 = _T_2144 & _T_1879; // @[lsu_bus_buffer.scala 409:52] + wire _T_2352 = _T_2350 & _T_1856; // @[lsu_bus_buffer.scala 409:73] + wire _T_2353 = _T_2346 | _T_2352; // @[lsu_bus_buffer.scala 408:114] + wire _T_2354 = _T_2333 & _T_2353; // @[lsu_bus_buffer.scala 406:113] + wire _T_2356 = _T_2354 | buf_age_2[0]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2370 = _T_2343 & _T_1865; // @[lsu_bus_buffer.scala 408:92] + wire _T_2371 = _T_4476 | _T_2370; // @[lsu_bus_buffer.scala 407:86] + wire _T_2377 = _T_2350 & _T_1867; // @[lsu_bus_buffer.scala 409:73] + wire _T_2378 = _T_2371 | _T_2377; // @[lsu_bus_buffer.scala 408:114] + wire _T_2379 = _T_2333 & _T_2378; // @[lsu_bus_buffer.scala 406:113] + wire _T_2381 = _T_2379 | buf_age_2[1]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2395 = _T_2343 & _T_1876; // @[lsu_bus_buffer.scala 408:92] + wire _T_2396 = _T_4481 | _T_2395; // @[lsu_bus_buffer.scala 407:86] + wire _T_2402 = _T_2350 & _T_1878; // @[lsu_bus_buffer.scala 409:73] + wire _T_2403 = _T_2396 | _T_2402; // @[lsu_bus_buffer.scala 408:114] + wire _T_2404 = _T_2333 & _T_2403; // @[lsu_bus_buffer.scala 406:113] + wire _T_2406 = _T_2404 | buf_age_2[2]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2420 = _T_2343 & _T_1887; // @[lsu_bus_buffer.scala 408:92] + wire _T_2421 = _T_4486 | _T_2420; // @[lsu_bus_buffer.scala 407:86] + wire _T_2427 = _T_2350 & _T_1889; // @[lsu_bus_buffer.scala 409:73] + wire _T_2428 = _T_2421 | _T_2427; // @[lsu_bus_buffer.scala 408:114] + wire _T_2429 = _T_2333 & _T_2428; // @[lsu_bus_buffer.scala 406:113] + wire _T_2431 = _T_2429 | buf_age_2[3]; // @[lsu_bus_buffer.scala 409:97] + wire [2:0] _T_2433 = {_T_2431,_T_2406,_T_2381}; // @[Cat.scala 29:58] + wire _T_4114 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 444:117] + wire _T_4115 = _T_3534 & _T_4114; // @[lsu_bus_buffer.scala 444:112] + wire _T_4117 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 444:166] + wire _T_4118 = _T_3537 & _T_4117; // @[lsu_bus_buffer.scala 444:161] + wire _T_4119 = _T_4115 | _T_4118; // @[lsu_bus_buffer.scala 444:132] + wire _T_4120 = _T_853 & _T_4119; // @[lsu_bus_buffer.scala 444:63] + wire _T_4121 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 444:206] + wire _T_4122 = ibuf_drain_vld & _T_4121; // @[lsu_bus_buffer.scala 444:201] + wire _T_4123 = _T_4120 | _T_4122; // @[lsu_bus_buffer.scala 444:183] + wire _T_4168 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4213 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 469:73] + wire _T_4214 = bus_rsp_write & _T_4213; // @[lsu_bus_buffer.scala 469:52] + wire _T_4215 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 470:46] + wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 471:47] + wire _T_4217 = io_lsu_axi_r_bits_id == _GEN_380; // @[lsu_bus_buffer.scala 471:47] + wire _T_4218 = buf_ldfwd[3] & _T_4217; // @[lsu_bus_buffer.scala 471:27] + wire _T_4219 = _T_4215 | _T_4218; // @[lsu_bus_buffer.scala 470:77] + wire _T_4220 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 472:26] + wire _T_4222 = ~buf_write[3]; // @[lsu_bus_buffer.scala 472:44] + wire _T_4223 = _T_4220 & _T_4222; // @[lsu_bus_buffer.scala 472:42] + wire _T_4224 = _T_4223 & buf_samedw_3; // @[lsu_bus_buffer.scala 472:58] + reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] + wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 472:94] + wire _T_4225 = io_lsu_axi_r_bits_id == _GEN_381; // @[lsu_bus_buffer.scala 472:94] + wire _T_4226 = _T_4224 & _T_4225; // @[lsu_bus_buffer.scala 472:74] + wire _T_4227 = _T_4219 | _T_4226; // @[lsu_bus_buffer.scala 471:71] + wire _T_4228 = bus_rsp_read & _T_4227; // @[lsu_bus_buffer.scala 470:25] + wire _T_4229 = _T_4214 | _T_4228; // @[lsu_bus_buffer.scala 469:105] + wire _GEN_270 = _T_4168 & _T_4229; // @[Conditional.scala 39:67] + wire _GEN_289 = _T_4134 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] + wire _GEN_301 = _T_4130 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4107 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] + wire _T_4255 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire [3:0] _T_4265 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 484:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[lsu_bus_buffer.scala 484:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[lsu_bus_buffer.scala 484:58] + wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[lsu_bus_buffer.scala 484:58] + wire _T_4267 = io_lsu_axi_r_bits_id == _GEN_383; // @[lsu_bus_buffer.scala 484:58] + wire _T_4268 = _T_4265[0] & _T_4267; // @[lsu_bus_buffer.scala 484:38] + wire _T_4269 = _T_4225 | _T_4268; // @[lsu_bus_buffer.scala 483:95] + wire _T_4270 = bus_rsp_read & _T_4269; // @[lsu_bus_buffer.scala 483:45] + wire _GEN_264 = _T_4255 & _T_4270; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4168 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4134 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4130 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4107 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] + wire _T_4147 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 457:49] + wire _T_4148 = _T_4147 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 457:70] + wire _T_4273 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4276 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 489:37] + wire _T_4277 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 489:98] + wire _T_4278 = buf_dual_3 & _T_4277; // @[lsu_bus_buffer.scala 489:80] + wire _T_4279 = _T_4276 | _T_4278; // @[lsu_bus_buffer.scala 489:65] + wire _T_4280 = _T_4279 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 489:112] + wire _T_4281 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_259 = _T_4273 ? _T_4280 : _T_4281; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4255 ? _T_4148 : _GEN_259; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4168 ? _T_4148 : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4134 ? _T_4148 : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_292 = _T_4130 ? _T_3554 : _GEN_282; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4107 ? _T_4123 : _GEN_292; // @[Conditional.scala 40:58] + wire _T_2435 = _T_1886 & buf_state_en_3; // @[lsu_bus_buffer.scala 406:94] + wire _T_2445 = _T_2137 & _T_1889; // @[lsu_bus_buffer.scala 408:71] + wire _T_2447 = _T_2445 & _T_1854; // @[lsu_bus_buffer.scala 408:92] + wire _T_2448 = _T_4471 | _T_2447; // @[lsu_bus_buffer.scala 407:86] + wire _T_2452 = _T_2144 & _T_1890; // @[lsu_bus_buffer.scala 409:52] + wire _T_2454 = _T_2452 & _T_1856; // @[lsu_bus_buffer.scala 409:73] + wire _T_2455 = _T_2448 | _T_2454; // @[lsu_bus_buffer.scala 408:114] + wire _T_2456 = _T_2435 & _T_2455; // @[lsu_bus_buffer.scala 406:113] + wire _T_2458 = _T_2456 | buf_age_3[0]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2472 = _T_2445 & _T_1865; // @[lsu_bus_buffer.scala 408:92] + wire _T_2473 = _T_4476 | _T_2472; // @[lsu_bus_buffer.scala 407:86] + wire _T_2479 = _T_2452 & _T_1867; // @[lsu_bus_buffer.scala 409:73] + wire _T_2480 = _T_2473 | _T_2479; // @[lsu_bus_buffer.scala 408:114] + wire _T_2481 = _T_2435 & _T_2480; // @[lsu_bus_buffer.scala 406:113] + wire _T_2483 = _T_2481 | buf_age_3[1]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2497 = _T_2445 & _T_1876; // @[lsu_bus_buffer.scala 408:92] + wire _T_2498 = _T_4481 | _T_2497; // @[lsu_bus_buffer.scala 407:86] + wire _T_2504 = _T_2452 & _T_1878; // @[lsu_bus_buffer.scala 409:73] + wire _T_2505 = _T_2498 | _T_2504; // @[lsu_bus_buffer.scala 408:114] + wire _T_2506 = _T_2435 & _T_2505; // @[lsu_bus_buffer.scala 406:113] + wire _T_2508 = _T_2506 | buf_age_3[2]; // @[lsu_bus_buffer.scala 409:97] + wire _T_2522 = _T_2445 & _T_1887; // @[lsu_bus_buffer.scala 408:92] + wire _T_2523 = _T_4486 | _T_2522; // @[lsu_bus_buffer.scala 407:86] + wire _T_2529 = _T_2452 & _T_1889; // @[lsu_bus_buffer.scala 409:73] + wire _T_2530 = _T_2523 | _T_2529; // @[lsu_bus_buffer.scala 408:114] + wire _T_2531 = _T_2435 & _T_2530; // @[lsu_bus_buffer.scala 406:113] + wire _T_2533 = _T_2531 | buf_age_3[3]; // @[lsu_bus_buffer.scala 409:97] + wire [2:0] _T_2535 = {_T_2533,_T_2508,_T_2483}; // @[Cat.scala 29:58] + wire _T_2799 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 417:47] + wire _T_2800 = _T_1853 | _T_2799; // @[lsu_bus_buffer.scala 417:32] + wire _T_2801 = ~_T_2800; // @[lsu_bus_buffer.scala 417:6] + wire _T_2809 = _T_2801 | _T_2141; // @[lsu_bus_buffer.scala 417:59] + wire _T_2816 = _T_2809 | _T_2148; // @[lsu_bus_buffer.scala 418:110] + wire _T_2817 = _T_2129 & _T_2816; // @[lsu_bus_buffer.scala 416:112] + wire _T_2821 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 417:47] + wire _T_2822 = _T_1864 | _T_2821; // @[lsu_bus_buffer.scala 417:32] + wire _T_2823 = ~_T_2822; // @[lsu_bus_buffer.scala 417:6] + wire _T_2831 = _T_2823 | _T_2166; // @[lsu_bus_buffer.scala 417:59] + wire _T_2838 = _T_2831 | _T_2173; // @[lsu_bus_buffer.scala 418:110] + wire _T_2839 = _T_2129 & _T_2838; // @[lsu_bus_buffer.scala 416:112] + wire _T_2843 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 417:47] + wire _T_2844 = _T_1875 | _T_2843; // @[lsu_bus_buffer.scala 417:32] + wire _T_2845 = ~_T_2844; // @[lsu_bus_buffer.scala 417:6] + wire _T_2853 = _T_2845 | _T_2191; // @[lsu_bus_buffer.scala 417:59] + wire _T_2860 = _T_2853 | _T_2198; // @[lsu_bus_buffer.scala 418:110] + wire _T_2861 = _T_2129 & _T_2860; // @[lsu_bus_buffer.scala 416:112] + wire _T_2865 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 417:47] + wire _T_2866 = _T_1886 | _T_2865; // @[lsu_bus_buffer.scala 417:32] + wire _T_2867 = ~_T_2866; // @[lsu_bus_buffer.scala 417:6] + wire _T_2875 = _T_2867 | _T_2216; // @[lsu_bus_buffer.scala 417:59] + wire _T_2882 = _T_2875 | _T_2223; // @[lsu_bus_buffer.scala 418:110] + wire _T_2883 = _T_2129 & _T_2882; // @[lsu_bus_buffer.scala 416:112] + wire [3:0] buf_rspage_set_0 = {_T_2883,_T_2861,_T_2839,_T_2817}; // @[Cat.scala 29:58] + wire _T_2900 = _T_2801 | _T_2243; // @[lsu_bus_buffer.scala 417:59] + wire _T_2907 = _T_2900 | _T_2250; // @[lsu_bus_buffer.scala 418:110] + wire _T_2908 = _T_2231 & _T_2907; // @[lsu_bus_buffer.scala 416:112] + wire _T_2922 = _T_2823 | _T_2268; // @[lsu_bus_buffer.scala 417:59] + wire _T_2929 = _T_2922 | _T_2275; // @[lsu_bus_buffer.scala 418:110] + wire _T_2930 = _T_2231 & _T_2929; // @[lsu_bus_buffer.scala 416:112] + wire _T_2944 = _T_2845 | _T_2293; // @[lsu_bus_buffer.scala 417:59] + wire _T_2951 = _T_2944 | _T_2300; // @[lsu_bus_buffer.scala 418:110] + wire _T_2952 = _T_2231 & _T_2951; // @[lsu_bus_buffer.scala 416:112] + wire _T_2966 = _T_2867 | _T_2318; // @[lsu_bus_buffer.scala 417:59] + wire _T_2973 = _T_2966 | _T_2325; // @[lsu_bus_buffer.scala 418:110] + wire _T_2974 = _T_2231 & _T_2973; // @[lsu_bus_buffer.scala 416:112] + wire [3:0] buf_rspage_set_1 = {_T_2974,_T_2952,_T_2930,_T_2908}; // @[Cat.scala 29:58] + wire _T_2991 = _T_2801 | _T_2345; // @[lsu_bus_buffer.scala 417:59] + wire _T_2998 = _T_2991 | _T_2352; // @[lsu_bus_buffer.scala 418:110] + wire _T_2999 = _T_2333 & _T_2998; // @[lsu_bus_buffer.scala 416:112] + wire _T_3013 = _T_2823 | _T_2370; // @[lsu_bus_buffer.scala 417:59] + wire _T_3020 = _T_3013 | _T_2377; // @[lsu_bus_buffer.scala 418:110] + wire _T_3021 = _T_2333 & _T_3020; // @[lsu_bus_buffer.scala 416:112] + wire _T_3035 = _T_2845 | _T_2395; // @[lsu_bus_buffer.scala 417:59] + wire _T_3042 = _T_3035 | _T_2402; // @[lsu_bus_buffer.scala 418:110] + wire _T_3043 = _T_2333 & _T_3042; // @[lsu_bus_buffer.scala 416:112] + wire _T_3057 = _T_2867 | _T_2420; // @[lsu_bus_buffer.scala 417:59] + wire _T_3064 = _T_3057 | _T_2427; // @[lsu_bus_buffer.scala 418:110] + wire _T_3065 = _T_2333 & _T_3064; // @[lsu_bus_buffer.scala 416:112] + wire [3:0] buf_rspage_set_2 = {_T_3065,_T_3043,_T_3021,_T_2999}; // @[Cat.scala 29:58] + wire _T_3082 = _T_2801 | _T_2447; // @[lsu_bus_buffer.scala 417:59] + wire _T_3089 = _T_3082 | _T_2454; // @[lsu_bus_buffer.scala 418:110] + wire _T_3090 = _T_2435 & _T_3089; // @[lsu_bus_buffer.scala 416:112] + wire _T_3104 = _T_2823 | _T_2472; // @[lsu_bus_buffer.scala 417:59] + wire _T_3111 = _T_3104 | _T_2479; // @[lsu_bus_buffer.scala 418:110] + wire _T_3112 = _T_2435 & _T_3111; // @[lsu_bus_buffer.scala 416:112] + wire _T_3126 = _T_2845 | _T_2497; // @[lsu_bus_buffer.scala 417:59] + wire _T_3133 = _T_3126 | _T_2504; // @[lsu_bus_buffer.scala 418:110] + wire _T_3134 = _T_2435 & _T_3133; // @[lsu_bus_buffer.scala 416:112] + wire _T_3148 = _T_2867 | _T_2522; // @[lsu_bus_buffer.scala 417:59] + wire _T_3155 = _T_3148 | _T_2529; // @[lsu_bus_buffer.scala 418:110] + wire _T_3156 = _T_2435 & _T_3155; // @[lsu_bus_buffer.scala 416:112] + wire [3:0] buf_rspage_set_3 = {_T_3156,_T_3134,_T_3112,_T_3090}; // @[Cat.scala 29:58] + wire _T_3241 = _T_2865 | _T_1886; // @[lsu_bus_buffer.scala 421:110] + wire _T_3242 = ~_T_3241; // @[lsu_bus_buffer.scala 421:84] + wire _T_3243 = buf_rspageQ_0[3] & _T_3242; // @[lsu_bus_buffer.scala 421:82] + wire _T_3235 = _T_2843 | _T_1875; // @[lsu_bus_buffer.scala 421:110] + wire _T_3236 = ~_T_3235; // @[lsu_bus_buffer.scala 421:84] + wire _T_3237 = buf_rspageQ_0[2] & _T_3236; // @[lsu_bus_buffer.scala 421:82] + wire _T_3229 = _T_2821 | _T_1864; // @[lsu_bus_buffer.scala 421:110] + wire _T_3230 = ~_T_3229; // @[lsu_bus_buffer.scala 421:84] + wire _T_3231 = buf_rspageQ_0[1] & _T_3230; // @[lsu_bus_buffer.scala 421:82] + wire _T_3223 = _T_2799 | _T_1853; // @[lsu_bus_buffer.scala 421:110] + wire _T_3224 = ~_T_3223; // @[lsu_bus_buffer.scala 421:84] + wire _T_3225 = buf_rspageQ_0[0] & _T_3224; // @[lsu_bus_buffer.scala 421:82] + wire [3:0] buf_rspage_0 = {_T_3243,_T_3237,_T_3231,_T_3225}; // @[Cat.scala 29:58] + wire _T_3162 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3165 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3168 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3171 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 420:88] + wire [2:0] _T_3173 = {_T_3171,_T_3168,_T_3165}; // @[Cat.scala 29:58] + wire _T_3270 = buf_rspageQ_1[3] & _T_3242; // @[lsu_bus_buffer.scala 421:82] + wire _T_3264 = buf_rspageQ_1[2] & _T_3236; // @[lsu_bus_buffer.scala 421:82] + wire _T_3258 = buf_rspageQ_1[1] & _T_3230; // @[lsu_bus_buffer.scala 421:82] + wire _T_3252 = buf_rspageQ_1[0] & _T_3224; // @[lsu_bus_buffer.scala 421:82] + wire [3:0] buf_rspage_1 = {_T_3270,_T_3264,_T_3258,_T_3252}; // @[Cat.scala 29:58] + wire _T_3177 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3180 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3183 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3186 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 420:88] + wire [2:0] _T_3188 = {_T_3186,_T_3183,_T_3180}; // @[Cat.scala 29:58] + wire _T_3297 = buf_rspageQ_2[3] & _T_3242; // @[lsu_bus_buffer.scala 421:82] + wire _T_3291 = buf_rspageQ_2[2] & _T_3236; // @[lsu_bus_buffer.scala 421:82] + wire _T_3285 = buf_rspageQ_2[1] & _T_3230; // @[lsu_bus_buffer.scala 421:82] + wire _T_3279 = buf_rspageQ_2[0] & _T_3224; // @[lsu_bus_buffer.scala 421:82] + wire [3:0] buf_rspage_2 = {_T_3297,_T_3291,_T_3285,_T_3279}; // @[Cat.scala 29:58] + wire _T_3192 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3195 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3198 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3201 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 420:88] + wire [2:0] _T_3203 = {_T_3201,_T_3198,_T_3195}; // @[Cat.scala 29:58] + wire _T_3324 = buf_rspageQ_3[3] & _T_3242; // @[lsu_bus_buffer.scala 421:82] + wire _T_3318 = buf_rspageQ_3[2] & _T_3236; // @[lsu_bus_buffer.scala 421:82] + wire _T_3312 = buf_rspageQ_3[1] & _T_3230; // @[lsu_bus_buffer.scala 421:82] + wire _T_3306 = buf_rspageQ_3[0] & _T_3224; // @[lsu_bus_buffer.scala 421:82] + wire [3:0] buf_rspage_3 = {_T_3324,_T_3318,_T_3312,_T_3306}; // @[Cat.scala 29:58] + wire _T_3207 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3210 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3213 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 420:88] + wire _T_3216 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 420:88] + wire [2:0] _T_3218 = {_T_3216,_T_3213,_T_3210}; // @[Cat.scala 29:58] + wire _T_3329 = ibuf_drain_vld & _T_1854; // @[lsu_bus_buffer.scala 426:63] + wire _T_3331 = ibuf_drain_vld & _T_1865; // @[lsu_bus_buffer.scala 426:63] + wire _T_3333 = ibuf_drain_vld & _T_1876; // @[lsu_bus_buffer.scala 426:63] + wire _T_3335 = ibuf_drain_vld & _T_1887; // @[lsu_bus_buffer.scala 426:63] + wire [3:0] ibuf_drainvec_vld = {_T_3335,_T_3333,_T_3331,_T_3329}; // @[Cat.scala 29:58] + wire _T_3343 = _T_3537 & _T_1857; // @[lsu_bus_buffer.scala 428:35] + wire _T_3352 = _T_3537 & _T_1868; // @[lsu_bus_buffer.scala 428:35] + wire _T_3361 = _T_3537 & _T_1879; // @[lsu_bus_buffer.scala 428:35] + wire _T_3370 = _T_3537 & _T_1890; // @[lsu_bus_buffer.scala 428:35] + wire _T_3400 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 430:45] + wire _T_3402 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 430:45] + wire _T_3404 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 430:45] + wire _T_3406 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 430:45] + wire [3:0] buf_dual_in = {_T_3406,_T_3404,_T_3402,_T_3400}; // @[Cat.scala 29:58] + wire _T_3411 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 431:47] + wire _T_3413 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 431:47] + wire _T_3415 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 431:47] + wire _T_3417 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 431:47] + wire [3:0] buf_samedw_in = {_T_3417,_T_3415,_T_3413,_T_3411}; // @[Cat.scala 29:58] + wire _T_3422 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 432:84] + wire _T_3423 = ibuf_drainvec_vld[0] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 432:48] + wire _T_3426 = ibuf_drainvec_vld[1] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 432:48] + wire _T_3429 = ibuf_drainvec_vld[2] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 432:48] + wire _T_3432 = ibuf_drainvec_vld[3] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 432:48] + wire [3:0] buf_nomerge_in = {_T_3432,_T_3429,_T_3426,_T_3423}; // @[Cat.scala 29:58] + wire _T_3440 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3343; // @[lsu_bus_buffer.scala 433:47] + wire _T_3445 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3352; // @[lsu_bus_buffer.scala 433:47] + wire _T_3450 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3361; // @[lsu_bus_buffer.scala 433:47] + wire _T_3455 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3370; // @[lsu_bus_buffer.scala 433:47] + wire [3:0] buf_dualhi_in = {_T_3455,_T_3450,_T_3445,_T_3440}; // @[Cat.scala 29:58] + wire _T_3484 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 435:51] + wire _T_3486 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 435:51] + wire _T_3488 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 435:51] + wire _T_3490 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 435:51] + wire [3:0] buf_sideeffect_in = {_T_3490,_T_3488,_T_3486,_T_3484}; // @[Cat.scala 29:58] + wire _T_3495 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 436:47] + wire _T_3497 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 436:47] + wire _T_3499 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 436:47] + wire _T_3501 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 436:47] + wire [3:0] buf_unsign_in = {_T_3501,_T_3499,_T_3497,_T_3495}; // @[Cat.scala 29:58] + wire _T_3518 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 438:46] + wire _T_3520 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 438:46] + wire _T_3522 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 438:46] + wire _T_3524 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 438:46] + wire [3:0] buf_write_in = {_T_3524,_T_3522,_T_3520,_T_3518}; // @[Cat.scala 29:58] + wire _T_3557 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 454:89] + wire _T_3559 = _T_3557 & _T_1351; // @[lsu_bus_buffer.scala 454:104] + wire _T_3572 = buf_state_en_0 & _T_3643; // @[lsu_bus_buffer.scala 459:44] + wire _T_3573 = _T_3572 & obuf_nosend; // @[lsu_bus_buffer.scala 459:60] + wire _T_3575 = _T_3573 & _T_1333; // @[lsu_bus_buffer.scala 459:74] + wire _T_3578 = _T_3568 & obuf_nosend; // @[lsu_bus_buffer.scala 461:67] + wire _T_3579 = _T_3578 & bus_rsp_read; // @[lsu_bus_buffer.scala 461:81] + wire _T_4872 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 565:64] + wire bus_rsp_read_error = bus_rsp_read & _T_4872; // @[lsu_bus_buffer.scala 565:38] + wire _T_3582 = _T_3578 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 462:82] + wire _T_3657 = bus_rsp_read_error & _T_3636; // @[lsu_bus_buffer.scala 476:91] + wire _T_3659 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 477:31] + wire _T_3661 = _T_3659 & _T_3638; // @[lsu_bus_buffer.scala 477:46] + wire _T_3662 = _T_3657 | _T_3661; // @[lsu_bus_buffer.scala 476:143] + wire _T_4870 = io_lsu_axi_b_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 564:66] + wire bus_rsp_write_error = bus_rsp_write & _T_4870; // @[lsu_bus_buffer.scala 564:40] + wire _T_3665 = bus_rsp_write_error & _T_3634; // @[lsu_bus_buffer.scala 478:53] + wire _T_3666 = _T_3662 | _T_3665; // @[lsu_bus_buffer.scala 477:88] + wire _T_3667 = _T_3568 & _T_3666; // @[lsu_bus_buffer.scala 476:68] + wire _GEN_46 = _T_3589 & _T_3667; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3555 ? _T_3582 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3551 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3528 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] + wire _T_3592 = ~bus_rsp_write_error; // @[lsu_bus_buffer.scala 466:73] + wire _T_3593 = buf_write[0] & _T_3592; // @[lsu_bus_buffer.scala 466:71] + wire _T_3594 = io_dec_tlu_force_halt | _T_3593; // @[lsu_bus_buffer.scala 466:55] + wire _T_3596 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 467:30] + wire _T_3597 = buf_dual_0 & _T_3596; // @[lsu_bus_buffer.scala 467:28] + wire _T_3600 = _T_3597 & _T_3643; // @[lsu_bus_buffer.scala 467:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[lsu_bus_buffer.scala 467:90] + wire _T_3601 = _GEN_21 != 3'h4; // @[lsu_bus_buffer.scala 467:90] + wire _T_3602 = _T_3600 & _T_3601; // @[lsu_bus_buffer.scala 467:61] + wire _T_4494 = _T_2746 | _T_2743; // @[lsu_bus_buffer.scala 525:93] + wire _T_4495 = _T_4494 | _T_2740; // @[lsu_bus_buffer.scala 525:93] + wire any_done_wait_state = _T_4495 | _T_2737; // @[lsu_bus_buffer.scala 525:93] + wire _T_3604 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 468:31] + wire _T_3610 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_3612 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_3614 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_3616 = buf_dualtag_0 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_3618 = _T_3610 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3619 = _T_3612 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3620 = _T_3614 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3621 = _T_3616 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3622 = _T_3618 | _T_3619; // @[Mux.scala 27:72] + wire _T_3623 = _T_3622 | _T_3620; // @[Mux.scala 27:72] + wire _T_3624 = _T_3623 | _T_3621; // @[Mux.scala 27:72] + wire _T_3626 = _T_3600 & _T_3624; // @[lsu_bus_buffer.scala 468:101] + wire _T_3627 = _GEN_21 == 3'h4; // @[lsu_bus_buffer.scala 468:167] + wire _T_3628 = _T_3626 & _T_3627; // @[lsu_bus_buffer.scala 468:138] + wire _T_3629 = _T_3628 & any_done_wait_state; // @[lsu_bus_buffer.scala 468:187] + wire _T_3630 = _T_3604 | _T_3629; // @[lsu_bus_buffer.scala 468:53] + wire _T_3653 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 475:47] + wire _T_3654 = _T_3653 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 475:62] + wire _T_3668 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 479:50] + wire _T_3669 = buf_state_en_0 & _T_3668; // @[lsu_bus_buffer.scala 479:48] + wire _T_3681 = buf_ldfwd[0] | _T_3686[0]; // @[lsu_bus_buffer.scala 482:90] + wire _T_3682 = _T_3681 | any_done_wait_state; // @[lsu_bus_buffer.scala 482:118] + wire _GEN_29 = _T_3702 & buf_state_en_0; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_3694 ? 1'h0 : _T_3702; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3694 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3676 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3676 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3589 & _T_3654; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_3589 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3589 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_3555 ? _T_3575 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_3555 ? _T_3579 : _GEN_45; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3555 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_68 = _T_3551 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_3551 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3551 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3528 & buf_state_en_0; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3528 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3528 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire _T_3765 = buf_state_en_1 & _T_3836; // @[lsu_bus_buffer.scala 459:44] + wire _T_3766 = _T_3765 & obuf_nosend; // @[lsu_bus_buffer.scala 459:60] + wire _T_3768 = _T_3766 & _T_1333; // @[lsu_bus_buffer.scala 459:74] + wire _T_3771 = _T_3761 & obuf_nosend; // @[lsu_bus_buffer.scala 461:67] + wire _T_3772 = _T_3771 & bus_rsp_read; // @[lsu_bus_buffer.scala 461:81] + wire _T_3775 = _T_3771 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 462:82] + wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 476:91] + wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 477:31] + wire _T_3854 = _T_3852 & _T_3831; // @[lsu_bus_buffer.scala 477:46] + wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 476:143] + wire _T_3858 = bus_rsp_write_error & _T_3827; // @[lsu_bus_buffer.scala 478:53] + wire _T_3859 = _T_3855 | _T_3858; // @[lsu_bus_buffer.scala 477:88] + wire _T_3860 = _T_3761 & _T_3859; // @[lsu_bus_buffer.scala 476:68] + wire _GEN_122 = _T_3782 & _T_3860; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3748 ? _T_3775 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3744 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3721 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] + wire _T_3786 = buf_write[1] & _T_3592; // @[lsu_bus_buffer.scala 466:71] + wire _T_3787 = io_dec_tlu_force_halt | _T_3786; // @[lsu_bus_buffer.scala 466:55] + wire _T_3789 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 467:30] + wire _T_3790 = buf_dual_1 & _T_3789; // @[lsu_bus_buffer.scala 467:28] + wire _T_3793 = _T_3790 & _T_3836; // @[lsu_bus_buffer.scala 467:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[lsu_bus_buffer.scala 467:90] + wire _T_3794 = _GEN_97 != 3'h4; // @[lsu_bus_buffer.scala 467:90] + wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 467:61] + wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 468:31] + wire _T_3803 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_3805 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_3807 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_3809 = buf_dualtag_1 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_3811 = _T_3803 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3812 = _T_3805 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3813 = _T_3807 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3814 = _T_3809 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3815 = _T_3811 | _T_3812; // @[Mux.scala 27:72] + wire _T_3816 = _T_3815 | _T_3813; // @[Mux.scala 27:72] + wire _T_3817 = _T_3816 | _T_3814; // @[Mux.scala 27:72] + wire _T_3819 = _T_3793 & _T_3817; // @[lsu_bus_buffer.scala 468:101] + wire _T_3820 = _GEN_97 == 3'h4; // @[lsu_bus_buffer.scala 468:167] + wire _T_3821 = _T_3819 & _T_3820; // @[lsu_bus_buffer.scala 468:138] + wire _T_3822 = _T_3821 & any_done_wait_state; // @[lsu_bus_buffer.scala 468:187] + wire _T_3823 = _T_3797 | _T_3822; // @[lsu_bus_buffer.scala 468:53] + wire _T_3846 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 475:47] + wire _T_3847 = _T_3846 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 475:62] + wire _T_3861 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 479:50] + wire _T_3862 = buf_state_en_1 & _T_3861; // @[lsu_bus_buffer.scala 479:48] + wire _T_3874 = buf_ldfwd[1] | _T_3879[0]; // @[lsu_bus_buffer.scala 482:90] + wire _T_3875 = _T_3874 | any_done_wait_state; // @[lsu_bus_buffer.scala 482:118] + wire _GEN_105 = _T_3895 & buf_state_en_1; // @[Conditional.scala 39:67] + wire _GEN_108 = _T_3887 ? 1'h0 : _T_3895; // @[Conditional.scala 39:67] + wire _GEN_110 = _T_3887 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] + wire _GEN_114 = _T_3869 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] + wire _GEN_116 = _T_3869 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3782 & _T_3847; // @[Conditional.scala 39:67] + wire _GEN_124 = _T_3782 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_126 = _T_3782 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3748 ? _T_3768 : _GEN_126; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3748 ? _T_3772 : _GEN_121; // @[Conditional.scala 39:67] + wire _GEN_138 = _T_3748 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3744 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3744 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] + wire _GEN_150 = _T_3744 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3721 & buf_state_en_1; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3721 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3721 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] + wire _T_3958 = buf_state_en_2 & _T_4029; // @[lsu_bus_buffer.scala 459:44] + wire _T_3959 = _T_3958 & obuf_nosend; // @[lsu_bus_buffer.scala 459:60] + wire _T_3961 = _T_3959 & _T_1333; // @[lsu_bus_buffer.scala 459:74] + wire _T_3964 = _T_3954 & obuf_nosend; // @[lsu_bus_buffer.scala 461:67] + wire _T_3965 = _T_3964 & bus_rsp_read; // @[lsu_bus_buffer.scala 461:81] + wire _T_3968 = _T_3964 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 462:82] + wire _T_4043 = bus_rsp_read_error & _T_4022; // @[lsu_bus_buffer.scala 476:91] + wire _T_4045 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 477:31] + wire _T_4047 = _T_4045 & _T_4024; // @[lsu_bus_buffer.scala 477:46] + wire _T_4048 = _T_4043 | _T_4047; // @[lsu_bus_buffer.scala 476:143] + wire _T_4051 = bus_rsp_write_error & _T_4020; // @[lsu_bus_buffer.scala 478:53] + wire _T_4052 = _T_4048 | _T_4051; // @[lsu_bus_buffer.scala 477:88] + wire _T_4053 = _T_3954 & _T_4052; // @[lsu_bus_buffer.scala 476:68] + wire _GEN_198 = _T_3975 & _T_4053; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3941 ? _T_3968 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3937 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3914 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] + wire _T_3979 = buf_write[2] & _T_3592; // @[lsu_bus_buffer.scala 466:71] + wire _T_3980 = io_dec_tlu_force_halt | _T_3979; // @[lsu_bus_buffer.scala 466:55] + wire _T_3982 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 467:30] + wire _T_3983 = buf_dual_2 & _T_3982; // @[lsu_bus_buffer.scala 467:28] + wire _T_3986 = _T_3983 & _T_4029; // @[lsu_bus_buffer.scala 467:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[lsu_bus_buffer.scala 467:90] + wire _T_3987 = _GEN_173 != 3'h4; // @[lsu_bus_buffer.scala 467:90] + wire _T_3988 = _T_3986 & _T_3987; // @[lsu_bus_buffer.scala 467:61] + wire _T_3990 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 468:31] + wire _T_3996 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_3998 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_4000 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_4002 = buf_dualtag_2 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_4004 = _T_3996 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4005 = _T_3998 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4006 = _T_4000 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4007 = _T_4002 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4008 = _T_4004 | _T_4005; // @[Mux.scala 27:72] + wire _T_4009 = _T_4008 | _T_4006; // @[Mux.scala 27:72] + wire _T_4010 = _T_4009 | _T_4007; // @[Mux.scala 27:72] + wire _T_4012 = _T_3986 & _T_4010; // @[lsu_bus_buffer.scala 468:101] + wire _T_4013 = _GEN_173 == 3'h4; // @[lsu_bus_buffer.scala 468:167] + wire _T_4014 = _T_4012 & _T_4013; // @[lsu_bus_buffer.scala 468:138] + wire _T_4015 = _T_4014 & any_done_wait_state; // @[lsu_bus_buffer.scala 468:187] + wire _T_4016 = _T_3990 | _T_4015; // @[lsu_bus_buffer.scala 468:53] + wire _T_4039 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 475:47] + wire _T_4040 = _T_4039 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 475:62] + wire _T_4054 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 479:50] + wire _T_4055 = buf_state_en_2 & _T_4054; // @[lsu_bus_buffer.scala 479:48] + wire _T_4067 = buf_ldfwd[2] | _T_4072[0]; // @[lsu_bus_buffer.scala 482:90] + wire _T_4068 = _T_4067 | any_done_wait_state; // @[lsu_bus_buffer.scala 482:118] + wire _GEN_181 = _T_4088 & buf_state_en_2; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_4080 ? 1'h0 : _T_4088; // @[Conditional.scala 39:67] + wire _GEN_186 = _T_4080 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_190 = _T_4062 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_4062 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_197 = _T_3975 & _T_4040; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3975 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] + wire _GEN_202 = _T_3975 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3941 ? _T_3961 : _GEN_202; // @[Conditional.scala 39:67] + wire _GEN_210 = _T_3941 ? _T_3965 : _GEN_197; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3941 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3937 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire _GEN_222 = _T_3937 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3937 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3914 & buf_state_en_2; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3914 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3914 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire _T_4151 = buf_state_en_3 & _T_4222; // @[lsu_bus_buffer.scala 459:44] + wire _T_4152 = _T_4151 & obuf_nosend; // @[lsu_bus_buffer.scala 459:60] + wire _T_4154 = _T_4152 & _T_1333; // @[lsu_bus_buffer.scala 459:74] + wire _T_4157 = _T_4147 & obuf_nosend; // @[lsu_bus_buffer.scala 461:67] + wire _T_4158 = _T_4157 & bus_rsp_read; // @[lsu_bus_buffer.scala 461:81] + wire _T_4161 = _T_4157 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 462:82] + wire _T_4236 = bus_rsp_read_error & _T_4215; // @[lsu_bus_buffer.scala 476:91] + wire _T_4238 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 477:31] + wire _T_4240 = _T_4238 & _T_4217; // @[lsu_bus_buffer.scala 477:46] + wire _T_4241 = _T_4236 | _T_4240; // @[lsu_bus_buffer.scala 476:143] + wire _T_4244 = bus_rsp_write_error & _T_4213; // @[lsu_bus_buffer.scala 478:53] + wire _T_4245 = _T_4241 | _T_4244; // @[lsu_bus_buffer.scala 477:88] + wire _T_4246 = _T_4147 & _T_4245; // @[lsu_bus_buffer.scala 476:68] + wire _GEN_274 = _T_4168 & _T_4246; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4134 ? _T_4161 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4130 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4107 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] + wire _T_4172 = buf_write[3] & _T_3592; // @[lsu_bus_buffer.scala 466:71] + wire _T_4173 = io_dec_tlu_force_halt | _T_4172; // @[lsu_bus_buffer.scala 466:55] + wire _T_4175 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 467:30] + wire _T_4176 = buf_dual_3 & _T_4175; // @[lsu_bus_buffer.scala 467:28] + wire _T_4179 = _T_4176 & _T_4222; // @[lsu_bus_buffer.scala 467:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[lsu_bus_buffer.scala 467:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[lsu_bus_buffer.scala 467:90] + wire _T_4180 = _GEN_249 != 3'h4; // @[lsu_bus_buffer.scala 467:90] + wire _T_4181 = _T_4179 & _T_4180; // @[lsu_bus_buffer.scala 467:61] + wire _T_4183 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 468:31] + wire _T_4189 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_4191 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_4193 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_4195 = buf_dualtag_3 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_4197 = _T_4189 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4198 = _T_4191 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4199 = _T_4193 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4200 = _T_4195 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4201 = _T_4197 | _T_4198; // @[Mux.scala 27:72] + wire _T_4202 = _T_4201 | _T_4199; // @[Mux.scala 27:72] + wire _T_4203 = _T_4202 | _T_4200; // @[Mux.scala 27:72] + wire _T_4205 = _T_4179 & _T_4203; // @[lsu_bus_buffer.scala 468:101] + wire _T_4206 = _GEN_249 == 3'h4; // @[lsu_bus_buffer.scala 468:167] + wire _T_4207 = _T_4205 & _T_4206; // @[lsu_bus_buffer.scala 468:138] + wire _T_4208 = _T_4207 & any_done_wait_state; // @[lsu_bus_buffer.scala 468:187] + wire _T_4209 = _T_4183 | _T_4208; // @[lsu_bus_buffer.scala 468:53] + wire _T_4232 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 475:47] + wire _T_4233 = _T_4232 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 475:62] + wire _T_4247 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 479:50] + wire _T_4248 = buf_state_en_3 & _T_4247; // @[lsu_bus_buffer.scala 479:48] + wire _T_4260 = buf_ldfwd[3] | _T_4265[0]; // @[lsu_bus_buffer.scala 482:90] + wire _T_4261 = _T_4260 | any_done_wait_state; // @[lsu_bus_buffer.scala 482:118] + wire _GEN_257 = _T_4281 & buf_state_en_3; // @[Conditional.scala 39:67] + wire _GEN_260 = _T_4273 ? 1'h0 : _T_4281; // @[Conditional.scala 39:67] + wire _GEN_262 = _T_4273 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4255 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] + wire _GEN_268 = _T_4255 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] + wire _GEN_273 = _T_4168 & _T_4233; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4168 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_278 = _T_4168 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_284 = _T_4134 ? _T_4154 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4134 ? _T_4158 : _GEN_273; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4134 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4130 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4130 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4130 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4107 & buf_state_en_3; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4107 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4107 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] + reg _T_4336; // @[Reg.scala 27:20] + reg _T_4339; // @[Reg.scala 27:20] + reg _T_4342; // @[Reg.scala 27:20] + reg _T_4345; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4345,_T_4342,_T_4339,_T_4336}; // @[Cat.scala 29:58] + reg _T_4411; // @[lsu_bus_buffer.scala 518:80] + reg _T_4406; // @[lsu_bus_buffer.scala 518:80] + reg _T_4401; // @[lsu_bus_buffer.scala 518:80] + reg _T_4396; // @[lsu_bus_buffer.scala 518:80] + wire [3:0] buf_error = {_T_4411,_T_4406,_T_4401,_T_4396}; // @[Cat.scala 29:58] + wire _T_4393 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 518:84] + wire _T_4394 = ~buf_rst_0; // @[lsu_bus_buffer.scala 518:126] + wire _T_4398 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 518:84] + wire _T_4399 = ~buf_rst_1; // @[lsu_bus_buffer.scala 518:126] + wire _T_4403 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 518:84] + wire _T_4404 = ~buf_rst_2; // @[lsu_bus_buffer.scala 518:126] + wire _T_4408 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 518:84] + wire _T_4409 = ~buf_rst_3; // @[lsu_bus_buffer.scala 518:126] + wire [1:0] _T_4415 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4416 = io_ldst_dual_m ? _T_4415 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 521:28] + wire [1:0] _T_4417 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4418 = io_ldst_dual_r ? _T_4417 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 521:94] + wire [2:0] _T_4419 = _T_4416 + _T_4418; // @[lsu_bus_buffer.scala 521:88] + wire [2:0] _GEN_388 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 521:154] + wire [3:0] _T_4420 = _T_4419 + _GEN_388; // @[lsu_bus_buffer.scala 521:154] + wire [1:0] _T_4425 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 521:217] + wire [1:0] _GEN_389 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 521:217] + wire [2:0] _T_4426 = _T_4425 + _GEN_389; // @[lsu_bus_buffer.scala 521:217] + wire [2:0] _GEN_390 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 521:217] + wire [3:0] _T_4427 = _T_4426 + _GEN_390; // @[lsu_bus_buffer.scala 521:217] + wire [3:0] buf_numvld_any = _T_4420 + _T_4427; // @[lsu_bus_buffer.scala 521:169] + wire _T_4498 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 527:52] + wire _T_4499 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 527:92] + wire _T_4500 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 527:121] + wire _T_4502 = |buf_state_0; // @[lsu_bus_buffer.scala 528:52] + wire _T_4503 = |buf_state_1; // @[lsu_bus_buffer.scala 528:52] + wire _T_4504 = |buf_state_2; // @[lsu_bus_buffer.scala 528:52] + wire _T_4505 = |buf_state_3; // @[lsu_bus_buffer.scala 528:52] + wire _T_4506 = _T_4502 | _T_4503; // @[lsu_bus_buffer.scala 528:65] + wire _T_4507 = _T_4506 | _T_4504; // @[lsu_bus_buffer.scala 528:65] + wire _T_4508 = _T_4507 | _T_4505; // @[lsu_bus_buffer.scala 528:65] + wire _T_4509 = ~_T_4508; // @[lsu_bus_buffer.scala 528:34] + wire _T_4511 = _T_4509 & _T_852; // @[lsu_bus_buffer.scala 528:70] + wire _T_4514 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 530:64] + wire _T_4515 = _T_4514 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 530:85] + wire _T_4516 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 530:112] + wire _T_4517 = _T_4515 & _T_4516; // @[lsu_bus_buffer.scala 530:110] + wire _T_4518 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 530:129] + wire _T_4520 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 533:74] + reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 618:66] + wire _T_4538 = _T_2799 & _T_3643; // @[Mux.scala 27:72] + wire _T_4539 = _T_2821 & _T_3836; // @[Mux.scala 27:72] + wire _T_4540 = _T_2843 & _T_4029; // @[Mux.scala 27:72] + wire _T_4541 = _T_2865 & _T_4222; // @[Mux.scala 27:72] + wire _T_4542 = _T_4538 | _T_4539; // @[Mux.scala 27:72] + wire _T_4543 = _T_4542 | _T_4540; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4543 | _T_4541; // @[Mux.scala 27:72] + wire _T_4549 = buf_error[0] & _T_3643; // @[lsu_bus_buffer.scala 536:121] + wire _T_4554 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 536:121] + wire _T_4559 = buf_error[2] & _T_4029; // @[lsu_bus_buffer.scala 536:121] + wire _T_4564 = buf_error[3] & _T_4222; // @[lsu_bus_buffer.scala 536:121] + wire _T_4565 = _T_2799 & _T_4549; // @[Mux.scala 27:72] + wire _T_4566 = _T_2821 & _T_4554; // @[Mux.scala 27:72] + wire _T_4567 = _T_2843 & _T_4559; // @[Mux.scala 27:72] + wire _T_4568 = _T_2865 & _T_4564; // @[Mux.scala 27:72] + wire _T_4569 = _T_4565 | _T_4566; // @[Mux.scala 27:72] + wire _T_4570 = _T_4569 | _T_4567; // @[Mux.scala 27:72] + wire _T_4577 = ~buf_dual_0; // @[lsu_bus_buffer.scala 537:122] + wire _T_4578 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 537:137] + wire _T_4579 = _T_4577 | _T_4578; // @[lsu_bus_buffer.scala 537:135] + wire _T_4580 = _T_4538 & _T_4579; // @[lsu_bus_buffer.scala 537:119] + wire _T_4585 = ~buf_dual_1; // @[lsu_bus_buffer.scala 537:122] + wire _T_4586 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 537:137] + wire _T_4587 = _T_4585 | _T_4586; // @[lsu_bus_buffer.scala 537:135] + wire _T_4588 = _T_4539 & _T_4587; // @[lsu_bus_buffer.scala 537:119] + wire _T_4593 = ~buf_dual_2; // @[lsu_bus_buffer.scala 537:122] + wire _T_4594 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 537:137] + wire _T_4595 = _T_4593 | _T_4594; // @[lsu_bus_buffer.scala 537:135] + wire _T_4596 = _T_4540 & _T_4595; // @[lsu_bus_buffer.scala 537:119] + wire _T_4601 = ~buf_dual_3; // @[lsu_bus_buffer.scala 537:122] + wire _T_4602 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 537:137] + wire _T_4603 = _T_4601 | _T_4602; // @[lsu_bus_buffer.scala 537:135] + wire _T_4604 = _T_4541 & _T_4603; // @[lsu_bus_buffer.scala 537:119] + wire [1:0] _T_4607 = _T_4596 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4608 = _T_4604 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_391 = {{1'd0}, _T_4588}; // @[Mux.scala 27:72] + wire [1:0] _T_4610 = _GEN_391 | _T_4607; // @[Mux.scala 27:72] + wire [31:0] _T_4645 = _T_4580 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4646 = _T_4588 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4647 = _T_4596 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4648 = _T_4604 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4649 = _T_4645 | _T_4646; // @[Mux.scala 27:72] + wire [31:0] _T_4650 = _T_4649 | _T_4647; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4650 | _T_4648; // @[Mux.scala 27:72] + wire _T_4657 = _T_4538 & _T_3641; // @[lsu_bus_buffer.scala 539:105] + wire _T_4663 = _T_4539 & _T_3834; // @[lsu_bus_buffer.scala 539:105] + wire _T_4669 = _T_4540 & _T_4027; // @[lsu_bus_buffer.scala 539:105] + wire _T_4675 = _T_4541 & _T_4220; // @[lsu_bus_buffer.scala 539:105] + wire [31:0] _T_4676 = _T_4657 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4677 = _T_4663 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4678 = _T_4669 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4679 = _T_4675 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4680 = _T_4676 | _T_4677; // @[Mux.scala 27:72] + wire [31:0] _T_4681 = _T_4680 | _T_4678; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4681 | _T_4679; // @[Mux.scala 27:72] + wire _T_4683 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 58:123] + wire _T_4684 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 58:123] + wire _T_4685 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 58:123] + wire _T_4686 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 58:123] + wire [31:0] _T_4687 = _T_4683 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4688 = _T_4684 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4689 = _T_4685 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4690 = _T_4686 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4691 = _T_4687 | _T_4688; // @[Mux.scala 27:72] + wire [31:0] _T_4692 = _T_4691 | _T_4689; // @[Mux.scala 27:72] + wire [31:0] _T_4693 = _T_4692 | _T_4690; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4693[1:0]; // @[lsu_bus_buffer.scala 540:96] + wire [1:0] _T_4699 = _T_4683 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4700 = _T_4684 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4701 = _T_4685 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4702 = _T_4686 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4703 = _T_4699 | _T_4700; // @[Mux.scala 27:72] + wire [1:0] _T_4704 = _T_4703 | _T_4701; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4704 | _T_4702; // @[Mux.scala 27:72] + wire _T_4714 = _T_4683 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4715 = _T_4684 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4716 = _T_4685 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4717 = _T_4686 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4718 = _T_4714 | _T_4715; // @[Mux.scala 27:72] + wire _T_4719 = _T_4718 | _T_4716; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4719 | _T_4717; // @[Mux.scala 27:72] + wire [63:0] _T_4739 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [3:0] _GEN_392 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 544:121] + wire [5:0] _T_4740 = _GEN_392 * 4'h8; // @[lsu_bus_buffer.scala 544:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4739 >> _T_4740; // @[lsu_bus_buffer.scala 544:92] + wire _T_4741 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 546:82] + wire _T_4743 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 547:94] + wire _T_4744 = lsu_nonblock_unsign & _T_4743; // @[lsu_bus_buffer.scala 547:76] + wire [31:0] _T_4746 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4747 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 548:45] + wire _T_4748 = lsu_nonblock_unsign & _T_4747; // @[lsu_bus_buffer.scala 548:26] + wire [31:0] _T_4750 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4751 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 549:6] + wire _T_4753 = _T_4751 & _T_4743; // @[lsu_bus_buffer.scala 549:27] + wire [23:0] _T_4756 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4758 = {_T_4756,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4761 = _T_4751 & _T_4747; // @[lsu_bus_buffer.scala 550:27] + wire [15:0] _T_4764 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4766 = {_T_4764,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4767 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 551:21] + wire [31:0] _T_4768 = _T_4744 ? _T_4746 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4769 = _T_4748 ? _T_4750 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4770 = _T_4753 ? _T_4758 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4771 = _T_4761 ? _T_4766 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4772 = _T_4767 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4773 = _T_4768 | _T_4769; // @[Mux.scala 27:72] + wire [31:0] _T_4774 = _T_4773 | _T_4770; // @[Mux.scala 27:72] + wire [31:0] _T_4775 = _T_4774 | _T_4771; // @[Mux.scala 27:72] + wire [63:0] _GEN_393 = {{32'd0}, _T_4775}; // @[Mux.scala 27:72] + wire [63:0] _T_4776 = _GEN_393 | _T_4772; // @[Mux.scala 27:72] + wire _T_4874 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 569:37] + wire _T_4875 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 569:52] + wire _T_4876 = _T_4874 & _T_4875; // @[lsu_bus_buffer.scala 569:50] + wire [31:0] _T_4880 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4882 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4887 = ~obuf_data_done; // @[lsu_bus_buffer.scala 581:51] + wire _T_4888 = _T_4874 & _T_4887; // @[lsu_bus_buffer.scala 581:49] + wire [7:0] _T_4892 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4895 = obuf_valid & _T_1343; // @[lsu_bus_buffer.scala 586:37] + wire _T_4897 = _T_4895 & _T_1349; // @[lsu_bus_buffer.scala 586:51] + wire _T_4909 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 599:126] + wire _T_4911 = _T_4909 & buf_write[0]; // @[lsu_bus_buffer.scala 599:141] + wire _T_4914 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 599:126] + wire _T_4916 = _T_4914 & buf_write[1]; // @[lsu_bus_buffer.scala 599:141] + wire _T_4919 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 599:126] + wire _T_4921 = _T_4919 & buf_write[2]; // @[lsu_bus_buffer.scala 599:141] + wire _T_4924 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 599:126] + wire _T_4926 = _T_4924 & buf_write[3]; // @[lsu_bus_buffer.scala 599:141] + wire _T_4927 = _T_2799 & _T_4911; // @[Mux.scala 27:72] + wire _T_4928 = _T_2821 & _T_4916; // @[Mux.scala 27:72] + wire _T_4929 = _T_2843 & _T_4921; // @[Mux.scala 27:72] + wire _T_4930 = _T_2865 & _T_4926; // @[Mux.scala 27:72] + wire _T_4931 = _T_4927 | _T_4928; // @[Mux.scala 27:72] + wire _T_4932 = _T_4931 | _T_4929; // @[Mux.scala 27:72] + wire _T_4942 = _T_2821 & buf_error[1]; // @[lsu_bus_buffer.scala 600:93] + wire _T_4944 = _T_4942 & buf_write[1]; // @[lsu_bus_buffer.scala 600:108] + wire _T_4947 = _T_2843 & buf_error[2]; // @[lsu_bus_buffer.scala 600:93] + wire _T_4949 = _T_4947 & buf_write[2]; // @[lsu_bus_buffer.scala 600:108] + wire _T_4952 = _T_2865 & buf_error[3]; // @[lsu_bus_buffer.scala 600:93] + wire _T_4954 = _T_4952 & buf_write[3]; // @[lsu_bus_buffer.scala 600:108] + wire [1:0] _T_4957 = _T_4949 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4958 = _T_4954 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_394 = {{1'd0}, _T_4944}; // @[Mux.scala 27:72] + wire [1:0] _T_4960 = _GEN_394 | _T_4957; // @[Mux.scala 27:72] + wire [1:0] lsu_imprecise_error_store_tag = _T_4960 | _T_4958; // @[Mux.scala 27:72] + wire _T_4962 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 602:97] + wire [31:0] _GEN_351 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 603:53] + wire [31:0] _GEN_352 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_351; // @[lsu_bus_buffer.scala 603:53] + wire [31:0] _GEN_353 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_352; // @[lsu_bus_buffer.scala 603:53] + wire [31:0] _GEN_355 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 603:53] + wire [31:0] _GEN_356 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_355; // @[lsu_bus_buffer.scala 603:53] + wire [31:0] _GEN_357 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_356; // @[lsu_bus_buffer.scala 603:53] + wire _T_4967 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 609:82] + wire _T_4970 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 610:60] + wire _T_4973 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 613:61] + wire _T_4974 = io_lsu_axi_aw_valid & _T_4973; // @[lsu_bus_buffer.scala 613:59] + wire _T_4975 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 613:107] + wire _T_4976 = io_lsu_axi_w_valid & _T_4975; // @[lsu_bus_buffer.scala 613:105] + wire _T_4977 = _T_4974 | _T_4976; // @[lsu_bus_buffer.scala 613:83] + wire _T_4978 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 613:153] + wire _T_4979 = io_lsu_axi_ar_valid & _T_4978; // @[lsu_bus_buffer.scala 613:151] + wire _T_4983 = ~io_flush_r; // @[lsu_bus_buffer.scala 617:75] + wire _T_4984 = io_lsu_busreq_m & _T_4983; // @[lsu_bus_buffer.scala 617:73] + reg _T_4987; // @[lsu_bus_buffer.scala 617:56] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4967 | _T_4866; // @[lsu_bus_buffer.scala 609:35] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4970 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 610:41] + assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 611:36] + assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4977 | _T_4979; // @[lsu_bus_buffer.scala 613:35] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4962; // @[lsu_bus_buffer.scala 602:47] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4932 | _T_4930; // @[lsu_bus_buffer.scala 599:48] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_353 : _GEN_357; // @[lsu_bus_buffer.scala 603:47] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4517 & _T_4518; // @[lsu_bus_buffer.scala 530:45] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1863 ? 2'h0 : _T_1899; // @[lsu_bus_buffer.scala 531:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4520; // @[lsu_bus_buffer.scala 533:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 534:47] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4741; // @[lsu_bus_buffer.scala 546:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4570 | _T_4568; // @[lsu_bus_buffer.scala 536:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4610 | _T_4608; // @[lsu_bus_buffer.scala 537:46] + assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4776[31:0]; // @[lsu_bus_buffer.scala 547:42] + assign io_lsu_axi_aw_valid = _T_4876 & _T_1239; // @[lsu_bus_buffer.scala 569:23] + assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 570:25] + assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4880; // @[lsu_bus_buffer.scala 571:27] + assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 575:29] + assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4882 : 3'h3; // @[lsu_bus_buffer.scala 572:27] + assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 574:28] + assign io_lsu_axi_w_valid = _T_4888 & _T_1239; // @[lsu_bus_buffer.scala 581:22] + assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 583:26] + assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4892; // @[lsu_bus_buffer.scala 582:26] + assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 597:22] + assign io_lsu_axi_ar_valid = _T_4897 & _T_1239; // @[lsu_bus_buffer.scala 586:23] + assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 587:25] + assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4880; // @[lsu_bus_buffer.scala 588:27] + assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 592:29] + assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4882 : 3'h3; // @[lsu_bus_buffer.scala 589:27] + assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 591:28] + assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 598:22] + assign io_lsu_busreq_r = _T_4987; // @[lsu_bus_buffer.scala 617:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 526:30] + assign io_lsu_bus_buffer_full_any = _T_4498 ? _T_4499 : _T_4500; // @[lsu_bus_buffer.scala 527:30] + assign io_lsu_bus_buffer_empty_any = _T_4511 & _T_1231; // @[lsu_bus_buffer.scala 528:31] + assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 138:25] + assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 139:25] + assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 165:24] + assign io_ld_fwddata_buf_hi = _T_747 | _T_748; // @[lsu_bus_buffer.scala 171:24] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = _T_853 & _T_854; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = _T_853 & _T_854; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = _T_1240 & io_lsu_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = _T_1240 & io_lsu_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = _T_3528 & buf_state_en_0; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = _T_3721 & buf_state_en_1; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = _T_3914 & buf_state_en_2; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = _T_4107 & buf_state_en_3; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_8_io_en = _T_3528 ? buf_state_en_0 : _GEN_70; // @[lib.scala 371:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_9_io_en = _T_3721 ? buf_state_en_1 : _GEN_146; // @[lib.scala 371:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_10_io_en = _T_3914 ? buf_state_en_2 : _GEN_222; // @[lib.scala 371:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_11_io_en = _T_4107 ? buf_state_en_3 : _GEN_298; // @[lib.scala 371:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + buf_addr_0 = _RAND_0[31:0]; + _RAND_1 = {1{`RANDOM}}; + _T_4360 = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + _T_4357 = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + _T_4354 = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + _T_4351 = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + buf_state_0 = _RAND_5[2:0]; + _RAND_6 = {1{`RANDOM}}; + buf_addr_1 = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + buf_state_1 = _RAND_7[2:0]; + _RAND_8 = {1{`RANDOM}}; + buf_addr_2 = _RAND_8[31:0]; + _RAND_9 = {1{`RANDOM}}; + buf_state_2 = _RAND_9[2:0]; + _RAND_10 = {1{`RANDOM}}; + buf_addr_3 = _RAND_10[31:0]; + _RAND_11 = {1{`RANDOM}}; + buf_state_3 = _RAND_11[2:0]; + _RAND_12 = {1{`RANDOM}}; + buf_byteen_3 = _RAND_12[3:0]; + _RAND_13 = {1{`RANDOM}}; + buf_byteen_2 = _RAND_13[3:0]; + _RAND_14 = {1{`RANDOM}}; + buf_byteen_1 = _RAND_14[3:0]; + _RAND_15 = {1{`RANDOM}}; + buf_byteen_0 = _RAND_15[3:0]; + _RAND_16 = {1{`RANDOM}}; + buf_ageQ_3 = _RAND_16[3:0]; + _RAND_17 = {1{`RANDOM}}; + _T_1848 = _RAND_17[1:0]; + _RAND_18 = {1{`RANDOM}}; + obuf_merge = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + obuf_tag1 = _RAND_19[1:0]; + _RAND_20 = {1{`RANDOM}}; + obuf_valid = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + obuf_wr_enQ = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + ibuf_addr = _RAND_22[31:0]; + _RAND_23 = {1{`RANDOM}}; + ibuf_write = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + ibuf_valid = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + ibuf_byteen = _RAND_25[3:0]; + _RAND_26 = {1{`RANDOM}}; + buf_ageQ_2 = _RAND_26[3:0]; + _RAND_27 = {1{`RANDOM}}; + buf_ageQ_1 = _RAND_27[3:0]; + _RAND_28 = {1{`RANDOM}}; + buf_ageQ_0 = _RAND_28[3:0]; + _RAND_29 = {1{`RANDOM}}; + buf_data_0 = _RAND_29[31:0]; + _RAND_30 = {1{`RANDOM}}; + buf_data_1 = _RAND_30[31:0]; + _RAND_31 = {1{`RANDOM}}; + buf_data_2 = _RAND_31[31:0]; + _RAND_32 = {1{`RANDOM}}; + buf_data_3 = _RAND_32[31:0]; + _RAND_33 = {1{`RANDOM}}; + ibuf_data = _RAND_33[31:0]; + _RAND_34 = {1{`RANDOM}}; + ibuf_timer = _RAND_34[2:0]; + _RAND_35 = {1{`RANDOM}}; + ibuf_sideeffect = _RAND_35[0:0]; + _RAND_36 = {1{`RANDOM}}; + WrPtr1_r = _RAND_36[1:0]; + _RAND_37 = {1{`RANDOM}}; + WrPtr0_r = _RAND_37[1:0]; + _RAND_38 = {1{`RANDOM}}; + ibuf_tag = _RAND_38[1:0]; + _RAND_39 = {1{`RANDOM}}; + ibuf_dualtag = _RAND_39[1:0]; + _RAND_40 = {1{`RANDOM}}; + ibuf_dual = _RAND_40[0:0]; + _RAND_41 = {1{`RANDOM}}; + ibuf_samedw = _RAND_41[0:0]; + _RAND_42 = {1{`RANDOM}}; + ibuf_nomerge = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + ibuf_unsign = _RAND_43[0:0]; + _RAND_44 = {1{`RANDOM}}; + ibuf_sz = _RAND_44[1:0]; + _RAND_45 = {1{`RANDOM}}; + obuf_wr_timer = _RAND_45[2:0]; + _RAND_46 = {1{`RANDOM}}; + buf_nomerge_0 = _RAND_46[0:0]; + _RAND_47 = {1{`RANDOM}}; + buf_nomerge_1 = _RAND_47[0:0]; + _RAND_48 = {1{`RANDOM}}; + buf_nomerge_2 = _RAND_48[0:0]; + _RAND_49 = {1{`RANDOM}}; + buf_nomerge_3 = _RAND_49[0:0]; + _RAND_50 = {1{`RANDOM}}; + _T_4330 = _RAND_50[0:0]; + _RAND_51 = {1{`RANDOM}}; + _T_4327 = _RAND_51[0:0]; + _RAND_52 = {1{`RANDOM}}; + _T_4324 = _RAND_52[0:0]; + _RAND_53 = {1{`RANDOM}}; + _T_4321 = _RAND_53[0:0]; + _RAND_54 = {1{`RANDOM}}; + obuf_sideeffect = _RAND_54[0:0]; + _RAND_55 = {1{`RANDOM}}; + buf_dual_3 = _RAND_55[0:0]; + _RAND_56 = {1{`RANDOM}}; + buf_dual_2 = _RAND_56[0:0]; + _RAND_57 = {1{`RANDOM}}; + buf_dual_1 = _RAND_57[0:0]; + _RAND_58 = {1{`RANDOM}}; + buf_dual_0 = _RAND_58[0:0]; + _RAND_59 = {1{`RANDOM}}; + buf_samedw_3 = _RAND_59[0:0]; + _RAND_60 = {1{`RANDOM}}; + buf_samedw_2 = _RAND_60[0:0]; + _RAND_61 = {1{`RANDOM}}; + buf_samedw_1 = _RAND_61[0:0]; + _RAND_62 = {1{`RANDOM}}; + buf_samedw_0 = _RAND_62[0:0]; + _RAND_63 = {1{`RANDOM}}; + obuf_write = _RAND_63[0:0]; + _RAND_64 = {1{`RANDOM}}; + obuf_cmd_done = _RAND_64[0:0]; + _RAND_65 = {1{`RANDOM}}; + obuf_data_done = _RAND_65[0:0]; + _RAND_66 = {1{`RANDOM}}; + obuf_nosend = _RAND_66[0:0]; + _RAND_67 = {1{`RANDOM}}; + obuf_addr = _RAND_67[31:0]; + _RAND_68 = {1{`RANDOM}}; + buf_sz_0 = _RAND_68[1:0]; + _RAND_69 = {1{`RANDOM}}; + buf_sz_1 = _RAND_69[1:0]; + _RAND_70 = {1{`RANDOM}}; + buf_sz_2 = _RAND_70[1:0]; + _RAND_71 = {1{`RANDOM}}; + buf_sz_3 = _RAND_71[1:0]; + _RAND_72 = {1{`RANDOM}}; + obuf_rdrsp_pend = _RAND_72[0:0]; + _RAND_73 = {1{`RANDOM}}; + obuf_rdrsp_tag = _RAND_73[2:0]; + _RAND_74 = {1{`RANDOM}}; + buf_dualhi_3 = _RAND_74[0:0]; + _RAND_75 = {1{`RANDOM}}; + buf_dualhi_2 = _RAND_75[0:0]; + _RAND_76 = {1{`RANDOM}}; + buf_dualhi_1 = _RAND_76[0:0]; + _RAND_77 = {1{`RANDOM}}; + buf_dualhi_0 = _RAND_77[0:0]; + _RAND_78 = {1{`RANDOM}}; + obuf_sz = _RAND_78[1:0]; + _RAND_79 = {1{`RANDOM}}; + obuf_byteen = _RAND_79[7:0]; + _RAND_80 = {2{`RANDOM}}; + obuf_data = _RAND_80[63:0]; + _RAND_81 = {1{`RANDOM}}; + buf_rspageQ_0 = _RAND_81[3:0]; + _RAND_82 = {1{`RANDOM}}; + buf_rspageQ_1 = _RAND_82[3:0]; + _RAND_83 = {1{`RANDOM}}; + buf_rspageQ_2 = _RAND_83[3:0]; + _RAND_84 = {1{`RANDOM}}; + buf_rspageQ_3 = _RAND_84[3:0]; + _RAND_85 = {1{`RANDOM}}; + _T_4307 = _RAND_85[0:0]; + _RAND_86 = {1{`RANDOM}}; + _T_4305 = _RAND_86[0:0]; + _RAND_87 = {1{`RANDOM}}; + _T_4303 = _RAND_87[0:0]; + _RAND_88 = {1{`RANDOM}}; + _T_4301 = _RAND_88[0:0]; + _RAND_89 = {1{`RANDOM}}; + buf_ldfwdtag_0 = _RAND_89[1:0]; + _RAND_90 = {1{`RANDOM}}; + buf_dualtag_0 = _RAND_90[1:0]; + _RAND_91 = {1{`RANDOM}}; + buf_ldfwdtag_3 = _RAND_91[1:0]; + _RAND_92 = {1{`RANDOM}}; + buf_ldfwdtag_2 = _RAND_92[1:0]; + _RAND_93 = {1{`RANDOM}}; + buf_ldfwdtag_1 = _RAND_93[1:0]; + _RAND_94 = {1{`RANDOM}}; + buf_dualtag_1 = _RAND_94[1:0]; + _RAND_95 = {1{`RANDOM}}; + buf_dualtag_2 = _RAND_95[1:0]; + _RAND_96 = {1{`RANDOM}}; + buf_dualtag_3 = _RAND_96[1:0]; + _RAND_97 = {1{`RANDOM}}; + _T_4336 = _RAND_97[0:0]; + _RAND_98 = {1{`RANDOM}}; + _T_4339 = _RAND_98[0:0]; + _RAND_99 = {1{`RANDOM}}; + _T_4342 = _RAND_99[0:0]; + _RAND_100 = {1{`RANDOM}}; + _T_4345 = _RAND_100[0:0]; + _RAND_101 = {1{`RANDOM}}; + _T_4411 = _RAND_101[0:0]; + _RAND_102 = {1{`RANDOM}}; + _T_4406 = _RAND_102[0:0]; + _RAND_103 = {1{`RANDOM}}; + _T_4401 = _RAND_103[0:0]; + _RAND_104 = {1{`RANDOM}}; + _T_4396 = _RAND_104[0:0]; + _RAND_105 = {1{`RANDOM}}; + lsu_nonblock_load_valid_r = _RAND_105[0:0]; + _RAND_106 = {1{`RANDOM}}; + _T_4987 = _RAND_106[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + buf_addr_0 = 32'h0; + end + if (reset) begin + _T_4360 = 1'h0; + end + if (reset) begin + _T_4357 = 1'h0; + end + if (reset) begin + _T_4354 = 1'h0; + end + if (reset) begin + _T_4351 = 1'h0; + end + if (reset) begin + buf_state_0 = 3'h0; + end + if (reset) begin + buf_addr_1 = 32'h0; + end + if (reset) begin + buf_state_1 = 3'h0; + end + if (reset) begin + buf_addr_2 = 32'h0; + end + if (reset) begin + buf_state_2 = 3'h0; + end + if (reset) begin + buf_addr_3 = 32'h0; + end + if (reset) begin + buf_state_3 = 3'h0; + end + if (reset) begin + buf_byteen_3 = 4'h0; + end + if (reset) begin + buf_byteen_2 = 4'h0; + end + if (reset) begin + buf_byteen_1 = 4'h0; + end + if (reset) begin + buf_byteen_0 = 4'h0; + end + if (reset) begin + buf_ageQ_3 = 4'h0; + end + if (reset) begin + _T_1848 = 2'h0; + end + if (reset) begin + obuf_merge = 1'h0; + end + if (reset) begin + obuf_tag1 = 2'h0; + end + if (reset) begin + obuf_valid = 1'h0; + end + if (reset) begin + obuf_wr_enQ = 1'h0; + end + if (reset) begin + ibuf_addr = 32'h0; + end + if (reset) begin + ibuf_write = 1'h0; + end + if (reset) begin + ibuf_valid = 1'h0; + end + if (reset) begin + ibuf_byteen = 4'h0; + end + if (reset) begin + buf_ageQ_2 = 4'h0; + end + if (reset) begin + buf_ageQ_1 = 4'h0; + end + if (reset) begin + buf_ageQ_0 = 4'h0; + end + if (reset) begin + buf_data_0 = 32'h0; + end + if (reset) begin + buf_data_1 = 32'h0; + end + if (reset) begin + buf_data_2 = 32'h0; + end + if (reset) begin + buf_data_3 = 32'h0; + end + if (reset) begin + ibuf_data = 32'h0; + end + if (reset) begin + ibuf_timer = 3'h0; + end + if (reset) begin + ibuf_sideeffect = 1'h0; + end + if (reset) begin + WrPtr1_r = 2'h0; + end + if (reset) begin + WrPtr0_r = 2'h0; + end + if (reset) begin + ibuf_tag = 2'h0; + end + if (reset) begin + ibuf_dualtag = 2'h0; + end + if (reset) begin + ibuf_dual = 1'h0; + end + if (reset) begin + ibuf_samedw = 1'h0; + end + if (reset) begin + ibuf_nomerge = 1'h0; + end + if (reset) begin + ibuf_unsign = 1'h0; + end + if (reset) begin + ibuf_sz = 2'h0; + end + if (reset) begin + obuf_wr_timer = 3'h0; + end + if (reset) begin + buf_nomerge_0 = 1'h0; + end + if (reset) begin + buf_nomerge_1 = 1'h0; + end + if (reset) begin + buf_nomerge_2 = 1'h0; + end + if (reset) begin + buf_nomerge_3 = 1'h0; + end + if (reset) begin + _T_4330 = 1'h0; + end + if (reset) begin + _T_4327 = 1'h0; + end + if (reset) begin + _T_4324 = 1'h0; + end + if (reset) begin + _T_4321 = 1'h0; + end + if (reset) begin + obuf_sideeffect = 1'h0; + end + if (reset) begin + buf_dual_3 = 1'h0; + end + if (reset) begin + buf_dual_2 = 1'h0; + end + if (reset) begin + buf_dual_1 = 1'h0; + end + if (reset) begin + buf_dual_0 = 1'h0; + end + if (reset) begin + buf_samedw_3 = 1'h0; + end + if (reset) begin + buf_samedw_2 = 1'h0; + end + if (reset) begin + buf_samedw_1 = 1'h0; + end + if (reset) begin + buf_samedw_0 = 1'h0; + end + if (reset) begin + obuf_write = 1'h0; + end + if (reset) begin + obuf_cmd_done = 1'h0; + end + if (reset) begin + obuf_data_done = 1'h0; + end + if (reset) begin + obuf_nosend = 1'h0; + end + if (reset) begin + obuf_addr = 32'h0; + end + if (reset) begin + buf_sz_0 = 2'h0; + end + if (reset) begin + buf_sz_1 = 2'h0; + end + if (reset) begin + buf_sz_2 = 2'h0; + end + if (reset) begin + buf_sz_3 = 2'h0; + end + if (reset) begin + obuf_rdrsp_pend = 1'h0; + end + if (reset) begin + obuf_rdrsp_tag = 3'h0; + end + if (reset) begin + buf_dualhi_3 = 1'h0; + end + if (reset) begin + buf_dualhi_2 = 1'h0; + end + if (reset) begin + buf_dualhi_1 = 1'h0; + end + if (reset) begin + buf_dualhi_0 = 1'h0; + end + if (reset) begin + obuf_sz = 2'h0; + end + if (reset) begin + obuf_byteen = 8'h0; + end + if (reset) begin + obuf_data = 64'h0; + end + if (reset) begin + buf_rspageQ_0 = 4'h0; + end + if (reset) begin + buf_rspageQ_1 = 4'h0; + end + if (reset) begin + buf_rspageQ_2 = 4'h0; + end + if (reset) begin + buf_rspageQ_3 = 4'h0; + end + if (reset) begin + _T_4307 = 1'h0; + end + if (reset) begin + _T_4305 = 1'h0; + end + if (reset) begin + _T_4303 = 1'h0; + end + if (reset) begin + _T_4301 = 1'h0; + end + if (reset) begin + buf_ldfwdtag_0 = 2'h0; + end + if (reset) begin + buf_dualtag_0 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_3 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_2 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_1 = 2'h0; + end + if (reset) begin + buf_dualtag_1 = 2'h0; + end + if (reset) begin + buf_dualtag_2 = 2'h0; + end + if (reset) begin + buf_dualtag_3 = 2'h0; + end + if (reset) begin + _T_4336 = 1'h0; + end + if (reset) begin + _T_4339 = 1'h0; + end + if (reset) begin + _T_4342 = 1'h0; + end + if (reset) begin + _T_4345 = 1'h0; + end + if (reset) begin + _T_4411 = 1'h0; + end + if (reset) begin + _T_4406 = 1'h0; + end + if (reset) begin + _T_4401 = 1'h0; + end + if (reset) begin + _T_4396 = 1'h0; + end + if (reset) begin + lsu_nonblock_load_valid_r = 1'h0; + end + if (reset) begin + _T_4987 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_0 <= 32'h0; + end else if (ibuf_drainvec_vld[0]) begin + buf_addr_0 <= ibuf_addr; + end else if (_T_3343) begin + buf_addr_0 <= io_end_addr_r; + end else begin + buf_addr_0 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4360 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4360 <= buf_write_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4357 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4357 <= buf_write_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4354 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4354 <= buf_write_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4351 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4351 <= buf_write_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_0 <= 3'h0; + end else if (buf_state_en_0) begin + if (_T_3528) begin + if (io_lsu_bus_clk_en) begin + buf_state_0 <= 3'h2; + end else begin + buf_state_0 <= 3'h1; + end + end else if (_T_3551) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else begin + buf_state_0 <= 3'h2; + end + end else if (_T_3555) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else if (_T_3559) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h3; + end + end else if (_T_3589) begin + if (_T_3594) begin + buf_state_0 <= 3'h0; + end else if (_T_3602) begin + buf_state_0 <= 3'h4; + end else if (_T_3630) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h6; + end + end else if (_T_3676) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else if (_T_3682) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h6; + end + end else if (_T_3694) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else begin + buf_state_0 <= 3'h6; + end + end else begin + buf_state_0 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_1 <= 32'h0; + end else if (ibuf_drainvec_vld[1]) begin + buf_addr_1 <= ibuf_addr; + end else if (_T_3352) begin + buf_addr_1 <= io_end_addr_r; + end else begin + buf_addr_1 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_1 <= 3'h0; + end else if (buf_state_en_1) begin + if (_T_3721) begin + if (io_lsu_bus_clk_en) begin + buf_state_1 <= 3'h2; + end else begin + buf_state_1 <= 3'h1; + end + end else if (_T_3744) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else begin + buf_state_1 <= 3'h2; + end + end else if (_T_3748) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else if (_T_3559) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h3; + end + end else if (_T_3782) begin + if (_T_3787) begin + buf_state_1 <= 3'h0; + end else if (_T_3795) begin + buf_state_1 <= 3'h4; + end else if (_T_3823) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h6; + end + end else if (_T_3869) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else if (_T_3875) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h6; + end + end else if (_T_3887) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else begin + buf_state_1 <= 3'h6; + end + end else begin + buf_state_1 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_2 <= 32'h0; + end else if (ibuf_drainvec_vld[2]) begin + buf_addr_2 <= ibuf_addr; + end else if (_T_3361) begin + buf_addr_2 <= io_end_addr_r; + end else begin + buf_addr_2 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_2 <= 3'h0; + end else if (buf_state_en_2) begin + if (_T_3914) begin + if (io_lsu_bus_clk_en) begin + buf_state_2 <= 3'h2; + end else begin + buf_state_2 <= 3'h1; + end + end else if (_T_3937) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else begin + buf_state_2 <= 3'h2; + end + end else if (_T_3941) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else if (_T_3559) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h3; + end + end else if (_T_3975) begin + if (_T_3980) begin + buf_state_2 <= 3'h0; + end else if (_T_3988) begin + buf_state_2 <= 3'h4; + end else if (_T_4016) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h6; + end + end else if (_T_4062) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else if (_T_4068) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h6; + end + end else if (_T_4080) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else begin + buf_state_2 <= 3'h6; + end + end else begin + buf_state_2 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_3 <= 32'h0; + end else if (ibuf_drainvec_vld[3]) begin + buf_addr_3 <= ibuf_addr; + end else if (_T_3370) begin + buf_addr_3 <= io_end_addr_r; + end else begin + buf_addr_3 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_3 <= 3'h0; + end else if (buf_state_en_3) begin + if (_T_4107) begin + if (io_lsu_bus_clk_en) begin + buf_state_3 <= 3'h2; + end else begin + buf_state_3 <= 3'h1; + end + end else if (_T_4130) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else begin + buf_state_3 <= 3'h2; + end + end else if (_T_4134) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else if (_T_3559) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h3; + end + end else if (_T_4168) begin + if (_T_4173) begin + buf_state_3 <= 3'h0; + end else if (_T_4181) begin + buf_state_3 <= 3'h4; + end else if (_T_4209) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h6; + end + end else if (_T_4255) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else if (_T_4261) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h6; + end + end else if (_T_4273) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else begin + buf_state_3 <= 3'h6; + end + end else begin + buf_state_3 <= 3'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_3 <= 4'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_byteen_3 <= ibuf_byteen_out; + end else if (_T_3370) begin + buf_byteen_3 <= ldst_byteen_hi_r; + end else begin + buf_byteen_3 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_2 <= 4'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_byteen_2 <= ibuf_byteen_out; + end else if (_T_3361) begin + buf_byteen_2 <= ldst_byteen_hi_r; + end else begin + buf_byteen_2 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_1 <= 4'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_byteen_1 <= ibuf_byteen_out; + end else if (_T_3352) begin + buf_byteen_1 <= ldst_byteen_hi_r; + end else begin + buf_byteen_1 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_0 <= 4'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_byteen_0 <= ibuf_byteen_out; + end else if (_T_3343) begin + buf_byteen_0 <= ldst_byteen_hi_r; + end else begin + buf_byteen_0 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_3 <= 4'h0; + end else begin + buf_ageQ_3 <= {_T_2535,_T_2458}; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + _T_1848 <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + _T_1848 <= WrPtr0_r; + end else begin + _T_1848 <= CmdPtr0; + end + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_merge <= 1'h0; + end else if (obuf_wr_en) begin + obuf_merge <= obuf_merge_en; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_tag1 <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_tag1 <= WrPtr1_r; + end else begin + obuf_tag1 <= CmdPtr1; + end + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + obuf_valid <= 1'h0; + end else begin + obuf_valid <= _T_1839 & _T_1840; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_wr_enQ <= 1'h0; + end else begin + obuf_wr_enQ <= _T_1240 & io_lsu_bus_clk_en; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + ibuf_addr <= 32'h0; + end else if (io_ldst_dual_r) begin + ibuf_addr <= io_end_addr_r; + end else begin + ibuf_addr <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_write <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_write <= io_lsu_pkt_r_bits_store; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ibuf_valid <= 1'h0; + end else begin + ibuf_valid <= _T_1005 & _T_1006; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_byteen <= 4'h0; + end else if (ibuf_wr_en) begin + if (_T_866) begin + ibuf_byteen <= _T_881; + end else if (io_ldst_dual_r) begin + ibuf_byteen <= ldst_byteen_hi_r; + end else begin + ibuf_byteen <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_2 <= 4'h0; + end else begin + buf_ageQ_2 <= {_T_2433,_T_2356}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_1 <= 4'h0; + end else begin + buf_ageQ_1 <= {_T_2331,_T_2254}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_0 <= 4'h0; + end else begin + buf_ageQ_0 <= {_T_2229,_T_2152}; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_0 <= 32'h0; + end else if (_T_3528) begin + if (_T_3543) begin + buf_data_0 <= ibuf_data_out; + end else begin + buf_data_0 <= store_data_lo_r; + end + end else if (_T_3551) begin + buf_data_0 <= 32'h0; + end else if (_T_3555) begin + if (buf_error_en_0) begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_0[2]) begin + buf_data_0 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3589) begin + if (_T_3669) begin + if (buf_addr_0[2]) begin + buf_data_0 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_0 <= 32'h0; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_1 <= 32'h0; + end else if (_T_3721) begin + if (_T_3736) begin + buf_data_1 <= ibuf_data_out; + end else begin + buf_data_1 <= store_data_lo_r; + end + end else if (_T_3744) begin + buf_data_1 <= 32'h0; + end else if (_T_3748) begin + if (buf_error_en_1) begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_1[2]) begin + buf_data_1 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3782) begin + if (_T_3862) begin + if (buf_addr_1[2]) begin + buf_data_1 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_1 <= 32'h0; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_2 <= 32'h0; + end else if (_T_3914) begin + if (_T_3929) begin + buf_data_2 <= ibuf_data_out; + end else begin + buf_data_2 <= store_data_lo_r; + end + end else if (_T_3937) begin + buf_data_2 <= 32'h0; + end else if (_T_3941) begin + if (buf_error_en_2) begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_2[2]) begin + buf_data_2 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3975) begin + if (_T_4055) begin + if (buf_addr_2[2]) begin + buf_data_2 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_2 <= 32'h0; + end + end + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_3 <= 32'h0; + end else if (_T_4107) begin + if (_T_4122) begin + buf_data_3 <= ibuf_data_out; + end else begin + buf_data_3 <= store_data_lo_r; + end + end else if (_T_4130) begin + buf_data_3 <= 32'h0; + end else if (_T_4134) begin + if (buf_error_en_3) begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_3[2]) begin + buf_data_3 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_4168) begin + if (_T_4248) begin + if (buf_addr_3[2]) begin + buf_data_3 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_3 <= 32'h0; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + ibuf_data <= 32'h0; + end else begin + ibuf_data <= {_T_922,_T_893}; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ibuf_timer <= 3'h0; + end else if (ibuf_wr_en) begin + ibuf_timer <= 3'h0; + end else if (_T_923) begin + ibuf_timer <= _T_926; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_sideeffect <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_sideeffect <= io_is_sideeffects_r; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + WrPtr1_r <= 2'h0; + end else if (_T_1914) begin + WrPtr1_r <= 2'h0; + end else if (_T_1928) begin + WrPtr1_r <= 2'h1; + end else if (_T_1942) begin + WrPtr1_r <= 2'h2; + end else begin + WrPtr1_r <= 2'h3; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + WrPtr0_r <= 2'h0; + end else if (_T_1863) begin + WrPtr0_r <= 2'h0; + end else if (_T_1874) begin + WrPtr0_r <= 2'h1; + end else if (_T_1885) begin + WrPtr0_r <= 2'h2; + end else begin + WrPtr0_r <= 2'h3; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_tag <= 2'h0; + end else if (ibuf_wr_en) begin + if (!(_T_866)) begin + if (io_ldst_dual_r) begin + ibuf_tag <= WrPtr1_r; + end else begin + ibuf_tag <= WrPtr0_r; + end + end + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_dualtag <= 2'h0; + end else if (ibuf_wr_en) begin + ibuf_dualtag <= WrPtr0_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_dual <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_dual <= io_ldst_dual_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_samedw <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_samedw <= ldst_samedw_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_nomerge <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_nomerge <= io_no_dword_merge_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_unsign <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_unsign <= io_lsu_pkt_r_bits_unsign; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_sz <= 2'h0; + end else if (ibuf_wr_en) begin + ibuf_sz <= ibuf_sz_in; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_wr_timer <= 3'h0; + end else if (obuf_wr_en) begin + obuf_wr_timer <= 3'h0; + end else if (_T_1058) begin + obuf_wr_timer <= _T_1060; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_nomerge_0 <= buf_nomerge_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_nomerge_1 <= buf_nomerge_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_nomerge_2 <= buf_nomerge_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_nomerge_3 <= buf_nomerge_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4330 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4330 <= buf_sideeffect_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4327 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4327 <= buf_sideeffect_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4324 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4324 <= buf_sideeffect_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4321 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4321 <= buf_sideeffect_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_sideeffect <= 1'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_sideeffect <= io_is_sideeffects_r; + end else begin + obuf_sideeffect <= _T_1051; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_dual_3 <= buf_dual_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_dual_2 <= buf_dual_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_dual_1 <= buf_dual_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_dual_0 <= buf_dual_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_samedw_3 <= buf_samedw_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_samedw_2 <= buf_samedw_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_samedw_1 <= buf_samedw_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_samedw_0 <= buf_samedw_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_write <= 1'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_write <= io_lsu_pkt_r_bits_store; + end else begin + obuf_write <= _T_1202; + end + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_cmd_done <= 1'h0; + end else begin + obuf_cmd_done <= _T_1305 & _T_4863; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_data_done <= 1'h0; + end else begin + obuf_data_done <= _T_1305 & _T_4864; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + obuf_nosend <= 1'h0; + end else if (obuf_wr_en) begin + obuf_nosend <= obuf_nosend_in; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + obuf_addr <= 32'h0; + end else if (ibuf_buf_byp) begin + obuf_addr <= io_lsu_addr_r; + end else begin + obuf_addr <= _T_1289; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_0 <= 2'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_sz_0 <= ibuf_sz; + end else begin + buf_sz_0 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_1 <= 2'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_sz_1 <= ibuf_sz; + end else begin + buf_sz_1 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_2 <= 2'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_sz_2 <= ibuf_sz; + end else begin + buf_sz_2 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_3 <= 2'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_sz_3 <= ibuf_sz; + end else begin + buf_sz_3 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_rdrsp_pend <= 1'h0; + end else begin + obuf_rdrsp_pend <= _T_1330 | _T_1334; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_rdrsp_tag <= 3'h0; + end else if (_T_1332) begin + obuf_rdrsp_tag <= obuf_tag0; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_dualhi_3 <= buf_dualhi_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_dualhi_2 <= buf_dualhi_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_dualhi_1 <= buf_dualhi_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_dualhi_0 <= buf_dualhi_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_sz <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_sz <= ibuf_sz_in; + end else begin + obuf_sz <= _T_1302; + end + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_byteen <= 8'h0; + end else if (obuf_wr_en) begin + obuf_byteen <= obuf_byteen_in; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + obuf_data <= 64'h0; + end else begin + obuf_data <= {_T_1620,_T_1579}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_0 <= 4'h0; + end else begin + buf_rspageQ_0 <= {_T_3173,_T_3162}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_1 <= 4'h0; + end else begin + buf_rspageQ_1 <= {_T_3188,_T_3177}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_2 <= 4'h0; + end else begin + buf_rspageQ_2 <= {_T_3203,_T_3192}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_3 <= 4'h0; + end else begin + buf_rspageQ_3 <= {_T_3218,_T_3207}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4307 <= 1'h0; + end else if (buf_ldfwd_en_3) begin + if (_T_4107) begin + _T_4307 <= 1'h0; + end else if (_T_4130) begin + _T_4307 <= 1'h0; + end else begin + _T_4307 <= _T_4134; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4305 <= 1'h0; + end else if (buf_ldfwd_en_2) begin + if (_T_3914) begin + _T_4305 <= 1'h0; + end else if (_T_3937) begin + _T_4305 <= 1'h0; + end else begin + _T_4305 <= _T_3941; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4303 <= 1'h0; + end else if (buf_ldfwd_en_1) begin + if (_T_3721) begin + _T_4303 <= 1'h0; + end else if (_T_3744) begin + _T_4303 <= 1'h0; + end else begin + _T_4303 <= _T_3748; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4301 <= 1'h0; + end else if (buf_ldfwd_en_0) begin + if (_T_3528) begin + _T_4301 <= 1'h0; + end else if (_T_3551) begin + _T_4301 <= 1'h0; + end else begin + _T_4301 <= _T_3555; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (buf_ldfwd_en_0) begin + if (_T_3528) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (_T_3551) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (_T_3555) begin + buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_0 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_0 <= 2'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_dualtag_0 <= ibuf_dualtag; + end else if (_T_3343) begin + buf_dualtag_0 <= WrPtr0_r; + end else begin + buf_dualtag_0 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (buf_ldfwd_en_3) begin + if (_T_4107) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (_T_4130) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (_T_4134) begin + buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_3 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (buf_ldfwd_en_2) begin + if (_T_3914) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (_T_3937) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (_T_3941) begin + buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_2 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (buf_ldfwd_en_1) begin + if (_T_3721) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (_T_3744) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (_T_3748) begin + buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_1 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_1 <= 2'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_dualtag_1 <= ibuf_dualtag; + end else if (_T_3352) begin + buf_dualtag_1 <= WrPtr0_r; + end else begin + buf_dualtag_1 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_2 <= 2'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_dualtag_2 <= ibuf_dualtag; + end else if (_T_3361) begin + buf_dualtag_2 <= WrPtr0_r; + end else begin + buf_dualtag_2 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_3 <= 2'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_dualtag_3 <= ibuf_dualtag; + end else if (_T_3370) begin + buf_dualtag_3 <= WrPtr0_r; + end else begin + buf_dualtag_3 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4336 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4336 <= buf_unsign_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4339 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4339 <= buf_unsign_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4342 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4342 <= buf_unsign_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4345 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4345 <= buf_unsign_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4411 <= 1'h0; + end else begin + _T_4411 <= _T_4408 & _T_4409; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4406 <= 1'h0; + end else begin + _T_4406 <= _T_4403 & _T_4404; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4401 <= 1'h0; + end else begin + _T_4401 <= _T_4398 & _T_4399; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4396 <= 1'h0; + end else begin + _T_4396 <= _T_4393 & _T_4394; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + lsu_nonblock_load_valid_r <= 1'h0; + end else begin + lsu_nonblock_load_valid_r <= io_dctl_busbuff_lsu_nonblock_load_valid_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_4987 <= 1'h0; + end else begin + _T_4987 <= _T_4984 & _T_4518; + end + end +endmodule +module lsu_bus_intf( + input clock, + input reset, + input io_scan_mode, + output io_tlu_busbuff_lsu_pmu_bus_trxn, + output io_tlu_busbuff_lsu_pmu_bus_misaligned, + output io_tlu_busbuff_lsu_pmu_bus_error, + output io_tlu_busbuff_lsu_pmu_bus_busy, + input io_tlu_busbuff_dec_tlu_external_ldfwd_disable, + input io_tlu_busbuff_dec_tlu_wb_coalescing_disable, + input io_tlu_busbuff_dec_tlu_sideeffect_posted_disable, + output io_tlu_busbuff_lsu_imprecise_error_load_any, + output io_tlu_busbuff_lsu_imprecise_error_store_any, + output [31:0] io_tlu_busbuff_lsu_imprecise_error_addr_any, + input io_lsu_c1_m_clk, + input io_lsu_c1_r_clk, + input io_lsu_c2_r_clk, + input io_lsu_bus_ibuf_c1_clk, + input io_lsu_bus_obuf_c1_clk, + input io_lsu_bus_buf_c1_clk, + input io_lsu_free_c2_clk, + input io_free_clk, + input io_lsu_busm_clk, + input io_axi_aw_ready, + output io_axi_aw_valid, + output [2:0] io_axi_aw_bits_id, + output [31:0] io_axi_aw_bits_addr, + output [3:0] io_axi_aw_bits_region, + output [2:0] io_axi_aw_bits_size, + output [3:0] io_axi_aw_bits_cache, + input io_axi_w_ready, + output io_axi_w_valid, + output [63:0] io_axi_w_bits_data, + output [7:0] io_axi_w_bits_strb, + input io_axi_b_valid, + input [1:0] io_axi_b_bits_resp, + input [2:0] io_axi_b_bits_id, + input io_axi_ar_ready, + output io_axi_ar_valid, + output [2:0] io_axi_ar_bits_id, + output [31:0] io_axi_ar_bits_addr, + output [3:0] io_axi_ar_bits_region, + output [2:0] io_axi_ar_bits_size, + output [3:0] io_axi_ar_bits_cache, + input io_axi_r_valid, + input [2:0] io_axi_r_bits_id, + input [63:0] io_axi_r_bits_data, + input [1:0] io_axi_r_bits_resp, + input io_dec_lsu_valid_raw_d, + input io_lsu_busreq_m, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_by, + input io_lsu_pkt_m_bits_half, + input io_lsu_pkt_m_bits_word, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_r_valid, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_load, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_unsign, + input [31:0] io_lsu_addr_d, + input [31:0] io_lsu_addr_m, + input [31:0] io_lsu_addr_r, + input [31:0] io_end_addr_d, + input [31:0] io_end_addr_m, + input [31:0] io_end_addr_r, + input [31:0] io_store_data_r, + input io_dec_tlu_force_halt, + input io_lsu_commit_r, + input io_is_sideeffects_m, + input io_flush_m_up, + input io_flush_r, + output io_lsu_busreq_r, + output io_lsu_bus_buffer_pend_any, + output io_lsu_bus_buffer_full_any, + output io_lsu_bus_buffer_empty_any, + output [31:0] io_bus_read_data_m, + output io_dctl_busbuff_lsu_nonblock_load_valid_m, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_tag_m, + output io_dctl_busbuff_lsu_nonblock_load_inv_r, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_inv_tag_r, + output io_dctl_busbuff_lsu_nonblock_load_data_valid, + output io_dctl_busbuff_lsu_nonblock_load_data_error, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_data_tag, + output [31:0] io_dctl_busbuff_lsu_nonblock_load_data, + input io_lsu_bus_clk_en +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; +`endif // RANDOMIZE_REG_INIT + wire bus_buffer_clock; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_reset; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_scan_mode; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dec_tlu_force_halt; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_c2_r_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_ibuf_c1_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_obuf_c1_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buf_c1_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_free_c2_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_busm_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dec_lsu_valid_raw_d; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_m_valid; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_by; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_half; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_word; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_load; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_unsign; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_addr_m; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_end_addr_m; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_addr_r; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_end_addr_r; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_store_data_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_no_word_merge_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_no_dword_merge_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_busreq_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ld_full_hit_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_flush_m_up; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_flush_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_commit_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_is_sideeffects_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ldst_dual_d; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ldst_dual_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ldst_dual_r; // @[lsu_bus_intf.scala 100:39] + wire [7:0] bus_buffer_io_ldst_byteen_ext_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_aw_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_aw_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_aw_bits_id; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_axi_aw_bits_addr; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_aw_bits_region; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_aw_bits_size; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_aw_bits_cache; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_w_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_w_valid; // @[lsu_bus_intf.scala 100:39] + wire [63:0] bus_buffer_io_lsu_axi_w_bits_data; // @[lsu_bus_intf.scala 100:39] + wire [7:0] bus_buffer_io_lsu_axi_w_bits_strb; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_b_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_b_valid; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_lsu_axi_b_bits_resp; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_b_bits_id; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_ar_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_ar_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_ar_bits_id; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_axi_ar_bits_addr; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_ar_bits_region; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_ar_bits_size; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_ar_bits_cache; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_r_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_r_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_r_bits_id; // @[lsu_bus_intf.scala 100:39] + wire [63:0] bus_buffer_io_lsu_axi_r_bits_data; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_lsu_axi_r_bits_resp; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_clk_en; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_busreq_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buffer_pend_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buffer_full_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buffer_empty_any; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_ld_byte_hit_buf_lo; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_ld_byte_hit_buf_hi; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_ld_fwddata_buf_lo; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_ld_fwddata_buf_hi; // @[lsu_bus_intf.scala 100:39] + wire [3:0] _T_3 = io_lsu_pkt_m_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_4 = io_lsu_pkt_m_bits_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_5 = io_lsu_pkt_m_bits_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_6 = _T_3 | _T_4; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_m = _T_6 | _T_5; // @[Mux.scala 27:72] + wire addr_match_dw_lo_r_m = io_lsu_addr_r[31:3] == io_lsu_addr_m[31:3]; // @[lsu_bus_intf.scala 154:51] + wire _T_17 = io_lsu_addr_r[2] ^ io_lsu_addr_m[2]; // @[lsu_bus_intf.scala 155:71] + wire _T_18 = ~_T_17; // @[lsu_bus_intf.scala 155:53] + wire addr_match_word_lo_r_m = addr_match_dw_lo_r_m & _T_18; // @[lsu_bus_intf.scala 155:51] + reg ldst_dual_r; // @[lsu_bus_intf.scala 200:33] + wire _T_20 = ~ldst_dual_r; // @[lsu_bus_intf.scala 156:48] + wire _T_21 = io_lsu_busreq_r & _T_20; // @[lsu_bus_intf.scala 156:46] + wire _T_22 = _T_21 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 156:61] + wire _T_23 = ~addr_match_word_lo_r_m; // @[lsu_bus_intf.scala 156:107] + wire _T_24 = io_lsu_pkt_m_bits_load | _T_23; // @[lsu_bus_intf.scala 156:105] + wire _T_29 = ~addr_match_dw_lo_r_m; // @[lsu_bus_intf.scala 157:107] + wire _T_30 = io_lsu_pkt_m_bits_load | _T_29; // @[lsu_bus_intf.scala 157:105] + wire [6:0] _GEN_0 = {{3'd0}, ldst_byteen_m}; // @[lsu_bus_intf.scala 159:49] + wire [6:0] _T_34 = _GEN_0 << io_lsu_addr_m[1:0]; // @[lsu_bus_intf.scala 159:49] + reg [3:0] ldst_byteen_r; // @[lsu_bus_intf.scala 202:33] + wire [6:0] _GEN_1 = {{3'd0}, ldst_byteen_r}; // @[lsu_bus_intf.scala 160:49] + wire [6:0] _T_37 = _GEN_1 << io_lsu_addr_r[1:0]; // @[lsu_bus_intf.scala 160:49] + wire [4:0] _T_40 = {io_lsu_addr_r[1:0],3'h0}; // @[Cat.scala 29:58] + wire [62:0] _GEN_2 = {{31'd0}, io_store_data_r}; // @[lsu_bus_intf.scala 161:52] + wire [62:0] _T_41 = _GEN_2 << _T_40; // @[lsu_bus_intf.scala 161:52] + wire [7:0] ldst_byteen_ext_m = {{1'd0}, _T_34}; // @[lsu_bus_intf.scala 159:27] + wire [3:0] ldst_byteen_hi_m = ldst_byteen_ext_m[7:4]; // @[lsu_bus_intf.scala 162:47] + wire [3:0] ldst_byteen_lo_m = ldst_byteen_ext_m[3:0]; // @[lsu_bus_intf.scala 163:47] + wire [7:0] ldst_byteen_ext_r = {{1'd0}, _T_37}; // @[lsu_bus_intf.scala 160:27] + wire [3:0] ldst_byteen_hi_r = ldst_byteen_ext_r[7:4]; // @[lsu_bus_intf.scala 164:47] + wire [3:0] ldst_byteen_lo_r = ldst_byteen_ext_r[3:0]; // @[lsu_bus_intf.scala 165:47] + wire [63:0] store_data_ext_r = {{1'd0}, _T_41}; // @[lsu_bus_intf.scala 161:27] + wire [31:0] store_data_hi_r = store_data_ext_r[63:32]; // @[lsu_bus_intf.scala 167:46] + wire [31:0] store_data_lo_r = store_data_ext_r[31:0]; // @[lsu_bus_intf.scala 168:46] + wire _T_50 = io_lsu_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_bus_intf.scala 169:51] + wire _T_51 = _T_50 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 169:76] + wire _T_52 = _T_51 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 169:97] + wire ld_addr_rhit_lo_lo = _T_52 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 169:123] + wire _T_56 = io_end_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_bus_intf.scala 170:51] + wire _T_57 = _T_56 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 170:76] + wire _T_58 = _T_57 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 170:97] + wire ld_addr_rhit_lo_hi = _T_58 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 170:123] + wire _T_62 = io_lsu_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_bus_intf.scala 171:51] + wire _T_63 = _T_62 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 171:76] + wire _T_64 = _T_63 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 171:97] + wire ld_addr_rhit_hi_lo = _T_64 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 171:123] + wire _T_68 = io_end_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_bus_intf.scala 172:51] + wire _T_69 = _T_68 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 172:76] + wire _T_70 = _T_69 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 172:97] + wire ld_addr_rhit_hi_hi = _T_70 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 172:123] + wire _T_73 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[0]; // @[lsu_bus_intf.scala 174:70] + wire _T_75 = _T_73 & ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 174:92] + wire _T_77 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[1]; // @[lsu_bus_intf.scala 174:70] + wire _T_79 = _T_77 & ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 174:92] + wire _T_81 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[2]; // @[lsu_bus_intf.scala 174:70] + wire _T_83 = _T_81 & ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 174:92] + wire _T_85 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[3]; // @[lsu_bus_intf.scala 174:70] + wire _T_87 = _T_85 & ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 174:92] + wire [3:0] ld_byte_rhit_lo_lo = {_T_87,_T_83,_T_79,_T_75}; // @[Cat.scala 29:58] + wire _T_92 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[0]; // @[lsu_bus_intf.scala 175:70] + wire _T_94 = _T_92 & ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 175:92] + wire _T_96 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[1]; // @[lsu_bus_intf.scala 175:70] + wire _T_98 = _T_96 & ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 175:92] + wire _T_100 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[2]; // @[lsu_bus_intf.scala 175:70] + wire _T_102 = _T_100 & ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 175:92] + wire _T_104 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[3]; // @[lsu_bus_intf.scala 175:70] + wire _T_106 = _T_104 & ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 175:92] + wire [3:0] ld_byte_rhit_lo_hi = {_T_106,_T_102,_T_98,_T_94}; // @[Cat.scala 29:58] + wire _T_111 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[0]; // @[lsu_bus_intf.scala 176:70] + wire _T_113 = _T_111 & ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 176:92] + wire _T_115 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[1]; // @[lsu_bus_intf.scala 176:70] + wire _T_117 = _T_115 & ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 176:92] + wire _T_119 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[2]; // @[lsu_bus_intf.scala 176:70] + wire _T_121 = _T_119 & ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 176:92] + wire _T_123 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[3]; // @[lsu_bus_intf.scala 176:70] + wire _T_125 = _T_123 & ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 176:92] + wire [3:0] ld_byte_rhit_hi_lo = {_T_125,_T_121,_T_117,_T_113}; // @[Cat.scala 29:58] + wire _T_130 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[0]; // @[lsu_bus_intf.scala 177:70] + wire _T_132 = _T_130 & ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 177:92] + wire _T_134 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[1]; // @[lsu_bus_intf.scala 177:70] + wire _T_136 = _T_134 & ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 177:92] + wire _T_138 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[2]; // @[lsu_bus_intf.scala 177:70] + wire _T_140 = _T_138 & ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 177:92] + wire _T_142 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[3]; // @[lsu_bus_intf.scala 177:70] + wire _T_144 = _T_142 & ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 177:92] + wire [3:0] ld_byte_rhit_hi_hi = {_T_144,_T_140,_T_136,_T_132}; // @[Cat.scala 29:58] + wire _T_150 = ld_byte_rhit_lo_lo[0] | ld_byte_rhit_hi_lo[0]; // @[lsu_bus_intf.scala 179:73] + wire [3:0] ld_byte_hit_buf_lo = bus_buffer_io_ld_byte_hit_buf_lo; // @[lsu_bus_intf.scala 137:38] + wire _T_152 = _T_150 | ld_byte_hit_buf_lo[0]; // @[lsu_bus_intf.scala 179:97] + wire _T_155 = ld_byte_rhit_lo_lo[1] | ld_byte_rhit_hi_lo[1]; // @[lsu_bus_intf.scala 179:73] + wire _T_157 = _T_155 | ld_byte_hit_buf_lo[1]; // @[lsu_bus_intf.scala 179:97] + wire _T_160 = ld_byte_rhit_lo_lo[2] | ld_byte_rhit_hi_lo[2]; // @[lsu_bus_intf.scala 179:73] + wire _T_162 = _T_160 | ld_byte_hit_buf_lo[2]; // @[lsu_bus_intf.scala 179:97] + wire _T_165 = ld_byte_rhit_lo_lo[3] | ld_byte_rhit_hi_lo[3]; // @[lsu_bus_intf.scala 179:73] + wire _T_167 = _T_165 | ld_byte_hit_buf_lo[3]; // @[lsu_bus_intf.scala 179:97] + wire [3:0] ld_byte_hit_lo = {_T_167,_T_162,_T_157,_T_152}; // @[Cat.scala 29:58] + wire _T_173 = ld_byte_rhit_lo_hi[0] | ld_byte_rhit_hi_hi[0]; // @[lsu_bus_intf.scala 180:73] + wire [3:0] ld_byte_hit_buf_hi = bus_buffer_io_ld_byte_hit_buf_hi; // @[lsu_bus_intf.scala 138:38] + wire _T_175 = _T_173 | ld_byte_hit_buf_hi[0]; // @[lsu_bus_intf.scala 180:97] + wire _T_178 = ld_byte_rhit_lo_hi[1] | ld_byte_rhit_hi_hi[1]; // @[lsu_bus_intf.scala 180:73] + wire _T_180 = _T_178 | ld_byte_hit_buf_hi[1]; // @[lsu_bus_intf.scala 180:97] + wire _T_183 = ld_byte_rhit_lo_hi[2] | ld_byte_rhit_hi_hi[2]; // @[lsu_bus_intf.scala 180:73] + wire _T_185 = _T_183 | ld_byte_hit_buf_hi[2]; // @[lsu_bus_intf.scala 180:97] + wire _T_188 = ld_byte_rhit_lo_hi[3] | ld_byte_rhit_hi_hi[3]; // @[lsu_bus_intf.scala 180:73] + wire _T_190 = _T_188 | ld_byte_hit_buf_hi[3]; // @[lsu_bus_intf.scala 180:97] + wire [3:0] ld_byte_hit_hi = {_T_190,_T_185,_T_180,_T_175}; // @[Cat.scala 29:58] + wire [3:0] ld_byte_rhit_lo = {_T_165,_T_160,_T_155,_T_150}; // @[Cat.scala 29:58] + wire [3:0] ld_byte_rhit_hi = {_T_188,_T_183,_T_178,_T_173}; // @[Cat.scala 29:58] + wire [7:0] _T_228 = ld_byte_rhit_lo_lo[0] ? store_data_lo_r[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_229 = ld_byte_rhit_hi_lo[0] ? store_data_hi_r[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_230 = _T_228 | _T_229; // @[Mux.scala 27:72] + wire [7:0] _T_236 = ld_byte_rhit_lo_lo[1] ? store_data_lo_r[15:8] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_237 = ld_byte_rhit_hi_lo[1] ? store_data_hi_r[15:8] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_238 = _T_236 | _T_237; // @[Mux.scala 27:72] + wire [7:0] _T_244 = ld_byte_rhit_lo_lo[2] ? store_data_lo_r[23:16] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_245 = ld_byte_rhit_hi_lo[2] ? store_data_hi_r[23:16] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_246 = _T_244 | _T_245; // @[Mux.scala 27:72] + wire [7:0] _T_252 = ld_byte_rhit_lo_lo[3] ? store_data_lo_r[31:24] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_253 = ld_byte_rhit_hi_lo[3] ? store_data_hi_r[31:24] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_254 = _T_252 | _T_253; // @[Mux.scala 27:72] + wire [31:0] ld_fwddata_rpipe_lo = {_T_254,_T_246,_T_238,_T_230}; // @[Cat.scala 29:58] + wire [7:0] _T_263 = ld_byte_rhit_lo_hi[0] ? store_data_lo_r[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_264 = ld_byte_rhit_hi_hi[0] ? store_data_hi_r[7:0] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_265 = _T_263 | _T_264; // @[Mux.scala 27:72] + wire [7:0] _T_271 = ld_byte_rhit_lo_hi[1] ? store_data_lo_r[15:8] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_272 = ld_byte_rhit_hi_hi[1] ? store_data_hi_r[15:8] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_273 = _T_271 | _T_272; // @[Mux.scala 27:72] + wire [7:0] _T_279 = ld_byte_rhit_lo_hi[2] ? store_data_lo_r[23:16] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_280 = ld_byte_rhit_hi_hi[2] ? store_data_hi_r[23:16] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_281 = _T_279 | _T_280; // @[Mux.scala 27:72] + wire [7:0] _T_287 = ld_byte_rhit_lo_hi[3] ? store_data_lo_r[31:24] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_288 = ld_byte_rhit_hi_hi[3] ? store_data_hi_r[31:24] : 8'h0; // @[Mux.scala 27:72] + wire [7:0] _T_289 = _T_287 | _T_288; // @[Mux.scala 27:72] + wire [31:0] ld_fwddata_rpipe_hi = {_T_289,_T_281,_T_273,_T_265}; // @[Cat.scala 29:58] + wire [31:0] ld_fwddata_buf_lo = bus_buffer_io_ld_fwddata_buf_lo; // @[lsu_bus_intf.scala 139:38] + wire [7:0] _T_297 = ld_byte_rhit_lo[0] ? ld_fwddata_rpipe_lo[7:0] : ld_fwddata_buf_lo[7:0]; // @[lsu_bus_intf.scala 185:54] + wire [7:0] _T_301 = ld_byte_rhit_lo[1] ? ld_fwddata_rpipe_lo[15:8] : ld_fwddata_buf_lo[15:8]; // @[lsu_bus_intf.scala 185:54] + wire [7:0] _T_305 = ld_byte_rhit_lo[2] ? ld_fwddata_rpipe_lo[23:16] : ld_fwddata_buf_lo[23:16]; // @[lsu_bus_intf.scala 185:54] + wire [7:0] _T_309 = ld_byte_rhit_lo[3] ? ld_fwddata_rpipe_lo[31:24] : ld_fwddata_buf_lo[31:24]; // @[lsu_bus_intf.scala 185:54] + wire [31:0] _T_312 = {_T_309,_T_305,_T_301,_T_297}; // @[Cat.scala 29:58] + wire [31:0] ld_fwddata_buf_hi = bus_buffer_io_ld_fwddata_buf_hi; // @[lsu_bus_intf.scala 140:38] + wire [7:0] _T_316 = ld_byte_rhit_hi[0] ? ld_fwddata_rpipe_hi[7:0] : ld_fwddata_buf_hi[7:0]; // @[lsu_bus_intf.scala 186:54] + wire [7:0] _T_320 = ld_byte_rhit_hi[1] ? ld_fwddata_rpipe_hi[15:8] : ld_fwddata_buf_hi[15:8]; // @[lsu_bus_intf.scala 186:54] + wire [7:0] _T_324 = ld_byte_rhit_hi[2] ? ld_fwddata_rpipe_hi[23:16] : ld_fwddata_buf_hi[23:16]; // @[lsu_bus_intf.scala 186:54] + wire [7:0] _T_328 = ld_byte_rhit_hi[3] ? ld_fwddata_rpipe_hi[31:24] : ld_fwddata_buf_hi[31:24]; // @[lsu_bus_intf.scala 186:54] + wire [31:0] _T_331 = {_T_328,_T_324,_T_320,_T_316}; // @[Cat.scala 29:58] + wire _T_334 = ~ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 187:72] + wire _T_335 = ld_byte_hit_lo[0] | _T_334; // @[lsu_bus_intf.scala 187:70] + wire _T_338 = ~ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 187:72] + wire _T_339 = ld_byte_hit_lo[1] | _T_338; // @[lsu_bus_intf.scala 187:70] + wire _T_342 = ~ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 187:72] + wire _T_343 = ld_byte_hit_lo[2] | _T_342; // @[lsu_bus_intf.scala 187:70] + wire _T_346 = ~ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 187:72] + wire _T_347 = ld_byte_hit_lo[3] | _T_346; // @[lsu_bus_intf.scala 187:70] + wire _T_348 = _T_335 & _T_339; // @[lsu_bus_intf.scala 187:111] + wire _T_349 = _T_348 & _T_343; // @[lsu_bus_intf.scala 187:111] + wire ld_full_hit_lo_m = _T_349 & _T_347; // @[lsu_bus_intf.scala 187:111] + wire _T_353 = ~ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 188:72] + wire _T_354 = ld_byte_hit_hi[0] | _T_353; // @[lsu_bus_intf.scala 188:70] + wire _T_357 = ~ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 188:72] + wire _T_358 = ld_byte_hit_hi[1] | _T_357; // @[lsu_bus_intf.scala 188:70] + wire _T_361 = ~ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 188:72] + wire _T_362 = ld_byte_hit_hi[2] | _T_361; // @[lsu_bus_intf.scala 188:70] + wire _T_365 = ~ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 188:72] + wire _T_366 = ld_byte_hit_hi[3] | _T_365; // @[lsu_bus_intf.scala 188:70] + wire _T_367 = _T_354 & _T_358; // @[lsu_bus_intf.scala 188:111] + wire _T_368 = _T_367 & _T_362; // @[lsu_bus_intf.scala 188:111] + wire ld_full_hit_hi_m = _T_368 & _T_366; // @[lsu_bus_intf.scala 188:111] + wire _T_370 = ld_full_hit_lo_m & ld_full_hit_hi_m; // @[lsu_bus_intf.scala 189:47] + wire _T_371 = _T_370 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 189:66] + wire _T_372 = _T_371 & io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 189:84] + wire _T_373 = ~io_is_sideeffects_m; // @[lsu_bus_intf.scala 189:111] + wire [63:0] ld_fwddata_hi = {{32'd0}, _T_331}; // @[lsu_bus_intf.scala 186:27] + wire [63:0] ld_fwddata_lo = {{32'd0}, _T_312}; // @[lsu_bus_intf.scala 185:27] + wire [63:0] _T_377 = {ld_fwddata_hi[31:0],ld_fwddata_lo[31:0]}; // @[Cat.scala 29:58] + wire [3:0] _GEN_3 = {{2'd0}, io_lsu_addr_m[1:0]}; // @[lsu_bus_intf.scala 190:83] + wire [5:0] _T_379 = 4'h8 * _GEN_3; // @[lsu_bus_intf.scala 190:83] + wire [63:0] ld_fwddata_m = _T_377 >> _T_379; // @[lsu_bus_intf.scala 190:76] + reg lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 194:32] + reg ldst_dual_m; // @[lsu_bus_intf.scala 197:27] + reg is_sideeffects_r; // @[lsu_bus_intf.scala 201:33] + lsu_bus_buffer bus_buffer ( // @[lsu_bus_intf.scala 100:39] + .clock(bus_buffer_clock), + .reset(bus_buffer_reset), + .io_scan_mode(bus_buffer_io_scan_mode), + .io_tlu_busbuff_lsu_pmu_bus_trxn(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn), + .io_tlu_busbuff_lsu_pmu_bus_misaligned(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned), + .io_tlu_busbuff_lsu_pmu_bus_error(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error), + .io_tlu_busbuff_lsu_pmu_bus_busy(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy), + .io_tlu_busbuff_dec_tlu_external_ldfwd_disable(bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable), + .io_tlu_busbuff_dec_tlu_wb_coalescing_disable(bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable), + .io_tlu_busbuff_dec_tlu_sideeffect_posted_disable(bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable), + .io_tlu_busbuff_lsu_imprecise_error_load_any(bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any), + .io_tlu_busbuff_lsu_imprecise_error_store_any(bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any), + .io_tlu_busbuff_lsu_imprecise_error_addr_any(bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any), + .io_dctl_busbuff_lsu_nonblock_load_valid_m(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m), + .io_dctl_busbuff_lsu_nonblock_load_tag_m(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m), + .io_dctl_busbuff_lsu_nonblock_load_inv_r(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r), + .io_dctl_busbuff_lsu_nonblock_load_inv_tag_r(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r), + .io_dctl_busbuff_lsu_nonblock_load_data_valid(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid), + .io_dctl_busbuff_lsu_nonblock_load_data_error(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error), + .io_dctl_busbuff_lsu_nonblock_load_data_tag(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag), + .io_dctl_busbuff_lsu_nonblock_load_data(bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data), + .io_dec_tlu_force_halt(bus_buffer_io_dec_tlu_force_halt), + .io_lsu_c2_r_clk(bus_buffer_io_lsu_c2_r_clk), + .io_lsu_bus_ibuf_c1_clk(bus_buffer_io_lsu_bus_ibuf_c1_clk), + .io_lsu_bus_obuf_c1_clk(bus_buffer_io_lsu_bus_obuf_c1_clk), + .io_lsu_bus_buf_c1_clk(bus_buffer_io_lsu_bus_buf_c1_clk), + .io_lsu_free_c2_clk(bus_buffer_io_lsu_free_c2_clk), + .io_lsu_busm_clk(bus_buffer_io_lsu_busm_clk), + .io_dec_lsu_valid_raw_d(bus_buffer_io_dec_lsu_valid_raw_d), + .io_lsu_pkt_m_valid(bus_buffer_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_load(bus_buffer_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_r_bits_by(bus_buffer_io_lsu_pkt_r_bits_by), + .io_lsu_pkt_r_bits_half(bus_buffer_io_lsu_pkt_r_bits_half), + .io_lsu_pkt_r_bits_word(bus_buffer_io_lsu_pkt_r_bits_word), + .io_lsu_pkt_r_bits_load(bus_buffer_io_lsu_pkt_r_bits_load), + .io_lsu_pkt_r_bits_store(bus_buffer_io_lsu_pkt_r_bits_store), + .io_lsu_pkt_r_bits_unsign(bus_buffer_io_lsu_pkt_r_bits_unsign), + .io_lsu_addr_m(bus_buffer_io_lsu_addr_m), + .io_end_addr_m(bus_buffer_io_end_addr_m), + .io_lsu_addr_r(bus_buffer_io_lsu_addr_r), + .io_end_addr_r(bus_buffer_io_end_addr_r), + .io_store_data_r(bus_buffer_io_store_data_r), + .io_no_word_merge_r(bus_buffer_io_no_word_merge_r), + .io_no_dword_merge_r(bus_buffer_io_no_dword_merge_r), + .io_lsu_busreq_m(bus_buffer_io_lsu_busreq_m), + .io_ld_full_hit_m(bus_buffer_io_ld_full_hit_m), + .io_flush_m_up(bus_buffer_io_flush_m_up), + .io_flush_r(bus_buffer_io_flush_r), + .io_lsu_commit_r(bus_buffer_io_lsu_commit_r), + .io_is_sideeffects_r(bus_buffer_io_is_sideeffects_r), + .io_ldst_dual_d(bus_buffer_io_ldst_dual_d), + .io_ldst_dual_m(bus_buffer_io_ldst_dual_m), + .io_ldst_dual_r(bus_buffer_io_ldst_dual_r), + .io_ldst_byteen_ext_m(bus_buffer_io_ldst_byteen_ext_m), + .io_lsu_axi_aw_ready(bus_buffer_io_lsu_axi_aw_ready), + .io_lsu_axi_aw_valid(bus_buffer_io_lsu_axi_aw_valid), + .io_lsu_axi_aw_bits_id(bus_buffer_io_lsu_axi_aw_bits_id), + .io_lsu_axi_aw_bits_addr(bus_buffer_io_lsu_axi_aw_bits_addr), + .io_lsu_axi_aw_bits_region(bus_buffer_io_lsu_axi_aw_bits_region), + .io_lsu_axi_aw_bits_size(bus_buffer_io_lsu_axi_aw_bits_size), + .io_lsu_axi_aw_bits_cache(bus_buffer_io_lsu_axi_aw_bits_cache), + .io_lsu_axi_w_ready(bus_buffer_io_lsu_axi_w_ready), + .io_lsu_axi_w_valid(bus_buffer_io_lsu_axi_w_valid), + .io_lsu_axi_w_bits_data(bus_buffer_io_lsu_axi_w_bits_data), + .io_lsu_axi_w_bits_strb(bus_buffer_io_lsu_axi_w_bits_strb), + .io_lsu_axi_b_ready(bus_buffer_io_lsu_axi_b_ready), + .io_lsu_axi_b_valid(bus_buffer_io_lsu_axi_b_valid), + .io_lsu_axi_b_bits_resp(bus_buffer_io_lsu_axi_b_bits_resp), + .io_lsu_axi_b_bits_id(bus_buffer_io_lsu_axi_b_bits_id), + .io_lsu_axi_ar_ready(bus_buffer_io_lsu_axi_ar_ready), + .io_lsu_axi_ar_valid(bus_buffer_io_lsu_axi_ar_valid), + .io_lsu_axi_ar_bits_id(bus_buffer_io_lsu_axi_ar_bits_id), + .io_lsu_axi_ar_bits_addr(bus_buffer_io_lsu_axi_ar_bits_addr), + .io_lsu_axi_ar_bits_region(bus_buffer_io_lsu_axi_ar_bits_region), + .io_lsu_axi_ar_bits_size(bus_buffer_io_lsu_axi_ar_bits_size), + .io_lsu_axi_ar_bits_cache(bus_buffer_io_lsu_axi_ar_bits_cache), + .io_lsu_axi_r_ready(bus_buffer_io_lsu_axi_r_ready), + .io_lsu_axi_r_valid(bus_buffer_io_lsu_axi_r_valid), + .io_lsu_axi_r_bits_id(bus_buffer_io_lsu_axi_r_bits_id), + .io_lsu_axi_r_bits_data(bus_buffer_io_lsu_axi_r_bits_data), + .io_lsu_axi_r_bits_resp(bus_buffer_io_lsu_axi_r_bits_resp), + .io_lsu_bus_clk_en(bus_buffer_io_lsu_bus_clk_en), + .io_lsu_bus_clk_en_q(bus_buffer_io_lsu_bus_clk_en_q), + .io_lsu_busreq_r(bus_buffer_io_lsu_busreq_r), + .io_lsu_bus_buffer_pend_any(bus_buffer_io_lsu_bus_buffer_pend_any), + .io_lsu_bus_buffer_full_any(bus_buffer_io_lsu_bus_buffer_full_any), + .io_lsu_bus_buffer_empty_any(bus_buffer_io_lsu_bus_buffer_empty_any), + .io_ld_byte_hit_buf_lo(bus_buffer_io_ld_byte_hit_buf_lo), + .io_ld_byte_hit_buf_hi(bus_buffer_io_ld_byte_hit_buf_hi), + .io_ld_fwddata_buf_lo(bus_buffer_io_ld_fwddata_buf_lo), + .io_ld_fwddata_buf_hi(bus_buffer_io_ld_fwddata_buf_hi) + ); + assign io_tlu_busbuff_lsu_pmu_bus_trxn = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_pmu_bus_error = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_pmu_bus_busy = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu_bus_intf.scala 103:18] + assign io_axi_aw_valid = bus_buffer_io_lsu_axi_aw_valid; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_id = bus_buffer_io_lsu_axi_aw_bits_id; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_addr = bus_buffer_io_lsu_axi_aw_bits_addr; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_region = bus_buffer_io_lsu_axi_aw_bits_region; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_size = bus_buffer_io_lsu_axi_aw_bits_size; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_cache = bus_buffer_io_lsu_axi_aw_bits_cache; // @[lsu_bus_intf.scala 129:43] + assign io_axi_w_valid = bus_buffer_io_lsu_axi_w_valid; // @[lsu_bus_intf.scala 129:43] + assign io_axi_w_bits_data = bus_buffer_io_lsu_axi_w_bits_data; // @[lsu_bus_intf.scala 129:43] + assign io_axi_w_bits_strb = bus_buffer_io_lsu_axi_w_bits_strb; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_valid = bus_buffer_io_lsu_axi_ar_valid; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_id = bus_buffer_io_lsu_axi_ar_bits_id; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_addr = bus_buffer_io_lsu_axi_ar_bits_addr; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_region = bus_buffer_io_lsu_axi_ar_bits_region; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_size = bus_buffer_io_lsu_axi_ar_bits_size; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_cache = bus_buffer_io_lsu_axi_ar_bits_cache; // @[lsu_bus_intf.scala 129:43] + assign io_lsu_busreq_r = bus_buffer_io_lsu_busreq_r; // @[lsu_bus_intf.scala 132:38] + assign io_lsu_bus_buffer_pend_any = bus_buffer_io_lsu_bus_buffer_pend_any; // @[lsu_bus_intf.scala 133:38] + assign io_lsu_bus_buffer_full_any = bus_buffer_io_lsu_bus_buffer_full_any; // @[lsu_bus_intf.scala 134:38] + assign io_lsu_bus_buffer_empty_any = bus_buffer_io_lsu_bus_buffer_empty_any; // @[lsu_bus_intf.scala 135:38] + assign io_bus_read_data_m = ld_fwddata_m[31:0]; // @[lsu_bus_intf.scala 191:27] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_data = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu_bus_intf.scala 141:19] + assign bus_buffer_clock = clock; + assign bus_buffer_reset = reset; + assign bus_buffer_io_scan_mode = io_scan_mode; // @[lsu_bus_intf.scala 102:29] + assign bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable = io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_intf.scala 103:18] + assign bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable = io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_intf.scala 103:18] + assign bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_intf.scala 103:18] + assign bus_buffer_io_dec_tlu_force_halt = io_dec_tlu_force_halt; // @[lsu_bus_intf.scala 105:51] + assign bus_buffer_io_lsu_c2_r_clk = io_lsu_c2_r_clk; // @[lsu_bus_intf.scala 106:51] + assign bus_buffer_io_lsu_bus_ibuf_c1_clk = io_lsu_bus_ibuf_c1_clk; // @[lsu_bus_intf.scala 107:51] + assign bus_buffer_io_lsu_bus_obuf_c1_clk = io_lsu_bus_obuf_c1_clk; // @[lsu_bus_intf.scala 108:51] + assign bus_buffer_io_lsu_bus_buf_c1_clk = io_lsu_bus_buf_c1_clk; // @[lsu_bus_intf.scala 109:51] + assign bus_buffer_io_lsu_free_c2_clk = io_lsu_free_c2_clk; // @[lsu_bus_intf.scala 110:51] + assign bus_buffer_io_lsu_busm_clk = io_lsu_busm_clk; // @[lsu_bus_intf.scala 111:51] + assign bus_buffer_io_dec_lsu_valid_raw_d = io_dec_lsu_valid_raw_d; // @[lsu_bus_intf.scala 112:51] + assign bus_buffer_io_lsu_pkt_m_valid = io_lsu_pkt_m_valid; // @[lsu_bus_intf.scala 115:27] + assign bus_buffer_io_lsu_pkt_m_bits_load = io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 115:27] + assign bus_buffer_io_lsu_pkt_r_bits_by = io_lsu_pkt_r_bits_by; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_half = io_lsu_pkt_r_bits_half; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_word = io_lsu_pkt_r_bits_word; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_load = io_lsu_pkt_r_bits_load; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_store = io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_unsign = io_lsu_pkt_r_bits_unsign; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_addr_m = io_lsu_addr_m; // @[lsu_bus_intf.scala 119:51] + assign bus_buffer_io_end_addr_m = io_end_addr_m; // @[lsu_bus_intf.scala 120:51] + assign bus_buffer_io_lsu_addr_r = io_lsu_addr_r; // @[lsu_bus_intf.scala 121:51] + assign bus_buffer_io_end_addr_r = io_end_addr_r; // @[lsu_bus_intf.scala 122:51] + assign bus_buffer_io_store_data_r = io_store_data_r; // @[lsu_bus_intf.scala 123:51] + assign bus_buffer_io_no_word_merge_r = _T_22 & _T_24; // @[lsu_bus_intf.scala 142:51] + assign bus_buffer_io_no_dword_merge_r = _T_22 & _T_30; // @[lsu_bus_intf.scala 143:51] + assign bus_buffer_io_lsu_busreq_m = io_lsu_busreq_m; // @[lsu_bus_intf.scala 125:51] + assign bus_buffer_io_ld_full_hit_m = _T_372 & _T_373; // @[lsu_bus_intf.scala 149:51] + assign bus_buffer_io_flush_m_up = io_flush_m_up; // @[lsu_bus_intf.scala 126:51] + assign bus_buffer_io_flush_r = io_flush_r; // @[lsu_bus_intf.scala 127:51] + assign bus_buffer_io_lsu_commit_r = io_lsu_commit_r; // @[lsu_bus_intf.scala 128:51] + assign bus_buffer_io_is_sideeffects_r = is_sideeffects_r; // @[lsu_bus_intf.scala 144:51] + assign bus_buffer_io_ldst_dual_d = io_lsu_addr_d[2] != io_end_addr_d[2]; // @[lsu_bus_intf.scala 145:51] + assign bus_buffer_io_ldst_dual_m = ldst_dual_m; // @[lsu_bus_intf.scala 146:51] + assign bus_buffer_io_ldst_dual_r = ldst_dual_r; // @[lsu_bus_intf.scala 147:51] + assign bus_buffer_io_ldst_byteen_ext_m = {{1'd0}, _T_34}; // @[lsu_bus_intf.scala 148:51] + assign bus_buffer_io_lsu_axi_aw_ready = io_axi_aw_ready; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_w_ready = io_axi_w_ready; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_b_valid = io_axi_b_valid; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_b_bits_resp = io_axi_b_bits_resp; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_b_bits_id = io_axi_b_bits_id; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_ar_ready = io_axi_ar_ready; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_r_valid = io_axi_r_valid; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_r_bits_id = io_axi_r_bits_id; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_r_bits_data = io_axi_r_bits_data; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_r_bits_resp = io_axi_r_bits_resp; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[lsu_bus_intf.scala 130:51] + assign bus_buffer_io_lsu_bus_clk_en_q = lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 150:51] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + ldst_dual_r = _RAND_0[0:0]; + _RAND_1 = {1{`RANDOM}}; + ldst_byteen_r = _RAND_1[3:0]; + _RAND_2 = {1{`RANDOM}}; + lsu_bus_clk_en_q = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + ldst_dual_m = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + is_sideeffects_r = _RAND_4[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + ldst_dual_r = 1'h0; + end + if (reset) begin + ldst_byteen_r = 4'h0; + end + if (reset) begin + lsu_bus_clk_en_q = 1'h0; + end + if (reset) begin + ldst_dual_m = 1'h0; + end + if (reset) begin + is_sideeffects_r = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + ldst_dual_r <= 1'h0; + end else begin + ldst_dual_r <= ldst_dual_m; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + ldst_byteen_r <= 4'h0; + end else begin + ldst_byteen_r <= _T_6 | _T_5; + end + end + always @(posedge io_free_clk or posedge reset) begin + if (reset) begin + lsu_bus_clk_en_q <= 1'h0; + end else begin + lsu_bus_clk_en_q <= io_lsu_bus_clk_en; + end + end + always @(posedge io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + ldst_dual_m <= 1'h0; + end else begin + ldst_dual_m <= io_lsu_addr_d[2] != io_end_addr_d[2]; + end + end + always @(posedge io_lsu_c1_r_clk or posedge reset) begin + if (reset) begin + is_sideeffects_r <= 1'h0; + end else begin + is_sideeffects_r <= io_is_sideeffects_m; + end + end +endmodule +module lsu( + input clock, + input reset, + input io_clk_override, + input io_lsu_dma_dma_lsc_ctl_dma_dccm_req, + input [31:0] io_lsu_dma_dma_lsc_ctl_dma_mem_addr, + input [2:0] io_lsu_dma_dma_lsc_ctl_dma_mem_sz, + input io_lsu_dma_dma_lsc_ctl_dma_mem_write, + input [63:0] io_lsu_dma_dma_lsc_ctl_dma_mem_wdata, + input [31:0] io_lsu_dma_dma_dccm_ctl_dma_mem_addr, + input [63:0] io_lsu_dma_dma_dccm_ctl_dma_mem_wdata, + output io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid, + output io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error, + output [2:0] io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag, + output [63:0] io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata, + output io_lsu_dma_dccm_ready, + input [2:0] io_lsu_dma_dma_mem_tag, + output io_lsu_pic_picm_wren, + output io_lsu_pic_picm_rden, + output io_lsu_pic_picm_mken, + output [31:0] io_lsu_pic_picm_rdaddr, + output [31:0] io_lsu_pic_picm_wraddr, + output [31:0] io_lsu_pic_picm_wr_data, + input [31:0] io_lsu_pic_picm_rd_data, + input [31:0] io_lsu_exu_exu_lsu_rs1_d, + input [31:0] io_lsu_exu_exu_lsu_rs2_d, + output io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn, + output io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned, + output io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error, + output io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy, + input io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable, + input io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable, + input io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable, + output io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any, + output io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any, + output [31:0] io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any, + output io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m, + output [1:0] io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m, + output io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r, + output [1:0] io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r, + output io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid, + output io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error, + output [1:0] io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag, + output [31:0] io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data, + output io_dccm_wren, + output io_dccm_rden, + output [15:0] io_dccm_wr_addr_lo, + output [15:0] io_dccm_wr_addr_hi, + output [15:0] io_dccm_rd_addr_lo, + output [15:0] io_dccm_rd_addr_hi, + output [38:0] io_dccm_wr_data_lo, + output [38:0] io_dccm_wr_data_hi, + input [38:0] io_dccm_rd_data_lo, + input [38:0] io_dccm_rd_data_hi, + output io_lsu_tlu_lsu_pmu_load_external_m, + output io_lsu_tlu_lsu_pmu_store_external_m, + input io_axi_aw_ready, + output io_axi_aw_valid, + output [2:0] io_axi_aw_bits_id, + output [31:0] io_axi_aw_bits_addr, + output [3:0] io_axi_aw_bits_region, + output [7:0] io_axi_aw_bits_len, + output [2:0] io_axi_aw_bits_size, + output [1:0] io_axi_aw_bits_burst, + output io_axi_aw_bits_lock, + output [3:0] io_axi_aw_bits_cache, + output [2:0] io_axi_aw_bits_prot, + output [3:0] io_axi_aw_bits_qos, + input io_axi_w_ready, + output io_axi_w_valid, + output [63:0] io_axi_w_bits_data, + output [7:0] io_axi_w_bits_strb, + output io_axi_w_bits_last, + output io_axi_b_ready, + input io_axi_b_valid, + input [1:0] io_axi_b_bits_resp, + input [2:0] io_axi_b_bits_id, + input io_axi_ar_ready, + output io_axi_ar_valid, + output [2:0] io_axi_ar_bits_id, + output [31:0] io_axi_ar_bits_addr, + output [3:0] io_axi_ar_bits_region, + output [7:0] io_axi_ar_bits_len, + output [2:0] io_axi_ar_bits_size, + output [1:0] io_axi_ar_bits_burst, + output io_axi_ar_bits_lock, + output [3:0] io_axi_ar_bits_cache, + output [2:0] io_axi_ar_bits_prot, + output [3:0] io_axi_ar_bits_qos, + output io_axi_r_ready, + input io_axi_r_valid, + input [2:0] io_axi_r_bits_id, + input [63:0] io_axi_r_bits_data, + input [1:0] io_axi_r_bits_resp, + input io_axi_r_bits_last, + input io_dec_tlu_flush_lower_r, + input io_dec_tlu_i0_kill_writeb_r, + input io_dec_tlu_force_halt, + input io_dec_tlu_core_ecc_disable, + input [11:0] io_dec_lsu_offset_d, + input io_lsu_p_valid, + input io_lsu_p_bits_fast_int, + input io_lsu_p_bits_by, + input io_lsu_p_bits_half, + input io_lsu_p_bits_word, + input io_lsu_p_bits_dword, + input io_lsu_p_bits_load, + input io_lsu_p_bits_store, + input io_lsu_p_bits_unsign, + input io_lsu_p_bits_dma, + input io_lsu_p_bits_store_data_bypass_d, + input io_lsu_p_bits_load_ldst_bypass_d, + input io_lsu_p_bits_store_data_bypass_m, + input io_trigger_pkt_any_0_select, + input io_trigger_pkt_any_0_match_pkt, + input io_trigger_pkt_any_0_store, + input io_trigger_pkt_any_0_load, + input io_trigger_pkt_any_0_execute, + input io_trigger_pkt_any_0_m, + input [31:0] io_trigger_pkt_any_0_tdata2, + input io_trigger_pkt_any_1_select, + input io_trigger_pkt_any_1_match_pkt, + input io_trigger_pkt_any_1_store, + input io_trigger_pkt_any_1_load, + input io_trigger_pkt_any_1_execute, + input io_trigger_pkt_any_1_m, + input [31:0] io_trigger_pkt_any_1_tdata2, + input io_trigger_pkt_any_2_select, + input io_trigger_pkt_any_2_match_pkt, + input io_trigger_pkt_any_2_store, + input io_trigger_pkt_any_2_load, + input io_trigger_pkt_any_2_execute, + input io_trigger_pkt_any_2_m, + input [31:0] io_trigger_pkt_any_2_tdata2, + input io_trigger_pkt_any_3_select, + input io_trigger_pkt_any_3_match_pkt, + input io_trigger_pkt_any_3_store, + input io_trigger_pkt_any_3_load, + input io_trigger_pkt_any_3_execute, + input io_trigger_pkt_any_3_m, + input [31:0] io_trigger_pkt_any_3_tdata2, + input io_dec_lsu_valid_raw_d, + input [31:0] io_dec_tlu_mrac_ff, + output [31:0] io_lsu_result_m, + output [31:0] io_lsu_result_corr_r, + output io_lsu_load_stall_any, + output io_lsu_store_stall_any, + output io_lsu_fastint_stall_any, + output io_lsu_idle_any, + output [30:0] io_lsu_fir_addr, + output [1:0] io_lsu_fir_error, + output io_lsu_single_ecc_error_incr, + output io_lsu_error_pkt_r_valid, + output io_lsu_error_pkt_r_bits_single_ecc_error, + output io_lsu_error_pkt_r_bits_inst_type, + output io_lsu_error_pkt_r_bits_exc_type, + output [3:0] io_lsu_error_pkt_r_bits_mscause, + output [31:0] io_lsu_error_pkt_r_bits_addr, + output io_lsu_pmu_misaligned_m, + output [3:0] io_lsu_trigger_match_m, + input io_lsu_bus_clk_en, + input io_scan_mode, + input io_free_clk +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; +`endif // RANDOMIZE_REG_INIT + wire lsu_lsc_ctl_reset; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_c1_m_clk; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_c1_r_clk; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_c2_m_clk; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_c2_r_clk; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_store_c1_m_clk; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_ld_data_corr_r; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_single_ecc_error_r; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_double_ecc_error_r; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_ld_data_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_single_ecc_error_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_double_ecc_error_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_flush_m_up; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_flush_r; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs1_d; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs2_d; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_valid; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_fast_int; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_by; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_half; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_word; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_dword; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_load; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_store; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_unsign; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_dma; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_store_data_bypass_d; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_load_ldst_bypass_d; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_p_bits_store_data_bypass_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_dec_lsu_valid_raw_d; // @[lsu.scala 60:30] + wire [11:0] lsu_lsc_ctl_io_dec_lsu_offset_d; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_picm_mask_data_m; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_bus_read_data_m; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_result_m; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_result_corr_r; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_addr_d; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_addr_m; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_addr_r; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_end_addr_d; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_end_addr_m; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_end_addr_r; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_store_data_m; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_dec_tlu_mrac_ff; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_exc_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_is_sideeffects_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_commit_r; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_single_ecc_error_incr; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_error_pkt_r_valid; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_error_pkt_r_bits_single_ecc_error; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_error_pkt_r_bits_inst_type; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_error_pkt_r_bits_exc_type; // @[lsu.scala 60:30] + wire [3:0] lsu_lsc_ctl_io_lsu_error_pkt_r_bits_mscause; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_lsu_error_pkt_r_bits_addr; // @[lsu.scala 60:30] + wire [30:0] lsu_lsc_ctl_io_lsu_fir_addr; // @[lsu.scala 60:30] + wire [1:0] lsu_lsc_ctl_io_lsu_fir_error; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_addr_in_dccm_d; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_addr_in_dccm_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_addr_in_dccm_r; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_addr_in_pic_d; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_addr_in_pic_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_addr_in_pic_r; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_addr_external_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_dma_lsc_ctl_dma_dccm_req; // @[lsu.scala 60:30] + wire [31:0] lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_addr; // @[lsu.scala 60:30] + wire [2:0] lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_sz; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_write; // @[lsu.scala 60:30] + wire [63:0] lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_wdata; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_valid; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_fast_int; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_by; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_half; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_word; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_dword; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_load; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_store; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_unsign; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_dma; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_store_data_bypass_d; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_load_ldst_bypass_d; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_d_bits_store_data_bypass_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_fast_int; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_by; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_half; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_word; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_dword; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_unsign; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_m_bits_store_data_bypass_m; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_by; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_half; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_word; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_dword; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_load; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_unsign; // @[lsu.scala 60:30] + wire lsu_lsc_ctl_io_lsu_pkt_r_bits_dma; // @[lsu.scala 60:30] + wire dccm_ctl_clock; // @[lsu.scala 63:30] + wire dccm_ctl_reset; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_c2_m_clk; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_c2_r_clk; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_free_c2_clk; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_store_c1_r_clk; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_d_valid; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_d_bits_word; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_d_bits_dword; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_d_bits_load; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_d_bits_store; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_d_bits_dma; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_m_bits_by; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_m_bits_half; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_m_bits_word; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_r_bits_by; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_r_bits_half; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_r_bits_word; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_r_bits_load; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pkt_r_bits_dma; // @[lsu.scala 63:30] + wire dccm_ctl_io_addr_in_dccm_d; // @[lsu.scala 63:30] + wire dccm_ctl_io_addr_in_dccm_m; // @[lsu.scala 63:30] + wire dccm_ctl_io_addr_in_dccm_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_addr_in_pic_d; // @[lsu.scala 63:30] + wire dccm_ctl_io_addr_in_pic_m; // @[lsu.scala 63:30] + wire dccm_ctl_io_addr_in_pic_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_raw_fwd_lo_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_raw_fwd_hi_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_commit_r; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_lsu_addr_d; // @[lsu.scala 63:30] + wire [15:0] dccm_ctl_io_lsu_addr_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_lsu_addr_r; // @[lsu.scala 63:30] + wire [15:0] dccm_ctl_io_end_addr_d; // @[lsu.scala 63:30] + wire [15:0] dccm_ctl_io_end_addr_m; // @[lsu.scala 63:30] + wire [15:0] dccm_ctl_io_end_addr_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_stbuf_reqvld_any; // @[lsu.scala 63:30] + wire [15:0] dccm_ctl_io_stbuf_addr_any; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_stbuf_data_any; // @[lsu.scala 63:30] + wire [6:0] dccm_ctl_io_stbuf_ecc_any; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_stbuf_fwddata_hi_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_stbuf_fwddata_lo_m; // @[lsu.scala 63:30] + wire [3:0] dccm_ctl_io_stbuf_fwdbyteen_lo_m; // @[lsu.scala 63:30] + wire [3:0] dccm_ctl_io_stbuf_fwdbyteen_hi_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_lsu_ld_data_corr_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_double_ecc_error_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_single_ecc_error_hi_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_single_ecc_error_lo_r; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_sec_data_hi_r_ff; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_sec_data_lo_r_ff; // @[lsu.scala 63:30] + wire [6:0] dccm_ctl_io_sec_data_ecc_hi_r_ff; // @[lsu.scala 63:30] + wire [6:0] dccm_ctl_io_sec_data_ecc_lo_r_ff; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_dccm_rdata_hi_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_dccm_rdata_lo_m; // @[lsu.scala 63:30] + wire [6:0] dccm_ctl_io_dccm_data_ecc_hi_m; // @[lsu.scala 63:30] + wire [6:0] dccm_ctl_io_dccm_data_ecc_lo_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_lsu_ld_data_m; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_double_ecc_error_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_sec_data_hi_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_sec_data_lo_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_store_data_m; // @[lsu.scala 63:30] + wire dccm_ctl_io_dma_dccm_wen; // @[lsu.scala 63:30] + wire dccm_ctl_io_dma_pic_wen; // @[lsu.scala 63:30] + wire [2:0] dccm_ctl_io_dma_mem_tag_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_dma_dccm_wdata_lo; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_dma_dccm_wdata_hi; // @[lsu.scala 63:30] + wire [6:0] dccm_ctl_io_dma_dccm_wdata_ecc_hi; // @[lsu.scala 63:30] + wire [6:0] dccm_ctl_io_dma_dccm_wdata_ecc_lo; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_store_data_hi_r; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_store_data_lo_r; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_store_datafn_hi_r; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_store_datafn_lo_r; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_store_data_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_ld_single_ecc_error_r; // @[lsu.scala 63:30] + wire dccm_ctl_io_ld_single_ecc_error_r_ff; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_picm_mask_data_m; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_stbuf_commit_any; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_dccm_rden_m; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_dma_dccm_ctl_dma_mem_addr; // @[lsu.scala 63:30] + wire [63:0] dccm_ctl_io_dma_dccm_ctl_dma_mem_wdata; // @[lsu.scala 63:30] + wire dccm_ctl_io_dma_dccm_ctl_dccm_dma_rvalid; // @[lsu.scala 63:30] + wire dccm_ctl_io_dma_dccm_ctl_dccm_dma_ecc_error; // @[lsu.scala 63:30] + wire [2:0] dccm_ctl_io_dma_dccm_ctl_dccm_dma_rtag; // @[lsu.scala 63:30] + wire [63:0] dccm_ctl_io_dma_dccm_ctl_dccm_dma_rdata; // @[lsu.scala 63:30] + wire dccm_ctl_io_dccm_wren; // @[lsu.scala 63:30] + wire dccm_ctl_io_dccm_rden; // @[lsu.scala 63:30] + wire [15:0] dccm_ctl_io_dccm_wr_addr_lo; // @[lsu.scala 63:30] + wire [15:0] dccm_ctl_io_dccm_wr_addr_hi; // @[lsu.scala 63:30] + wire [15:0] dccm_ctl_io_dccm_rd_addr_lo; // @[lsu.scala 63:30] + wire [15:0] dccm_ctl_io_dccm_rd_addr_hi; // @[lsu.scala 63:30] + wire [38:0] dccm_ctl_io_dccm_wr_data_lo; // @[lsu.scala 63:30] + wire [38:0] dccm_ctl_io_dccm_wr_data_hi; // @[lsu.scala 63:30] + wire [38:0] dccm_ctl_io_dccm_rd_data_lo; // @[lsu.scala 63:30] + wire [38:0] dccm_ctl_io_dccm_rd_data_hi; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pic_picm_wren; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pic_picm_rden; // @[lsu.scala 63:30] + wire dccm_ctl_io_lsu_pic_picm_mken; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_lsu_pic_picm_rdaddr; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_lsu_pic_picm_wraddr; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_lsu_pic_picm_wr_data; // @[lsu.scala 63:30] + wire [31:0] dccm_ctl_io_lsu_pic_picm_rd_data; // @[lsu.scala 63:30] + wire dccm_ctl_io_scan_mode; // @[lsu.scala 63:30] + wire stbuf_clock; // @[lsu.scala 64:30] + wire stbuf_reset; // @[lsu.scala 64:30] + wire stbuf_io_lsu_c1_m_clk; // @[lsu.scala 64:30] + wire stbuf_io_lsu_c1_r_clk; // @[lsu.scala 64:30] + wire stbuf_io_lsu_stbuf_c1_clk; // @[lsu.scala 64:30] + wire stbuf_io_lsu_free_c2_clk; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_m_valid; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_m_bits_store; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_m_bits_dma; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_r_valid; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_r_bits_by; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_r_bits_half; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_r_bits_word; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_r_bits_dword; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_r_bits_store; // @[lsu.scala 64:30] + wire stbuf_io_lsu_pkt_r_bits_dma; // @[lsu.scala 64:30] + wire stbuf_io_store_stbuf_reqvld_r; // @[lsu.scala 64:30] + wire stbuf_io_lsu_commit_r; // @[lsu.scala 64:30] + wire stbuf_io_dec_lsu_valid_raw_d; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_store_data_hi_r; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_store_data_lo_r; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_store_datafn_hi_r; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_store_datafn_lo_r; // @[lsu.scala 64:30] + wire stbuf_io_lsu_stbuf_commit_any; // @[lsu.scala 64:30] + wire [15:0] stbuf_io_lsu_addr_d; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_lsu_addr_m; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_lsu_addr_r; // @[lsu.scala 64:30] + wire [15:0] stbuf_io_end_addr_d; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_end_addr_m; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_end_addr_r; // @[lsu.scala 64:30] + wire stbuf_io_addr_in_dccm_m; // @[lsu.scala 64:30] + wire stbuf_io_addr_in_dccm_r; // @[lsu.scala 64:30] + wire stbuf_io_scan_mode; // @[lsu.scala 64:30] + wire stbuf_io_stbuf_reqvld_any; // @[lsu.scala 64:30] + wire stbuf_io_stbuf_reqvld_flushed_any; // @[lsu.scala 64:30] + wire [15:0] stbuf_io_stbuf_addr_any; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_stbuf_data_any; // @[lsu.scala 64:30] + wire stbuf_io_lsu_stbuf_full_any; // @[lsu.scala 64:30] + wire stbuf_io_lsu_stbuf_empty_any; // @[lsu.scala 64:30] + wire stbuf_io_ldst_stbuf_reqvld_r; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_stbuf_fwddata_hi_m; // @[lsu.scala 64:30] + wire [31:0] stbuf_io_stbuf_fwddata_lo_m; // @[lsu.scala 64:30] + wire [3:0] stbuf_io_stbuf_fwdbyteen_hi_m; // @[lsu.scala 64:30] + wire [3:0] stbuf_io_stbuf_fwdbyteen_lo_m; // @[lsu.scala 64:30] + wire ecc_clock; // @[lsu.scala 65:30] + wire ecc_reset; // @[lsu.scala 65:30] + wire ecc_io_lsu_c2_r_clk; // @[lsu.scala 65:30] + wire ecc_io_lsu_pkt_m_valid; // @[lsu.scala 65:30] + wire ecc_io_lsu_pkt_m_bits_load; // @[lsu.scala 65:30] + wire ecc_io_lsu_pkt_m_bits_store; // @[lsu.scala 65:30] + wire ecc_io_lsu_pkt_m_bits_dma; // @[lsu.scala 65:30] + wire [31:0] ecc_io_stbuf_data_any; // @[lsu.scala 65:30] + wire ecc_io_dec_tlu_core_ecc_disable; // @[lsu.scala 65:30] + wire [15:0] ecc_io_lsu_addr_m; // @[lsu.scala 65:30] + wire [15:0] ecc_io_end_addr_m; // @[lsu.scala 65:30] + wire [31:0] ecc_io_dccm_rdata_hi_m; // @[lsu.scala 65:30] + wire [31:0] ecc_io_dccm_rdata_lo_m; // @[lsu.scala 65:30] + wire [6:0] ecc_io_dccm_data_ecc_hi_m; // @[lsu.scala 65:30] + wire [6:0] ecc_io_dccm_data_ecc_lo_m; // @[lsu.scala 65:30] + wire ecc_io_ld_single_ecc_error_r; // @[lsu.scala 65:30] + wire ecc_io_ld_single_ecc_error_r_ff; // @[lsu.scala 65:30] + wire ecc_io_lsu_dccm_rden_m; // @[lsu.scala 65:30] + wire ecc_io_addr_in_dccm_m; // @[lsu.scala 65:30] + wire ecc_io_dma_dccm_wen; // @[lsu.scala 65:30] + wire [31:0] ecc_io_dma_dccm_wdata_lo; // @[lsu.scala 65:30] + wire [31:0] ecc_io_dma_dccm_wdata_hi; // @[lsu.scala 65:30] + wire ecc_io_scan_mode; // @[lsu.scala 65:30] + wire [31:0] ecc_io_sec_data_hi_r; // @[lsu.scala 65:30] + wire [31:0] ecc_io_sec_data_lo_r; // @[lsu.scala 65:30] + wire [31:0] ecc_io_sec_data_hi_m; // @[lsu.scala 65:30] + wire [31:0] ecc_io_sec_data_lo_m; // @[lsu.scala 65:30] + wire [31:0] ecc_io_sec_data_hi_r_ff; // @[lsu.scala 65:30] + wire [31:0] ecc_io_sec_data_lo_r_ff; // @[lsu.scala 65:30] + wire [6:0] ecc_io_dma_dccm_wdata_ecc_hi; // @[lsu.scala 65:30] + wire [6:0] ecc_io_dma_dccm_wdata_ecc_lo; // @[lsu.scala 65:30] + wire [6:0] ecc_io_stbuf_ecc_any; // @[lsu.scala 65:30] + wire [6:0] ecc_io_sec_data_ecc_hi_r_ff; // @[lsu.scala 65:30] + wire [6:0] ecc_io_sec_data_ecc_lo_r_ff; // @[lsu.scala 65:30] + wire ecc_io_single_ecc_error_hi_r; // @[lsu.scala 65:30] + wire ecc_io_single_ecc_error_lo_r; // @[lsu.scala 65:30] + wire ecc_io_lsu_single_ecc_error_r; // @[lsu.scala 65:30] + wire ecc_io_lsu_double_ecc_error_r; // @[lsu.scala 65:30] + wire ecc_io_lsu_single_ecc_error_m; // @[lsu.scala 65:30] + wire ecc_io_lsu_double_ecc_error_m; // @[lsu.scala 65:30] + wire trigger_io_trigger_pkt_any_0_select; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_0_match_pkt; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_0_store; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_0_load; // @[lsu.scala 66:30] + wire [31:0] trigger_io_trigger_pkt_any_0_tdata2; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_1_select; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_1_match_pkt; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_1_store; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_1_load; // @[lsu.scala 66:30] + wire [31:0] trigger_io_trigger_pkt_any_1_tdata2; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_2_select; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_2_match_pkt; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_2_store; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_2_load; // @[lsu.scala 66:30] + wire [31:0] trigger_io_trigger_pkt_any_2_tdata2; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_3_select; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_3_match_pkt; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_3_store; // @[lsu.scala 66:30] + wire trigger_io_trigger_pkt_any_3_load; // @[lsu.scala 66:30] + wire [31:0] trigger_io_trigger_pkt_any_3_tdata2; // @[lsu.scala 66:30] + wire trigger_io_lsu_pkt_m_valid; // @[lsu.scala 66:30] + wire trigger_io_lsu_pkt_m_bits_half; // @[lsu.scala 66:30] + wire trigger_io_lsu_pkt_m_bits_word; // @[lsu.scala 66:30] + wire trigger_io_lsu_pkt_m_bits_load; // @[lsu.scala 66:30] + wire trigger_io_lsu_pkt_m_bits_store; // @[lsu.scala 66:30] + wire trigger_io_lsu_pkt_m_bits_dma; // @[lsu.scala 66:30] + wire [31:0] trigger_io_lsu_addr_m; // @[lsu.scala 66:30] + wire [31:0] trigger_io_store_data_m; // @[lsu.scala 66:30] + wire [3:0] trigger_io_lsu_trigger_match_m; // @[lsu.scala 66:30] + wire clkdomain_clock; // @[lsu.scala 67:30] + wire clkdomain_reset; // @[lsu.scala 67:30] + wire clkdomain_io_free_clk; // @[lsu.scala 67:30] + wire clkdomain_io_clk_override; // @[lsu.scala 67:30] + wire clkdomain_io_dma_dccm_req; // @[lsu.scala 67:30] + wire clkdomain_io_ldst_stbuf_reqvld_r; // @[lsu.scala 67:30] + wire clkdomain_io_stbuf_reqvld_any; // @[lsu.scala 67:30] + wire clkdomain_io_stbuf_reqvld_flushed_any; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_busreq_r; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_bus_buffer_pend_any; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_bus_buffer_empty_any; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_stbuf_empty_any; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_bus_clk_en; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_p_valid; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_pkt_d_valid; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_pkt_d_bits_store; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_pkt_m_valid; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_pkt_m_bits_store; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_pkt_r_valid; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_c1_m_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_c1_r_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_c2_m_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_c2_r_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_store_c1_m_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_store_c1_r_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_stbuf_c1_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_bus_obuf_c1_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_bus_ibuf_c1_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_bus_buf_c1_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_busm_clk; // @[lsu.scala 67:30] + wire clkdomain_io_lsu_free_c2_clk; // @[lsu.scala 67:30] + wire clkdomain_io_scan_mode; // @[lsu.scala 67:30] + wire bus_intf_clock; // @[lsu.scala 68:30] + wire bus_intf_reset; // @[lsu.scala 68:30] + wire bus_intf_io_scan_mode; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_c1_m_clk; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_c1_r_clk; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_c2_r_clk; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_bus_ibuf_c1_clk; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_bus_obuf_c1_clk; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_bus_buf_c1_clk; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_free_c2_clk; // @[lsu.scala 68:30] + wire bus_intf_io_free_clk; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_busm_clk; // @[lsu.scala 68:30] + wire bus_intf_io_axi_aw_ready; // @[lsu.scala 68:30] + wire bus_intf_io_axi_aw_valid; // @[lsu.scala 68:30] + wire [2:0] bus_intf_io_axi_aw_bits_id; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_axi_aw_bits_addr; // @[lsu.scala 68:30] + wire [3:0] bus_intf_io_axi_aw_bits_region; // @[lsu.scala 68:30] + wire [2:0] bus_intf_io_axi_aw_bits_size; // @[lsu.scala 68:30] + wire [3:0] bus_intf_io_axi_aw_bits_cache; // @[lsu.scala 68:30] + wire bus_intf_io_axi_w_ready; // @[lsu.scala 68:30] + wire bus_intf_io_axi_w_valid; // @[lsu.scala 68:30] + wire [63:0] bus_intf_io_axi_w_bits_data; // @[lsu.scala 68:30] + wire [7:0] bus_intf_io_axi_w_bits_strb; // @[lsu.scala 68:30] + wire bus_intf_io_axi_b_valid; // @[lsu.scala 68:30] + wire [1:0] bus_intf_io_axi_b_bits_resp; // @[lsu.scala 68:30] + wire [2:0] bus_intf_io_axi_b_bits_id; // @[lsu.scala 68:30] + wire bus_intf_io_axi_ar_ready; // @[lsu.scala 68:30] + wire bus_intf_io_axi_ar_valid; // @[lsu.scala 68:30] + wire [2:0] bus_intf_io_axi_ar_bits_id; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_axi_ar_bits_addr; // @[lsu.scala 68:30] + wire [3:0] bus_intf_io_axi_ar_bits_region; // @[lsu.scala 68:30] + wire [2:0] bus_intf_io_axi_ar_bits_size; // @[lsu.scala 68:30] + wire [3:0] bus_intf_io_axi_ar_bits_cache; // @[lsu.scala 68:30] + wire bus_intf_io_axi_r_valid; // @[lsu.scala 68:30] + wire [2:0] bus_intf_io_axi_r_bits_id; // @[lsu.scala 68:30] + wire [63:0] bus_intf_io_axi_r_bits_data; // @[lsu.scala 68:30] + wire [1:0] bus_intf_io_axi_r_bits_resp; // @[lsu.scala 68:30] + wire bus_intf_io_dec_lsu_valid_raw_d; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_busreq_m; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_m_valid; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_m_bits_by; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_m_bits_half; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_m_bits_word; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_m_bits_load; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_r_valid; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_r_bits_by; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_r_bits_half; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_r_bits_word; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_r_bits_load; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_r_bits_store; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_pkt_r_bits_unsign; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_lsu_addr_d; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_lsu_addr_m; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_lsu_addr_r; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_end_addr_d; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_end_addr_m; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_end_addr_r; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_store_data_r; // @[lsu.scala 68:30] + wire bus_intf_io_dec_tlu_force_halt; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_commit_r; // @[lsu.scala 68:30] + wire bus_intf_io_is_sideeffects_m; // @[lsu.scala 68:30] + wire bus_intf_io_flush_m_up; // @[lsu.scala 68:30] + wire bus_intf_io_flush_r; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_busreq_r; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_bus_buffer_pend_any; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_bus_buffer_full_any; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_bus_buffer_empty_any; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_bus_read_data_m; // @[lsu.scala 68:30] + wire bus_intf_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu.scala 68:30] + wire [1:0] bus_intf_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu.scala 68:30] + wire bus_intf_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu.scala 68:30] + wire [1:0] bus_intf_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu.scala 68:30] + wire bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu.scala 68:30] + wire bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu.scala 68:30] + wire [1:0] bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu.scala 68:30] + wire [31:0] bus_intf_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu.scala 68:30] + wire bus_intf_io_lsu_bus_clk_en; // @[lsu.scala 68:30] + wire _T = stbuf_io_lsu_stbuf_full_any | bus_intf_io_lsu_bus_buffer_full_any; // @[lsu.scala 74:57] + wire _T_3 = ~lsu_lsc_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 81:58] + wire _T_4 = lsu_lsc_ctl_io_lsu_pkt_m_valid & _T_3; // @[lsu.scala 81:56] + wire _T_5 = lsu_lsc_ctl_io_addr_in_dccm_m | lsu_lsc_ctl_io_addr_in_pic_m; // @[lsu.scala 81:126] + wire _T_6 = _T_4 & _T_5; // @[lsu.scala 81:93] + wire ldst_nodma_mtor = _T_6 & lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 81:158] + wire _T_7 = io_dec_lsu_valid_raw_d | ldst_nodma_mtor; // @[lsu.scala 82:53] + wire _T_8 = _T_7 | dccm_ctl_io_ld_single_ecc_error_r_ff; // @[lsu.scala 82:71] + wire _T_10 = io_lsu_dma_dma_lsc_ctl_dma_dccm_req & io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[lsu.scala 83:58] + wire [5:0] _T_13 = {io_lsu_dma_dma_lsc_ctl_dma_mem_addr[2:0],3'h0}; // @[Cat.scala 29:58] + wire [63:0] dma_dccm_wdata = io_lsu_dma_dma_lsc_ctl_dma_mem_wdata >> _T_13; // @[lsu.scala 85:58] + wire _T_19 = ~lsu_lsc_ctl_io_lsu_pkt_r_bits_dma; // @[lsu.scala 96:130] + wire _T_20 = lsu_lsc_ctl_io_lsu_pkt_r_valid & _T_19; // @[lsu.scala 96:128] + wire _T_21 = _T_4 | _T_20; // @[lsu.scala 96:94] + wire _T_22 = ~_T_21; // @[lsu.scala 96:22] + wire _T_25 = lsu_lsc_ctl_io_lsu_pkt_r_valid & lsu_lsc_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 98:61] + wire _T_26 = _T_25 & lsu_lsc_ctl_io_addr_in_dccm_r; // @[lsu.scala 98:99] + wire _T_27 = ~io_dec_tlu_i0_kill_writeb_r; // @[lsu.scala 98:133] + wire _T_28 = _T_26 & _T_27; // @[lsu.scala 98:131] + wire _T_30 = lsu_lsc_ctl_io_lsu_pkt_m_bits_load | lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 100:90] + wire _T_34 = _T_30 & lsu_lsc_ctl_io_addr_external_m; // @[lsu.scala 102:131] + wire _T_35 = lsu_lsc_ctl_io_lsu_pkt_m_valid & _T_34; // @[lsu.scala 102:53] + wire _T_36 = ~io_dec_tlu_flush_lower_r; // @[lsu.scala 102:167] + wire _T_37 = _T_35 & _T_36; // @[lsu.scala 102:165] + wire _T_38 = ~lsu_lsc_ctl_io_lsu_exc_m; // @[lsu.scala 102:181] + wire _T_39 = _T_37 & _T_38; // @[lsu.scala 102:179] + wire _T_40 = ~lsu_lsc_ctl_io_lsu_pkt_m_bits_fast_int; // @[lsu.scala 102:209] + wire _T_42 = lsu_lsc_ctl_io_lsu_pkt_m_bits_half & lsu_lsc_ctl_io_lsu_addr_m[0]; // @[lsu.scala 104:100] + wire _T_44 = |lsu_lsc_ctl_io_lsu_addr_m[1:0]; // @[lsu.scala 104:203] + wire _T_45 = lsu_lsc_ctl_io_lsu_pkt_m_bits_word & _T_44; // @[lsu.scala 104:170] + wire _T_46 = _T_42 | _T_45; // @[lsu.scala 104:132] + wire _T_48 = lsu_lsc_ctl_io_lsu_pkt_m_valid & lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 105:73] + wire _T_50 = lsu_lsc_ctl_io_lsu_pkt_m_valid & lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 106:73] + reg [2:0] dma_mem_tag_m; // @[lsu.scala 317:67] + reg lsu_raw_fwd_hi_r; // @[lsu.scala 318:67] + reg lsu_raw_fwd_lo_r; // @[lsu.scala 319:67] + lsu_lsc_ctl lsu_lsc_ctl ( // @[lsu.scala 60:30] + .reset(lsu_lsc_ctl_reset), + .io_lsu_c1_m_clk(lsu_lsc_ctl_io_lsu_c1_m_clk), + .io_lsu_c1_r_clk(lsu_lsc_ctl_io_lsu_c1_r_clk), + .io_lsu_c2_m_clk(lsu_lsc_ctl_io_lsu_c2_m_clk), + .io_lsu_c2_r_clk(lsu_lsc_ctl_io_lsu_c2_r_clk), + .io_lsu_store_c1_m_clk(lsu_lsc_ctl_io_lsu_store_c1_m_clk), + .io_lsu_ld_data_corr_r(lsu_lsc_ctl_io_lsu_ld_data_corr_r), + .io_lsu_single_ecc_error_r(lsu_lsc_ctl_io_lsu_single_ecc_error_r), + .io_lsu_double_ecc_error_r(lsu_lsc_ctl_io_lsu_double_ecc_error_r), + .io_lsu_ld_data_m(lsu_lsc_ctl_io_lsu_ld_data_m), + .io_lsu_single_ecc_error_m(lsu_lsc_ctl_io_lsu_single_ecc_error_m), + .io_lsu_double_ecc_error_m(lsu_lsc_ctl_io_lsu_double_ecc_error_m), + .io_flush_m_up(lsu_lsc_ctl_io_flush_m_up), + .io_flush_r(lsu_lsc_ctl_io_flush_r), + .io_lsu_exu_exu_lsu_rs1_d(lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs1_d), + .io_lsu_exu_exu_lsu_rs2_d(lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs2_d), + .io_lsu_p_valid(lsu_lsc_ctl_io_lsu_p_valid), + .io_lsu_p_bits_fast_int(lsu_lsc_ctl_io_lsu_p_bits_fast_int), + .io_lsu_p_bits_by(lsu_lsc_ctl_io_lsu_p_bits_by), + .io_lsu_p_bits_half(lsu_lsc_ctl_io_lsu_p_bits_half), + .io_lsu_p_bits_word(lsu_lsc_ctl_io_lsu_p_bits_word), + .io_lsu_p_bits_dword(lsu_lsc_ctl_io_lsu_p_bits_dword), + .io_lsu_p_bits_load(lsu_lsc_ctl_io_lsu_p_bits_load), + .io_lsu_p_bits_store(lsu_lsc_ctl_io_lsu_p_bits_store), + .io_lsu_p_bits_unsign(lsu_lsc_ctl_io_lsu_p_bits_unsign), + .io_lsu_p_bits_dma(lsu_lsc_ctl_io_lsu_p_bits_dma), + .io_lsu_p_bits_store_data_bypass_d(lsu_lsc_ctl_io_lsu_p_bits_store_data_bypass_d), + .io_lsu_p_bits_load_ldst_bypass_d(lsu_lsc_ctl_io_lsu_p_bits_load_ldst_bypass_d), + .io_lsu_p_bits_store_data_bypass_m(lsu_lsc_ctl_io_lsu_p_bits_store_data_bypass_m), + .io_dec_lsu_valid_raw_d(lsu_lsc_ctl_io_dec_lsu_valid_raw_d), + .io_dec_lsu_offset_d(lsu_lsc_ctl_io_dec_lsu_offset_d), + .io_picm_mask_data_m(lsu_lsc_ctl_io_picm_mask_data_m), + .io_bus_read_data_m(lsu_lsc_ctl_io_bus_read_data_m), + .io_lsu_result_m(lsu_lsc_ctl_io_lsu_result_m), + .io_lsu_result_corr_r(lsu_lsc_ctl_io_lsu_result_corr_r), + .io_lsu_addr_d(lsu_lsc_ctl_io_lsu_addr_d), + .io_lsu_addr_m(lsu_lsc_ctl_io_lsu_addr_m), + .io_lsu_addr_r(lsu_lsc_ctl_io_lsu_addr_r), + .io_end_addr_d(lsu_lsc_ctl_io_end_addr_d), + .io_end_addr_m(lsu_lsc_ctl_io_end_addr_m), + .io_end_addr_r(lsu_lsc_ctl_io_end_addr_r), + .io_store_data_m(lsu_lsc_ctl_io_store_data_m), + .io_dec_tlu_mrac_ff(lsu_lsc_ctl_io_dec_tlu_mrac_ff), + .io_lsu_exc_m(lsu_lsc_ctl_io_lsu_exc_m), + .io_is_sideeffects_m(lsu_lsc_ctl_io_is_sideeffects_m), + .io_lsu_commit_r(lsu_lsc_ctl_io_lsu_commit_r), + .io_lsu_single_ecc_error_incr(lsu_lsc_ctl_io_lsu_single_ecc_error_incr), + .io_lsu_error_pkt_r_valid(lsu_lsc_ctl_io_lsu_error_pkt_r_valid), + .io_lsu_error_pkt_r_bits_single_ecc_error(lsu_lsc_ctl_io_lsu_error_pkt_r_bits_single_ecc_error), + .io_lsu_error_pkt_r_bits_inst_type(lsu_lsc_ctl_io_lsu_error_pkt_r_bits_inst_type), + .io_lsu_error_pkt_r_bits_exc_type(lsu_lsc_ctl_io_lsu_error_pkt_r_bits_exc_type), + .io_lsu_error_pkt_r_bits_mscause(lsu_lsc_ctl_io_lsu_error_pkt_r_bits_mscause), + .io_lsu_error_pkt_r_bits_addr(lsu_lsc_ctl_io_lsu_error_pkt_r_bits_addr), + .io_lsu_fir_addr(lsu_lsc_ctl_io_lsu_fir_addr), + .io_lsu_fir_error(lsu_lsc_ctl_io_lsu_fir_error), + .io_addr_in_dccm_d(lsu_lsc_ctl_io_addr_in_dccm_d), + .io_addr_in_dccm_m(lsu_lsc_ctl_io_addr_in_dccm_m), + .io_addr_in_dccm_r(lsu_lsc_ctl_io_addr_in_dccm_r), + .io_addr_in_pic_d(lsu_lsc_ctl_io_addr_in_pic_d), + .io_addr_in_pic_m(lsu_lsc_ctl_io_addr_in_pic_m), + .io_addr_in_pic_r(lsu_lsc_ctl_io_addr_in_pic_r), + .io_addr_external_m(lsu_lsc_ctl_io_addr_external_m), + .io_dma_lsc_ctl_dma_dccm_req(lsu_lsc_ctl_io_dma_lsc_ctl_dma_dccm_req), + .io_dma_lsc_ctl_dma_mem_addr(lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_addr), + .io_dma_lsc_ctl_dma_mem_sz(lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_sz), + .io_dma_lsc_ctl_dma_mem_write(lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_write), + .io_dma_lsc_ctl_dma_mem_wdata(lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_wdata), + .io_lsu_pkt_d_valid(lsu_lsc_ctl_io_lsu_pkt_d_valid), + .io_lsu_pkt_d_bits_fast_int(lsu_lsc_ctl_io_lsu_pkt_d_bits_fast_int), + .io_lsu_pkt_d_bits_by(lsu_lsc_ctl_io_lsu_pkt_d_bits_by), + .io_lsu_pkt_d_bits_half(lsu_lsc_ctl_io_lsu_pkt_d_bits_half), + .io_lsu_pkt_d_bits_word(lsu_lsc_ctl_io_lsu_pkt_d_bits_word), + .io_lsu_pkt_d_bits_dword(lsu_lsc_ctl_io_lsu_pkt_d_bits_dword), + .io_lsu_pkt_d_bits_load(lsu_lsc_ctl_io_lsu_pkt_d_bits_load), + .io_lsu_pkt_d_bits_store(lsu_lsc_ctl_io_lsu_pkt_d_bits_store), + .io_lsu_pkt_d_bits_unsign(lsu_lsc_ctl_io_lsu_pkt_d_bits_unsign), + .io_lsu_pkt_d_bits_dma(lsu_lsc_ctl_io_lsu_pkt_d_bits_dma), + .io_lsu_pkt_d_bits_store_data_bypass_d(lsu_lsc_ctl_io_lsu_pkt_d_bits_store_data_bypass_d), + .io_lsu_pkt_d_bits_load_ldst_bypass_d(lsu_lsc_ctl_io_lsu_pkt_d_bits_load_ldst_bypass_d), + .io_lsu_pkt_d_bits_store_data_bypass_m(lsu_lsc_ctl_io_lsu_pkt_d_bits_store_data_bypass_m), + .io_lsu_pkt_m_valid(lsu_lsc_ctl_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_fast_int(lsu_lsc_ctl_io_lsu_pkt_m_bits_fast_int), + .io_lsu_pkt_m_bits_by(lsu_lsc_ctl_io_lsu_pkt_m_bits_by), + .io_lsu_pkt_m_bits_half(lsu_lsc_ctl_io_lsu_pkt_m_bits_half), + .io_lsu_pkt_m_bits_word(lsu_lsc_ctl_io_lsu_pkt_m_bits_word), + .io_lsu_pkt_m_bits_dword(lsu_lsc_ctl_io_lsu_pkt_m_bits_dword), + .io_lsu_pkt_m_bits_load(lsu_lsc_ctl_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_m_bits_store(lsu_lsc_ctl_io_lsu_pkt_m_bits_store), + .io_lsu_pkt_m_bits_unsign(lsu_lsc_ctl_io_lsu_pkt_m_bits_unsign), + .io_lsu_pkt_m_bits_dma(lsu_lsc_ctl_io_lsu_pkt_m_bits_dma), + .io_lsu_pkt_m_bits_store_data_bypass_m(lsu_lsc_ctl_io_lsu_pkt_m_bits_store_data_bypass_m), + .io_lsu_pkt_r_valid(lsu_lsc_ctl_io_lsu_pkt_r_valid), + .io_lsu_pkt_r_bits_by(lsu_lsc_ctl_io_lsu_pkt_r_bits_by), + .io_lsu_pkt_r_bits_half(lsu_lsc_ctl_io_lsu_pkt_r_bits_half), + .io_lsu_pkt_r_bits_word(lsu_lsc_ctl_io_lsu_pkt_r_bits_word), + .io_lsu_pkt_r_bits_dword(lsu_lsc_ctl_io_lsu_pkt_r_bits_dword), + .io_lsu_pkt_r_bits_load(lsu_lsc_ctl_io_lsu_pkt_r_bits_load), + .io_lsu_pkt_r_bits_store(lsu_lsc_ctl_io_lsu_pkt_r_bits_store), + .io_lsu_pkt_r_bits_unsign(lsu_lsc_ctl_io_lsu_pkt_r_bits_unsign), + .io_lsu_pkt_r_bits_dma(lsu_lsc_ctl_io_lsu_pkt_r_bits_dma) + ); + lsu_dccm_ctl dccm_ctl ( // @[lsu.scala 63:30] + .clock(dccm_ctl_clock), + .reset(dccm_ctl_reset), + .io_lsu_c2_m_clk(dccm_ctl_io_lsu_c2_m_clk), + .io_lsu_c2_r_clk(dccm_ctl_io_lsu_c2_r_clk), + .io_lsu_free_c2_clk(dccm_ctl_io_lsu_free_c2_clk), + .io_lsu_store_c1_r_clk(dccm_ctl_io_lsu_store_c1_r_clk), + .io_lsu_pkt_d_valid(dccm_ctl_io_lsu_pkt_d_valid), + .io_lsu_pkt_d_bits_word(dccm_ctl_io_lsu_pkt_d_bits_word), + .io_lsu_pkt_d_bits_dword(dccm_ctl_io_lsu_pkt_d_bits_dword), + .io_lsu_pkt_d_bits_load(dccm_ctl_io_lsu_pkt_d_bits_load), + .io_lsu_pkt_d_bits_store(dccm_ctl_io_lsu_pkt_d_bits_store), + .io_lsu_pkt_d_bits_dma(dccm_ctl_io_lsu_pkt_d_bits_dma), + .io_lsu_pkt_m_valid(dccm_ctl_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_by(dccm_ctl_io_lsu_pkt_m_bits_by), + .io_lsu_pkt_m_bits_half(dccm_ctl_io_lsu_pkt_m_bits_half), + .io_lsu_pkt_m_bits_word(dccm_ctl_io_lsu_pkt_m_bits_word), + .io_lsu_pkt_m_bits_load(dccm_ctl_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_m_bits_store(dccm_ctl_io_lsu_pkt_m_bits_store), + .io_lsu_pkt_m_bits_dma(dccm_ctl_io_lsu_pkt_m_bits_dma), + .io_lsu_pkt_r_valid(dccm_ctl_io_lsu_pkt_r_valid), + .io_lsu_pkt_r_bits_by(dccm_ctl_io_lsu_pkt_r_bits_by), + .io_lsu_pkt_r_bits_half(dccm_ctl_io_lsu_pkt_r_bits_half), + .io_lsu_pkt_r_bits_word(dccm_ctl_io_lsu_pkt_r_bits_word), + .io_lsu_pkt_r_bits_load(dccm_ctl_io_lsu_pkt_r_bits_load), + .io_lsu_pkt_r_bits_store(dccm_ctl_io_lsu_pkt_r_bits_store), + .io_lsu_pkt_r_bits_dma(dccm_ctl_io_lsu_pkt_r_bits_dma), + .io_addr_in_dccm_d(dccm_ctl_io_addr_in_dccm_d), + .io_addr_in_dccm_m(dccm_ctl_io_addr_in_dccm_m), + .io_addr_in_dccm_r(dccm_ctl_io_addr_in_dccm_r), + .io_addr_in_pic_d(dccm_ctl_io_addr_in_pic_d), + .io_addr_in_pic_m(dccm_ctl_io_addr_in_pic_m), + .io_addr_in_pic_r(dccm_ctl_io_addr_in_pic_r), + .io_lsu_raw_fwd_lo_r(dccm_ctl_io_lsu_raw_fwd_lo_r), + .io_lsu_raw_fwd_hi_r(dccm_ctl_io_lsu_raw_fwd_hi_r), + .io_lsu_commit_r(dccm_ctl_io_lsu_commit_r), + .io_lsu_addr_d(dccm_ctl_io_lsu_addr_d), + .io_lsu_addr_m(dccm_ctl_io_lsu_addr_m), + .io_lsu_addr_r(dccm_ctl_io_lsu_addr_r), + .io_end_addr_d(dccm_ctl_io_end_addr_d), + .io_end_addr_m(dccm_ctl_io_end_addr_m), + .io_end_addr_r(dccm_ctl_io_end_addr_r), + .io_stbuf_reqvld_any(dccm_ctl_io_stbuf_reqvld_any), + .io_stbuf_addr_any(dccm_ctl_io_stbuf_addr_any), + .io_stbuf_data_any(dccm_ctl_io_stbuf_data_any), + .io_stbuf_ecc_any(dccm_ctl_io_stbuf_ecc_any), + .io_stbuf_fwddata_hi_m(dccm_ctl_io_stbuf_fwddata_hi_m), + .io_stbuf_fwddata_lo_m(dccm_ctl_io_stbuf_fwddata_lo_m), + .io_stbuf_fwdbyteen_lo_m(dccm_ctl_io_stbuf_fwdbyteen_lo_m), + .io_stbuf_fwdbyteen_hi_m(dccm_ctl_io_stbuf_fwdbyteen_hi_m), + .io_lsu_ld_data_corr_r(dccm_ctl_io_lsu_ld_data_corr_r), + .io_lsu_double_ecc_error_r(dccm_ctl_io_lsu_double_ecc_error_r), + .io_single_ecc_error_hi_r(dccm_ctl_io_single_ecc_error_hi_r), + .io_single_ecc_error_lo_r(dccm_ctl_io_single_ecc_error_lo_r), + .io_sec_data_hi_r_ff(dccm_ctl_io_sec_data_hi_r_ff), + .io_sec_data_lo_r_ff(dccm_ctl_io_sec_data_lo_r_ff), + .io_sec_data_ecc_hi_r_ff(dccm_ctl_io_sec_data_ecc_hi_r_ff), + .io_sec_data_ecc_lo_r_ff(dccm_ctl_io_sec_data_ecc_lo_r_ff), + .io_dccm_rdata_hi_m(dccm_ctl_io_dccm_rdata_hi_m), + .io_dccm_rdata_lo_m(dccm_ctl_io_dccm_rdata_lo_m), + .io_dccm_data_ecc_hi_m(dccm_ctl_io_dccm_data_ecc_hi_m), + .io_dccm_data_ecc_lo_m(dccm_ctl_io_dccm_data_ecc_lo_m), + .io_lsu_ld_data_m(dccm_ctl_io_lsu_ld_data_m), + .io_lsu_double_ecc_error_m(dccm_ctl_io_lsu_double_ecc_error_m), + .io_sec_data_hi_m(dccm_ctl_io_sec_data_hi_m), + .io_sec_data_lo_m(dccm_ctl_io_sec_data_lo_m), + .io_store_data_m(dccm_ctl_io_store_data_m), + .io_dma_dccm_wen(dccm_ctl_io_dma_dccm_wen), + .io_dma_pic_wen(dccm_ctl_io_dma_pic_wen), + .io_dma_mem_tag_m(dccm_ctl_io_dma_mem_tag_m), + .io_dma_dccm_wdata_lo(dccm_ctl_io_dma_dccm_wdata_lo), + .io_dma_dccm_wdata_hi(dccm_ctl_io_dma_dccm_wdata_hi), + .io_dma_dccm_wdata_ecc_hi(dccm_ctl_io_dma_dccm_wdata_ecc_hi), + .io_dma_dccm_wdata_ecc_lo(dccm_ctl_io_dma_dccm_wdata_ecc_lo), + .io_store_data_hi_r(dccm_ctl_io_store_data_hi_r), + .io_store_data_lo_r(dccm_ctl_io_store_data_lo_r), + .io_store_datafn_hi_r(dccm_ctl_io_store_datafn_hi_r), + .io_store_datafn_lo_r(dccm_ctl_io_store_datafn_lo_r), + .io_store_data_r(dccm_ctl_io_store_data_r), + .io_ld_single_ecc_error_r(dccm_ctl_io_ld_single_ecc_error_r), + .io_ld_single_ecc_error_r_ff(dccm_ctl_io_ld_single_ecc_error_r_ff), + .io_picm_mask_data_m(dccm_ctl_io_picm_mask_data_m), + .io_lsu_stbuf_commit_any(dccm_ctl_io_lsu_stbuf_commit_any), + .io_lsu_dccm_rden_m(dccm_ctl_io_lsu_dccm_rden_m), + .io_dma_dccm_ctl_dma_mem_addr(dccm_ctl_io_dma_dccm_ctl_dma_mem_addr), + .io_dma_dccm_ctl_dma_mem_wdata(dccm_ctl_io_dma_dccm_ctl_dma_mem_wdata), + .io_dma_dccm_ctl_dccm_dma_rvalid(dccm_ctl_io_dma_dccm_ctl_dccm_dma_rvalid), + .io_dma_dccm_ctl_dccm_dma_ecc_error(dccm_ctl_io_dma_dccm_ctl_dccm_dma_ecc_error), + .io_dma_dccm_ctl_dccm_dma_rtag(dccm_ctl_io_dma_dccm_ctl_dccm_dma_rtag), + .io_dma_dccm_ctl_dccm_dma_rdata(dccm_ctl_io_dma_dccm_ctl_dccm_dma_rdata), + .io_dccm_wren(dccm_ctl_io_dccm_wren), + .io_dccm_rden(dccm_ctl_io_dccm_rden), + .io_dccm_wr_addr_lo(dccm_ctl_io_dccm_wr_addr_lo), + .io_dccm_wr_addr_hi(dccm_ctl_io_dccm_wr_addr_hi), + .io_dccm_rd_addr_lo(dccm_ctl_io_dccm_rd_addr_lo), + .io_dccm_rd_addr_hi(dccm_ctl_io_dccm_rd_addr_hi), + .io_dccm_wr_data_lo(dccm_ctl_io_dccm_wr_data_lo), + .io_dccm_wr_data_hi(dccm_ctl_io_dccm_wr_data_hi), + .io_dccm_rd_data_lo(dccm_ctl_io_dccm_rd_data_lo), + .io_dccm_rd_data_hi(dccm_ctl_io_dccm_rd_data_hi), + .io_lsu_pic_picm_wren(dccm_ctl_io_lsu_pic_picm_wren), + .io_lsu_pic_picm_rden(dccm_ctl_io_lsu_pic_picm_rden), + .io_lsu_pic_picm_mken(dccm_ctl_io_lsu_pic_picm_mken), + .io_lsu_pic_picm_rdaddr(dccm_ctl_io_lsu_pic_picm_rdaddr), + .io_lsu_pic_picm_wraddr(dccm_ctl_io_lsu_pic_picm_wraddr), + .io_lsu_pic_picm_wr_data(dccm_ctl_io_lsu_pic_picm_wr_data), + .io_lsu_pic_picm_rd_data(dccm_ctl_io_lsu_pic_picm_rd_data), + .io_scan_mode(dccm_ctl_io_scan_mode) + ); + lsu_stbuf stbuf ( // @[lsu.scala 64:30] + .clock(stbuf_clock), + .reset(stbuf_reset), + .io_lsu_c1_m_clk(stbuf_io_lsu_c1_m_clk), + .io_lsu_c1_r_clk(stbuf_io_lsu_c1_r_clk), + .io_lsu_stbuf_c1_clk(stbuf_io_lsu_stbuf_c1_clk), + .io_lsu_free_c2_clk(stbuf_io_lsu_free_c2_clk), + .io_lsu_pkt_m_valid(stbuf_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_store(stbuf_io_lsu_pkt_m_bits_store), + .io_lsu_pkt_m_bits_dma(stbuf_io_lsu_pkt_m_bits_dma), + .io_lsu_pkt_r_valid(stbuf_io_lsu_pkt_r_valid), + .io_lsu_pkt_r_bits_by(stbuf_io_lsu_pkt_r_bits_by), + .io_lsu_pkt_r_bits_half(stbuf_io_lsu_pkt_r_bits_half), + .io_lsu_pkt_r_bits_word(stbuf_io_lsu_pkt_r_bits_word), + .io_lsu_pkt_r_bits_dword(stbuf_io_lsu_pkt_r_bits_dword), + .io_lsu_pkt_r_bits_store(stbuf_io_lsu_pkt_r_bits_store), + .io_lsu_pkt_r_bits_dma(stbuf_io_lsu_pkt_r_bits_dma), + .io_store_stbuf_reqvld_r(stbuf_io_store_stbuf_reqvld_r), + .io_lsu_commit_r(stbuf_io_lsu_commit_r), + .io_dec_lsu_valid_raw_d(stbuf_io_dec_lsu_valid_raw_d), + .io_store_data_hi_r(stbuf_io_store_data_hi_r), + .io_store_data_lo_r(stbuf_io_store_data_lo_r), + .io_store_datafn_hi_r(stbuf_io_store_datafn_hi_r), + .io_store_datafn_lo_r(stbuf_io_store_datafn_lo_r), + .io_lsu_stbuf_commit_any(stbuf_io_lsu_stbuf_commit_any), + .io_lsu_addr_d(stbuf_io_lsu_addr_d), + .io_lsu_addr_m(stbuf_io_lsu_addr_m), + .io_lsu_addr_r(stbuf_io_lsu_addr_r), + .io_end_addr_d(stbuf_io_end_addr_d), + .io_end_addr_m(stbuf_io_end_addr_m), + .io_end_addr_r(stbuf_io_end_addr_r), + .io_addr_in_dccm_m(stbuf_io_addr_in_dccm_m), + .io_addr_in_dccm_r(stbuf_io_addr_in_dccm_r), + .io_scan_mode(stbuf_io_scan_mode), + .io_stbuf_reqvld_any(stbuf_io_stbuf_reqvld_any), + .io_stbuf_reqvld_flushed_any(stbuf_io_stbuf_reqvld_flushed_any), + .io_stbuf_addr_any(stbuf_io_stbuf_addr_any), + .io_stbuf_data_any(stbuf_io_stbuf_data_any), + .io_lsu_stbuf_full_any(stbuf_io_lsu_stbuf_full_any), + .io_lsu_stbuf_empty_any(stbuf_io_lsu_stbuf_empty_any), + .io_ldst_stbuf_reqvld_r(stbuf_io_ldst_stbuf_reqvld_r), + .io_stbuf_fwddata_hi_m(stbuf_io_stbuf_fwddata_hi_m), + .io_stbuf_fwddata_lo_m(stbuf_io_stbuf_fwddata_lo_m), + .io_stbuf_fwdbyteen_hi_m(stbuf_io_stbuf_fwdbyteen_hi_m), + .io_stbuf_fwdbyteen_lo_m(stbuf_io_stbuf_fwdbyteen_lo_m) + ); + lsu_ecc ecc ( // @[lsu.scala 65:30] + .clock(ecc_clock), + .reset(ecc_reset), + .io_lsu_c2_r_clk(ecc_io_lsu_c2_r_clk), + .io_lsu_pkt_m_valid(ecc_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_load(ecc_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_m_bits_store(ecc_io_lsu_pkt_m_bits_store), + .io_lsu_pkt_m_bits_dma(ecc_io_lsu_pkt_m_bits_dma), + .io_stbuf_data_any(ecc_io_stbuf_data_any), + .io_dec_tlu_core_ecc_disable(ecc_io_dec_tlu_core_ecc_disable), + .io_lsu_addr_m(ecc_io_lsu_addr_m), + .io_end_addr_m(ecc_io_end_addr_m), + .io_dccm_rdata_hi_m(ecc_io_dccm_rdata_hi_m), + .io_dccm_rdata_lo_m(ecc_io_dccm_rdata_lo_m), + .io_dccm_data_ecc_hi_m(ecc_io_dccm_data_ecc_hi_m), + .io_dccm_data_ecc_lo_m(ecc_io_dccm_data_ecc_lo_m), + .io_ld_single_ecc_error_r(ecc_io_ld_single_ecc_error_r), + .io_ld_single_ecc_error_r_ff(ecc_io_ld_single_ecc_error_r_ff), + .io_lsu_dccm_rden_m(ecc_io_lsu_dccm_rden_m), + .io_addr_in_dccm_m(ecc_io_addr_in_dccm_m), + .io_dma_dccm_wen(ecc_io_dma_dccm_wen), + .io_dma_dccm_wdata_lo(ecc_io_dma_dccm_wdata_lo), + .io_dma_dccm_wdata_hi(ecc_io_dma_dccm_wdata_hi), + .io_scan_mode(ecc_io_scan_mode), + .io_sec_data_hi_r(ecc_io_sec_data_hi_r), + .io_sec_data_lo_r(ecc_io_sec_data_lo_r), + .io_sec_data_hi_m(ecc_io_sec_data_hi_m), + .io_sec_data_lo_m(ecc_io_sec_data_lo_m), + .io_sec_data_hi_r_ff(ecc_io_sec_data_hi_r_ff), + .io_sec_data_lo_r_ff(ecc_io_sec_data_lo_r_ff), + .io_dma_dccm_wdata_ecc_hi(ecc_io_dma_dccm_wdata_ecc_hi), + .io_dma_dccm_wdata_ecc_lo(ecc_io_dma_dccm_wdata_ecc_lo), + .io_stbuf_ecc_any(ecc_io_stbuf_ecc_any), + .io_sec_data_ecc_hi_r_ff(ecc_io_sec_data_ecc_hi_r_ff), + .io_sec_data_ecc_lo_r_ff(ecc_io_sec_data_ecc_lo_r_ff), + .io_single_ecc_error_hi_r(ecc_io_single_ecc_error_hi_r), + .io_single_ecc_error_lo_r(ecc_io_single_ecc_error_lo_r), + .io_lsu_single_ecc_error_r(ecc_io_lsu_single_ecc_error_r), + .io_lsu_double_ecc_error_r(ecc_io_lsu_double_ecc_error_r), + .io_lsu_single_ecc_error_m(ecc_io_lsu_single_ecc_error_m), + .io_lsu_double_ecc_error_m(ecc_io_lsu_double_ecc_error_m) + ); + lsu_trigger trigger ( // @[lsu.scala 66:30] + .io_trigger_pkt_any_0_select(trigger_io_trigger_pkt_any_0_select), + .io_trigger_pkt_any_0_match_pkt(trigger_io_trigger_pkt_any_0_match_pkt), + .io_trigger_pkt_any_0_store(trigger_io_trigger_pkt_any_0_store), + .io_trigger_pkt_any_0_load(trigger_io_trigger_pkt_any_0_load), + .io_trigger_pkt_any_0_tdata2(trigger_io_trigger_pkt_any_0_tdata2), + .io_trigger_pkt_any_1_select(trigger_io_trigger_pkt_any_1_select), + .io_trigger_pkt_any_1_match_pkt(trigger_io_trigger_pkt_any_1_match_pkt), + .io_trigger_pkt_any_1_store(trigger_io_trigger_pkt_any_1_store), + .io_trigger_pkt_any_1_load(trigger_io_trigger_pkt_any_1_load), + .io_trigger_pkt_any_1_tdata2(trigger_io_trigger_pkt_any_1_tdata2), + .io_trigger_pkt_any_2_select(trigger_io_trigger_pkt_any_2_select), + .io_trigger_pkt_any_2_match_pkt(trigger_io_trigger_pkt_any_2_match_pkt), + .io_trigger_pkt_any_2_store(trigger_io_trigger_pkt_any_2_store), + .io_trigger_pkt_any_2_load(trigger_io_trigger_pkt_any_2_load), + .io_trigger_pkt_any_2_tdata2(trigger_io_trigger_pkt_any_2_tdata2), + .io_trigger_pkt_any_3_select(trigger_io_trigger_pkt_any_3_select), + .io_trigger_pkt_any_3_match_pkt(trigger_io_trigger_pkt_any_3_match_pkt), + .io_trigger_pkt_any_3_store(trigger_io_trigger_pkt_any_3_store), + .io_trigger_pkt_any_3_load(trigger_io_trigger_pkt_any_3_load), + .io_trigger_pkt_any_3_tdata2(trigger_io_trigger_pkt_any_3_tdata2), + .io_lsu_pkt_m_valid(trigger_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_half(trigger_io_lsu_pkt_m_bits_half), + .io_lsu_pkt_m_bits_word(trigger_io_lsu_pkt_m_bits_word), + .io_lsu_pkt_m_bits_load(trigger_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_m_bits_store(trigger_io_lsu_pkt_m_bits_store), + .io_lsu_pkt_m_bits_dma(trigger_io_lsu_pkt_m_bits_dma), + .io_lsu_addr_m(trigger_io_lsu_addr_m), + .io_store_data_m(trigger_io_store_data_m), + .io_lsu_trigger_match_m(trigger_io_lsu_trigger_match_m) + ); + lsu_clkdomain clkdomain ( // @[lsu.scala 67:30] + .clock(clkdomain_clock), + .reset(clkdomain_reset), + .io_free_clk(clkdomain_io_free_clk), + .io_clk_override(clkdomain_io_clk_override), + .io_dma_dccm_req(clkdomain_io_dma_dccm_req), + .io_ldst_stbuf_reqvld_r(clkdomain_io_ldst_stbuf_reqvld_r), + .io_stbuf_reqvld_any(clkdomain_io_stbuf_reqvld_any), + .io_stbuf_reqvld_flushed_any(clkdomain_io_stbuf_reqvld_flushed_any), + .io_lsu_busreq_r(clkdomain_io_lsu_busreq_r), + .io_lsu_bus_buffer_pend_any(clkdomain_io_lsu_bus_buffer_pend_any), + .io_lsu_bus_buffer_empty_any(clkdomain_io_lsu_bus_buffer_empty_any), + .io_lsu_stbuf_empty_any(clkdomain_io_lsu_stbuf_empty_any), + .io_lsu_bus_clk_en(clkdomain_io_lsu_bus_clk_en), + .io_lsu_p_valid(clkdomain_io_lsu_p_valid), + .io_lsu_pkt_d_valid(clkdomain_io_lsu_pkt_d_valid), + .io_lsu_pkt_d_bits_store(clkdomain_io_lsu_pkt_d_bits_store), + .io_lsu_pkt_m_valid(clkdomain_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_store(clkdomain_io_lsu_pkt_m_bits_store), + .io_lsu_pkt_r_valid(clkdomain_io_lsu_pkt_r_valid), + .io_lsu_c1_m_clk(clkdomain_io_lsu_c1_m_clk), + .io_lsu_c1_r_clk(clkdomain_io_lsu_c1_r_clk), + .io_lsu_c2_m_clk(clkdomain_io_lsu_c2_m_clk), + .io_lsu_c2_r_clk(clkdomain_io_lsu_c2_r_clk), + .io_lsu_store_c1_m_clk(clkdomain_io_lsu_store_c1_m_clk), + .io_lsu_store_c1_r_clk(clkdomain_io_lsu_store_c1_r_clk), + .io_lsu_stbuf_c1_clk(clkdomain_io_lsu_stbuf_c1_clk), + .io_lsu_bus_obuf_c1_clk(clkdomain_io_lsu_bus_obuf_c1_clk), + .io_lsu_bus_ibuf_c1_clk(clkdomain_io_lsu_bus_ibuf_c1_clk), + .io_lsu_bus_buf_c1_clk(clkdomain_io_lsu_bus_buf_c1_clk), + .io_lsu_busm_clk(clkdomain_io_lsu_busm_clk), + .io_lsu_free_c2_clk(clkdomain_io_lsu_free_c2_clk), + .io_scan_mode(clkdomain_io_scan_mode) + ); + lsu_bus_intf bus_intf ( // @[lsu.scala 68:30] + .clock(bus_intf_clock), + .reset(bus_intf_reset), + .io_scan_mode(bus_intf_io_scan_mode), + .io_tlu_busbuff_lsu_pmu_bus_trxn(bus_intf_io_tlu_busbuff_lsu_pmu_bus_trxn), + .io_tlu_busbuff_lsu_pmu_bus_misaligned(bus_intf_io_tlu_busbuff_lsu_pmu_bus_misaligned), + .io_tlu_busbuff_lsu_pmu_bus_error(bus_intf_io_tlu_busbuff_lsu_pmu_bus_error), + .io_tlu_busbuff_lsu_pmu_bus_busy(bus_intf_io_tlu_busbuff_lsu_pmu_bus_busy), + .io_tlu_busbuff_dec_tlu_external_ldfwd_disable(bus_intf_io_tlu_busbuff_dec_tlu_external_ldfwd_disable), + .io_tlu_busbuff_dec_tlu_wb_coalescing_disable(bus_intf_io_tlu_busbuff_dec_tlu_wb_coalescing_disable), + .io_tlu_busbuff_dec_tlu_sideeffect_posted_disable(bus_intf_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable), + .io_tlu_busbuff_lsu_imprecise_error_load_any(bus_intf_io_tlu_busbuff_lsu_imprecise_error_load_any), + .io_tlu_busbuff_lsu_imprecise_error_store_any(bus_intf_io_tlu_busbuff_lsu_imprecise_error_store_any), + .io_tlu_busbuff_lsu_imprecise_error_addr_any(bus_intf_io_tlu_busbuff_lsu_imprecise_error_addr_any), + .io_lsu_c1_m_clk(bus_intf_io_lsu_c1_m_clk), + .io_lsu_c1_r_clk(bus_intf_io_lsu_c1_r_clk), + .io_lsu_c2_r_clk(bus_intf_io_lsu_c2_r_clk), + .io_lsu_bus_ibuf_c1_clk(bus_intf_io_lsu_bus_ibuf_c1_clk), + .io_lsu_bus_obuf_c1_clk(bus_intf_io_lsu_bus_obuf_c1_clk), + .io_lsu_bus_buf_c1_clk(bus_intf_io_lsu_bus_buf_c1_clk), + .io_lsu_free_c2_clk(bus_intf_io_lsu_free_c2_clk), + .io_free_clk(bus_intf_io_free_clk), + .io_lsu_busm_clk(bus_intf_io_lsu_busm_clk), + .io_axi_aw_ready(bus_intf_io_axi_aw_ready), + .io_axi_aw_valid(bus_intf_io_axi_aw_valid), + .io_axi_aw_bits_id(bus_intf_io_axi_aw_bits_id), + .io_axi_aw_bits_addr(bus_intf_io_axi_aw_bits_addr), + .io_axi_aw_bits_region(bus_intf_io_axi_aw_bits_region), + .io_axi_aw_bits_size(bus_intf_io_axi_aw_bits_size), + .io_axi_aw_bits_cache(bus_intf_io_axi_aw_bits_cache), + .io_axi_w_ready(bus_intf_io_axi_w_ready), + .io_axi_w_valid(bus_intf_io_axi_w_valid), + .io_axi_w_bits_data(bus_intf_io_axi_w_bits_data), + .io_axi_w_bits_strb(bus_intf_io_axi_w_bits_strb), + .io_axi_b_valid(bus_intf_io_axi_b_valid), + .io_axi_b_bits_resp(bus_intf_io_axi_b_bits_resp), + .io_axi_b_bits_id(bus_intf_io_axi_b_bits_id), + .io_axi_ar_ready(bus_intf_io_axi_ar_ready), + .io_axi_ar_valid(bus_intf_io_axi_ar_valid), + .io_axi_ar_bits_id(bus_intf_io_axi_ar_bits_id), + .io_axi_ar_bits_addr(bus_intf_io_axi_ar_bits_addr), + .io_axi_ar_bits_region(bus_intf_io_axi_ar_bits_region), + .io_axi_ar_bits_size(bus_intf_io_axi_ar_bits_size), + .io_axi_ar_bits_cache(bus_intf_io_axi_ar_bits_cache), + .io_axi_r_valid(bus_intf_io_axi_r_valid), + .io_axi_r_bits_id(bus_intf_io_axi_r_bits_id), + .io_axi_r_bits_data(bus_intf_io_axi_r_bits_data), + .io_axi_r_bits_resp(bus_intf_io_axi_r_bits_resp), + .io_dec_lsu_valid_raw_d(bus_intf_io_dec_lsu_valid_raw_d), + .io_lsu_busreq_m(bus_intf_io_lsu_busreq_m), + .io_lsu_pkt_m_valid(bus_intf_io_lsu_pkt_m_valid), + .io_lsu_pkt_m_bits_by(bus_intf_io_lsu_pkt_m_bits_by), + .io_lsu_pkt_m_bits_half(bus_intf_io_lsu_pkt_m_bits_half), + .io_lsu_pkt_m_bits_word(bus_intf_io_lsu_pkt_m_bits_word), + .io_lsu_pkt_m_bits_load(bus_intf_io_lsu_pkt_m_bits_load), + .io_lsu_pkt_r_valid(bus_intf_io_lsu_pkt_r_valid), + .io_lsu_pkt_r_bits_by(bus_intf_io_lsu_pkt_r_bits_by), + .io_lsu_pkt_r_bits_half(bus_intf_io_lsu_pkt_r_bits_half), + .io_lsu_pkt_r_bits_word(bus_intf_io_lsu_pkt_r_bits_word), + .io_lsu_pkt_r_bits_load(bus_intf_io_lsu_pkt_r_bits_load), + .io_lsu_pkt_r_bits_store(bus_intf_io_lsu_pkt_r_bits_store), + .io_lsu_pkt_r_bits_unsign(bus_intf_io_lsu_pkt_r_bits_unsign), + .io_lsu_addr_d(bus_intf_io_lsu_addr_d), + .io_lsu_addr_m(bus_intf_io_lsu_addr_m), + .io_lsu_addr_r(bus_intf_io_lsu_addr_r), + .io_end_addr_d(bus_intf_io_end_addr_d), + .io_end_addr_m(bus_intf_io_end_addr_m), + .io_end_addr_r(bus_intf_io_end_addr_r), + .io_store_data_r(bus_intf_io_store_data_r), + .io_dec_tlu_force_halt(bus_intf_io_dec_tlu_force_halt), + .io_lsu_commit_r(bus_intf_io_lsu_commit_r), + .io_is_sideeffects_m(bus_intf_io_is_sideeffects_m), + .io_flush_m_up(bus_intf_io_flush_m_up), + .io_flush_r(bus_intf_io_flush_r), + .io_lsu_busreq_r(bus_intf_io_lsu_busreq_r), + .io_lsu_bus_buffer_pend_any(bus_intf_io_lsu_bus_buffer_pend_any), + .io_lsu_bus_buffer_full_any(bus_intf_io_lsu_bus_buffer_full_any), + .io_lsu_bus_buffer_empty_any(bus_intf_io_lsu_bus_buffer_empty_any), + .io_bus_read_data_m(bus_intf_io_bus_read_data_m), + .io_dctl_busbuff_lsu_nonblock_load_valid_m(bus_intf_io_dctl_busbuff_lsu_nonblock_load_valid_m), + .io_dctl_busbuff_lsu_nonblock_load_tag_m(bus_intf_io_dctl_busbuff_lsu_nonblock_load_tag_m), + .io_dctl_busbuff_lsu_nonblock_load_inv_r(bus_intf_io_dctl_busbuff_lsu_nonblock_load_inv_r), + .io_dctl_busbuff_lsu_nonblock_load_inv_tag_r(bus_intf_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r), + .io_dctl_busbuff_lsu_nonblock_load_data_valid(bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_valid), + .io_dctl_busbuff_lsu_nonblock_load_data_error(bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_error), + .io_dctl_busbuff_lsu_nonblock_load_data_tag(bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_tag), + .io_dctl_busbuff_lsu_nonblock_load_data(bus_intf_io_dctl_busbuff_lsu_nonblock_load_data), + .io_lsu_bus_clk_en(bus_intf_io_lsu_bus_clk_en) + ); + assign io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid = dccm_ctl_io_dma_dccm_ctl_dccm_dma_rvalid; // @[lsu.scala 194:27] + assign io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error = dccm_ctl_io_dma_dccm_ctl_dccm_dma_ecc_error; // @[lsu.scala 194:27] + assign io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag = dccm_ctl_io_dma_dccm_ctl_dccm_dma_rtag; // @[lsu.scala 194:27] + assign io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata = dccm_ctl_io_dma_dccm_ctl_dccm_dma_rdata; // @[lsu.scala 194:27] + assign io_lsu_dma_dccm_ready = ~_T_8; // @[lsu.scala 82:25] + assign io_lsu_pic_picm_wren = dccm_ctl_io_lsu_pic_picm_wren; // @[lsu.scala 196:14] + assign io_lsu_pic_picm_rden = dccm_ctl_io_lsu_pic_picm_rden; // @[lsu.scala 196:14] + assign io_lsu_pic_picm_mken = dccm_ctl_io_lsu_pic_picm_mken; // @[lsu.scala 196:14] + assign io_lsu_pic_picm_rdaddr = dccm_ctl_io_lsu_pic_picm_rdaddr; // @[lsu.scala 196:14] + assign io_lsu_pic_picm_wraddr = dccm_ctl_io_lsu_pic_picm_wraddr; // @[lsu.scala 196:14] + assign io_lsu_pic_picm_wr_data = dccm_ctl_io_lsu_pic_picm_wr_data; // @[lsu.scala 196:14] + assign io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn = bus_intf_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu.scala 286:26] + assign io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned = bus_intf_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu.scala 286:26] + assign io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error = bus_intf_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu.scala 286:26] + assign io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy = bus_intf_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu.scala 286:26] + assign io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any = bus_intf_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu.scala 286:26] + assign io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any = bus_intf_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu.scala 286:26] + assign io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any = bus_intf_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu.scala 286:26] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m = bus_intf_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu.scala 313:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m = bus_intf_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu.scala 313:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r = bus_intf_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu.scala 313:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r = bus_intf_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu.scala 313:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid = bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu.scala 313:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error = bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu.scala 313:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag = bus_intf_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu.scala 313:27] + assign io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data = bus_intf_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu.scala 313:27] + assign io_dccm_wren = dccm_ctl_io_dccm_wren; // @[lsu.scala 195:11] + assign io_dccm_rden = dccm_ctl_io_dccm_rden; // @[lsu.scala 195:11] + assign io_dccm_wr_addr_lo = dccm_ctl_io_dccm_wr_addr_lo; // @[lsu.scala 195:11] + assign io_dccm_wr_addr_hi = dccm_ctl_io_dccm_wr_addr_hi; // @[lsu.scala 195:11] + assign io_dccm_rd_addr_lo = dccm_ctl_io_dccm_rd_addr_lo; // @[lsu.scala 195:11] + assign io_dccm_rd_addr_hi = dccm_ctl_io_dccm_rd_addr_hi; // @[lsu.scala 195:11] + assign io_dccm_wr_data_lo = dccm_ctl_io_dccm_wr_data_lo; // @[lsu.scala 195:11] + assign io_dccm_wr_data_hi = dccm_ctl_io_dccm_wr_data_hi; // @[lsu.scala 195:11] + assign io_lsu_tlu_lsu_pmu_load_external_m = _T_48 & lsu_lsc_ctl_io_addr_external_m; // @[lsu.scala 105:39] + assign io_lsu_tlu_lsu_pmu_store_external_m = _T_50 & lsu_lsc_ctl_io_addr_external_m; // @[lsu.scala 106:39] + assign io_axi_aw_valid = bus_intf_io_axi_aw_valid; // @[lsu.scala 314:49] + assign io_axi_aw_bits_id = bus_intf_io_axi_aw_bits_id; // @[lsu.scala 314:49] + assign io_axi_aw_bits_addr = bus_intf_io_axi_aw_bits_addr; // @[lsu.scala 314:49] + assign io_axi_aw_bits_region = bus_intf_io_axi_aw_bits_region; // @[lsu.scala 314:49] + assign io_axi_aw_bits_len = 8'h0; // @[lsu.scala 314:49] + assign io_axi_aw_bits_size = bus_intf_io_axi_aw_bits_size; // @[lsu.scala 314:49] + assign io_axi_aw_bits_burst = 2'h1; // @[lsu.scala 314:49] + assign io_axi_aw_bits_lock = 1'h0; // @[lsu.scala 314:49] + assign io_axi_aw_bits_cache = bus_intf_io_axi_aw_bits_cache; // @[lsu.scala 314:49] + assign io_axi_aw_bits_prot = 3'h0; // @[lsu.scala 314:49] + assign io_axi_aw_bits_qos = 4'h0; // @[lsu.scala 314:49] + assign io_axi_w_valid = bus_intf_io_axi_w_valid; // @[lsu.scala 314:49] + assign io_axi_w_bits_data = bus_intf_io_axi_w_bits_data; // @[lsu.scala 314:49] + assign io_axi_w_bits_strb = bus_intf_io_axi_w_bits_strb; // @[lsu.scala 314:49] + assign io_axi_w_bits_last = 1'h1; // @[lsu.scala 314:49] + assign io_axi_b_ready = 1'h1; // @[lsu.scala 314:49] + assign io_axi_ar_valid = bus_intf_io_axi_ar_valid; // @[lsu.scala 314:49] + assign io_axi_ar_bits_id = bus_intf_io_axi_ar_bits_id; // @[lsu.scala 314:49] + assign io_axi_ar_bits_addr = bus_intf_io_axi_ar_bits_addr; // @[lsu.scala 314:49] + assign io_axi_ar_bits_region = bus_intf_io_axi_ar_bits_region; // @[lsu.scala 314:49] + assign io_axi_ar_bits_len = 8'h0; // @[lsu.scala 314:49] + assign io_axi_ar_bits_size = bus_intf_io_axi_ar_bits_size; // @[lsu.scala 314:49] + assign io_axi_ar_bits_burst = 2'h1; // @[lsu.scala 314:49] + assign io_axi_ar_bits_lock = 1'h0; // @[lsu.scala 314:49] + assign io_axi_ar_bits_cache = bus_intf_io_axi_ar_bits_cache; // @[lsu.scala 314:49] + assign io_axi_ar_bits_prot = 3'h0; // @[lsu.scala 314:49] + assign io_axi_ar_bits_qos = 4'h0; // @[lsu.scala 314:49] + assign io_axi_r_ready = 1'h1; // @[lsu.scala 314:49] + assign io_lsu_result_m = lsu_lsc_ctl_io_lsu_result_m; // @[lsu.scala 61:19] + assign io_lsu_result_corr_r = lsu_lsc_ctl_io_lsu_result_corr_r; // @[lsu.scala 62:24] + assign io_lsu_load_stall_any = bus_intf_io_lsu_bus_buffer_full_any | dccm_ctl_io_ld_single_ecc_error_r_ff; // @[lsu.scala 75:25] + assign io_lsu_store_stall_any = _T | dccm_ctl_io_ld_single_ecc_error_r_ff; // @[lsu.scala 74:26] + assign io_lsu_fastint_stall_any = dccm_ctl_io_ld_single_ecc_error_r; // @[lsu.scala 76:28] + assign io_lsu_idle_any = _T_22 & bus_intf_io_lsu_bus_buffer_empty_any; // @[lsu.scala 96:19] + assign io_lsu_fir_addr = lsu_lsc_ctl_io_lsu_fir_addr; // @[lsu.scala 137:49] + assign io_lsu_fir_error = lsu_lsc_ctl_io_lsu_fir_error; // @[lsu.scala 138:49] + assign io_lsu_single_ecc_error_incr = lsu_lsc_ctl_io_lsu_single_ecc_error_incr; // @[lsu.scala 135:49] + assign io_lsu_error_pkt_r_valid = lsu_lsc_ctl_io_lsu_error_pkt_r_valid; // @[lsu.scala 136:49] + assign io_lsu_error_pkt_r_bits_single_ecc_error = lsu_lsc_ctl_io_lsu_error_pkt_r_bits_single_ecc_error; // @[lsu.scala 136:49] + assign io_lsu_error_pkt_r_bits_inst_type = lsu_lsc_ctl_io_lsu_error_pkt_r_bits_inst_type; // @[lsu.scala 136:49] + assign io_lsu_error_pkt_r_bits_exc_type = lsu_lsc_ctl_io_lsu_error_pkt_r_bits_exc_type; // @[lsu.scala 136:49] + assign io_lsu_error_pkt_r_bits_mscause = lsu_lsc_ctl_io_lsu_error_pkt_r_bits_mscause; // @[lsu.scala 136:49] + assign io_lsu_error_pkt_r_bits_addr = lsu_lsc_ctl_io_lsu_error_pkt_r_bits_addr; // @[lsu.scala 136:49] + assign io_lsu_pmu_misaligned_m = lsu_lsc_ctl_io_lsu_pkt_m_valid & _T_46; // @[lsu.scala 104:27] + assign io_lsu_trigger_match_m = trigger_io_lsu_trigger_match_m; // @[lsu.scala 261:50] + assign lsu_lsc_ctl_reset = reset; + assign lsu_lsc_ctl_io_lsu_c1_m_clk = clkdomain_io_lsu_c1_m_clk; // @[lsu.scala 110:46] + assign lsu_lsc_ctl_io_lsu_c1_r_clk = clkdomain_io_lsu_c1_r_clk; // @[lsu.scala 111:46] + assign lsu_lsc_ctl_io_lsu_c2_m_clk = clkdomain_io_lsu_c2_m_clk; // @[lsu.scala 112:46] + assign lsu_lsc_ctl_io_lsu_c2_r_clk = clkdomain_io_lsu_c2_r_clk; // @[lsu.scala 113:46] + assign lsu_lsc_ctl_io_lsu_store_c1_m_clk = clkdomain_io_lsu_store_c1_m_clk; // @[lsu.scala 114:46] + assign lsu_lsc_ctl_io_lsu_ld_data_corr_r = dccm_ctl_io_lsu_ld_data_corr_r; // @[lsu.scala 116:46] + assign lsu_lsc_ctl_io_lsu_single_ecc_error_r = ecc_io_lsu_single_ecc_error_r; // @[lsu.scala 117:46] + assign lsu_lsc_ctl_io_lsu_double_ecc_error_r = ecc_io_lsu_double_ecc_error_r; // @[lsu.scala 118:46] + assign lsu_lsc_ctl_io_lsu_ld_data_m = dccm_ctl_io_lsu_ld_data_m; // @[lsu.scala 119:46] + assign lsu_lsc_ctl_io_lsu_single_ecc_error_m = ecc_io_lsu_single_ecc_error_m; // @[lsu.scala 120:46] + assign lsu_lsc_ctl_io_lsu_double_ecc_error_m = ecc_io_lsu_double_ecc_error_m; // @[lsu.scala 121:46] + assign lsu_lsc_ctl_io_flush_m_up = io_dec_tlu_flush_lower_r; // @[lsu.scala 122:46] + assign lsu_lsc_ctl_io_flush_r = io_dec_tlu_i0_kill_writeb_r; // @[lsu.scala 123:46] + assign lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs1_d = io_lsu_exu_exu_lsu_rs1_d; // @[lsu.scala 124:46] + assign lsu_lsc_ctl_io_lsu_exu_exu_lsu_rs2_d = io_lsu_exu_exu_lsu_rs2_d; // @[lsu.scala 124:46] + assign lsu_lsc_ctl_io_lsu_p_valid = io_lsu_p_valid; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_fast_int = io_lsu_p_bits_fast_int; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_by = io_lsu_p_bits_by; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_half = io_lsu_p_bits_half; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_word = io_lsu_p_bits_word; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_dword = io_lsu_p_bits_dword; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_load = io_lsu_p_bits_load; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_store = io_lsu_p_bits_store; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_unsign = io_lsu_p_bits_unsign; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_dma = io_lsu_p_bits_dma; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_store_data_bypass_d = io_lsu_p_bits_store_data_bypass_d; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_load_ldst_bypass_d = io_lsu_p_bits_load_ldst_bypass_d; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_lsu_p_bits_store_data_bypass_m = io_lsu_p_bits_store_data_bypass_m; // @[lsu.scala 125:46] + assign lsu_lsc_ctl_io_dec_lsu_valid_raw_d = io_dec_lsu_valid_raw_d; // @[lsu.scala 126:46] + assign lsu_lsc_ctl_io_dec_lsu_offset_d = io_dec_lsu_offset_d; // @[lsu.scala 127:46] + assign lsu_lsc_ctl_io_picm_mask_data_m = dccm_ctl_io_picm_mask_data_m; // @[lsu.scala 128:46] + assign lsu_lsc_ctl_io_bus_read_data_m = bus_intf_io_bus_read_data_m; // @[lsu.scala 129:46] + assign lsu_lsc_ctl_io_dec_tlu_mrac_ff = io_dec_tlu_mrac_ff; // @[lsu.scala 131:46] + assign lsu_lsc_ctl_io_dma_lsc_ctl_dma_dccm_req = io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[lsu.scala 130:38] + assign lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_addr = io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[lsu.scala 130:38] + assign lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_sz = io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[lsu.scala 130:38] + assign lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_write = io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[lsu.scala 130:38] + assign lsu_lsc_ctl_io_dma_lsc_ctl_dma_mem_wdata = io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[lsu.scala 130:38] + assign dccm_ctl_clock = clock; + assign dccm_ctl_reset = reset; + assign dccm_ctl_io_lsu_c2_m_clk = clkdomain_io_lsu_c2_m_clk; // @[lsu.scala 141:46] + assign dccm_ctl_io_lsu_c2_r_clk = clkdomain_io_lsu_c2_r_clk; // @[lsu.scala 142:46] + assign dccm_ctl_io_lsu_free_c2_clk = clkdomain_io_lsu_free_c2_clk; // @[lsu.scala 143:46] + assign dccm_ctl_io_lsu_store_c1_r_clk = clkdomain_io_lsu_store_c1_r_clk; // @[lsu.scala 145:46] + assign dccm_ctl_io_lsu_pkt_d_valid = lsu_lsc_ctl_io_lsu_pkt_d_valid; // @[lsu.scala 146:46] + assign dccm_ctl_io_lsu_pkt_d_bits_word = lsu_lsc_ctl_io_lsu_pkt_d_bits_word; // @[lsu.scala 146:46] + assign dccm_ctl_io_lsu_pkt_d_bits_dword = lsu_lsc_ctl_io_lsu_pkt_d_bits_dword; // @[lsu.scala 146:46] + assign dccm_ctl_io_lsu_pkt_d_bits_load = lsu_lsc_ctl_io_lsu_pkt_d_bits_load; // @[lsu.scala 146:46] + assign dccm_ctl_io_lsu_pkt_d_bits_store = lsu_lsc_ctl_io_lsu_pkt_d_bits_store; // @[lsu.scala 146:46] + assign dccm_ctl_io_lsu_pkt_d_bits_dma = lsu_lsc_ctl_io_lsu_pkt_d_bits_dma; // @[lsu.scala 146:46] + assign dccm_ctl_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 147:46] + assign dccm_ctl_io_lsu_pkt_m_bits_by = lsu_lsc_ctl_io_lsu_pkt_m_bits_by; // @[lsu.scala 147:46] + assign dccm_ctl_io_lsu_pkt_m_bits_half = lsu_lsc_ctl_io_lsu_pkt_m_bits_half; // @[lsu.scala 147:46] + assign dccm_ctl_io_lsu_pkt_m_bits_word = lsu_lsc_ctl_io_lsu_pkt_m_bits_word; // @[lsu.scala 147:46] + assign dccm_ctl_io_lsu_pkt_m_bits_load = lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 147:46] + assign dccm_ctl_io_lsu_pkt_m_bits_store = lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 147:46] + assign dccm_ctl_io_lsu_pkt_m_bits_dma = lsu_lsc_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 147:46] + assign dccm_ctl_io_lsu_pkt_r_valid = lsu_lsc_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 148:46] + assign dccm_ctl_io_lsu_pkt_r_bits_by = lsu_lsc_ctl_io_lsu_pkt_r_bits_by; // @[lsu.scala 148:46] + assign dccm_ctl_io_lsu_pkt_r_bits_half = lsu_lsc_ctl_io_lsu_pkt_r_bits_half; // @[lsu.scala 148:46] + assign dccm_ctl_io_lsu_pkt_r_bits_word = lsu_lsc_ctl_io_lsu_pkt_r_bits_word; // @[lsu.scala 148:46] + assign dccm_ctl_io_lsu_pkt_r_bits_load = lsu_lsc_ctl_io_lsu_pkt_r_bits_load; // @[lsu.scala 148:46] + assign dccm_ctl_io_lsu_pkt_r_bits_store = lsu_lsc_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 148:46] + assign dccm_ctl_io_lsu_pkt_r_bits_dma = lsu_lsc_ctl_io_lsu_pkt_r_bits_dma; // @[lsu.scala 148:46] + assign dccm_ctl_io_addr_in_dccm_d = lsu_lsc_ctl_io_addr_in_dccm_d; // @[lsu.scala 149:46] + assign dccm_ctl_io_addr_in_dccm_m = lsu_lsc_ctl_io_addr_in_dccm_m; // @[lsu.scala 150:46] + assign dccm_ctl_io_addr_in_dccm_r = lsu_lsc_ctl_io_addr_in_dccm_r; // @[lsu.scala 151:46] + assign dccm_ctl_io_addr_in_pic_d = lsu_lsc_ctl_io_addr_in_pic_d; // @[lsu.scala 152:46] + assign dccm_ctl_io_addr_in_pic_m = lsu_lsc_ctl_io_addr_in_pic_m; // @[lsu.scala 153:46] + assign dccm_ctl_io_addr_in_pic_r = lsu_lsc_ctl_io_addr_in_pic_r; // @[lsu.scala 154:46] + assign dccm_ctl_io_lsu_raw_fwd_lo_r = lsu_raw_fwd_lo_r; // @[lsu.scala 155:46] + assign dccm_ctl_io_lsu_raw_fwd_hi_r = lsu_raw_fwd_hi_r; // @[lsu.scala 156:46] + assign dccm_ctl_io_lsu_commit_r = lsu_lsc_ctl_io_lsu_commit_r; // @[lsu.scala 157:46] + assign dccm_ctl_io_lsu_addr_d = lsu_lsc_ctl_io_lsu_addr_d; // @[lsu.scala 158:46] + assign dccm_ctl_io_lsu_addr_m = lsu_lsc_ctl_io_lsu_addr_m[15:0]; // @[lsu.scala 159:46] + assign dccm_ctl_io_lsu_addr_r = lsu_lsc_ctl_io_lsu_addr_r; // @[lsu.scala 160:46] + assign dccm_ctl_io_end_addr_d = lsu_lsc_ctl_io_end_addr_d[15:0]; // @[lsu.scala 161:46] + assign dccm_ctl_io_end_addr_m = lsu_lsc_ctl_io_end_addr_m[15:0]; // @[lsu.scala 162:46] + assign dccm_ctl_io_end_addr_r = lsu_lsc_ctl_io_end_addr_r[15:0]; // @[lsu.scala 163:46] + assign dccm_ctl_io_stbuf_reqvld_any = stbuf_io_stbuf_reqvld_any; // @[lsu.scala 164:46] + assign dccm_ctl_io_stbuf_addr_any = stbuf_io_stbuf_addr_any; // @[lsu.scala 165:46] + assign dccm_ctl_io_stbuf_data_any = stbuf_io_stbuf_data_any; // @[lsu.scala 166:46] + assign dccm_ctl_io_stbuf_ecc_any = ecc_io_stbuf_ecc_any; // @[lsu.scala 167:46] + assign dccm_ctl_io_stbuf_fwddata_hi_m = stbuf_io_stbuf_fwddata_hi_m; // @[lsu.scala 168:46] + assign dccm_ctl_io_stbuf_fwddata_lo_m = stbuf_io_stbuf_fwddata_lo_m; // @[lsu.scala 169:46] + assign dccm_ctl_io_stbuf_fwdbyteen_lo_m = stbuf_io_stbuf_fwdbyteen_lo_m; // @[lsu.scala 170:46] + assign dccm_ctl_io_stbuf_fwdbyteen_hi_m = stbuf_io_stbuf_fwdbyteen_hi_m; // @[lsu.scala 171:46] + assign dccm_ctl_io_lsu_double_ecc_error_r = ecc_io_lsu_double_ecc_error_r; // @[lsu.scala 172:46] + assign dccm_ctl_io_single_ecc_error_hi_r = ecc_io_single_ecc_error_hi_r; // @[lsu.scala 173:46] + assign dccm_ctl_io_single_ecc_error_lo_r = ecc_io_single_ecc_error_lo_r; // @[lsu.scala 174:46] + assign dccm_ctl_io_sec_data_hi_r_ff = ecc_io_sec_data_hi_r_ff; // @[lsu.scala 177:46] + assign dccm_ctl_io_sec_data_lo_r_ff = ecc_io_sec_data_lo_r_ff; // @[lsu.scala 178:46] + assign dccm_ctl_io_sec_data_ecc_hi_r_ff = ecc_io_sec_data_ecc_hi_r_ff; // @[lsu.scala 179:46] + assign dccm_ctl_io_sec_data_ecc_lo_r_ff = ecc_io_sec_data_ecc_lo_r_ff; // @[lsu.scala 180:46] + assign dccm_ctl_io_lsu_double_ecc_error_m = ecc_io_lsu_double_ecc_error_m; // @[lsu.scala 181:46] + assign dccm_ctl_io_sec_data_hi_m = ecc_io_sec_data_hi_m; // @[lsu.scala 182:46] + assign dccm_ctl_io_sec_data_lo_m = ecc_io_sec_data_lo_m; // @[lsu.scala 183:46] + assign dccm_ctl_io_store_data_m = lsu_lsc_ctl_io_store_data_m; // @[lsu.scala 184:46] + assign dccm_ctl_io_dma_dccm_wen = _T_10 & lsu_lsc_ctl_io_addr_in_dccm_d; // @[lsu.scala 185:46] + assign dccm_ctl_io_dma_pic_wen = _T_10 & lsu_lsc_ctl_io_addr_in_pic_d; // @[lsu.scala 186:46] + assign dccm_ctl_io_dma_mem_tag_m = dma_mem_tag_m; // @[lsu.scala 187:46] + assign dccm_ctl_io_dma_dccm_wdata_lo = dma_dccm_wdata[31:0]; // @[lsu.scala 188:46] + assign dccm_ctl_io_dma_dccm_wdata_hi = dma_dccm_wdata[63:32]; // @[lsu.scala 189:46] + assign dccm_ctl_io_dma_dccm_wdata_ecc_hi = ecc_io_dma_dccm_wdata_ecc_hi; // @[lsu.scala 190:46] + assign dccm_ctl_io_dma_dccm_wdata_ecc_lo = ecc_io_dma_dccm_wdata_ecc_lo; // @[lsu.scala 191:46] + assign dccm_ctl_io_dma_dccm_ctl_dma_mem_addr = io_lsu_dma_dma_dccm_ctl_dma_mem_addr; // @[lsu.scala 194:27] + assign dccm_ctl_io_dma_dccm_ctl_dma_mem_wdata = io_lsu_dma_dma_dccm_ctl_dma_mem_wdata; // @[lsu.scala 194:27] + assign dccm_ctl_io_dccm_rd_data_lo = io_dccm_rd_data_lo; // @[lsu.scala 195:11] + assign dccm_ctl_io_dccm_rd_data_hi = io_dccm_rd_data_hi; // @[lsu.scala 195:11] + assign dccm_ctl_io_lsu_pic_picm_rd_data = io_lsu_pic_picm_rd_data; // @[lsu.scala 196:14] + assign dccm_ctl_io_scan_mode = io_scan_mode; // @[lsu.scala 192:46] + assign stbuf_clock = clock; + assign stbuf_reset = reset; + assign stbuf_io_lsu_c1_m_clk = clkdomain_io_lsu_c1_m_clk; // @[lsu.scala 199:49] + assign stbuf_io_lsu_c1_r_clk = clkdomain_io_lsu_c1_r_clk; // @[lsu.scala 200:48] + assign stbuf_io_lsu_stbuf_c1_clk = clkdomain_io_lsu_stbuf_c1_clk; // @[lsu.scala 201:54] + assign stbuf_io_lsu_free_c2_clk = clkdomain_io_lsu_free_c2_clk; // @[lsu.scala 202:54] + assign stbuf_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 203:48] + assign stbuf_io_lsu_pkt_m_bits_store = lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 203:48] + assign stbuf_io_lsu_pkt_m_bits_dma = lsu_lsc_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 203:48] + assign stbuf_io_lsu_pkt_r_valid = lsu_lsc_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 204:48] + assign stbuf_io_lsu_pkt_r_bits_by = lsu_lsc_ctl_io_lsu_pkt_r_bits_by; // @[lsu.scala 204:48] + assign stbuf_io_lsu_pkt_r_bits_half = lsu_lsc_ctl_io_lsu_pkt_r_bits_half; // @[lsu.scala 204:48] + assign stbuf_io_lsu_pkt_r_bits_word = lsu_lsc_ctl_io_lsu_pkt_r_bits_word; // @[lsu.scala 204:48] + assign stbuf_io_lsu_pkt_r_bits_dword = lsu_lsc_ctl_io_lsu_pkt_r_bits_dword; // @[lsu.scala 204:48] + assign stbuf_io_lsu_pkt_r_bits_store = lsu_lsc_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 204:48] + assign stbuf_io_lsu_pkt_r_bits_dma = lsu_lsc_ctl_io_lsu_pkt_r_bits_dma; // @[lsu.scala 204:48] + assign stbuf_io_store_stbuf_reqvld_r = _T_28 & _T_19; // @[lsu.scala 205:48] + assign stbuf_io_lsu_commit_r = lsu_lsc_ctl_io_lsu_commit_r; // @[lsu.scala 206:49] + assign stbuf_io_dec_lsu_valid_raw_d = io_dec_lsu_valid_raw_d; // @[lsu.scala 207:49] + assign stbuf_io_store_data_hi_r = dccm_ctl_io_store_data_hi_r; // @[lsu.scala 208:62] + assign stbuf_io_store_data_lo_r = dccm_ctl_io_store_data_lo_r; // @[lsu.scala 209:62] + assign stbuf_io_store_datafn_hi_r = dccm_ctl_io_store_datafn_hi_r; // @[lsu.scala 210:49] + assign stbuf_io_store_datafn_lo_r = dccm_ctl_io_store_datafn_lo_r; // @[lsu.scala 211:56] + assign stbuf_io_lsu_stbuf_commit_any = dccm_ctl_io_lsu_stbuf_commit_any; // @[lsu.scala 212:52] + assign stbuf_io_lsu_addr_d = lsu_lsc_ctl_io_lsu_addr_d[15:0]; // @[lsu.scala 213:64] + assign stbuf_io_lsu_addr_m = lsu_lsc_ctl_io_lsu_addr_m; // @[lsu.scala 214:64] + assign stbuf_io_lsu_addr_r = lsu_lsc_ctl_io_lsu_addr_r; // @[lsu.scala 215:64] + assign stbuf_io_end_addr_d = lsu_lsc_ctl_io_end_addr_d[15:0]; // @[lsu.scala 216:64] + assign stbuf_io_end_addr_m = lsu_lsc_ctl_io_end_addr_m; // @[lsu.scala 217:64] + assign stbuf_io_end_addr_r = lsu_lsc_ctl_io_end_addr_r; // @[lsu.scala 218:64] + assign stbuf_io_addr_in_dccm_m = lsu_lsc_ctl_io_addr_in_dccm_m; // @[lsu.scala 219:49] + assign stbuf_io_addr_in_dccm_r = lsu_lsc_ctl_io_addr_in_dccm_r; // @[lsu.scala 220:56] + assign stbuf_io_scan_mode = io_scan_mode; // @[lsu.scala 222:49] + assign ecc_clock = clock; + assign ecc_reset = reset; + assign ecc_io_lsu_c2_r_clk = clkdomain_io_lsu_c2_r_clk; // @[lsu.scala 226:52] + assign ecc_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 227:52] + assign ecc_io_lsu_pkt_m_bits_load = lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 227:52] + assign ecc_io_lsu_pkt_m_bits_store = lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 227:52] + assign ecc_io_lsu_pkt_m_bits_dma = lsu_lsc_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 227:52] + assign ecc_io_stbuf_data_any = stbuf_io_stbuf_data_any; // @[lsu.scala 229:54] + assign ecc_io_dec_tlu_core_ecc_disable = io_dec_tlu_core_ecc_disable; // @[lsu.scala 230:50] + assign ecc_io_lsu_addr_m = lsu_lsc_ctl_io_lsu_addr_m[15:0]; // @[lsu.scala 235:58] + assign ecc_io_end_addr_m = lsu_lsc_ctl_io_end_addr_m[15:0]; // @[lsu.scala 236:58] + assign ecc_io_dccm_rdata_hi_m = dccm_ctl_io_dccm_rdata_hi_m; // @[lsu.scala 239:54] + assign ecc_io_dccm_rdata_lo_m = dccm_ctl_io_dccm_rdata_lo_m; // @[lsu.scala 240:54] + assign ecc_io_dccm_data_ecc_hi_m = dccm_ctl_io_dccm_data_ecc_hi_m; // @[lsu.scala 243:50] + assign ecc_io_dccm_data_ecc_lo_m = dccm_ctl_io_dccm_data_ecc_lo_m; // @[lsu.scala 244:50] + assign ecc_io_ld_single_ecc_error_r = dccm_ctl_io_ld_single_ecc_error_r; // @[lsu.scala 245:50] + assign ecc_io_ld_single_ecc_error_r_ff = dccm_ctl_io_ld_single_ecc_error_r_ff; // @[lsu.scala 246:50] + assign ecc_io_lsu_dccm_rden_m = dccm_ctl_io_lsu_dccm_rden_m; // @[lsu.scala 247:50] + assign ecc_io_addr_in_dccm_m = lsu_lsc_ctl_io_addr_in_dccm_m; // @[lsu.scala 248:50] + assign ecc_io_dma_dccm_wen = _T_10 & lsu_lsc_ctl_io_addr_in_dccm_d; // @[lsu.scala 249:50] + assign ecc_io_dma_dccm_wdata_lo = dma_dccm_wdata[31:0]; // @[lsu.scala 250:50] + assign ecc_io_dma_dccm_wdata_hi = dma_dccm_wdata[63:32]; // @[lsu.scala 251:50] + assign ecc_io_scan_mode = io_scan_mode; // @[lsu.scala 252:50] + assign trigger_io_trigger_pkt_any_0_select = io_trigger_pkt_any_0_select; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_0_match_pkt = io_trigger_pkt_any_0_match_pkt; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_0_store = io_trigger_pkt_any_0_store; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_0_load = io_trigger_pkt_any_0_load; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_0_tdata2 = io_trigger_pkt_any_0_tdata2; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_1_select = io_trigger_pkt_any_1_select; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_1_match_pkt = io_trigger_pkt_any_1_match_pkt; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_1_store = io_trigger_pkt_any_1_store; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_1_load = io_trigger_pkt_any_1_load; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_1_tdata2 = io_trigger_pkt_any_1_tdata2; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_2_select = io_trigger_pkt_any_2_select; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_2_match_pkt = io_trigger_pkt_any_2_match_pkt; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_2_store = io_trigger_pkt_any_2_store; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_2_load = io_trigger_pkt_any_2_load; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_2_tdata2 = io_trigger_pkt_any_2_tdata2; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_3_select = io_trigger_pkt_any_3_select; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_3_match_pkt = io_trigger_pkt_any_3_match_pkt; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_3_store = io_trigger_pkt_any_3_store; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_3_load = io_trigger_pkt_any_3_load; // @[lsu.scala 256:50] + assign trigger_io_trigger_pkt_any_3_tdata2 = io_trigger_pkt_any_3_tdata2; // @[lsu.scala 256:50] + assign trigger_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 257:50] + assign trigger_io_lsu_pkt_m_bits_half = lsu_lsc_ctl_io_lsu_pkt_m_bits_half; // @[lsu.scala 257:50] + assign trigger_io_lsu_pkt_m_bits_word = lsu_lsc_ctl_io_lsu_pkt_m_bits_word; // @[lsu.scala 257:50] + assign trigger_io_lsu_pkt_m_bits_load = lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 257:50] + assign trigger_io_lsu_pkt_m_bits_store = lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 257:50] + assign trigger_io_lsu_pkt_m_bits_dma = lsu_lsc_ctl_io_lsu_pkt_m_bits_dma; // @[lsu.scala 257:50] + assign trigger_io_lsu_addr_m = lsu_lsc_ctl_io_lsu_addr_m; // @[lsu.scala 258:50] + assign trigger_io_store_data_m = lsu_lsc_ctl_io_store_data_m; // @[lsu.scala 259:50] + assign clkdomain_clock = clock; + assign clkdomain_reset = reset; + assign clkdomain_io_free_clk = io_free_clk; // @[lsu.scala 265:50] + assign clkdomain_io_clk_override = io_clk_override; // @[lsu.scala 266:50] + assign clkdomain_io_dma_dccm_req = io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[lsu.scala 268:50] + assign clkdomain_io_ldst_stbuf_reqvld_r = stbuf_io_ldst_stbuf_reqvld_r; // @[lsu.scala 269:50] + assign clkdomain_io_stbuf_reqvld_any = stbuf_io_stbuf_reqvld_any; // @[lsu.scala 270:50] + assign clkdomain_io_stbuf_reqvld_flushed_any = stbuf_io_stbuf_reqvld_flushed_any; // @[lsu.scala 271:50] + assign clkdomain_io_lsu_busreq_r = bus_intf_io_lsu_busreq_r; // @[lsu.scala 272:50] + assign clkdomain_io_lsu_bus_buffer_pend_any = bus_intf_io_lsu_bus_buffer_pend_any; // @[lsu.scala 273:50] + assign clkdomain_io_lsu_bus_buffer_empty_any = bus_intf_io_lsu_bus_buffer_empty_any; // @[lsu.scala 274:50] + assign clkdomain_io_lsu_stbuf_empty_any = stbuf_io_lsu_stbuf_empty_any; // @[lsu.scala 275:50] + assign clkdomain_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[lsu.scala 276:50] + assign clkdomain_io_lsu_p_valid = io_lsu_p_valid; // @[lsu.scala 277:50] + assign clkdomain_io_lsu_pkt_d_valid = lsu_lsc_ctl_io_lsu_pkt_d_valid; // @[lsu.scala 278:50] + assign clkdomain_io_lsu_pkt_d_bits_store = lsu_lsc_ctl_io_lsu_pkt_d_bits_store; // @[lsu.scala 278:50] + assign clkdomain_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 279:50] + assign clkdomain_io_lsu_pkt_m_bits_store = lsu_lsc_ctl_io_lsu_pkt_m_bits_store; // @[lsu.scala 279:50] + assign clkdomain_io_lsu_pkt_r_valid = lsu_lsc_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 280:50] + assign clkdomain_io_scan_mode = io_scan_mode; // @[lsu.scala 281:50] + assign bus_intf_clock = clock; + assign bus_intf_reset = reset; + assign bus_intf_io_scan_mode = io_scan_mode; // @[lsu.scala 285:49] + assign bus_intf_io_tlu_busbuff_dec_tlu_external_ldfwd_disable = io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu.scala 286:26] + assign bus_intf_io_tlu_busbuff_dec_tlu_wb_coalescing_disable = io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu.scala 286:26] + assign bus_intf_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu.scala 286:26] + assign bus_intf_io_lsu_c1_m_clk = clkdomain_io_lsu_c1_m_clk; // @[lsu.scala 287:49] + assign bus_intf_io_lsu_c1_r_clk = clkdomain_io_lsu_c1_r_clk; // @[lsu.scala 288:49] + assign bus_intf_io_lsu_c2_r_clk = clkdomain_io_lsu_c2_r_clk; // @[lsu.scala 289:49] + assign bus_intf_io_lsu_bus_ibuf_c1_clk = clkdomain_io_lsu_bus_ibuf_c1_clk; // @[lsu.scala 290:49] + assign bus_intf_io_lsu_bus_obuf_c1_clk = clkdomain_io_lsu_bus_obuf_c1_clk; // @[lsu.scala 291:49] + assign bus_intf_io_lsu_bus_buf_c1_clk = clkdomain_io_lsu_bus_buf_c1_clk; // @[lsu.scala 292:49] + assign bus_intf_io_lsu_free_c2_clk = clkdomain_io_lsu_free_c2_clk; // @[lsu.scala 293:49] + assign bus_intf_io_free_clk = io_free_clk; // @[lsu.scala 294:49] + assign bus_intf_io_lsu_busm_clk = clkdomain_io_lsu_busm_clk; // @[lsu.scala 295:49] + assign bus_intf_io_axi_aw_ready = io_axi_aw_ready; // @[lsu.scala 314:49] + assign bus_intf_io_axi_w_ready = io_axi_w_ready; // @[lsu.scala 314:49] + assign bus_intf_io_axi_b_valid = io_axi_b_valid; // @[lsu.scala 314:49] + assign bus_intf_io_axi_b_bits_resp = io_axi_b_bits_resp; // @[lsu.scala 314:49] + assign bus_intf_io_axi_b_bits_id = io_axi_b_bits_id; // @[lsu.scala 314:49] + assign bus_intf_io_axi_ar_ready = io_axi_ar_ready; // @[lsu.scala 314:49] + assign bus_intf_io_axi_r_valid = io_axi_r_valid; // @[lsu.scala 314:49] + assign bus_intf_io_axi_r_bits_id = io_axi_r_bits_id; // @[lsu.scala 314:49] + assign bus_intf_io_axi_r_bits_data = io_axi_r_bits_data; // @[lsu.scala 314:49] + assign bus_intf_io_axi_r_bits_resp = io_axi_r_bits_resp; // @[lsu.scala 314:49] + assign bus_intf_io_dec_lsu_valid_raw_d = io_dec_lsu_valid_raw_d; // @[lsu.scala 296:49] + assign bus_intf_io_lsu_busreq_m = _T_39 & _T_40; // @[lsu.scala 297:49] + assign bus_intf_io_lsu_pkt_m_valid = lsu_lsc_ctl_io_lsu_pkt_m_valid; // @[lsu.scala 305:49] + assign bus_intf_io_lsu_pkt_m_bits_by = lsu_lsc_ctl_io_lsu_pkt_m_bits_by; // @[lsu.scala 305:49] + assign bus_intf_io_lsu_pkt_m_bits_half = lsu_lsc_ctl_io_lsu_pkt_m_bits_half; // @[lsu.scala 305:49] + assign bus_intf_io_lsu_pkt_m_bits_word = lsu_lsc_ctl_io_lsu_pkt_m_bits_word; // @[lsu.scala 305:49] + assign bus_intf_io_lsu_pkt_m_bits_load = lsu_lsc_ctl_io_lsu_pkt_m_bits_load; // @[lsu.scala 305:49] + assign bus_intf_io_lsu_pkt_r_valid = lsu_lsc_ctl_io_lsu_pkt_r_valid; // @[lsu.scala 306:49] + assign bus_intf_io_lsu_pkt_r_bits_by = lsu_lsc_ctl_io_lsu_pkt_r_bits_by; // @[lsu.scala 306:49] + assign bus_intf_io_lsu_pkt_r_bits_half = lsu_lsc_ctl_io_lsu_pkt_r_bits_half; // @[lsu.scala 306:49] + assign bus_intf_io_lsu_pkt_r_bits_word = lsu_lsc_ctl_io_lsu_pkt_r_bits_word; // @[lsu.scala 306:49] + assign bus_intf_io_lsu_pkt_r_bits_load = lsu_lsc_ctl_io_lsu_pkt_r_bits_load; // @[lsu.scala 306:49] + assign bus_intf_io_lsu_pkt_r_bits_store = lsu_lsc_ctl_io_lsu_pkt_r_bits_store; // @[lsu.scala 306:49] + assign bus_intf_io_lsu_pkt_r_bits_unsign = lsu_lsc_ctl_io_lsu_pkt_r_bits_unsign; // @[lsu.scala 306:49] + assign bus_intf_io_lsu_addr_d = lsu_lsc_ctl_io_lsu_addr_d; // @[lsu.scala 298:49] + assign bus_intf_io_lsu_addr_m = lsu_lsc_ctl_io_lsu_addr_m; // @[lsu.scala 299:49] + assign bus_intf_io_lsu_addr_r = lsu_lsc_ctl_io_lsu_addr_r; // @[lsu.scala 300:49] + assign bus_intf_io_end_addr_d = lsu_lsc_ctl_io_end_addr_d; // @[lsu.scala 301:49] + assign bus_intf_io_end_addr_m = lsu_lsc_ctl_io_end_addr_m; // @[lsu.scala 302:49] + assign bus_intf_io_end_addr_r = lsu_lsc_ctl_io_end_addr_r; // @[lsu.scala 303:49] + assign bus_intf_io_store_data_r = dccm_ctl_io_store_data_r; // @[lsu.scala 304:49] + assign bus_intf_io_dec_tlu_force_halt = io_dec_tlu_force_halt; // @[lsu.scala 307:49] + assign bus_intf_io_lsu_commit_r = lsu_lsc_ctl_io_lsu_commit_r; // @[lsu.scala 308:49] + assign bus_intf_io_is_sideeffects_m = lsu_lsc_ctl_io_is_sideeffects_m; // @[lsu.scala 309:49] + assign bus_intf_io_flush_m_up = io_dec_tlu_flush_lower_r; // @[lsu.scala 310:49] + assign bus_intf_io_flush_r = io_dec_tlu_i0_kill_writeb_r; // @[lsu.scala 311:49] + assign bus_intf_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[lsu.scala 315:49] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + dma_mem_tag_m = _RAND_0[2:0]; + _RAND_1 = {1{`RANDOM}}; + lsu_raw_fwd_hi_r = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + lsu_raw_fwd_lo_r = _RAND_2[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + dma_mem_tag_m = 3'h0; + end + if (reset) begin + lsu_raw_fwd_hi_r = 1'h0; + end + if (reset) begin + lsu_raw_fwd_lo_r = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge clkdomain_io_lsu_c1_m_clk or posedge reset) begin + if (reset) begin + dma_mem_tag_m <= 3'h0; + end else begin + dma_mem_tag_m <= io_lsu_dma_dma_mem_tag; + end + end + always @(posedge clkdomain_io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + lsu_raw_fwd_hi_r <= 1'h0; + end else begin + lsu_raw_fwd_hi_r <= |stbuf_io_stbuf_fwdbyteen_hi_m; + end + end + always @(posedge clkdomain_io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + lsu_raw_fwd_lo_r <= 1'h0; + end else begin + lsu_raw_fwd_lo_r <= |stbuf_io_stbuf_fwdbyteen_lo_m; + end + end +endmodule diff --git a/lsu_bus_buffer.anno.json b/lsu_bus_buffer.anno.json new file mode 100644 index 00000000..9fb58c94 --- /dev/null +++ b/lsu_bus_buffer.anno.json @@ -0,0 +1,179 @@ +[ + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data_valid", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data_error" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_ld_fwddata_buf_lo", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_byteen_ext_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_addr_m" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_ld_fwddata_buf_hi", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_byteen_ext_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_end_addr_m" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_ld_byte_hit_buf_hi", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_byteen_ext_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_end_addr_m" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_pmu_bus_busy", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_ar_valid", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_aw_valid", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_w_valid", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_ar_ready", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_aw_ready", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_w_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_tag_m", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_r", + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_dual_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_ld_byte_hit_buf_lo", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_byteen_ext_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_addr_m" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_lsu_bus_buffer_full_any", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_dual_d", + "~lsu_bus_buffer|lsu_bus_buffer>io_dec_lsu_valid_raw_d", + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_dual_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_dual_r", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_valid_m", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_ld_full_hit_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_pkt_m_bits_load", + "~lsu_bus_buffer|lsu_bus_buffer>io_flush_m_up", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_m", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_pkt_m_valid" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_load_any", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data_error", + "~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_store_any", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_bus_clk_en_q" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_pmu_bus_misaligned", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_commit_r", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_busreq_r", + "~lsu_bus_buffer|lsu_bus_buffer>io_ldst_dual_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_pmu_bus_trxn", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_ar_valid", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_ar_ready", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_aw_valid", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_aw_ready", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_w_valid", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_axi_w_ready" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_addr_any", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_store_any", + "~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data_tag", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_bus_clk_en_q" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_inv_r", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_commit_r" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_store_any", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_bus_clk_en_q" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_pmu_bus_error", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_load_any", + "~lsu_bus_buffer|lsu_bus_buffer>io_tlu_busbuff_lsu_imprecise_error_store_any", + "~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data_error", + "~lsu_bus_buffer|lsu_bus_buffer>io_lsu_bus_clk_en_q" + ] + }, + { + "class":"firrtl.transforms.CombinationalPath", + "sink":"~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data", + "sources":[ + "~lsu_bus_buffer|lsu_bus_buffer>io_dctl_busbuff_lsu_nonblock_load_data_tag" + ] + }, + { + "class":"firrtl.EmitCircuitAnnotation", + "emitter":"firrtl.VerilogEmitter" + }, + { + "class":"firrtl.transforms.BlackBoxResourceAnno", + "target":"lsu_bus_buffer.gated_latch", + "resourceId":"/vsrc/gated_latch.v" + }, + { + "class":"firrtl.options.TargetDirAnnotation", + "directory":"." + }, + { + "class":"firrtl.options.OutputAnnotationFileAnnotation", + "file":"lsu_bus_buffer" + }, + { + "class":"firrtl.transforms.BlackBoxTargetDirAnno", + "targetDir":"." + } +] \ No newline at end of file diff --git a/lsu_bus_buffer.fir b/lsu_bus_buffer.fir new file mode 100644 index 00000000..456afdd7 --- /dev/null +++ b/lsu_bus_buffer.fir @@ -0,0 +1,6508 @@ +;buildInfoPackage: chisel3, version: 3.3.1, scalaVersion: 2.12.11, sbtVersion: 1.3.10 +circuit lsu_bus_buffer : + extmodule gated_latch : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_1 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_1 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_1 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_2 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_2 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_2 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_3 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_3 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_3 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_4 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_4 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_4 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_5 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_5 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_5 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_6 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_6 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_6 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_7 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_7 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_7 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_8 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_8 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_8 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_9 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_9 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_9 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_10 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_10 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_10 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + extmodule gated_latch_11 : + output Q : Clock + input CK : Clock + input EN : UInt<1> + input SE : UInt<1> + + defname = gated_latch + + + module rvclkhdr_11 : + input clock : Clock + input reset : Reset + output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} + + inst clkhdr of gated_latch_11 @[lib.scala 334:26] + clkhdr.SE is invalid + clkhdr.EN is invalid + clkhdr.CK is invalid + clkhdr.Q is invalid + io.l1clk <= clkhdr.Q @[lib.scala 335:14] + clkhdr.CK <= io.clk @[lib.scala 336:18] + clkhdr.EN <= io.en @[lib.scala 337:18] + clkhdr.SE <= io.scan_mode @[lib.scala 338:18] + + module lsu_bus_buffer : + input clock : Clock + input reset : AsyncReset + output io : {flip scan_mode : UInt<1>, tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, lsu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>} + + wire buf_addr : UInt<32>[4] @[lsu_bus_buffer.scala 67:22] + wire buf_state : UInt<3>[4] @[lsu_bus_buffer.scala 68:23] + wire buf_write : UInt<4> + buf_write <= UInt<1>("h00") + wire CmdPtr0 : UInt<2> + CmdPtr0 <= UInt<1>("h00") + node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[lsu_bus_buffer.scala 73:46] + node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[lsu_bus_buffer.scala 74:46] + node _T = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_1 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_2 = eq(_T, _T_1) @[lsu_bus_buffer.scala 76:74] + node _T_3 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 76:109] + node _T_4 = and(_T_2, _T_3) @[lsu_bus_buffer.scala 76:98] + node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_6 = and(_T_4, _T_5) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_7 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_8 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_9 = eq(_T_7, _T_8) @[lsu_bus_buffer.scala 76:74] + node _T_10 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 76:109] + node _T_11 = and(_T_9, _T_10) @[lsu_bus_buffer.scala 76:98] + node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_13 = and(_T_11, _T_12) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_14 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_15 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_16 = eq(_T_14, _T_15) @[lsu_bus_buffer.scala 76:74] + node _T_17 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 76:109] + node _T_18 = and(_T_16, _T_17) @[lsu_bus_buffer.scala 76:98] + node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_20 = and(_T_18, _T_19) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_21 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_22 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_23 = eq(_T_21, _T_22) @[lsu_bus_buffer.scala 76:74] + node _T_24 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 76:109] + node _T_25 = and(_T_23, _T_24) @[lsu_bus_buffer.scala 76:98] + node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_27 = and(_T_25, _T_26) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_28 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_29 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_30 = eq(_T_28, _T_29) @[lsu_bus_buffer.scala 77:74] + node _T_31 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 77:109] + node _T_32 = and(_T_30, _T_31) @[lsu_bus_buffer.scala 77:98] + node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_34 = and(_T_32, _T_33) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + node _T_35 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_36 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_37 = eq(_T_35, _T_36) @[lsu_bus_buffer.scala 77:74] + node _T_38 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 77:109] + node _T_39 = and(_T_37, _T_38) @[lsu_bus_buffer.scala 77:98] + node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_41 = and(_T_39, _T_40) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + node _T_42 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_43 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_44 = eq(_T_42, _T_43) @[lsu_bus_buffer.scala 77:74] + node _T_45 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 77:109] + node _T_46 = and(_T_44, _T_45) @[lsu_bus_buffer.scala 77:98] + node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_48 = and(_T_46, _T_47) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + node _T_49 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_50 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_51 = eq(_T_49, _T_50) @[lsu_bus_buffer.scala 77:74] + node _T_52 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 77:109] + node _T_53 = and(_T_51, _T_52) @[lsu_bus_buffer.scala 77:98] + node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_55 = and(_T_53, _T_54) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + wire ld_byte_hitvecfn_lo : UInt<4>[4] @[lsu_bus_buffer.scala 78:33] + wire ld_byte_ibuf_hit_lo : UInt<4> + ld_byte_ibuf_hit_lo <= UInt<1>("h00") + wire ld_byte_hitvecfn_hi : UInt<4>[4] @[lsu_bus_buffer.scala 80:33] + wire ld_byte_ibuf_hit_hi : UInt<4> + ld_byte_ibuf_hit_hi <= UInt<1>("h00") + wire buf_byteen : UInt<4>[4] @[lsu_bus_buffer.scala 82:24] + buf_byteen[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + buf_byteen[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + buf_byteen[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + buf_byteen[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + wire buf_nxtstate : UInt<3>[4] @[lsu_bus_buffer.scala 84:26] + buf_nxtstate[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + buf_nxtstate[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + buf_nxtstate[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + buf_nxtstate[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + wire buf_wr_en : UInt<1>[4] @[lsu_bus_buffer.scala 86:23] + buf_wr_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + buf_wr_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + buf_wr_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + buf_wr_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + wire buf_data_en : UInt<1>[4] @[lsu_bus_buffer.scala 88:25] + buf_data_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + buf_data_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + buf_data_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + buf_data_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + wire buf_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 90:30] + buf_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + buf_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + buf_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + buf_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + wire buf_ldfwd_in : UInt<1>[4] @[lsu_bus_buffer.scala 92:26] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + wire buf_ldfwd_en : UInt<1>[4] @[lsu_bus_buffer.scala 94:26] + buf_ldfwd_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + buf_ldfwd_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + buf_ldfwd_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + buf_ldfwd_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + wire buf_data_in : UInt<32>[4] @[lsu_bus_buffer.scala 96:25] + buf_data_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + buf_data_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + buf_data_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + buf_data_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + wire buf_ldfwdtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 98:29] + buf_ldfwdtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + buf_ldfwdtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + buf_ldfwdtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + buf_ldfwdtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + wire buf_error_en : UInt<1>[4] @[lsu_bus_buffer.scala 100:26] + buf_error_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + buf_error_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + buf_error_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + buf_error_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + wire bus_rsp_read_error : UInt<1> + bus_rsp_read_error <= UInt<1>("h00") + wire bus_rsp_rdata : UInt<64> + bus_rsp_rdata <= UInt<1>("h00") + wire bus_rsp_write_error : UInt<1> + bus_rsp_write_error <= UInt<1>("h00") + wire buf_dualtag : UInt<2>[4] @[lsu_bus_buffer.scala 105:25] + buf_dualtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + buf_dualtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + buf_dualtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + buf_dualtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + wire buf_ldfwd : UInt<4> + buf_ldfwd <= UInt<1>("h00") + wire buf_resp_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 108:35] + buf_resp_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + buf_resp_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + buf_resp_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + buf_resp_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + wire any_done_wait_state : UInt<1> + any_done_wait_state <= UInt<1>("h00") + wire bus_rsp_write : UInt<1> + bus_rsp_write <= UInt<1>("h00") + wire bus_rsp_write_tag : UInt<3> + bus_rsp_write_tag <= UInt<1>("h00") + wire buf_ldfwdtag : UInt<2>[4] @[lsu_bus_buffer.scala 113:26] + buf_ldfwdtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + buf_ldfwdtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + buf_ldfwdtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + buf_ldfwdtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + wire buf_rst : UInt<1>[4] @[lsu_bus_buffer.scala 115:21] + buf_rst[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + buf_rst[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + buf_rst[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + buf_rst[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + wire ibuf_drainvec_vld : UInt<4> + ibuf_drainvec_vld <= UInt<1>("h00") + wire buf_byteen_in : UInt<4>[4] @[lsu_bus_buffer.scala 118:27] + buf_byteen_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + buf_byteen_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + buf_byteen_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + buf_byteen_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + wire buf_addr_in : UInt<32>[4] @[lsu_bus_buffer.scala 120:25] + buf_addr_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + buf_addr_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + buf_addr_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + buf_addr_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + wire buf_dual_in : UInt<4> + buf_dual_in <= UInt<1>("h00") + wire buf_samedw_in : UInt<4> + buf_samedw_in <= UInt<1>("h00") + wire buf_nomerge_in : UInt<4> + buf_nomerge_in <= UInt<1>("h00") + wire buf_dualhi_in : UInt<4> + buf_dualhi_in <= UInt<1>("h00") + wire buf_dualtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 126:28] + buf_dualtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + buf_dualtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + buf_dualtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + buf_dualtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + wire buf_sideeffect_in : UInt<4> + buf_sideeffect_in <= UInt<1>("h00") + wire buf_unsign_in : UInt<4> + buf_unsign_in <= UInt<1>("h00") + wire buf_sz_in : UInt<2>[4] @[lsu_bus_buffer.scala 130:23] + buf_sz_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + buf_sz_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + buf_sz_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + buf_sz_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + wire buf_write_in : UInt<4> + buf_write_in <= UInt<1>("h00") + wire buf_unsign : UInt<4> + buf_unsign <= UInt<1>("h00") + wire buf_error : UInt<4> + buf_error <= UInt<1>("h00") + wire CmdPtr1 : UInt<2> + CmdPtr1 <= UInt<1>("h00") + wire ibuf_data : UInt<32> + ibuf_data <= UInt<1>("h00") + node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[lsu_bus_buffer.scala 138:73] + node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 138:98] + node _T_58 = or(_T_56, _T_57) @[lsu_bus_buffer.scala 138:77] + node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[lsu_bus_buffer.scala 138:73] + node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 138:98] + node _T_61 = or(_T_59, _T_60) @[lsu_bus_buffer.scala 138:77] + node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[lsu_bus_buffer.scala 138:73] + node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 138:98] + node _T_64 = or(_T_62, _T_63) @[lsu_bus_buffer.scala 138:77] + node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[lsu_bus_buffer.scala 138:73] + node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 138:98] + node _T_67 = or(_T_65, _T_66) @[lsu_bus_buffer.scala 138:77] + node _T_68 = cat(_T_67, _T_64) @[Cat.scala 29:58] + node _T_69 = cat(_T_68, _T_61) @[Cat.scala 29:58] + node _T_70 = cat(_T_69, _T_58) @[Cat.scala 29:58] + io.ld_byte_hit_buf_lo <= _T_70 @[lsu_bus_buffer.scala 138:25] + node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[lsu_bus_buffer.scala 139:73] + node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 139:98] + node _T_73 = or(_T_71, _T_72) @[lsu_bus_buffer.scala 139:77] + node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[lsu_bus_buffer.scala 139:73] + node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 139:98] + node _T_76 = or(_T_74, _T_75) @[lsu_bus_buffer.scala 139:77] + node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[lsu_bus_buffer.scala 139:73] + node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 139:98] + node _T_79 = or(_T_77, _T_78) @[lsu_bus_buffer.scala 139:77] + node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[lsu_bus_buffer.scala 139:73] + node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 139:98] + node _T_82 = or(_T_80, _T_81) @[lsu_bus_buffer.scala 139:77] + node _T_83 = cat(_T_82, _T_79) @[Cat.scala 29:58] + node _T_84 = cat(_T_83, _T_76) @[Cat.scala 29:58] + node _T_85 = cat(_T_84, _T_73) @[Cat.scala 29:58] + io.ld_byte_hit_buf_hi <= _T_85 @[lsu_bus_buffer.scala 139:25] + node _T_86 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[lsu_bus_buffer.scala 141:95] + node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_89 = and(_T_87, _T_88) @[lsu_bus_buffer.scala 141:114] + node _T_90 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[lsu_bus_buffer.scala 141:95] + node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_93 = and(_T_91, _T_92) @[lsu_bus_buffer.scala 141:114] + node _T_94 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[lsu_bus_buffer.scala 141:95] + node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_97 = and(_T_95, _T_96) @[lsu_bus_buffer.scala 141:114] + node _T_98 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[lsu_bus_buffer.scala 141:95] + node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_101 = and(_T_99, _T_100) @[lsu_bus_buffer.scala 141:114] + node _T_102 = cat(_T_101, _T_97) @[Cat.scala 29:58] + node _T_103 = cat(_T_102, _T_93) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_0 = cat(_T_103, _T_89) @[Cat.scala 29:58] + node _T_104 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[lsu_bus_buffer.scala 141:95] + node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_107 = and(_T_105, _T_106) @[lsu_bus_buffer.scala 141:114] + node _T_108 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[lsu_bus_buffer.scala 141:95] + node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_111 = and(_T_109, _T_110) @[lsu_bus_buffer.scala 141:114] + node _T_112 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[lsu_bus_buffer.scala 141:95] + node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_115 = and(_T_113, _T_114) @[lsu_bus_buffer.scala 141:114] + node _T_116 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[lsu_bus_buffer.scala 141:95] + node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_119 = and(_T_117, _T_118) @[lsu_bus_buffer.scala 141:114] + node _T_120 = cat(_T_119, _T_115) @[Cat.scala 29:58] + node _T_121 = cat(_T_120, _T_111) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_1 = cat(_T_121, _T_107) @[Cat.scala 29:58] + node _T_122 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[lsu_bus_buffer.scala 141:95] + node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_125 = and(_T_123, _T_124) @[lsu_bus_buffer.scala 141:114] + node _T_126 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[lsu_bus_buffer.scala 141:95] + node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_129 = and(_T_127, _T_128) @[lsu_bus_buffer.scala 141:114] + node _T_130 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[lsu_bus_buffer.scala 141:95] + node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_133 = and(_T_131, _T_132) @[lsu_bus_buffer.scala 141:114] + node _T_134 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[lsu_bus_buffer.scala 141:95] + node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_137 = and(_T_135, _T_136) @[lsu_bus_buffer.scala 141:114] + node _T_138 = cat(_T_137, _T_133) @[Cat.scala 29:58] + node _T_139 = cat(_T_138, _T_129) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_2 = cat(_T_139, _T_125) @[Cat.scala 29:58] + node _T_140 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[lsu_bus_buffer.scala 141:95] + node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_143 = and(_T_141, _T_142) @[lsu_bus_buffer.scala 141:114] + node _T_144 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[lsu_bus_buffer.scala 141:95] + node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_147 = and(_T_145, _T_146) @[lsu_bus_buffer.scala 141:114] + node _T_148 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[lsu_bus_buffer.scala 141:95] + node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_151 = and(_T_149, _T_150) @[lsu_bus_buffer.scala 141:114] + node _T_152 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[lsu_bus_buffer.scala 141:95] + node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_155 = and(_T_153, _T_154) @[lsu_bus_buffer.scala 141:114] + node _T_156 = cat(_T_155, _T_151) @[Cat.scala 29:58] + node _T_157 = cat(_T_156, _T_147) @[Cat.scala 29:58] + node ld_byte_hitvec_lo_3 = cat(_T_157, _T_143) @[Cat.scala 29:58] + node _T_158 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[lsu_bus_buffer.scala 142:95] + node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_161 = and(_T_159, _T_160) @[lsu_bus_buffer.scala 142:114] + node _T_162 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[lsu_bus_buffer.scala 142:95] + node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_165 = and(_T_163, _T_164) @[lsu_bus_buffer.scala 142:114] + node _T_166 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[lsu_bus_buffer.scala 142:95] + node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_169 = and(_T_167, _T_168) @[lsu_bus_buffer.scala 142:114] + node _T_170 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[lsu_bus_buffer.scala 142:95] + node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_173 = and(_T_171, _T_172) @[lsu_bus_buffer.scala 142:114] + node _T_174 = cat(_T_173, _T_169) @[Cat.scala 29:58] + node _T_175 = cat(_T_174, _T_165) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_0 = cat(_T_175, _T_161) @[Cat.scala 29:58] + node _T_176 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[lsu_bus_buffer.scala 142:95] + node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_179 = and(_T_177, _T_178) @[lsu_bus_buffer.scala 142:114] + node _T_180 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[lsu_bus_buffer.scala 142:95] + node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_183 = and(_T_181, _T_182) @[lsu_bus_buffer.scala 142:114] + node _T_184 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[lsu_bus_buffer.scala 142:95] + node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_187 = and(_T_185, _T_186) @[lsu_bus_buffer.scala 142:114] + node _T_188 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[lsu_bus_buffer.scala 142:95] + node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_191 = and(_T_189, _T_190) @[lsu_bus_buffer.scala 142:114] + node _T_192 = cat(_T_191, _T_187) @[Cat.scala 29:58] + node _T_193 = cat(_T_192, _T_183) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_1 = cat(_T_193, _T_179) @[Cat.scala 29:58] + node _T_194 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[lsu_bus_buffer.scala 142:95] + node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_197 = and(_T_195, _T_196) @[lsu_bus_buffer.scala 142:114] + node _T_198 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[lsu_bus_buffer.scala 142:95] + node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_201 = and(_T_199, _T_200) @[lsu_bus_buffer.scala 142:114] + node _T_202 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[lsu_bus_buffer.scala 142:95] + node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_205 = and(_T_203, _T_204) @[lsu_bus_buffer.scala 142:114] + node _T_206 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[lsu_bus_buffer.scala 142:95] + node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_209 = and(_T_207, _T_208) @[lsu_bus_buffer.scala 142:114] + node _T_210 = cat(_T_209, _T_205) @[Cat.scala 29:58] + node _T_211 = cat(_T_210, _T_201) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_2 = cat(_T_211, _T_197) @[Cat.scala 29:58] + node _T_212 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[lsu_bus_buffer.scala 142:95] + node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_215 = and(_T_213, _T_214) @[lsu_bus_buffer.scala 142:114] + node _T_216 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[lsu_bus_buffer.scala 142:95] + node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_219 = and(_T_217, _T_218) @[lsu_bus_buffer.scala 142:114] + node _T_220 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[lsu_bus_buffer.scala 142:95] + node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_223 = and(_T_221, _T_222) @[lsu_bus_buffer.scala 142:114] + node _T_224 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[lsu_bus_buffer.scala 142:95] + node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_227 = and(_T_225, _T_226) @[lsu_bus_buffer.scala 142:114] + node _T_228 = cat(_T_227, _T_223) @[Cat.scala 29:58] + node _T_229 = cat(_T_228, _T_219) @[Cat.scala 29:58] + node ld_byte_hitvec_hi_3 = cat(_T_229, _T_215) @[Cat.scala 29:58] + wire buf_age_younger : UInt<4>[4] @[lsu_bus_buffer.scala 144:29] + buf_age_younger[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + buf_age_younger[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + buf_age_younger[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + buf_age_younger[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_232 = orr(_T_231) @[lsu_bus_buffer.scala 146:144] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_234 = and(_T_230, _T_233) @[lsu_bus_buffer.scala 146:97] + node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_236 = eq(_T_235, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_237 = and(_T_234, _T_236) @[lsu_bus_buffer.scala 146:148] + node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_240 = orr(_T_239) @[lsu_bus_buffer.scala 146:144] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_242 = and(_T_238, _T_241) @[lsu_bus_buffer.scala 146:97] + node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_244 = eq(_T_243, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_245 = and(_T_242, _T_244) @[lsu_bus_buffer.scala 146:148] + node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_248 = orr(_T_247) @[lsu_bus_buffer.scala 146:144] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_250 = and(_T_246, _T_249) @[lsu_bus_buffer.scala 146:97] + node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_253 = and(_T_250, _T_252) @[lsu_bus_buffer.scala 146:148] + node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_256 = orr(_T_255) @[lsu_bus_buffer.scala 146:144] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_258 = and(_T_254, _T_257) @[lsu_bus_buffer.scala 146:97] + node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_261 = and(_T_258, _T_260) @[lsu_bus_buffer.scala 146:148] + node _T_262 = cat(_T_261, _T_253) @[Cat.scala 29:58] + node _T_263 = cat(_T_262, _T_245) @[Cat.scala 29:58] + node _T_264 = cat(_T_263, _T_237) @[Cat.scala 29:58] + node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_267 = orr(_T_266) @[lsu_bus_buffer.scala 146:144] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_269 = and(_T_265, _T_268) @[lsu_bus_buffer.scala 146:97] + node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_272 = and(_T_269, _T_271) @[lsu_bus_buffer.scala 146:148] + node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_275 = orr(_T_274) @[lsu_bus_buffer.scala 146:144] + node _T_276 = eq(_T_275, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_277 = and(_T_273, _T_276) @[lsu_bus_buffer.scala 146:97] + node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_280 = and(_T_277, _T_279) @[lsu_bus_buffer.scala 146:148] + node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_283 = orr(_T_282) @[lsu_bus_buffer.scala 146:144] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_285 = and(_T_281, _T_284) @[lsu_bus_buffer.scala 146:97] + node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_288 = and(_T_285, _T_287) @[lsu_bus_buffer.scala 146:148] + node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_291 = orr(_T_290) @[lsu_bus_buffer.scala 146:144] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_293 = and(_T_289, _T_292) @[lsu_bus_buffer.scala 146:97] + node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_295 = eq(_T_294, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_296 = and(_T_293, _T_295) @[lsu_bus_buffer.scala 146:148] + node _T_297 = cat(_T_296, _T_288) @[Cat.scala 29:58] + node _T_298 = cat(_T_297, _T_280) @[Cat.scala 29:58] + node _T_299 = cat(_T_298, _T_272) @[Cat.scala 29:58] + node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_302 = orr(_T_301) @[lsu_bus_buffer.scala 146:144] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_304 = and(_T_300, _T_303) @[lsu_bus_buffer.scala 146:97] + node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_307 = and(_T_304, _T_306) @[lsu_bus_buffer.scala 146:148] + node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_310 = orr(_T_309) @[lsu_bus_buffer.scala 146:144] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_312 = and(_T_308, _T_311) @[lsu_bus_buffer.scala 146:97] + node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_315 = and(_T_312, _T_314) @[lsu_bus_buffer.scala 146:148] + node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_318 = orr(_T_317) @[lsu_bus_buffer.scala 146:144] + node _T_319 = eq(_T_318, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_320 = and(_T_316, _T_319) @[lsu_bus_buffer.scala 146:97] + node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_323 = and(_T_320, _T_322) @[lsu_bus_buffer.scala 146:148] + node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_326 = orr(_T_325) @[lsu_bus_buffer.scala 146:144] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_328 = and(_T_324, _T_327) @[lsu_bus_buffer.scala 146:97] + node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_331 = and(_T_328, _T_330) @[lsu_bus_buffer.scala 146:148] + node _T_332 = cat(_T_331, _T_323) @[Cat.scala 29:58] + node _T_333 = cat(_T_332, _T_315) @[Cat.scala 29:58] + node _T_334 = cat(_T_333, _T_307) @[Cat.scala 29:58] + node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_337 = orr(_T_336) @[lsu_bus_buffer.scala 146:144] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_339 = and(_T_335, _T_338) @[lsu_bus_buffer.scala 146:97] + node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_342 = and(_T_339, _T_341) @[lsu_bus_buffer.scala 146:148] + node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_345 = orr(_T_344) @[lsu_bus_buffer.scala 146:144] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_347 = and(_T_343, _T_346) @[lsu_bus_buffer.scala 146:97] + node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_350 = and(_T_347, _T_349) @[lsu_bus_buffer.scala 146:148] + node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_353 = orr(_T_352) @[lsu_bus_buffer.scala 146:144] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_355 = and(_T_351, _T_354) @[lsu_bus_buffer.scala 146:97] + node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_358 = and(_T_355, _T_357) @[lsu_bus_buffer.scala 146:148] + node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_361 = orr(_T_360) @[lsu_bus_buffer.scala 146:144] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_363 = and(_T_359, _T_362) @[lsu_bus_buffer.scala 146:97] + node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_366 = and(_T_363, _T_365) @[lsu_bus_buffer.scala 146:148] + node _T_367 = cat(_T_366, _T_358) @[Cat.scala 29:58] + node _T_368 = cat(_T_367, _T_350) @[Cat.scala 29:58] + node _T_369 = cat(_T_368, _T_342) @[Cat.scala 29:58] + ld_byte_hitvecfn_lo[0] <= _T_264 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_lo[1] <= _T_299 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_lo[2] <= _T_334 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_lo[3] <= _T_369 @[lsu_bus_buffer.scala 146:23] + node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_372 = orr(_T_371) @[lsu_bus_buffer.scala 147:144] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_374 = and(_T_370, _T_373) @[lsu_bus_buffer.scala 147:97] + node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_377 = and(_T_374, _T_376) @[lsu_bus_buffer.scala 147:148] + node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_380 = orr(_T_379) @[lsu_bus_buffer.scala 147:144] + node _T_381 = eq(_T_380, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_382 = and(_T_378, _T_381) @[lsu_bus_buffer.scala 147:97] + node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_385 = and(_T_382, _T_384) @[lsu_bus_buffer.scala 147:148] + node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_388 = orr(_T_387) @[lsu_bus_buffer.scala 147:144] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_390 = and(_T_386, _T_389) @[lsu_bus_buffer.scala 147:97] + node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_393 = and(_T_390, _T_392) @[lsu_bus_buffer.scala 147:148] + node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_396 = orr(_T_395) @[lsu_bus_buffer.scala 147:144] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_398 = and(_T_394, _T_397) @[lsu_bus_buffer.scala 147:97] + node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_401 = and(_T_398, _T_400) @[lsu_bus_buffer.scala 147:148] + node _T_402 = cat(_T_401, _T_393) @[Cat.scala 29:58] + node _T_403 = cat(_T_402, _T_385) @[Cat.scala 29:58] + node _T_404 = cat(_T_403, _T_377) @[Cat.scala 29:58] + node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_407 = orr(_T_406) @[lsu_bus_buffer.scala 147:144] + node _T_408 = eq(_T_407, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_409 = and(_T_405, _T_408) @[lsu_bus_buffer.scala 147:97] + node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_411 = eq(_T_410, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_412 = and(_T_409, _T_411) @[lsu_bus_buffer.scala 147:148] + node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_415 = orr(_T_414) @[lsu_bus_buffer.scala 147:144] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_417 = and(_T_413, _T_416) @[lsu_bus_buffer.scala 147:97] + node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_420 = and(_T_417, _T_419) @[lsu_bus_buffer.scala 147:148] + node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_423 = orr(_T_422) @[lsu_bus_buffer.scala 147:144] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_425 = and(_T_421, _T_424) @[lsu_bus_buffer.scala 147:97] + node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_428 = and(_T_425, _T_427) @[lsu_bus_buffer.scala 147:148] + node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_431 = orr(_T_430) @[lsu_bus_buffer.scala 147:144] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_433 = and(_T_429, _T_432) @[lsu_bus_buffer.scala 147:97] + node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_436 = and(_T_433, _T_435) @[lsu_bus_buffer.scala 147:148] + node _T_437 = cat(_T_436, _T_428) @[Cat.scala 29:58] + node _T_438 = cat(_T_437, _T_420) @[Cat.scala 29:58] + node _T_439 = cat(_T_438, _T_412) @[Cat.scala 29:58] + node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_442 = orr(_T_441) @[lsu_bus_buffer.scala 147:144] + node _T_443 = eq(_T_442, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_444 = and(_T_440, _T_443) @[lsu_bus_buffer.scala 147:97] + node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_447 = and(_T_444, _T_446) @[lsu_bus_buffer.scala 147:148] + node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_450 = orr(_T_449) @[lsu_bus_buffer.scala 147:144] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_452 = and(_T_448, _T_451) @[lsu_bus_buffer.scala 147:97] + node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_455 = and(_T_452, _T_454) @[lsu_bus_buffer.scala 147:148] + node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_458 = orr(_T_457) @[lsu_bus_buffer.scala 147:144] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_460 = and(_T_456, _T_459) @[lsu_bus_buffer.scala 147:97] + node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_463 = and(_T_460, _T_462) @[lsu_bus_buffer.scala 147:148] + node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_466 = orr(_T_465) @[lsu_bus_buffer.scala 147:144] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_468 = and(_T_464, _T_467) @[lsu_bus_buffer.scala 147:97] + node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_471 = and(_T_468, _T_470) @[lsu_bus_buffer.scala 147:148] + node _T_472 = cat(_T_471, _T_463) @[Cat.scala 29:58] + node _T_473 = cat(_T_472, _T_455) @[Cat.scala 29:58] + node _T_474 = cat(_T_473, _T_447) @[Cat.scala 29:58] + node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_477 = orr(_T_476) @[lsu_bus_buffer.scala 147:144] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_479 = and(_T_475, _T_478) @[lsu_bus_buffer.scala 147:97] + node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_482 = and(_T_479, _T_481) @[lsu_bus_buffer.scala 147:148] + node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_485 = orr(_T_484) @[lsu_bus_buffer.scala 147:144] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_487 = and(_T_483, _T_486) @[lsu_bus_buffer.scala 147:97] + node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_490 = and(_T_487, _T_489) @[lsu_bus_buffer.scala 147:148] + node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_493 = orr(_T_492) @[lsu_bus_buffer.scala 147:144] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_495 = and(_T_491, _T_494) @[lsu_bus_buffer.scala 147:97] + node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_498 = and(_T_495, _T_497) @[lsu_bus_buffer.scala 147:148] + node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_501 = orr(_T_500) @[lsu_bus_buffer.scala 147:144] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_503 = and(_T_499, _T_502) @[lsu_bus_buffer.scala 147:97] + node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_506 = and(_T_503, _T_505) @[lsu_bus_buffer.scala 147:148] + node _T_507 = cat(_T_506, _T_498) @[Cat.scala 29:58] + node _T_508 = cat(_T_507, _T_490) @[Cat.scala 29:58] + node _T_509 = cat(_T_508, _T_482) @[Cat.scala 29:58] + ld_byte_hitvecfn_hi[0] <= _T_404 @[lsu_bus_buffer.scala 147:23] + ld_byte_hitvecfn_hi[1] <= _T_439 @[lsu_bus_buffer.scala 147:23] + ld_byte_hitvecfn_hi[2] <= _T_474 @[lsu_bus_buffer.scala 147:23] + ld_byte_hitvecfn_hi[3] <= _T_509 @[lsu_bus_buffer.scala 147:23] + wire ibuf_addr : UInt<32> + ibuf_addr <= UInt<1>("h00") + wire ibuf_write : UInt<1> + ibuf_write <= UInt<1>("h00") + wire ibuf_valid : UInt<1> + ibuf_valid <= UInt<1>("h00") + node _T_510 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 152:43] + node _T_511 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 152:64] + node _T_512 = eq(_T_510, _T_511) @[lsu_bus_buffer.scala 152:51] + node _T_513 = and(_T_512, ibuf_write) @[lsu_bus_buffer.scala 152:73] + node _T_514 = and(_T_513, ibuf_valid) @[lsu_bus_buffer.scala 152:86] + node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[lsu_bus_buffer.scala 152:99] + node _T_515 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 153:43] + node _T_516 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 153:64] + node _T_517 = eq(_T_515, _T_516) @[lsu_bus_buffer.scala 153:51] + node _T_518 = and(_T_517, ibuf_write) @[lsu_bus_buffer.scala 153:73] + node _T_519 = and(_T_518, ibuf_valid) @[lsu_bus_buffer.scala 153:86] + node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[lsu_bus_buffer.scala 153:99] + wire ibuf_byteen : UInt<4> + ibuf_byteen <= UInt<1>("h00") + node _T_520 = bits(ld_addr_ibuf_hit_lo, 0, 0) @[Bitwise.scala 72:15] + node _T_521 = mux(_T_520, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_522 = and(_T_521, ibuf_byteen) @[lsu_bus_buffer.scala 157:55] + node _T_523 = and(_T_522, ldst_byteen_lo_m) @[lsu_bus_buffer.scala 157:69] + ld_byte_ibuf_hit_lo <= _T_523 @[lsu_bus_buffer.scala 157:23] + node _T_524 = bits(ld_addr_ibuf_hit_hi, 0, 0) @[Bitwise.scala 72:15] + node _T_525 = mux(_T_524, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_526 = and(_T_525, ibuf_byteen) @[lsu_bus_buffer.scala 158:55] + node _T_527 = and(_T_526, ldst_byteen_hi_m) @[lsu_bus_buffer.scala 158:69] + ld_byte_ibuf_hit_hi <= _T_527 @[lsu_bus_buffer.scala 158:23] + wire buf_data : UInt<32>[4] @[lsu_bus_buffer.scala 160:22] + buf_data[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + buf_data[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + buf_data[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + buf_data[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + wire fwd_data : UInt<32> + fwd_data <= UInt<1>("h00") + node _T_528 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 163:81] + node _T_529 = bits(_T_528, 0, 0) @[Bitwise.scala 72:15] + node _T_530 = mux(_T_529, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_531 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 163:81] + node _T_532 = bits(_T_531, 0, 0) @[Bitwise.scala 72:15] + node _T_533 = mux(_T_532, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_534 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 163:81] + node _T_535 = bits(_T_534, 0, 0) @[Bitwise.scala 72:15] + node _T_536 = mux(_T_535, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_537 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 163:81] + node _T_538 = bits(_T_537, 0, 0) @[Bitwise.scala 72:15] + node _T_539 = mux(_T_538, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_540 = cat(_T_539, _T_536) @[Cat.scala 29:58] + node _T_541 = cat(_T_540, _T_533) @[Cat.scala 29:58] + node ld_fwddata_buf_lo_initial = cat(_T_541, _T_530) @[Cat.scala 29:58] + node _T_542 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 164:81] + node _T_543 = bits(_T_542, 0, 0) @[Bitwise.scala 72:15] + node _T_544 = mux(_T_543, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_545 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 164:81] + node _T_546 = bits(_T_545, 0, 0) @[Bitwise.scala 72:15] + node _T_547 = mux(_T_546, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_548 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 164:81] + node _T_549 = bits(_T_548, 0, 0) @[Bitwise.scala 72:15] + node _T_550 = mux(_T_549, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_551 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 164:81] + node _T_552 = bits(_T_551, 0, 0) @[Bitwise.scala 72:15] + node _T_553 = mux(_T_552, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_554 = cat(_T_553, _T_550) @[Cat.scala 29:58] + node _T_555 = cat(_T_554, _T_547) @[Cat.scala 29:58] + node ld_fwddata_buf_hi_initial = cat(_T_555, _T_544) @[Cat.scala 29:58] + node _T_556 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[lsu_bus_buffer.scala 165:86] + node _T_557 = bits(_T_556, 0, 0) @[Bitwise.scala 72:15] + node _T_558 = mux(_T_557, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_559 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_560 = and(_T_558, _T_559) @[lsu_bus_buffer.scala 165:91] + node _T_561 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[lsu_bus_buffer.scala 165:86] + node _T_562 = bits(_T_561, 0, 0) @[Bitwise.scala 72:15] + node _T_563 = mux(_T_562, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_564 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_565 = and(_T_563, _T_564) @[lsu_bus_buffer.scala 165:91] + node _T_566 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[lsu_bus_buffer.scala 165:86] + node _T_567 = bits(_T_566, 0, 0) @[Bitwise.scala 72:15] + node _T_568 = mux(_T_567, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_569 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_570 = and(_T_568, _T_569) @[lsu_bus_buffer.scala 165:91] + node _T_571 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[lsu_bus_buffer.scala 165:86] + node _T_572 = bits(_T_571, 0, 0) @[Bitwise.scala 72:15] + node _T_573 = mux(_T_572, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_574 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_575 = and(_T_573, _T_574) @[lsu_bus_buffer.scala 165:91] + node _T_576 = or(_T_560, _T_565) @[lsu_bus_buffer.scala 165:123] + node _T_577 = or(_T_576, _T_570) @[lsu_bus_buffer.scala 165:123] + node _T_578 = or(_T_577, _T_575) @[lsu_bus_buffer.scala 165:123] + node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[lsu_bus_buffer.scala 166:60] + node _T_580 = bits(_T_579, 0, 0) @[Bitwise.scala 72:15] + node _T_581 = mux(_T_580, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_582 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_583 = and(_T_581, _T_582) @[lsu_bus_buffer.scala 166:65] + node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[lsu_bus_buffer.scala 166:60] + node _T_585 = bits(_T_584, 0, 0) @[Bitwise.scala 72:15] + node _T_586 = mux(_T_585, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_587 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_588 = and(_T_586, _T_587) @[lsu_bus_buffer.scala 166:65] + node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[lsu_bus_buffer.scala 166:60] + node _T_590 = bits(_T_589, 0, 0) @[Bitwise.scala 72:15] + node _T_591 = mux(_T_590, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_592 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_593 = and(_T_591, _T_592) @[lsu_bus_buffer.scala 166:65] + node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[lsu_bus_buffer.scala 166:60] + node _T_595 = bits(_T_594, 0, 0) @[Bitwise.scala 72:15] + node _T_596 = mux(_T_595, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_597 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_598 = and(_T_596, _T_597) @[lsu_bus_buffer.scala 166:65] + node _T_599 = or(_T_583, _T_588) @[lsu_bus_buffer.scala 166:97] + node _T_600 = or(_T_599, _T_593) @[lsu_bus_buffer.scala 166:97] + node _T_601 = or(_T_600, _T_598) @[lsu_bus_buffer.scala 166:97] + node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[lsu_bus_buffer.scala 167:60] + node _T_603 = bits(_T_602, 0, 0) @[Bitwise.scala 72:15] + node _T_604 = mux(_T_603, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_605 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_606 = and(_T_604, _T_605) @[lsu_bus_buffer.scala 167:65] + node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[lsu_bus_buffer.scala 167:60] + node _T_608 = bits(_T_607, 0, 0) @[Bitwise.scala 72:15] + node _T_609 = mux(_T_608, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_610 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_611 = and(_T_609, _T_610) @[lsu_bus_buffer.scala 167:65] + node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[lsu_bus_buffer.scala 167:60] + node _T_613 = bits(_T_612, 0, 0) @[Bitwise.scala 72:15] + node _T_614 = mux(_T_613, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_615 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_616 = and(_T_614, _T_615) @[lsu_bus_buffer.scala 167:65] + node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[lsu_bus_buffer.scala 167:60] + node _T_618 = bits(_T_617, 0, 0) @[Bitwise.scala 72:15] + node _T_619 = mux(_T_618, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_620 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_621 = and(_T_619, _T_620) @[lsu_bus_buffer.scala 167:65] + node _T_622 = or(_T_606, _T_611) @[lsu_bus_buffer.scala 167:97] + node _T_623 = or(_T_622, _T_616) @[lsu_bus_buffer.scala 167:97] + node _T_624 = or(_T_623, _T_621) @[lsu_bus_buffer.scala 167:97] + node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[lsu_bus_buffer.scala 168:60] + node _T_626 = bits(_T_625, 0, 0) @[Bitwise.scala 72:15] + node _T_627 = mux(_T_626, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_628 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_629 = and(_T_627, _T_628) @[lsu_bus_buffer.scala 168:65] + node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[lsu_bus_buffer.scala 168:60] + node _T_631 = bits(_T_630, 0, 0) @[Bitwise.scala 72:15] + node _T_632 = mux(_T_631, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_633 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_634 = and(_T_632, _T_633) @[lsu_bus_buffer.scala 168:65] + node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[lsu_bus_buffer.scala 168:60] + node _T_636 = bits(_T_635, 0, 0) @[Bitwise.scala 72:15] + node _T_637 = mux(_T_636, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_638 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_639 = and(_T_637, _T_638) @[lsu_bus_buffer.scala 168:65] + node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[lsu_bus_buffer.scala 168:60] + node _T_641 = bits(_T_640, 0, 0) @[Bitwise.scala 72:15] + node _T_642 = mux(_T_641, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_643 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_644 = and(_T_642, _T_643) @[lsu_bus_buffer.scala 168:65] + node _T_645 = or(_T_629, _T_634) @[lsu_bus_buffer.scala 168:97] + node _T_646 = or(_T_645, _T_639) @[lsu_bus_buffer.scala 168:97] + node _T_647 = or(_T_646, _T_644) @[lsu_bus_buffer.scala 168:97] + node _T_648 = cat(_T_624, _T_647) @[Cat.scala 29:58] + node _T_649 = cat(_T_578, _T_601) @[Cat.scala 29:58] + node _T_650 = cat(_T_649, _T_648) @[Cat.scala 29:58] + node _T_651 = and(ld_fwddata_buf_lo_initial, ibuf_data) @[lsu_bus_buffer.scala 169:32] + node _T_652 = or(_T_650, _T_651) @[lsu_bus_buffer.scala 168:103] + io.ld_fwddata_buf_lo <= _T_652 @[lsu_bus_buffer.scala 165:24] + node _T_653 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[lsu_bus_buffer.scala 171:86] + node _T_654 = bits(_T_653, 0, 0) @[Bitwise.scala 72:15] + node _T_655 = mux(_T_654, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_656 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_657 = and(_T_655, _T_656) @[lsu_bus_buffer.scala 171:91] + node _T_658 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[lsu_bus_buffer.scala 171:86] + node _T_659 = bits(_T_658, 0, 0) @[Bitwise.scala 72:15] + node _T_660 = mux(_T_659, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_661 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_662 = and(_T_660, _T_661) @[lsu_bus_buffer.scala 171:91] + node _T_663 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[lsu_bus_buffer.scala 171:86] + node _T_664 = bits(_T_663, 0, 0) @[Bitwise.scala 72:15] + node _T_665 = mux(_T_664, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_666 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_667 = and(_T_665, _T_666) @[lsu_bus_buffer.scala 171:91] + node _T_668 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[lsu_bus_buffer.scala 171:86] + node _T_669 = bits(_T_668, 0, 0) @[Bitwise.scala 72:15] + node _T_670 = mux(_T_669, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_671 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_672 = and(_T_670, _T_671) @[lsu_bus_buffer.scala 171:91] + node _T_673 = or(_T_657, _T_662) @[lsu_bus_buffer.scala 171:123] + node _T_674 = or(_T_673, _T_667) @[lsu_bus_buffer.scala 171:123] + node _T_675 = or(_T_674, _T_672) @[lsu_bus_buffer.scala 171:123] + node _T_676 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[lsu_bus_buffer.scala 172:60] + node _T_677 = bits(_T_676, 0, 0) @[Bitwise.scala 72:15] + node _T_678 = mux(_T_677, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_679 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_680 = and(_T_678, _T_679) @[lsu_bus_buffer.scala 172:65] + node _T_681 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[lsu_bus_buffer.scala 172:60] + node _T_682 = bits(_T_681, 0, 0) @[Bitwise.scala 72:15] + node _T_683 = mux(_T_682, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_684 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_685 = and(_T_683, _T_684) @[lsu_bus_buffer.scala 172:65] + node _T_686 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[lsu_bus_buffer.scala 172:60] + node _T_687 = bits(_T_686, 0, 0) @[Bitwise.scala 72:15] + node _T_688 = mux(_T_687, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_689 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_690 = and(_T_688, _T_689) @[lsu_bus_buffer.scala 172:65] + node _T_691 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[lsu_bus_buffer.scala 172:60] + node _T_692 = bits(_T_691, 0, 0) @[Bitwise.scala 72:15] + node _T_693 = mux(_T_692, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_694 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_695 = and(_T_693, _T_694) @[lsu_bus_buffer.scala 172:65] + node _T_696 = or(_T_680, _T_685) @[lsu_bus_buffer.scala 172:97] + node _T_697 = or(_T_696, _T_690) @[lsu_bus_buffer.scala 172:97] + node _T_698 = or(_T_697, _T_695) @[lsu_bus_buffer.scala 172:97] + node _T_699 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[lsu_bus_buffer.scala 173:60] + node _T_700 = bits(_T_699, 0, 0) @[Bitwise.scala 72:15] + node _T_701 = mux(_T_700, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_702 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_703 = and(_T_701, _T_702) @[lsu_bus_buffer.scala 173:65] + node _T_704 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[lsu_bus_buffer.scala 173:60] + node _T_705 = bits(_T_704, 0, 0) @[Bitwise.scala 72:15] + node _T_706 = mux(_T_705, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_707 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_708 = and(_T_706, _T_707) @[lsu_bus_buffer.scala 173:65] + node _T_709 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[lsu_bus_buffer.scala 173:60] + node _T_710 = bits(_T_709, 0, 0) @[Bitwise.scala 72:15] + node _T_711 = mux(_T_710, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_712 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_713 = and(_T_711, _T_712) @[lsu_bus_buffer.scala 173:65] + node _T_714 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[lsu_bus_buffer.scala 173:60] + node _T_715 = bits(_T_714, 0, 0) @[Bitwise.scala 72:15] + node _T_716 = mux(_T_715, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_717 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_718 = and(_T_716, _T_717) @[lsu_bus_buffer.scala 173:65] + node _T_719 = or(_T_703, _T_708) @[lsu_bus_buffer.scala 173:97] + node _T_720 = or(_T_719, _T_713) @[lsu_bus_buffer.scala 173:97] + node _T_721 = or(_T_720, _T_718) @[lsu_bus_buffer.scala 173:97] + node _T_722 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[lsu_bus_buffer.scala 174:60] + node _T_723 = bits(_T_722, 0, 0) @[Bitwise.scala 72:15] + node _T_724 = mux(_T_723, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_725 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_726 = and(_T_724, _T_725) @[lsu_bus_buffer.scala 174:65] + node _T_727 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[lsu_bus_buffer.scala 174:60] + node _T_728 = bits(_T_727, 0, 0) @[Bitwise.scala 72:15] + node _T_729 = mux(_T_728, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_730 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_731 = and(_T_729, _T_730) @[lsu_bus_buffer.scala 174:65] + node _T_732 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[lsu_bus_buffer.scala 174:60] + node _T_733 = bits(_T_732, 0, 0) @[Bitwise.scala 72:15] + node _T_734 = mux(_T_733, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_735 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_736 = and(_T_734, _T_735) @[lsu_bus_buffer.scala 174:65] + node _T_737 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[lsu_bus_buffer.scala 174:60] + node _T_738 = bits(_T_737, 0, 0) @[Bitwise.scala 72:15] + node _T_739 = mux(_T_738, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_740 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_741 = and(_T_739, _T_740) @[lsu_bus_buffer.scala 174:65] + node _T_742 = or(_T_726, _T_731) @[lsu_bus_buffer.scala 174:97] + node _T_743 = or(_T_742, _T_736) @[lsu_bus_buffer.scala 174:97] + node _T_744 = or(_T_743, _T_741) @[lsu_bus_buffer.scala 174:97] + node _T_745 = cat(_T_721, _T_744) @[Cat.scala 29:58] + node _T_746 = cat(_T_675, _T_698) @[Cat.scala 29:58] + node _T_747 = cat(_T_746, _T_745) @[Cat.scala 29:58] + node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[lsu_bus_buffer.scala 175:32] + node _T_749 = or(_T_747, _T_748) @[lsu_bus_buffer.scala 174:103] + io.ld_fwddata_buf_hi <= _T_749 @[lsu_bus_buffer.scala 171:24] + node bus_coalescing_disable = or(io.tlu_busbuff.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 177:77] + node _T_750 = mux(io.lsu_pkt_r.bits.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_751 = mux(io.lsu_pkt_r.bits.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_752 = mux(io.lsu_pkt_r.bits.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_753 = or(_T_750, _T_751) @[Mux.scala 27:72] + node _T_754 = or(_T_753, _T_752) @[Mux.scala 27:72] + wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_r <= _T_754 @[Mux.scala 27:72] + node _T_755 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 182:50] + node _T_756 = eq(_T_755, UInt<1>("h00")) @[lsu_bus_buffer.scala 182:55] + node _T_757 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 183:19] + node _T_758 = eq(_T_757, UInt<1>("h01")) @[lsu_bus_buffer.scala 183:24] + node _T_759 = bits(ldst_byteen_r, 3, 3) @[lsu_bus_buffer.scala 183:60] + node _T_760 = cat(UInt<3>("h00"), _T_759) @[Cat.scala 29:58] + node _T_761 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 184:19] + node _T_762 = eq(_T_761, UInt<2>("h02")) @[lsu_bus_buffer.scala 184:24] + node _T_763 = bits(ldst_byteen_r, 3, 2) @[lsu_bus_buffer.scala 184:60] + node _T_764 = cat(UInt<2>("h00"), _T_763) @[Cat.scala 29:58] + node _T_765 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 185:19] + node _T_766 = eq(_T_765, UInt<2>("h03")) @[lsu_bus_buffer.scala 185:24] + node _T_767 = bits(ldst_byteen_r, 3, 1) @[lsu_bus_buffer.scala 185:60] + node _T_768 = cat(UInt<1>("h00"), _T_767) @[Cat.scala 29:58] + node _T_769 = mux(_T_756, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_770 = mux(_T_758, _T_760, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_771 = mux(_T_762, _T_764, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_772 = mux(_T_766, _T_768, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_773 = or(_T_769, _T_770) @[Mux.scala 27:72] + node _T_774 = or(_T_773, _T_771) @[Mux.scala 27:72] + node _T_775 = or(_T_774, _T_772) @[Mux.scala 27:72] + wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_hi_r <= _T_775 @[Mux.scala 27:72] + node _T_776 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 186:50] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[lsu_bus_buffer.scala 186:55] + node _T_778 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 187:19] + node _T_779 = eq(_T_778, UInt<1>("h01")) @[lsu_bus_buffer.scala 187:24] + node _T_780 = bits(ldst_byteen_r, 2, 0) @[lsu_bus_buffer.scala 187:50] + node _T_781 = cat(_T_780, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_782 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 188:19] + node _T_783 = eq(_T_782, UInt<2>("h02")) @[lsu_bus_buffer.scala 188:24] + node _T_784 = bits(ldst_byteen_r, 1, 0) @[lsu_bus_buffer.scala 188:50] + node _T_785 = cat(_T_784, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_786 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 189:19] + node _T_787 = eq(_T_786, UInt<2>("h03")) @[lsu_bus_buffer.scala 189:24] + node _T_788 = bits(ldst_byteen_r, 0, 0) @[lsu_bus_buffer.scala 189:50] + node _T_789 = cat(_T_788, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_790 = mux(_T_777, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_791 = mux(_T_779, _T_781, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_792 = mux(_T_783, _T_785, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_793 = mux(_T_787, _T_789, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_794 = or(_T_790, _T_791) @[Mux.scala 27:72] + node _T_795 = or(_T_794, _T_792) @[Mux.scala 27:72] + node _T_796 = or(_T_795, _T_793) @[Mux.scala 27:72] + wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72] + ldst_byteen_lo_r <= _T_796 @[Mux.scala 27:72] + node _T_797 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 191:49] + node _T_798 = eq(_T_797, UInt<1>("h00")) @[lsu_bus_buffer.scala 191:54] + node _T_799 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 192:19] + node _T_800 = eq(_T_799, UInt<1>("h01")) @[lsu_bus_buffer.scala 192:24] + node _T_801 = bits(io.store_data_r, 31, 24) @[lsu_bus_buffer.scala 192:64] + node _T_802 = cat(UInt<24>("h00"), _T_801) @[Cat.scala 29:58] + node _T_803 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 193:19] + node _T_804 = eq(_T_803, UInt<2>("h02")) @[lsu_bus_buffer.scala 193:24] + node _T_805 = bits(io.store_data_r, 31, 16) @[lsu_bus_buffer.scala 193:63] + node _T_806 = cat(UInt<16>("h00"), _T_805) @[Cat.scala 29:58] + node _T_807 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 194:19] + node _T_808 = eq(_T_807, UInt<2>("h03")) @[lsu_bus_buffer.scala 194:24] + node _T_809 = bits(io.store_data_r, 31, 8) @[lsu_bus_buffer.scala 194:62] + node _T_810 = cat(UInt<8>("h00"), _T_809) @[Cat.scala 29:58] + node _T_811 = mux(_T_798, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_812 = mux(_T_800, _T_802, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_813 = mux(_T_804, _T_806, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_814 = mux(_T_808, _T_810, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_815 = or(_T_811, _T_812) @[Mux.scala 27:72] + node _T_816 = or(_T_815, _T_813) @[Mux.scala 27:72] + node _T_817 = or(_T_816, _T_814) @[Mux.scala 27:72] + wire store_data_hi_r : UInt<32> @[Mux.scala 27:72] + store_data_hi_r <= _T_817 @[Mux.scala 27:72] + node _T_818 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 196:49] + node _T_819 = eq(_T_818, UInt<1>("h00")) @[lsu_bus_buffer.scala 196:54] + node _T_820 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 197:19] + node _T_821 = eq(_T_820, UInt<1>("h01")) @[lsu_bus_buffer.scala 197:24] + node _T_822 = bits(io.store_data_r, 23, 0) @[lsu_bus_buffer.scala 197:52] + node _T_823 = cat(_T_822, UInt<8>("h00")) @[Cat.scala 29:58] + node _T_824 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 198:19] + node _T_825 = eq(_T_824, UInt<2>("h02")) @[lsu_bus_buffer.scala 198:24] + node _T_826 = bits(io.store_data_r, 15, 0) @[lsu_bus_buffer.scala 198:52] + node _T_827 = cat(_T_826, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_828 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 199:19] + node _T_829 = eq(_T_828, UInt<2>("h03")) @[lsu_bus_buffer.scala 199:24] + node _T_830 = bits(io.store_data_r, 7, 0) @[lsu_bus_buffer.scala 199:52] + node _T_831 = cat(_T_830, UInt<24>("h00")) @[Cat.scala 29:58] + node _T_832 = mux(_T_819, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_833 = mux(_T_821, _T_823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_834 = mux(_T_825, _T_827, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_835 = mux(_T_829, _T_831, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_836 = or(_T_832, _T_833) @[Mux.scala 27:72] + node _T_837 = or(_T_836, _T_834) @[Mux.scala 27:72] + node _T_838 = or(_T_837, _T_835) @[Mux.scala 27:72] + wire store_data_lo_r : UInt<32> @[Mux.scala 27:72] + store_data_lo_r <= _T_838 @[Mux.scala 27:72] + node _T_839 = bits(io.lsu_addr_r, 3, 3) @[lsu_bus_buffer.scala 202:36] + node _T_840 = bits(io.end_addr_r, 3, 3) @[lsu_bus_buffer.scala 202:57] + node ldst_samedw_r = eq(_T_839, _T_840) @[lsu_bus_buffer.scala 202:40] + node _T_841 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 203:72] + node _T_842 = eq(_T_841, UInt<1>("h00")) @[lsu_bus_buffer.scala 203:79] + node _T_843 = bits(io.lsu_addr_r, 0, 0) @[lsu_bus_buffer.scala 204:45] + node _T_844 = eq(_T_843, UInt<1>("h00")) @[lsu_bus_buffer.scala 204:31] + node _T_845 = mux(io.lsu_pkt_r.bits.word, _T_842, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_846 = mux(io.lsu_pkt_r.bits.half, _T_844, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_847 = mux(io.lsu_pkt_r.bits.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_848 = or(_T_845, _T_846) @[Mux.scala 27:72] + node _T_849 = or(_T_848, _T_847) @[Mux.scala 27:72] + wire is_aligned_r : UInt<1> @[Mux.scala 27:72] + is_aligned_r <= _T_849 @[Mux.scala 27:72] + node _T_850 = or(io.lsu_pkt_r.bits.load, io.no_word_merge_r) @[lsu_bus_buffer.scala 206:60] + node _T_851 = and(io.lsu_busreq_r, _T_850) @[lsu_bus_buffer.scala 206:34] + node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 206:84] + node ibuf_byp = and(_T_851, _T_852) @[lsu_bus_buffer.scala 206:82] + node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 207:36] + node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[lsu_bus_buffer.scala 207:56] + node ibuf_wr_en = and(_T_853, _T_854) @[lsu_bus_buffer.scala 207:54] + wire ibuf_drain_vld : UInt<1> + ibuf_drain_vld <= UInt<1>("h00") + node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 209:36] + node _T_856 = and(ibuf_drain_vld, _T_855) @[lsu_bus_buffer.scala 209:34] + node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 209:49] + node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 210:44] + node _T_858 = and(io.lsu_busreq_m, _T_857) @[lsu_bus_buffer.scala 210:42] + node _T_859 = and(_T_858, ibuf_valid) @[lsu_bus_buffer.scala 210:61] + node _T_860 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 210:112] + node _T_861 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 210:137] + node _T_862 = neq(_T_860, _T_861) @[lsu_bus_buffer.scala 210:120] + node _T_863 = or(io.lsu_pkt_m.bits.load, _T_862) @[lsu_bus_buffer.scala 210:100] + node ibuf_force_drain = and(_T_859, _T_863) @[lsu_bus_buffer.scala 210:74] + wire ibuf_sideeffect : UInt<1> + ibuf_sideeffect <= UInt<1>("h00") + wire ibuf_timer : UInt<3> + ibuf_timer <= UInt<1>("h00") + wire ibuf_merge_en : UInt<1> + ibuf_merge_en <= UInt<1>("h00") + wire ibuf_merge_in : UInt<1> + ibuf_merge_in <= UInt<1>("h00") + node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 215:62] + node _T_865 = or(ibuf_wr_en, _T_864) @[lsu_bus_buffer.scala 215:48] + node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 215:98] + node _T_867 = eq(_T_866, UInt<1>("h00")) @[lsu_bus_buffer.scala 215:82] + node _T_868 = and(_T_865, _T_867) @[lsu_bus_buffer.scala 215:80] + node _T_869 = or(_T_868, ibuf_byp) @[lsu_bus_buffer.scala 216:5] + node _T_870 = or(_T_869, ibuf_force_drain) @[lsu_bus_buffer.scala 216:16] + node _T_871 = or(_T_870, ibuf_sideeffect) @[lsu_bus_buffer.scala 216:35] + node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 216:55] + node _T_873 = or(_T_871, _T_872) @[lsu_bus_buffer.scala 216:53] + node _T_874 = or(_T_873, bus_coalescing_disable) @[lsu_bus_buffer.scala 216:67] + node _T_875 = and(ibuf_valid, _T_874) @[lsu_bus_buffer.scala 215:32] + ibuf_drain_vld <= _T_875 @[lsu_bus_buffer.scala 215:18] + wire ibuf_tag : UInt<2> + ibuf_tag <= UInt<1>("h00") + wire WrPtr1_r : UInt<2> + WrPtr1_r <= UInt<1>("h00") + wire WrPtr0_r : UInt<2> + WrPtr0_r <= UInt<1>("h00") + node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 221:39] + node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[lsu_bus_buffer.scala 221:69] + node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[lsu_bus_buffer.scala 221:24] + node ibuf_sz_in = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 224:25] + node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 225:42] + node _T_879 = bits(ibuf_byteen, 3, 0) @[lsu_bus_buffer.scala 225:70] + node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 225:95] + node _T_881 = or(_T_879, _T_880) @[lsu_bus_buffer.scala 225:77] + node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 226:41] + node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 226:65] + node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[lsu_bus_buffer.scala 226:8] + node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[lsu_bus_buffer.scala 225:27] + node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] + node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 230:25] + node _T_887 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 230:45] + node _T_888 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 230:76] + node _T_889 = mux(_T_886, _T_887, _T_888) @[lsu_bus_buffer.scala 230:8] + node _T_890 = bits(store_data_hi_r, 7, 0) @[lsu_bus_buffer.scala 231:40] + node _T_891 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 231:77] + node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[lsu_bus_buffer.scala 231:8] + node _T_893 = mux(_T_885, _T_889, _T_892) @[lsu_bus_buffer.scala 229:46] + node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] + node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 230:25] + node _T_896 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 230:45] + node _T_897 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 230:76] + node _T_898 = mux(_T_895, _T_896, _T_897) @[lsu_bus_buffer.scala 230:8] + node _T_899 = bits(store_data_hi_r, 15, 8) @[lsu_bus_buffer.scala 231:40] + node _T_900 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 231:77] + node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[lsu_bus_buffer.scala 231:8] + node _T_902 = mux(_T_894, _T_898, _T_901) @[lsu_bus_buffer.scala 229:46] + node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] + node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 230:25] + node _T_905 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 230:45] + node _T_906 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 230:76] + node _T_907 = mux(_T_904, _T_905, _T_906) @[lsu_bus_buffer.scala 230:8] + node _T_908 = bits(store_data_hi_r, 23, 16) @[lsu_bus_buffer.scala 231:40] + node _T_909 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 231:77] + node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[lsu_bus_buffer.scala 231:8] + node _T_911 = mux(_T_903, _T_907, _T_910) @[lsu_bus_buffer.scala 229:46] + node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] + node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 230:25] + node _T_914 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 230:45] + node _T_915 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 230:76] + node _T_916 = mux(_T_913, _T_914, _T_915) @[lsu_bus_buffer.scala 230:8] + node _T_917 = bits(store_data_hi_r, 31, 24) @[lsu_bus_buffer.scala 231:40] + node _T_918 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 231:77] + node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[lsu_bus_buffer.scala 231:8] + node _T_920 = mux(_T_912, _T_916, _T_919) @[lsu_bus_buffer.scala 229:46] + node _T_921 = cat(_T_920, _T_911) @[Cat.scala 29:58] + node _T_922 = cat(_T_921, _T_902) @[Cat.scala 29:58] + node ibuf_data_in = cat(_T_922, _T_893) @[Cat.scala 29:58] + node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 232:59] + node _T_924 = bits(_T_923, 0, 0) @[lsu_bus_buffer.scala 232:79] + node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 232:93] + node _T_926 = tail(_T_925, 1) @[lsu_bus_buffer.scala 232:93] + node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[lsu_bus_buffer.scala 232:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[lsu_bus_buffer.scala 232:26] + node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 234:36] + node _T_929 = and(_T_928, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 234:54] + node _T_930 = and(_T_929, ibuf_valid) @[lsu_bus_buffer.scala 234:80] + node _T_931 = and(_T_930, ibuf_write) @[lsu_bus_buffer.scala 234:93] + node _T_932 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_buffer.scala 234:122] + node _T_933 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 234:142] + node _T_934 = eq(_T_932, _T_933) @[lsu_bus_buffer.scala 234:129] + node _T_935 = and(_T_931, _T_934) @[lsu_bus_buffer.scala 234:106] + node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 234:152] + node _T_937 = and(_T_935, _T_936) @[lsu_bus_buffer.scala 234:150] + node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 234:175] + node _T_939 = and(_T_937, _T_938) @[lsu_bus_buffer.scala 234:173] + ibuf_merge_en <= _T_939 @[lsu_bus_buffer.scala 234:17] + node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:20] + ibuf_merge_in <= _T_940 @[lsu_bus_buffer.scala 235:17] + node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] + node _T_942 = and(ibuf_merge_en, _T_941) @[lsu_bus_buffer.scala 236:63] + node _T_943 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 236:92] + node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 236:114] + node _T_945 = or(_T_943, _T_944) @[lsu_bus_buffer.scala 236:96] + node _T_946 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 236:130] + node _T_947 = mux(_T_942, _T_945, _T_946) @[lsu_bus_buffer.scala 236:48] + node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] + node _T_949 = and(ibuf_merge_en, _T_948) @[lsu_bus_buffer.scala 236:63] + node _T_950 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 236:92] + node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 236:114] + node _T_952 = or(_T_950, _T_951) @[lsu_bus_buffer.scala 236:96] + node _T_953 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 236:130] + node _T_954 = mux(_T_949, _T_952, _T_953) @[lsu_bus_buffer.scala 236:48] + node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] + node _T_956 = and(ibuf_merge_en, _T_955) @[lsu_bus_buffer.scala 236:63] + node _T_957 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 236:92] + node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 236:114] + node _T_959 = or(_T_957, _T_958) @[lsu_bus_buffer.scala 236:96] + node _T_960 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 236:130] + node _T_961 = mux(_T_956, _T_959, _T_960) @[lsu_bus_buffer.scala 236:48] + node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] + node _T_963 = and(ibuf_merge_en, _T_962) @[lsu_bus_buffer.scala 236:63] + node _T_964 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 236:92] + node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 236:114] + node _T_966 = or(_T_964, _T_965) @[lsu_bus_buffer.scala 236:96] + node _T_967 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 236:130] + node _T_968 = mux(_T_963, _T_966, _T_967) @[lsu_bus_buffer.scala 236:48] + node _T_969 = cat(_T_968, _T_961) @[Cat.scala 29:58] + node _T_970 = cat(_T_969, _T_954) @[Cat.scala 29:58] + node ibuf_byteen_out = cat(_T_970, _T_947) @[Cat.scala 29:58] + node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] + node _T_972 = and(ibuf_merge_en, _T_971) @[lsu_bus_buffer.scala 237:60] + node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 237:98] + node _T_974 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 237:118] + node _T_975 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 237:143] + node _T_976 = mux(_T_973, _T_974, _T_975) @[lsu_bus_buffer.scala 237:81] + node _T_977 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 237:169] + node _T_978 = mux(_T_972, _T_976, _T_977) @[lsu_bus_buffer.scala 237:45] + node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] + node _T_980 = and(ibuf_merge_en, _T_979) @[lsu_bus_buffer.scala 237:60] + node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 237:98] + node _T_982 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 237:118] + node _T_983 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 237:143] + node _T_984 = mux(_T_981, _T_982, _T_983) @[lsu_bus_buffer.scala 237:81] + node _T_985 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 237:169] + node _T_986 = mux(_T_980, _T_984, _T_985) @[lsu_bus_buffer.scala 237:45] + node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] + node _T_988 = and(ibuf_merge_en, _T_987) @[lsu_bus_buffer.scala 237:60] + node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 237:98] + node _T_990 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 237:118] + node _T_991 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 237:143] + node _T_992 = mux(_T_989, _T_990, _T_991) @[lsu_bus_buffer.scala 237:81] + node _T_993 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 237:169] + node _T_994 = mux(_T_988, _T_992, _T_993) @[lsu_bus_buffer.scala 237:45] + node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] + node _T_996 = and(ibuf_merge_en, _T_995) @[lsu_bus_buffer.scala 237:60] + node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 237:98] + node _T_998 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 237:118] + node _T_999 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 237:143] + node _T_1000 = mux(_T_997, _T_998, _T_999) @[lsu_bus_buffer.scala 237:81] + node _T_1001 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 237:169] + node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[lsu_bus_buffer.scala 237:45] + node _T_1003 = cat(_T_1002, _T_994) @[Cat.scala 29:58] + node _T_1004 = cat(_T_1003, _T_986) @[Cat.scala 29:58] + node ibuf_data_out = cat(_T_1004, _T_978) @[Cat.scala 29:58] + node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[lsu_bus_buffer.scala 239:58] + node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 239:93] + node _T_1007 = and(_T_1005, _T_1006) @[lsu_bus_buffer.scala 239:91] + reg _T_1008 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 239:54] + _T_1008 <= _T_1007 @[lsu_bus_buffer.scala 239:54] + ibuf_valid <= _T_1008 @[lsu_bus_buffer.scala 239:14] + reg _T_1009 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1009 <= ibuf_tag_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_tag <= _T_1009 @[lsu_bus_buffer.scala 240:12] + reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_dual : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_dual <= io.ldst_dual_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_samedw : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_samedw <= ldst_samedw_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg ibuf_nomerge : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_nomerge <= io.no_dword_merge_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1010 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1010 <= io.is_sideeffects_r @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_sideeffect <= _T_1010 @[lsu_bus_buffer.scala 245:19] + reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_unsign <= io.lsu_pkt_r.bits.unsign @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1011 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1011 <= io.lsu_pkt_r.bits.store @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_write <= _T_1011 @[lsu_bus_buffer.scala 247:14] + reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr of rvclkhdr @[lib.scala 368:23] + rvclkhdr.clock <= clock + rvclkhdr.reset <= reset + rvclkhdr.io.clk <= clock @[lib.scala 370:18] + rvclkhdr.io.en <= ibuf_wr_en @[lib.scala 371:17] + rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1012 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1012 <= ibuf_addr_in @[lib.scala 374:16] + ibuf_addr <= _T_1012 @[lsu_bus_buffer.scala 249:13] + reg _T_1013 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when ibuf_wr_en : @[Reg.scala 28:19] + _T_1013 <= ibuf_byteen_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + ibuf_byteen <= _T_1013 @[lsu_bus_buffer.scala 250:15] + inst rvclkhdr_1 of rvclkhdr_1 @[lib.scala 368:23] + rvclkhdr_1.clock <= clock + rvclkhdr_1.reset <= reset + rvclkhdr_1.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_1.io.en <= ibuf_wr_en @[lib.scala 371:17] + rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1014 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1014 <= ibuf_data_in @[lib.scala 374:16] + ibuf_data <= _T_1014 @[lsu_bus_buffer.scala 251:13] + reg _T_1015 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 252:55] + _T_1015 <= ibuf_timer_in @[lsu_bus_buffer.scala 252:55] + ibuf_timer <= _T_1015 @[lsu_bus_buffer.scala 252:14] + wire buf_numvld_wrcmd_any : UInt<4> + buf_numvld_wrcmd_any <= UInt<1>("h00") + wire buf_numvld_cmd_any : UInt<4> + buf_numvld_cmd_any <= UInt<1>("h00") + wire obuf_wr_timer : UInt<3> + obuf_wr_timer <= UInt<1>("h00") + wire buf_nomerge : UInt<1>[4] @[lsu_bus_buffer.scala 256:25] + buf_nomerge[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] + buf_nomerge[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] + buf_nomerge[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] + buf_nomerge[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] + wire buf_sideeffect : UInt<4> + buf_sideeffect <= UInt<1>("h00") + wire obuf_force_wr_en : UInt<1> + obuf_force_wr_en <= UInt<1>("h00") + wire obuf_wr_en : UInt<1> + obuf_wr_en <= UInt<1>("h00") + node _T_1016 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 262:43] + node _T_1017 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 262:72] + node _T_1018 = and(_T_1016, _T_1017) @[lsu_bus_buffer.scala 262:51] + node _T_1019 = neq(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 262:97] + node _T_1020 = and(_T_1018, _T_1019) @[lsu_bus_buffer.scala 262:80] + node _T_1021 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:5] + node _T_1022 = and(_T_1020, _T_1021) @[lsu_bus_buffer.scala 262:114] + node _T_1023 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:114] + node _T_1024 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 263:114] + node _T_1025 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 263:114] + node _T_1026 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 263:114] + node _T_1027 = mux(_T_1023, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1028 = mux(_T_1024, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1029 = mux(_T_1025, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1030 = mux(_T_1026, buf_nomerge[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1031 = or(_T_1027, _T_1028) @[Mux.scala 27:72] + node _T_1032 = or(_T_1031, _T_1029) @[Mux.scala 27:72] + node _T_1033 = or(_T_1032, _T_1030) @[Mux.scala 27:72] + wire _T_1034 : UInt<1> @[Mux.scala 27:72] + _T_1034 <= _T_1033 @[Mux.scala 27:72] + node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:31] + node _T_1036 = and(_T_1022, _T_1035) @[lsu_bus_buffer.scala 263:29] + node _T_1037 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:88] + node _T_1038 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 264:111] + node _T_1039 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 264:88] + node _T_1040 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 264:111] + node _T_1041 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 264:88] + node _T_1042 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 264:111] + node _T_1043 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 264:88] + node _T_1044 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 264:111] + node _T_1045 = mux(_T_1037, _T_1038, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1046 = mux(_T_1039, _T_1040, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1047 = mux(_T_1041, _T_1042, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1048 = mux(_T_1043, _T_1044, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1049 = or(_T_1045, _T_1046) @[Mux.scala 27:72] + node _T_1050 = or(_T_1049, _T_1047) @[Mux.scala 27:72] + node _T_1051 = or(_T_1050, _T_1048) @[Mux.scala 27:72] + wire _T_1052 : UInt<1> @[Mux.scala 27:72] + _T_1052 <= _T_1051 @[Mux.scala 27:72] + node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:5] + node _T_1054 = and(_T_1036, _T_1053) @[lsu_bus_buffer.scala 263:140] + node _T_1055 = eq(obuf_force_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:119] + node obuf_wr_wait = and(_T_1054, _T_1055) @[lsu_bus_buffer.scala 264:117] + node _T_1056 = orr(buf_numvld_cmd_any) @[lsu_bus_buffer.scala 265:75] + node _T_1057 = lt(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 265:95] + node _T_1058 = and(_T_1056, _T_1057) @[lsu_bus_buffer.scala 265:79] + node _T_1059 = add(obuf_wr_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 265:123] + node _T_1060 = tail(_T_1059, 1) @[lsu_bus_buffer.scala 265:123] + node _T_1061 = mux(_T_1058, _T_1060, obuf_wr_timer) @[lsu_bus_buffer.scala 265:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1061) @[lsu_bus_buffer.scala 265:29] + node _T_1062 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 266:41] + node _T_1063 = and(io.lsu_busreq_m, _T_1062) @[lsu_bus_buffer.scala 266:39] + node _T_1064 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 266:60] + node _T_1065 = and(_T_1063, _T_1064) @[lsu_bus_buffer.scala 266:58] + node _T_1066 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:93] + node _T_1067 = and(_T_1065, _T_1066) @[lsu_bus_buffer.scala 266:72] + node _T_1068 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 266:117] + node _T_1069 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 266:208] + node _T_1070 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 266:228] + node _T_1071 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:208] + node _T_1072 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 266:228] + node _T_1073 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 266:208] + node _T_1074 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 266:228] + node _T_1075 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 266:208] + node _T_1076 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 266:228] + node _T_1077 = mux(_T_1069, _T_1070, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1078 = mux(_T_1071, _T_1072, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1079 = mux(_T_1073, _T_1074, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1080 = mux(_T_1075, _T_1076, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1081 = or(_T_1077, _T_1078) @[Mux.scala 27:72] + node _T_1082 = or(_T_1081, _T_1079) @[Mux.scala 27:72] + node _T_1083 = or(_T_1082, _T_1080) @[Mux.scala 27:72] + wire _T_1084 : UInt<30> @[Mux.scala 27:72] + _T_1084 <= _T_1083 @[Mux.scala 27:72] + node _T_1085 = neq(_T_1068, _T_1084) @[lsu_bus_buffer.scala 266:123] + node _T_1086 = and(_T_1067, _T_1085) @[lsu_bus_buffer.scala 266:101] + obuf_force_wr_en <= _T_1086 @[lsu_bus_buffer.scala 266:20] + wire buf_numvld_pend_any : UInt<4> + buf_numvld_pend_any <= UInt<1>("h00") + node _T_1087 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:53] + node _T_1088 = and(ibuf_byp, _T_1087) @[lsu_bus_buffer.scala 268:31] + node _T_1089 = eq(io.lsu_pkt_r.bits.store, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:64] + node _T_1090 = or(_T_1089, io.no_dword_merge_r) @[lsu_bus_buffer.scala 268:89] + node ibuf_buf_byp = and(_T_1088, _T_1090) @[lsu_bus_buffer.scala 268:61] + wire bus_sideeffect_pend : UInt<1> + bus_sideeffect_pend <= UInt<1>("h00") + wire found_cmdptr0 : UInt<1> + found_cmdptr0 <= UInt<1>("h00") + wire buf_cmd_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 271:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] + wire buf_dual : UInt<1>[4] @[lsu_bus_buffer.scala 273:22] + buf_dual[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] + buf_dual[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] + buf_dual[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] + buf_dual[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] + wire buf_samedw : UInt<1>[4] @[lsu_bus_buffer.scala 275:24] + buf_samedw[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] + buf_samedw[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] + buf_samedw[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] + buf_samedw[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] + wire found_cmdptr1 : UInt<1> + found_cmdptr1 <= UInt<1>("h00") + wire bus_cmd_ready : UInt<1> + bus_cmd_ready <= UInt<1>("h00") + wire obuf_valid : UInt<1> + obuf_valid <= UInt<1>("h00") + wire obuf_nosend : UInt<1> + obuf_nosend <= UInt<1>("h00") + wire lsu_bus_cntr_overflow : UInt<1> + lsu_bus_cntr_overflow <= UInt<1>("h00") + wire bus_addr_match_pending : UInt<1> + bus_addr_match_pending <= UInt<1>("h00") + node _T_1091 = and(ibuf_buf_byp, io.lsu_commit_r) @[lsu_bus_buffer.scala 283:32] + node _T_1092 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[lsu_bus_buffer.scala 283:74] + node _T_1093 = eq(_T_1092, UInt<1>("h00")) @[lsu_bus_buffer.scala 283:52] + node _T_1094 = and(_T_1091, _T_1093) @[lsu_bus_buffer.scala 283:50] + node _T_1095 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1096 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1097 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1098 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1099 = mux(_T_1095, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1100 = mux(_T_1096, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1101 = mux(_T_1097, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1102 = mux(_T_1098, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1103 = or(_T_1099, _T_1100) @[Mux.scala 27:72] + node _T_1104 = or(_T_1103, _T_1101) @[Mux.scala 27:72] + node _T_1105 = or(_T_1104, _T_1102) @[Mux.scala 27:72] + wire _T_1106 : UInt<3> @[Mux.scala 27:72] + _T_1106 <= _T_1105 @[Mux.scala 27:72] + node _T_1107 = eq(_T_1106, UInt<3>("h02")) @[lsu_bus_buffer.scala 284:36] + node _T_1108 = and(_T_1107, found_cmdptr0) @[lsu_bus_buffer.scala 284:47] + node _T_1109 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1110 = cat(_T_1109, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1111 = cat(_T_1110, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1112 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1113 = bits(_T_1111, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1114 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1115 = bits(_T_1111, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1116 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1117 = bits(_T_1111, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1118 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1119 = bits(_T_1111, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1120 = mux(_T_1112, _T_1113, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1121 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1122 = mux(_T_1116, _T_1117, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1123 = mux(_T_1118, _T_1119, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1124 = or(_T_1120, _T_1121) @[Mux.scala 27:72] + node _T_1125 = or(_T_1124, _T_1122) @[Mux.scala 27:72] + node _T_1126 = or(_T_1125, _T_1123) @[Mux.scala 27:72] + wire _T_1127 : UInt<1> @[Mux.scala 27:72] + _T_1127 <= _T_1126 @[Mux.scala 27:72] + node _T_1128 = eq(_T_1127, UInt<1>("h00")) @[lsu_bus_buffer.scala 285:23] + node _T_1129 = and(_T_1108, _T_1128) @[lsu_bus_buffer.scala 285:21] + node _T_1130 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1131 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1132 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1133 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1134 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1135 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1136 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1137 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1138 = mux(_T_1130, _T_1131, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1139 = mux(_T_1132, _T_1133, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1140 = mux(_T_1134, _T_1135, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1141 = mux(_T_1136, _T_1137, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1142 = or(_T_1138, _T_1139) @[Mux.scala 27:72] + node _T_1143 = or(_T_1142, _T_1140) @[Mux.scala 27:72] + node _T_1144 = or(_T_1143, _T_1141) @[Mux.scala 27:72] + wire _T_1145 : UInt<1> @[Mux.scala 27:72] + _T_1145 <= _T_1144 @[Mux.scala 27:72] + node _T_1146 = and(_T_1145, bus_sideeffect_pend) @[lsu_bus_buffer.scala 285:141] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[lsu_bus_buffer.scala 285:105] + node _T_1148 = and(_T_1129, _T_1147) @[lsu_bus_buffer.scala 285:103] + node _T_1149 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1150 = cat(_T_1149, buf_dual[1]) @[Cat.scala 29:58] + node _T_1151 = cat(_T_1150, buf_dual[0]) @[Cat.scala 29:58] + node _T_1152 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1153 = bits(_T_1151, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1154 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1155 = bits(_T_1151, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1156 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1157 = bits(_T_1151, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1158 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1159 = bits(_T_1151, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1160 = mux(_T_1152, _T_1153, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1161 = mux(_T_1154, _T_1155, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1162 = mux(_T_1156, _T_1157, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1163 = mux(_T_1158, _T_1159, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1164 = or(_T_1160, _T_1161) @[Mux.scala 27:72] + node _T_1165 = or(_T_1164, _T_1162) @[Mux.scala 27:72] + node _T_1166 = or(_T_1165, _T_1163) @[Mux.scala 27:72] + wire _T_1167 : UInt<1> @[Mux.scala 27:72] + _T_1167 <= _T_1166 @[Mux.scala 27:72] + node _T_1168 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1169 = cat(_T_1168, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1170 = cat(_T_1169, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1171 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1172 = bits(_T_1170, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1173 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1174 = bits(_T_1170, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1175 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1176 = bits(_T_1170, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1177 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1178 = bits(_T_1170, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1179 = mux(_T_1171, _T_1172, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1180 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1181 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1182 = mux(_T_1177, _T_1178, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1183 = or(_T_1179, _T_1180) @[Mux.scala 27:72] + node _T_1184 = or(_T_1183, _T_1181) @[Mux.scala 27:72] + node _T_1185 = or(_T_1184, _T_1182) @[Mux.scala 27:72] + wire _T_1186 : UInt<1> @[Mux.scala 27:72] + _T_1186 <= _T_1185 @[Mux.scala 27:72] + node _T_1187 = and(_T_1167, _T_1186) @[lsu_bus_buffer.scala 286:77] + node _T_1188 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1189 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1190 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1191 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1192 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1193 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1194 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1195 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1196 = mux(_T_1188, _T_1189, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1197 = mux(_T_1190, _T_1191, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1198 = mux(_T_1192, _T_1193, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1199 = mux(_T_1194, _T_1195, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1200 = or(_T_1196, _T_1197) @[Mux.scala 27:72] + node _T_1201 = or(_T_1200, _T_1198) @[Mux.scala 27:72] + node _T_1202 = or(_T_1201, _T_1199) @[Mux.scala 27:72] + wire _T_1203 : UInt<1> @[Mux.scala 27:72] + _T_1203 <= _T_1202 @[Mux.scala 27:72] + node _T_1204 = eq(_T_1203, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:150] + node _T_1205 = and(_T_1187, _T_1204) @[lsu_bus_buffer.scala 286:148] + node _T_1206 = eq(_T_1205, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:8] + node _T_1207 = or(_T_1206, found_cmdptr1) @[lsu_bus_buffer.scala 286:181] + node _T_1208 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] + node _T_1209 = cat(_T_1208, buf_nomerge[1]) @[Cat.scala 29:58] + node _T_1210 = cat(_T_1209, buf_nomerge[0]) @[Cat.scala 29:58] + node _T_1211 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1212 = bits(_T_1210, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1213 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1214 = bits(_T_1210, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1215 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1216 = bits(_T_1210, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1217 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1218 = bits(_T_1210, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1219 = mux(_T_1211, _T_1212, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1220 = mux(_T_1213, _T_1214, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1221 = mux(_T_1215, _T_1216, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1222 = mux(_T_1217, _T_1218, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1223 = or(_T_1219, _T_1220) @[Mux.scala 27:72] + node _T_1224 = or(_T_1223, _T_1221) @[Mux.scala 27:72] + node _T_1225 = or(_T_1224, _T_1222) @[Mux.scala 27:72] + wire _T_1226 : UInt<1> @[Mux.scala 27:72] + _T_1226 <= _T_1225 @[Mux.scala 27:72] + node _T_1227 = or(_T_1207, _T_1226) @[lsu_bus_buffer.scala 286:197] + node _T_1228 = or(_T_1227, obuf_force_wr_en) @[lsu_bus_buffer.scala 286:269] + node _T_1229 = and(_T_1148, _T_1228) @[lsu_bus_buffer.scala 285:164] + node _T_1230 = or(_T_1094, _T_1229) @[lsu_bus_buffer.scala 283:98] + node _T_1231 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:48] + node _T_1232 = or(bus_cmd_ready, _T_1231) @[lsu_bus_buffer.scala 287:46] + node _T_1233 = or(_T_1232, obuf_nosend) @[lsu_bus_buffer.scala 287:60] + node _T_1234 = and(_T_1230, _T_1233) @[lsu_bus_buffer.scala 287:29] + node _T_1235 = eq(obuf_wr_wait, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:77] + node _T_1236 = and(_T_1234, _T_1235) @[lsu_bus_buffer.scala 287:75] + node _T_1237 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:93] + node _T_1238 = and(_T_1236, _T_1237) @[lsu_bus_buffer.scala 287:91] + node _T_1239 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:118] + node _T_1240 = and(_T_1238, _T_1239) @[lsu_bus_buffer.scala 287:116] + node _T_1241 = and(_T_1240, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 287:142] + obuf_wr_en <= _T_1241 @[lsu_bus_buffer.scala 283:14] + wire bus_cmd_sent : UInt<1> + bus_cmd_sent <= UInt<1>("h00") + node _T_1242 = and(obuf_valid, obuf_nosend) @[lsu_bus_buffer.scala 289:47] + node _T_1243 = or(bus_cmd_sent, _T_1242) @[lsu_bus_buffer.scala 289:33] + node _T_1244 = eq(obuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 289:65] + node _T_1245 = and(_T_1243, _T_1244) @[lsu_bus_buffer.scala 289:63] + node _T_1246 = and(_T_1245, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 289:77] + node obuf_rst = or(_T_1246, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 289:98] + node _T_1247 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1248 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1249 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1250 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1251 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1252 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1253 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1254 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1255 = mux(_T_1247, _T_1248, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1256 = mux(_T_1249, _T_1250, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1257 = mux(_T_1251, _T_1252, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1258 = mux(_T_1253, _T_1254, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1259 = or(_T_1255, _T_1256) @[Mux.scala 27:72] + node _T_1260 = or(_T_1259, _T_1257) @[Mux.scala 27:72] + node _T_1261 = or(_T_1260, _T_1258) @[Mux.scala 27:72] + wire _T_1262 : UInt<1> @[Mux.scala 27:72] + _T_1262 <= _T_1261 @[Mux.scala 27:72] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.bits.store, _T_1262) @[lsu_bus_buffer.scala 290:26] + node _T_1263 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1264 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1265 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1266 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1267 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1268 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1269 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1270 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1271 = mux(_T_1263, _T_1264, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1272 = mux(_T_1265, _T_1266, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1273 = mux(_T_1267, _T_1268, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1274 = mux(_T_1269, _T_1270, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1275 = or(_T_1271, _T_1272) @[Mux.scala 27:72] + node _T_1276 = or(_T_1275, _T_1273) @[Mux.scala 27:72] + node _T_1277 = or(_T_1276, _T_1274) @[Mux.scala 27:72] + wire _T_1278 : UInt<1> @[Mux.scala 27:72] + _T_1278 <= _T_1277 @[Mux.scala 27:72] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1278) @[lsu_bus_buffer.scala 291:31] + node _T_1279 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1280 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1281 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1282 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1283 = mux(_T_1279, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1284 = mux(_T_1280, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1285 = mux(_T_1281, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1286 = mux(_T_1282, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1287 = or(_T_1283, _T_1284) @[Mux.scala 27:72] + node _T_1288 = or(_T_1287, _T_1285) @[Mux.scala 27:72] + node _T_1289 = or(_T_1288, _T_1286) @[Mux.scala 27:72] + wire _T_1290 : UInt<32> @[Mux.scala 27:72] + _T_1290 <= _T_1289 @[Mux.scala 27:72] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1290) @[lsu_bus_buffer.scala 292:25] + wire buf_sz : UInt<2>[4] @[lsu_bus_buffer.scala 293:20] + buf_sz[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] + buf_sz[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] + buf_sz[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] + buf_sz[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] + node _T_1291 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_1292 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1293 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1294 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1295 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1296 = mux(_T_1292, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1297 = mux(_T_1293, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1298 = mux(_T_1294, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1299 = mux(_T_1295, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1300 = or(_T_1296, _T_1297) @[Mux.scala 27:72] + node _T_1301 = or(_T_1300, _T_1298) @[Mux.scala 27:72] + node _T_1302 = or(_T_1301, _T_1299) @[Mux.scala 27:72] + wire _T_1303 : UInt<2> @[Mux.scala 27:72] + _T_1303 <= _T_1302 @[Mux.scala 27:72] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1291, _T_1303) @[lsu_bus_buffer.scala 295:23] + wire obuf_merge_en : UInt<1> + obuf_merge_en <= UInt<1>("h00") + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[lsu_bus_buffer.scala 298:25] + node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, CmdPtr1) @[lsu_bus_buffer.scala 300:25] + wire obuf_cmd_done : UInt<1> + obuf_cmd_done <= UInt<1>("h00") + wire bus_wcmd_sent : UInt<1> + bus_wcmd_sent <= UInt<1>("h00") + node _T_1304 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 303:39] + node _T_1305 = eq(_T_1304, UInt<1>("h00")) @[lsu_bus_buffer.scala 303:26] + node _T_1306 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 303:68] + node obuf_cmd_done_in = and(_T_1305, _T_1306) @[lsu_bus_buffer.scala 303:51] + wire obuf_data_done : UInt<1> + obuf_data_done <= UInt<1>("h00") + wire bus_wdata_sent : UInt<1> + bus_wdata_sent <= UInt<1>("h00") + node _T_1307 = or(obuf_wr_en, obuf_rst) @[lsu_bus_buffer.scala 306:40] + node _T_1308 = eq(_T_1307, UInt<1>("h00")) @[lsu_bus_buffer.scala 306:27] + node _T_1309 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 306:70] + node obuf_data_done_in = and(_T_1308, _T_1309) @[lsu_bus_buffer.scala 306:52] + node _T_1310 = bits(obuf_sz_in, 1, 0) @[lsu_bus_buffer.scala 307:67] + node _T_1311 = eq(_T_1310, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:72] + node _T_1312 = bits(obuf_sz_in, 0, 0) @[lsu_bus_buffer.scala 307:92] + node _T_1313 = bits(obuf_addr_in, 0, 0) @[lsu_bus_buffer.scala 307:111] + node _T_1314 = eq(_T_1313, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:98] + node _T_1315 = and(_T_1312, _T_1314) @[lsu_bus_buffer.scala 307:96] + node _T_1316 = or(_T_1311, _T_1315) @[lsu_bus_buffer.scala 307:79] + node _T_1317 = bits(obuf_sz_in, 1, 1) @[lsu_bus_buffer.scala 307:129] + node _T_1318 = bits(obuf_addr_in, 1, 0) @[lsu_bus_buffer.scala 307:147] + node _T_1319 = orr(_T_1318) @[lsu_bus_buffer.scala 307:153] + node _T_1320 = eq(_T_1319, UInt<1>("h00")) @[lsu_bus_buffer.scala 307:134] + node _T_1321 = and(_T_1317, _T_1320) @[lsu_bus_buffer.scala 307:132] + node _T_1322 = or(_T_1316, _T_1321) @[lsu_bus_buffer.scala 307:116] + node obuf_aligned_in = mux(ibuf_buf_byp, is_aligned_r, _T_1322) @[lsu_bus_buffer.scala 307:28] + wire obuf_nosend_in : UInt<1> + obuf_nosend_in <= UInt<1>("h00") + wire obuf_rdrsp_pend : UInt<1> + obuf_rdrsp_pend <= UInt<1>("h00") + wire bus_rsp_read : UInt<1> + bus_rsp_read <= UInt<1>("h00") + wire bus_rsp_read_tag : UInt<3> + bus_rsp_read_tag <= UInt<1>("h00") + wire obuf_rdrsp_tag : UInt<3> + obuf_rdrsp_tag <= UInt<1>("h00") + wire obuf_write : UInt<1> + obuf_write <= UInt<1>("h00") + node _T_1323 = eq(obuf_nosend_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 315:44] + node _T_1324 = and(obuf_wr_en, _T_1323) @[lsu_bus_buffer.scala 315:42] + node _T_1325 = eq(_T_1324, UInt<1>("h00")) @[lsu_bus_buffer.scala 315:29] + node _T_1326 = and(_T_1325, obuf_rdrsp_pend) @[lsu_bus_buffer.scala 315:61] + node _T_1327 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 315:116] + node _T_1328 = and(bus_rsp_read, _T_1327) @[lsu_bus_buffer.scala 315:96] + node _T_1329 = eq(_T_1328, UInt<1>("h00")) @[lsu_bus_buffer.scala 315:81] + node _T_1330 = and(_T_1326, _T_1329) @[lsu_bus_buffer.scala 315:79] + node _T_1331 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:22] + node _T_1332 = and(bus_cmd_sent, _T_1331) @[lsu_bus_buffer.scala 316:20] + node _T_1333 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 316:37] + node _T_1334 = and(_T_1332, _T_1333) @[lsu_bus_buffer.scala 316:35] + node obuf_rdrsp_pend_in = or(_T_1330, _T_1334) @[lsu_bus_buffer.scala 315:138] + wire obuf_tag0 : UInt<3> + obuf_tag0 <= UInt<1>("h00") + node _T_1335 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 318:46] + node _T_1336 = and(bus_cmd_sent, _T_1335) @[lsu_bus_buffer.scala 318:44] + node obuf_rdrsp_tag_in = mux(_T_1336, obuf_tag0, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 318:30] + wire obuf_addr : UInt<32> + obuf_addr <= UInt<1>("h00") + wire obuf_sideeffect : UInt<1> + obuf_sideeffect <= UInt<1>("h00") + node _T_1337 = bits(obuf_addr_in, 31, 3) @[lsu_bus_buffer.scala 321:34] + node _T_1338 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 321:52] + node _T_1339 = eq(_T_1337, _T_1338) @[lsu_bus_buffer.scala 321:40] + node _T_1340 = and(_T_1339, obuf_aligned_in) @[lsu_bus_buffer.scala 321:60] + node _T_1341 = eq(obuf_sideeffect, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:80] + node _T_1342 = and(_T_1340, _T_1341) @[lsu_bus_buffer.scala 321:78] + node _T_1343 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:99] + node _T_1344 = and(_T_1342, _T_1343) @[lsu_bus_buffer.scala 321:97] + node _T_1345 = eq(obuf_write_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:113] + node _T_1346 = and(_T_1344, _T_1345) @[lsu_bus_buffer.scala 321:111] + node _T_1347 = eq(io.tlu_busbuff.dec_tlu_external_ldfwd_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 321:130] + node _T_1348 = and(_T_1346, _T_1347) @[lsu_bus_buffer.scala 321:128] + node _T_1349 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:20] + node _T_1350 = and(obuf_valid, _T_1349) @[lsu_bus_buffer.scala 322:18] + node _T_1351 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 322:90] + node _T_1352 = and(bus_rsp_read, _T_1351) @[lsu_bus_buffer.scala 322:70] + node _T_1353 = eq(_T_1352, UInt<1>("h00")) @[lsu_bus_buffer.scala 322:55] + node _T_1354 = and(obuf_rdrsp_pend, _T_1353) @[lsu_bus_buffer.scala 322:53] + node _T_1355 = or(_T_1350, _T_1354) @[lsu_bus_buffer.scala 322:34] + node _T_1356 = and(_T_1348, _T_1355) @[lsu_bus_buffer.scala 321:177] + obuf_nosend_in <= _T_1356 @[lsu_bus_buffer.scala 321:18] + node _T_1357 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 323:60] + node _T_1358 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1359 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] + node _T_1360 = mux(_T_1357, _T_1358, _T_1359) @[lsu_bus_buffer.scala 323:46] + node _T_1361 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1362 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1363 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1364 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1365 = mux(_T_1361, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1366 = mux(_T_1362, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1367 = mux(_T_1363, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1368 = mux(_T_1364, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1369 = or(_T_1365, _T_1366) @[Mux.scala 27:72] + node _T_1370 = or(_T_1369, _T_1367) @[Mux.scala 27:72] + node _T_1371 = or(_T_1370, _T_1368) @[Mux.scala 27:72] + wire _T_1372 : UInt<32> @[Mux.scala 27:72] + _T_1372 <= _T_1371 @[Mux.scala 27:72] + node _T_1373 = bits(_T_1372, 2, 2) @[lsu_bus_buffer.scala 324:36] + node _T_1374 = bits(_T_1373, 0, 0) @[lsu_bus_buffer.scala 324:46] + node _T_1375 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1376 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1377 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1378 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1379 = mux(_T_1375, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1380 = mux(_T_1376, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1381 = mux(_T_1377, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1382 = mux(_T_1378, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1383 = or(_T_1379, _T_1380) @[Mux.scala 27:72] + node _T_1384 = or(_T_1383, _T_1381) @[Mux.scala 27:72] + node _T_1385 = or(_T_1384, _T_1382) @[Mux.scala 27:72] + wire _T_1386 : UInt<4> @[Mux.scala 27:72] + _T_1386 <= _T_1385 @[Mux.scala 27:72] + node _T_1387 = cat(_T_1386, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1388 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1389 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1390 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1391 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1392 = mux(_T_1388, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1393 = mux(_T_1389, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1394 = mux(_T_1390, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1395 = mux(_T_1391, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1396 = or(_T_1392, _T_1393) @[Mux.scala 27:72] + node _T_1397 = or(_T_1396, _T_1394) @[Mux.scala 27:72] + node _T_1398 = or(_T_1397, _T_1395) @[Mux.scala 27:72] + wire _T_1399 : UInt<4> @[Mux.scala 27:72] + _T_1399 <= _T_1398 @[Mux.scala 27:72] + node _T_1400 = cat(UInt<4>("h00"), _T_1399) @[Cat.scala 29:58] + node _T_1401 = mux(_T_1374, _T_1387, _T_1400) @[lsu_bus_buffer.scala 324:8] + node obuf_byteen0_in = mux(ibuf_buf_byp, _T_1360, _T_1401) @[lsu_bus_buffer.scala 323:28] + node _T_1402 = bits(io.end_addr_r, 2, 2) @[lsu_bus_buffer.scala 325:60] + node _T_1403 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1404 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] + node _T_1405 = mux(_T_1402, _T_1403, _T_1404) @[lsu_bus_buffer.scala 325:46] + node _T_1406 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1407 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1408 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1409 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1410 = mux(_T_1406, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1411 = mux(_T_1407, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1412 = mux(_T_1408, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1413 = mux(_T_1409, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1414 = or(_T_1410, _T_1411) @[Mux.scala 27:72] + node _T_1415 = or(_T_1414, _T_1412) @[Mux.scala 27:72] + node _T_1416 = or(_T_1415, _T_1413) @[Mux.scala 27:72] + wire _T_1417 : UInt<32> @[Mux.scala 27:72] + _T_1417 <= _T_1416 @[Mux.scala 27:72] + node _T_1418 = bits(_T_1417, 2, 2) @[lsu_bus_buffer.scala 326:36] + node _T_1419 = bits(_T_1418, 0, 0) @[lsu_bus_buffer.scala 326:46] + node _T_1420 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1421 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1422 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1423 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1424 = mux(_T_1420, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1425 = mux(_T_1421, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1426 = mux(_T_1422, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1427 = mux(_T_1423, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1428 = or(_T_1424, _T_1425) @[Mux.scala 27:72] + node _T_1429 = or(_T_1428, _T_1426) @[Mux.scala 27:72] + node _T_1430 = or(_T_1429, _T_1427) @[Mux.scala 27:72] + wire _T_1431 : UInt<4> @[Mux.scala 27:72] + _T_1431 <= _T_1430 @[Mux.scala 27:72] + node _T_1432 = cat(_T_1431, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_1433 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1434 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1435 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1436 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1437 = mux(_T_1433, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1438 = mux(_T_1434, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1439 = mux(_T_1435, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1440 = mux(_T_1436, buf_byteen[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1441 = or(_T_1437, _T_1438) @[Mux.scala 27:72] + node _T_1442 = or(_T_1441, _T_1439) @[Mux.scala 27:72] + node _T_1443 = or(_T_1442, _T_1440) @[Mux.scala 27:72] + wire _T_1444 : UInt<4> @[Mux.scala 27:72] + _T_1444 <= _T_1443 @[Mux.scala 27:72] + node _T_1445 = cat(UInt<4>("h00"), _T_1444) @[Cat.scala 29:58] + node _T_1446 = mux(_T_1419, _T_1432, _T_1445) @[lsu_bus_buffer.scala 326:8] + node obuf_byteen1_in = mux(ibuf_buf_byp, _T_1405, _T_1446) @[lsu_bus_buffer.scala 325:28] + node _T_1447 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 328:58] + node _T_1448 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1449 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] + node _T_1450 = mux(_T_1447, _T_1448, _T_1449) @[lsu_bus_buffer.scala 328:44] + node _T_1451 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1452 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1453 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1454 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1455 = mux(_T_1451, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1456 = mux(_T_1452, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1457 = mux(_T_1453, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1458 = mux(_T_1454, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1459 = or(_T_1455, _T_1456) @[Mux.scala 27:72] + node _T_1460 = or(_T_1459, _T_1457) @[Mux.scala 27:72] + node _T_1461 = or(_T_1460, _T_1458) @[Mux.scala 27:72] + wire _T_1462 : UInt<32> @[Mux.scala 27:72] + _T_1462 <= _T_1461 @[Mux.scala 27:72] + node _T_1463 = bits(_T_1462, 2, 2) @[lsu_bus_buffer.scala 329:36] + node _T_1464 = bits(_T_1463, 0, 0) @[lsu_bus_buffer.scala 329:46] + node _T_1465 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1466 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1467 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1468 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1469 = mux(_T_1465, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1470 = mux(_T_1466, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1471 = mux(_T_1467, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1472 = mux(_T_1468, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1473 = or(_T_1469, _T_1470) @[Mux.scala 27:72] + node _T_1474 = or(_T_1473, _T_1471) @[Mux.scala 27:72] + node _T_1475 = or(_T_1474, _T_1472) @[Mux.scala 27:72] + wire _T_1476 : UInt<32> @[Mux.scala 27:72] + _T_1476 <= _T_1475 @[Mux.scala 27:72] + node _T_1477 = cat(_T_1476, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1478 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1479 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1480 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1481 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1482 = mux(_T_1478, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1483 = mux(_T_1479, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1484 = mux(_T_1480, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1485 = mux(_T_1481, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1486 = or(_T_1482, _T_1483) @[Mux.scala 27:72] + node _T_1487 = or(_T_1486, _T_1484) @[Mux.scala 27:72] + node _T_1488 = or(_T_1487, _T_1485) @[Mux.scala 27:72] + wire _T_1489 : UInt<32> @[Mux.scala 27:72] + _T_1489 <= _T_1488 @[Mux.scala 27:72] + node _T_1490 = cat(UInt<32>("h00"), _T_1489) @[Cat.scala 29:58] + node _T_1491 = mux(_T_1464, _T_1477, _T_1490) @[lsu_bus_buffer.scala 329:8] + node obuf_data0_in = mux(ibuf_buf_byp, _T_1450, _T_1491) @[lsu_bus_buffer.scala 328:26] + node _T_1492 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_buffer.scala 330:58] + node _T_1493 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1494 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] + node _T_1495 = mux(_T_1492, _T_1493, _T_1494) @[lsu_bus_buffer.scala 330:44] + node _T_1496 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1497 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1498 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1499 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1500 = mux(_T_1496, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1501 = mux(_T_1497, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1502 = mux(_T_1498, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1503 = mux(_T_1499, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1504 = or(_T_1500, _T_1501) @[Mux.scala 27:72] + node _T_1505 = or(_T_1504, _T_1502) @[Mux.scala 27:72] + node _T_1506 = or(_T_1505, _T_1503) @[Mux.scala 27:72] + wire _T_1507 : UInt<32> @[Mux.scala 27:72] + _T_1507 <= _T_1506 @[Mux.scala 27:72] + node _T_1508 = bits(_T_1507, 2, 2) @[lsu_bus_buffer.scala 331:36] + node _T_1509 = bits(_T_1508, 0, 0) @[lsu_bus_buffer.scala 331:46] + node _T_1510 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1511 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1512 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1513 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1514 = mux(_T_1510, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1515 = mux(_T_1511, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1516 = mux(_T_1512, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1517 = mux(_T_1513, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1518 = or(_T_1514, _T_1515) @[Mux.scala 27:72] + node _T_1519 = or(_T_1518, _T_1516) @[Mux.scala 27:72] + node _T_1520 = or(_T_1519, _T_1517) @[Mux.scala 27:72] + wire _T_1521 : UInt<32> @[Mux.scala 27:72] + _T_1521 <= _T_1520 @[Mux.scala 27:72] + node _T_1522 = cat(_T_1521, UInt<32>("h00")) @[Cat.scala 29:58] + node _T_1523 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1524 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1525 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1526 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1527 = mux(_T_1523, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1528 = mux(_T_1524, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1529 = mux(_T_1525, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1530 = mux(_T_1526, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1531 = or(_T_1527, _T_1528) @[Mux.scala 27:72] + node _T_1532 = or(_T_1531, _T_1529) @[Mux.scala 27:72] + node _T_1533 = or(_T_1532, _T_1530) @[Mux.scala 27:72] + wire _T_1534 : UInt<32> @[Mux.scala 27:72] + _T_1534 <= _T_1533 @[Mux.scala 27:72] + node _T_1535 = cat(UInt<32>("h00"), _T_1534) @[Cat.scala 29:58] + node _T_1536 = mux(_T_1509, _T_1522, _T_1535) @[lsu_bus_buffer.scala 331:8] + node obuf_data1_in = mux(ibuf_buf_byp, _T_1495, _T_1536) @[lsu_bus_buffer.scala 330:26] + node _T_1537 = bits(obuf_byteen0_in, 0, 0) @[lsu_bus_buffer.scala 332:59] + node _T_1538 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 332:97] + node _T_1539 = and(obuf_merge_en, _T_1538) @[lsu_bus_buffer.scala 332:80] + node _T_1540 = or(_T_1537, _T_1539) @[lsu_bus_buffer.scala 332:63] + node _T_1541 = bits(obuf_byteen0_in, 1, 1) @[lsu_bus_buffer.scala 332:59] + node _T_1542 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 332:97] + node _T_1543 = and(obuf_merge_en, _T_1542) @[lsu_bus_buffer.scala 332:80] + node _T_1544 = or(_T_1541, _T_1543) @[lsu_bus_buffer.scala 332:63] + node _T_1545 = bits(obuf_byteen0_in, 2, 2) @[lsu_bus_buffer.scala 332:59] + node _T_1546 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 332:97] + node _T_1547 = and(obuf_merge_en, _T_1546) @[lsu_bus_buffer.scala 332:80] + node _T_1548 = or(_T_1545, _T_1547) @[lsu_bus_buffer.scala 332:63] + node _T_1549 = bits(obuf_byteen0_in, 3, 3) @[lsu_bus_buffer.scala 332:59] + node _T_1550 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 332:97] + node _T_1551 = and(obuf_merge_en, _T_1550) @[lsu_bus_buffer.scala 332:80] + node _T_1552 = or(_T_1549, _T_1551) @[lsu_bus_buffer.scala 332:63] + node _T_1553 = bits(obuf_byteen0_in, 4, 4) @[lsu_bus_buffer.scala 332:59] + node _T_1554 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 332:97] + node _T_1555 = and(obuf_merge_en, _T_1554) @[lsu_bus_buffer.scala 332:80] + node _T_1556 = or(_T_1553, _T_1555) @[lsu_bus_buffer.scala 332:63] + node _T_1557 = bits(obuf_byteen0_in, 5, 5) @[lsu_bus_buffer.scala 332:59] + node _T_1558 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 332:97] + node _T_1559 = and(obuf_merge_en, _T_1558) @[lsu_bus_buffer.scala 332:80] + node _T_1560 = or(_T_1557, _T_1559) @[lsu_bus_buffer.scala 332:63] + node _T_1561 = bits(obuf_byteen0_in, 6, 6) @[lsu_bus_buffer.scala 332:59] + node _T_1562 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 332:97] + node _T_1563 = and(obuf_merge_en, _T_1562) @[lsu_bus_buffer.scala 332:80] + node _T_1564 = or(_T_1561, _T_1563) @[lsu_bus_buffer.scala 332:63] + node _T_1565 = bits(obuf_byteen0_in, 7, 7) @[lsu_bus_buffer.scala 332:59] + node _T_1566 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 332:97] + node _T_1567 = and(obuf_merge_en, _T_1566) @[lsu_bus_buffer.scala 332:80] + node _T_1568 = or(_T_1565, _T_1567) @[lsu_bus_buffer.scala 332:63] + node _T_1569 = cat(_T_1568, _T_1564) @[Cat.scala 29:58] + node _T_1570 = cat(_T_1569, _T_1560) @[Cat.scala 29:58] + node _T_1571 = cat(_T_1570, _T_1556) @[Cat.scala 29:58] + node _T_1572 = cat(_T_1571, _T_1552) @[Cat.scala 29:58] + node _T_1573 = cat(_T_1572, _T_1548) @[Cat.scala 29:58] + node _T_1574 = cat(_T_1573, _T_1544) @[Cat.scala 29:58] + node obuf_byteen_in = cat(_T_1574, _T_1540) @[Cat.scala 29:58] + node _T_1575 = bits(obuf_byteen1_in, 0, 0) @[lsu_bus_buffer.scala 333:76] + node _T_1576 = and(obuf_merge_en, _T_1575) @[lsu_bus_buffer.scala 333:59] + node _T_1577 = bits(obuf_data1_in, 7, 0) @[lsu_bus_buffer.scala 333:94] + node _T_1578 = bits(obuf_data0_in, 7, 0) @[lsu_bus_buffer.scala 333:123] + node _T_1579 = mux(_T_1576, _T_1577, _T_1578) @[lsu_bus_buffer.scala 333:44] + node _T_1580 = bits(obuf_byteen1_in, 1, 1) @[lsu_bus_buffer.scala 333:76] + node _T_1581 = and(obuf_merge_en, _T_1580) @[lsu_bus_buffer.scala 333:59] + node _T_1582 = bits(obuf_data1_in, 15, 8) @[lsu_bus_buffer.scala 333:94] + node _T_1583 = bits(obuf_data0_in, 15, 8) @[lsu_bus_buffer.scala 333:123] + node _T_1584 = mux(_T_1581, _T_1582, _T_1583) @[lsu_bus_buffer.scala 333:44] + node _T_1585 = bits(obuf_byteen1_in, 2, 2) @[lsu_bus_buffer.scala 333:76] + node _T_1586 = and(obuf_merge_en, _T_1585) @[lsu_bus_buffer.scala 333:59] + node _T_1587 = bits(obuf_data1_in, 23, 16) @[lsu_bus_buffer.scala 333:94] + node _T_1588 = bits(obuf_data0_in, 23, 16) @[lsu_bus_buffer.scala 333:123] + node _T_1589 = mux(_T_1586, _T_1587, _T_1588) @[lsu_bus_buffer.scala 333:44] + node _T_1590 = bits(obuf_byteen1_in, 3, 3) @[lsu_bus_buffer.scala 333:76] + node _T_1591 = and(obuf_merge_en, _T_1590) @[lsu_bus_buffer.scala 333:59] + node _T_1592 = bits(obuf_data1_in, 31, 24) @[lsu_bus_buffer.scala 333:94] + node _T_1593 = bits(obuf_data0_in, 31, 24) @[lsu_bus_buffer.scala 333:123] + node _T_1594 = mux(_T_1591, _T_1592, _T_1593) @[lsu_bus_buffer.scala 333:44] + node _T_1595 = bits(obuf_byteen1_in, 4, 4) @[lsu_bus_buffer.scala 333:76] + node _T_1596 = and(obuf_merge_en, _T_1595) @[lsu_bus_buffer.scala 333:59] + node _T_1597 = bits(obuf_data1_in, 39, 32) @[lsu_bus_buffer.scala 333:94] + node _T_1598 = bits(obuf_data0_in, 39, 32) @[lsu_bus_buffer.scala 333:123] + node _T_1599 = mux(_T_1596, _T_1597, _T_1598) @[lsu_bus_buffer.scala 333:44] + node _T_1600 = bits(obuf_byteen1_in, 5, 5) @[lsu_bus_buffer.scala 333:76] + node _T_1601 = and(obuf_merge_en, _T_1600) @[lsu_bus_buffer.scala 333:59] + node _T_1602 = bits(obuf_data1_in, 47, 40) @[lsu_bus_buffer.scala 333:94] + node _T_1603 = bits(obuf_data0_in, 47, 40) @[lsu_bus_buffer.scala 333:123] + node _T_1604 = mux(_T_1601, _T_1602, _T_1603) @[lsu_bus_buffer.scala 333:44] + node _T_1605 = bits(obuf_byteen1_in, 6, 6) @[lsu_bus_buffer.scala 333:76] + node _T_1606 = and(obuf_merge_en, _T_1605) @[lsu_bus_buffer.scala 333:59] + node _T_1607 = bits(obuf_data1_in, 55, 48) @[lsu_bus_buffer.scala 333:94] + node _T_1608 = bits(obuf_data0_in, 55, 48) @[lsu_bus_buffer.scala 333:123] + node _T_1609 = mux(_T_1606, _T_1607, _T_1608) @[lsu_bus_buffer.scala 333:44] + node _T_1610 = bits(obuf_byteen1_in, 7, 7) @[lsu_bus_buffer.scala 333:76] + node _T_1611 = and(obuf_merge_en, _T_1610) @[lsu_bus_buffer.scala 333:59] + node _T_1612 = bits(obuf_data1_in, 63, 56) @[lsu_bus_buffer.scala 333:94] + node _T_1613 = bits(obuf_data0_in, 63, 56) @[lsu_bus_buffer.scala 333:123] + node _T_1614 = mux(_T_1611, _T_1612, _T_1613) @[lsu_bus_buffer.scala 333:44] + node _T_1615 = cat(_T_1614, _T_1609) @[Cat.scala 29:58] + node _T_1616 = cat(_T_1615, _T_1604) @[Cat.scala 29:58] + node _T_1617 = cat(_T_1616, _T_1599) @[Cat.scala 29:58] + node _T_1618 = cat(_T_1617, _T_1594) @[Cat.scala 29:58] + node _T_1619 = cat(_T_1618, _T_1589) @[Cat.scala 29:58] + node _T_1620 = cat(_T_1619, _T_1584) @[Cat.scala 29:58] + node obuf_data_in = cat(_T_1620, _T_1579) @[Cat.scala 29:58] + wire buf_dualhi : UInt<1>[4] @[lsu_bus_buffer.scala 335:24] + buf_dualhi[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14] + buf_dualhi[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14] + buf_dualhi[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14] + buf_dualhi[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 336:14] + node _T_1621 = neq(CmdPtr0, CmdPtr1) @[lsu_bus_buffer.scala 337:30] + node _T_1622 = and(_T_1621, found_cmdptr0) @[lsu_bus_buffer.scala 337:43] + node _T_1623 = and(_T_1622, found_cmdptr1) @[lsu_bus_buffer.scala 337:59] + node _T_1624 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1625 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1626 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1627 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1628 = mux(_T_1624, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1629 = mux(_T_1625, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1630 = mux(_T_1626, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1631 = mux(_T_1627, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1632 = or(_T_1628, _T_1629) @[Mux.scala 27:72] + node _T_1633 = or(_T_1632, _T_1630) @[Mux.scala 27:72] + node _T_1634 = or(_T_1633, _T_1631) @[Mux.scala 27:72] + wire _T_1635 : UInt<3> @[Mux.scala 27:72] + _T_1635 <= _T_1634 @[Mux.scala 27:72] + node _T_1636 = eq(_T_1635, UInt<3>("h02")) @[lsu_bus_buffer.scala 337:107] + node _T_1637 = and(_T_1623, _T_1636) @[lsu_bus_buffer.scala 337:75] + node _T_1638 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1639 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1640 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1641 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1642 = mux(_T_1638, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1643 = mux(_T_1639, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1644 = mux(_T_1640, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1645 = mux(_T_1641, buf_state[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1646 = or(_T_1642, _T_1643) @[Mux.scala 27:72] + node _T_1647 = or(_T_1646, _T_1644) @[Mux.scala 27:72] + node _T_1648 = or(_T_1647, _T_1645) @[Mux.scala 27:72] + wire _T_1649 : UInt<3> @[Mux.scala 27:72] + _T_1649 <= _T_1648 @[Mux.scala 27:72] + node _T_1650 = eq(_T_1649, UInt<3>("h02")) @[lsu_bus_buffer.scala 337:150] + node _T_1651 = and(_T_1637, _T_1650) @[lsu_bus_buffer.scala 337:118] + node _T_1652 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] + node _T_1653 = cat(_T_1652, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] + node _T_1654 = cat(_T_1653, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] + node _T_1655 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1656 = bits(_T_1654, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1657 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1658 = bits(_T_1654, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1659 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1660 = bits(_T_1654, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1661 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1662 = bits(_T_1654, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1663 = mux(_T_1655, _T_1656, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1664 = mux(_T_1657, _T_1658, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1665 = mux(_T_1659, _T_1660, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1666 = mux(_T_1661, _T_1662, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1667 = or(_T_1663, _T_1664) @[Mux.scala 27:72] + node _T_1668 = or(_T_1667, _T_1665) @[Mux.scala 27:72] + node _T_1669 = or(_T_1668, _T_1666) @[Mux.scala 27:72] + wire _T_1670 : UInt<1> @[Mux.scala 27:72] + _T_1670 <= _T_1669 @[Mux.scala 27:72] + node _T_1671 = eq(_T_1670, UInt<1>("h00")) @[lsu_bus_buffer.scala 338:5] + node _T_1672 = and(_T_1651, _T_1671) @[lsu_bus_buffer.scala 337:161] + node _T_1673 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1674 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1675 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1676 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1677 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1678 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1679 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1680 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1681 = mux(_T_1673, _T_1674, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1682 = mux(_T_1675, _T_1676, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1683 = mux(_T_1677, _T_1678, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1684 = mux(_T_1679, _T_1680, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1685 = or(_T_1681, _T_1682) @[Mux.scala 27:72] + node _T_1686 = or(_T_1685, _T_1683) @[Mux.scala 27:72] + node _T_1687 = or(_T_1686, _T_1684) @[Mux.scala 27:72] + wire _T_1688 : UInt<1> @[Mux.scala 27:72] + _T_1688 <= _T_1687 @[Mux.scala 27:72] + node _T_1689 = eq(_T_1688, UInt<1>("h00")) @[lsu_bus_buffer.scala 338:87] + node _T_1690 = and(_T_1672, _T_1689) @[lsu_bus_buffer.scala 338:85] + node _T_1691 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1692 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1693 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1694 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1695 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1696 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1697 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1698 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1699 = mux(_T_1691, _T_1692, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1700 = mux(_T_1693, _T_1694, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1701 = mux(_T_1695, _T_1696, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1702 = mux(_T_1697, _T_1698, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1703 = or(_T_1699, _T_1700) @[Mux.scala 27:72] + node _T_1704 = or(_T_1703, _T_1701) @[Mux.scala 27:72] + node _T_1705 = or(_T_1704, _T_1702) @[Mux.scala 27:72] + wire _T_1706 : UInt<1> @[Mux.scala 27:72] + _T_1706 <= _T_1705 @[Mux.scala 27:72] + node _T_1707 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1708 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1709 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1710 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1711 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1712 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1713 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1714 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1715 = mux(_T_1707, _T_1708, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1716 = mux(_T_1709, _T_1710, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1717 = mux(_T_1711, _T_1712, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1718 = mux(_T_1713, _T_1714, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1719 = or(_T_1715, _T_1716) @[Mux.scala 27:72] + node _T_1720 = or(_T_1719, _T_1717) @[Mux.scala 27:72] + node _T_1721 = or(_T_1720, _T_1718) @[Mux.scala 27:72] + wire _T_1722 : UInt<1> @[Mux.scala 27:72] + _T_1722 <= _T_1721 @[Mux.scala 27:72] + node _T_1723 = and(_T_1706, _T_1722) @[lsu_bus_buffer.scala 339:36] + node _T_1724 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1725 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1726 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1727 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1728 = mux(_T_1724, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1729 = mux(_T_1725, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1730 = mux(_T_1726, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1731 = mux(_T_1727, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1732 = or(_T_1728, _T_1729) @[Mux.scala 27:72] + node _T_1733 = or(_T_1732, _T_1730) @[Mux.scala 27:72] + node _T_1734 = or(_T_1733, _T_1731) @[Mux.scala 27:72] + wire _T_1735 : UInt<32> @[Mux.scala 27:72] + _T_1735 <= _T_1734 @[Mux.scala 27:72] + node _T_1736 = bits(_T_1735, 31, 3) @[lsu_bus_buffer.scala 340:35] + node _T_1737 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1738 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1739 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1740 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_1741 = mux(_T_1737, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1742 = mux(_T_1738, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1743 = mux(_T_1739, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1744 = mux(_T_1740, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1745 = or(_T_1741, _T_1742) @[Mux.scala 27:72] + node _T_1746 = or(_T_1745, _T_1743) @[Mux.scala 27:72] + node _T_1747 = or(_T_1746, _T_1744) @[Mux.scala 27:72] + wire _T_1748 : UInt<32> @[Mux.scala 27:72] + _T_1748 <= _T_1747 @[Mux.scala 27:72] + node _T_1749 = bits(_T_1748, 31, 3) @[lsu_bus_buffer.scala 340:71] + node _T_1750 = eq(_T_1736, _T_1749) @[lsu_bus_buffer.scala 340:41] + node _T_1751 = and(_T_1723, _T_1750) @[lsu_bus_buffer.scala 339:67] + node _T_1752 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 340:81] + node _T_1753 = and(_T_1751, _T_1752) @[lsu_bus_buffer.scala 340:79] + node _T_1754 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 340:107] + node _T_1755 = and(_T_1753, _T_1754) @[lsu_bus_buffer.scala 340:105] + node _T_1756 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1757 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1758 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1759 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1760 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1761 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1762 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1763 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1764 = mux(_T_1756, _T_1757, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1765 = mux(_T_1758, _T_1759, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1766 = mux(_T_1760, _T_1761, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1767 = mux(_T_1762, _T_1763, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1768 = or(_T_1764, _T_1765) @[Mux.scala 27:72] + node _T_1769 = or(_T_1768, _T_1766) @[Mux.scala 27:72] + node _T_1770 = or(_T_1769, _T_1767) @[Mux.scala 27:72] + wire _T_1771 : UInt<1> @[Mux.scala 27:72] + _T_1771 <= _T_1770 @[Mux.scala 27:72] + node _T_1772 = eq(_T_1771, UInt<1>("h00")) @[lsu_bus_buffer.scala 341:8] + node _T_1773 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_1774 = cat(_T_1773, buf_dual[1]) @[Cat.scala 29:58] + node _T_1775 = cat(_T_1774, buf_dual[0]) @[Cat.scala 29:58] + node _T_1776 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1777 = bits(_T_1775, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1778 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1779 = bits(_T_1775, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1780 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1781 = bits(_T_1775, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1782 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1783 = bits(_T_1775, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1784 = mux(_T_1776, _T_1777, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1785 = mux(_T_1778, _T_1779, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1786 = mux(_T_1780, _T_1781, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1787 = mux(_T_1782, _T_1783, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1788 = or(_T_1784, _T_1785) @[Mux.scala 27:72] + node _T_1789 = or(_T_1788, _T_1786) @[Mux.scala 27:72] + node _T_1790 = or(_T_1789, _T_1787) @[Mux.scala 27:72] + wire _T_1791 : UInt<1> @[Mux.scala 27:72] + _T_1791 <= _T_1790 @[Mux.scala 27:72] + node _T_1792 = and(_T_1772, _T_1791) @[lsu_bus_buffer.scala 341:38] + node _T_1793 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] + node _T_1794 = cat(_T_1793, buf_dualhi[1]) @[Cat.scala 29:58] + node _T_1795 = cat(_T_1794, buf_dualhi[0]) @[Cat.scala 29:58] + node _T_1796 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1797 = bits(_T_1795, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1798 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1799 = bits(_T_1795, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1800 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1801 = bits(_T_1795, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1802 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1803 = bits(_T_1795, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1804 = mux(_T_1796, _T_1797, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1805 = mux(_T_1798, _T_1799, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1806 = mux(_T_1800, _T_1801, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1807 = mux(_T_1802, _T_1803, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1808 = or(_T_1804, _T_1805) @[Mux.scala 27:72] + node _T_1809 = or(_T_1808, _T_1806) @[Mux.scala 27:72] + node _T_1810 = or(_T_1809, _T_1807) @[Mux.scala 27:72] + wire _T_1811 : UInt<1> @[Mux.scala 27:72] + _T_1811 <= _T_1810 @[Mux.scala 27:72] + node _T_1812 = eq(_T_1811, UInt<1>("h00")) @[lsu_bus_buffer.scala 341:109] + node _T_1813 = and(_T_1792, _T_1812) @[lsu_bus_buffer.scala 341:107] + node _T_1814 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] + node _T_1815 = cat(_T_1814, buf_samedw[1]) @[Cat.scala 29:58] + node _T_1816 = cat(_T_1815, buf_samedw[0]) @[Cat.scala 29:58] + node _T_1817 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1818 = bits(_T_1816, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1819 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1820 = bits(_T_1816, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1821 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1822 = bits(_T_1816, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1823 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1824 = bits(_T_1816, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_1825 = mux(_T_1817, _T_1818, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1826 = mux(_T_1819, _T_1820, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1827 = mux(_T_1821, _T_1822, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1828 = mux(_T_1823, _T_1824, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1829 = or(_T_1825, _T_1826) @[Mux.scala 27:72] + node _T_1830 = or(_T_1829, _T_1827) @[Mux.scala 27:72] + node _T_1831 = or(_T_1830, _T_1828) @[Mux.scala 27:72] + wire _T_1832 : UInt<1> @[Mux.scala 27:72] + _T_1832 <= _T_1831 @[Mux.scala 27:72] + node _T_1833 = and(_T_1813, _T_1832) @[lsu_bus_buffer.scala 341:179] + node _T_1834 = or(_T_1755, _T_1833) @[lsu_bus_buffer.scala 340:128] + node _T_1835 = and(_T_1690, _T_1834) @[lsu_bus_buffer.scala 338:122] + node _T_1836 = and(ibuf_buf_byp, ldst_samedw_r) @[lsu_bus_buffer.scala 342:19] + node _T_1837 = and(_T_1836, io.ldst_dual_r) @[lsu_bus_buffer.scala 342:35] + node _T_1838 = or(_T_1835, _T_1837) @[lsu_bus_buffer.scala 341:253] + obuf_merge_en <= _T_1838 @[lsu_bus_buffer.scala 337:17] + reg obuf_wr_enQ : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 344:55] + obuf_wr_enQ <= obuf_wr_en @[lsu_bus_buffer.scala 344:55] + node _T_1839 = mux(obuf_wr_en, UInt<1>("h01"), obuf_valid) @[lsu_bus_buffer.scala 345:58] + node _T_1840 = eq(obuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 345:93] + node _T_1841 = and(_T_1839, _T_1840) @[lsu_bus_buffer.scala 345:91] + reg _T_1842 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 345:54] + _T_1842 <= _T_1841 @[lsu_bus_buffer.scala 345:54] + obuf_valid <= _T_1842 @[lsu_bus_buffer.scala 345:14] + reg _T_1843 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1843 <= obuf_nosend_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_nosend <= _T_1843 @[lsu_bus_buffer.scala 346:15] + reg _T_1844 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 347:54] + _T_1844 <= obuf_cmd_done_in @[lsu_bus_buffer.scala 347:54] + obuf_cmd_done <= _T_1844 @[lsu_bus_buffer.scala 347:17] + reg _T_1845 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 348:55] + _T_1845 <= obuf_data_done_in @[lsu_bus_buffer.scala 348:55] + obuf_data_done <= _T_1845 @[lsu_bus_buffer.scala 348:18] + reg _T_1846 : UInt<1>, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 349:56] + _T_1846 <= obuf_rdrsp_pend_in @[lsu_bus_buffer.scala 349:56] + obuf_rdrsp_pend <= _T_1846 @[lsu_bus_buffer.scala 349:19] + reg _T_1847 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 350:55] + _T_1847 <= obuf_rdrsp_tag_in @[lsu_bus_buffer.scala 350:55] + obuf_rdrsp_tag <= _T_1847 @[lsu_bus_buffer.scala 350:18] + reg _T_1848 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1848 <= obuf_tag0_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_tag0 <= _T_1848 @[lsu_bus_buffer.scala 351:13] + reg obuf_tag1 : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_tag1 <= obuf_tag1_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg obuf_merge : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_merge <= obuf_merge_en @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + reg _T_1849 : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1849 <= obuf_write_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_write <= _T_1849 @[lsu_bus_buffer.scala 354:14] + reg _T_1850 : UInt<1>, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + _T_1850 <= obuf_sideeffect_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + obuf_sideeffect <= _T_1850 @[lsu_bus_buffer.scala 355:19] + reg obuf_sz : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_sz <= obuf_sz_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr_2 of rvclkhdr_2 @[lib.scala 368:23] + rvclkhdr_2.clock <= clock + rvclkhdr_2.reset <= reset + rvclkhdr_2.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_2.io.en <= obuf_wr_en @[lib.scala 371:17] + rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_1851 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1851 <= obuf_addr_in @[lib.scala 374:16] + obuf_addr <= _T_1851 @[lsu_bus_buffer.scala 357:13] + reg obuf_byteen : UInt, io.lsu_bus_obuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when obuf_wr_en : @[Reg.scala 28:19] + obuf_byteen <= obuf_byteen_in @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + inst rvclkhdr_3 of rvclkhdr_3 @[lib.scala 368:23] + rvclkhdr_3.clock <= clock + rvclkhdr_3.reset <= reset + rvclkhdr_3.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_3.io.en <= obuf_wr_en @[lib.scala 371:17] + rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg obuf_data : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + obuf_data <= obuf_data_in @[lib.scala 374:16] + reg _T_1852 : UInt, io.lsu_busm_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 360:54] + _T_1852 <= obuf_wr_timer_in @[lsu_bus_buffer.scala 360:54] + obuf_wr_timer <= _T_1852 @[lsu_bus_buffer.scala 360:17] + wire WrPtr0_m : UInt<2> + WrPtr0_m <= UInt<1>("h00") + node _T_1853 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65] + node _T_1854 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:30] + node _T_1855 = and(ibuf_valid, _T_1854) @[lsu_bus_buffer.scala 364:19] + node _T_1856 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:18] + node _T_1857 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 365:57] + node _T_1858 = and(io.ldst_dual_r, _T_1857) @[lsu_bus_buffer.scala 365:45] + node _T_1859 = or(_T_1856, _T_1858) @[lsu_bus_buffer.scala 365:27] + node _T_1860 = and(io.lsu_busreq_r, _T_1859) @[lsu_bus_buffer.scala 364:58] + node _T_1861 = or(_T_1855, _T_1860) @[lsu_bus_buffer.scala 364:39] + node _T_1862 = eq(_T_1861, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5] + node _T_1863 = and(_T_1853, _T_1862) @[lsu_bus_buffer.scala 363:76] + node _T_1864 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65] + node _T_1865 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 364:30] + node _T_1866 = and(ibuf_valid, _T_1865) @[lsu_bus_buffer.scala 364:19] + node _T_1867 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 365:18] + node _T_1868 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 365:57] + node _T_1869 = and(io.ldst_dual_r, _T_1868) @[lsu_bus_buffer.scala 365:45] + node _T_1870 = or(_T_1867, _T_1869) @[lsu_bus_buffer.scala 365:27] + node _T_1871 = and(io.lsu_busreq_r, _T_1870) @[lsu_bus_buffer.scala 364:58] + node _T_1872 = or(_T_1866, _T_1871) @[lsu_bus_buffer.scala 364:39] + node _T_1873 = eq(_T_1872, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5] + node _T_1874 = and(_T_1864, _T_1873) @[lsu_bus_buffer.scala 363:76] + node _T_1875 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65] + node _T_1876 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 364:30] + node _T_1877 = and(ibuf_valid, _T_1876) @[lsu_bus_buffer.scala 364:19] + node _T_1878 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 365:18] + node _T_1879 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 365:57] + node _T_1880 = and(io.ldst_dual_r, _T_1879) @[lsu_bus_buffer.scala 365:45] + node _T_1881 = or(_T_1878, _T_1880) @[lsu_bus_buffer.scala 365:27] + node _T_1882 = and(io.lsu_busreq_r, _T_1881) @[lsu_bus_buffer.scala 364:58] + node _T_1883 = or(_T_1877, _T_1882) @[lsu_bus_buffer.scala 364:39] + node _T_1884 = eq(_T_1883, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5] + node _T_1885 = and(_T_1875, _T_1884) @[lsu_bus_buffer.scala 363:76] + node _T_1886 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 363:65] + node _T_1887 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 364:30] + node _T_1888 = and(ibuf_valid, _T_1887) @[lsu_bus_buffer.scala 364:19] + node _T_1889 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 365:18] + node _T_1890 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 365:57] + node _T_1891 = and(io.ldst_dual_r, _T_1890) @[lsu_bus_buffer.scala 365:45] + node _T_1892 = or(_T_1889, _T_1891) @[lsu_bus_buffer.scala 365:27] + node _T_1893 = and(io.lsu_busreq_r, _T_1892) @[lsu_bus_buffer.scala 364:58] + node _T_1894 = or(_T_1888, _T_1893) @[lsu_bus_buffer.scala 364:39] + node _T_1895 = eq(_T_1894, UInt<1>("h00")) @[lsu_bus_buffer.scala 364:5] + node _T_1896 = and(_T_1886, _T_1895) @[lsu_bus_buffer.scala 363:76] + node _T_1897 = mux(_T_1896, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] + node _T_1898 = mux(_T_1885, UInt<2>("h02"), _T_1897) @[Mux.scala 98:16] + node _T_1899 = mux(_T_1874, UInt<1>("h01"), _T_1898) @[Mux.scala 98:16] + node _T_1900 = mux(_T_1863, UInt<1>("h00"), _T_1899) @[Mux.scala 98:16] + WrPtr0_m <= _T_1900 @[lsu_bus_buffer.scala 363:12] + wire WrPtr1_m : UInt<2> + WrPtr1_m <= UInt<1>("h00") + node _T_1901 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] + node _T_1902 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:103] + node _T_1903 = and(ibuf_valid, _T_1902) @[lsu_bus_buffer.scala 369:92] + node _T_1904 = eq(WrPtr0_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 370:33] + node _T_1905 = and(io.lsu_busreq_m, _T_1904) @[lsu_bus_buffer.scala 370:22] + node _T_1906 = or(_T_1903, _T_1905) @[lsu_bus_buffer.scala 369:112] + node _T_1907 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 371:36] + node _T_1908 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 372:34] + node _T_1909 = and(io.ldst_dual_r, _T_1908) @[lsu_bus_buffer.scala 372:23] + node _T_1910 = or(_T_1907, _T_1909) @[lsu_bus_buffer.scala 371:46] + node _T_1911 = and(io.lsu_busreq_r, _T_1910) @[lsu_bus_buffer.scala 371:22] + node _T_1912 = or(_T_1906, _T_1911) @[lsu_bus_buffer.scala 370:42] + node _T_1913 = eq(_T_1912, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78] + node _T_1914 = and(_T_1901, _T_1913) @[lsu_bus_buffer.scala 369:76] + node _T_1915 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] + node _T_1916 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 369:103] + node _T_1917 = and(ibuf_valid, _T_1916) @[lsu_bus_buffer.scala 369:92] + node _T_1918 = eq(WrPtr0_m, UInt<1>("h01")) @[lsu_bus_buffer.scala 370:33] + node _T_1919 = and(io.lsu_busreq_m, _T_1918) @[lsu_bus_buffer.scala 370:22] + node _T_1920 = or(_T_1917, _T_1919) @[lsu_bus_buffer.scala 369:112] + node _T_1921 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 371:36] + node _T_1922 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 372:34] + node _T_1923 = and(io.ldst_dual_r, _T_1922) @[lsu_bus_buffer.scala 372:23] + node _T_1924 = or(_T_1921, _T_1923) @[lsu_bus_buffer.scala 371:46] + node _T_1925 = and(io.lsu_busreq_r, _T_1924) @[lsu_bus_buffer.scala 371:22] + node _T_1926 = or(_T_1920, _T_1925) @[lsu_bus_buffer.scala 370:42] + node _T_1927 = eq(_T_1926, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78] + node _T_1928 = and(_T_1915, _T_1927) @[lsu_bus_buffer.scala 369:76] + node _T_1929 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] + node _T_1930 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 369:103] + node _T_1931 = and(ibuf_valid, _T_1930) @[lsu_bus_buffer.scala 369:92] + node _T_1932 = eq(WrPtr0_m, UInt<2>("h02")) @[lsu_bus_buffer.scala 370:33] + node _T_1933 = and(io.lsu_busreq_m, _T_1932) @[lsu_bus_buffer.scala 370:22] + node _T_1934 = or(_T_1931, _T_1933) @[lsu_bus_buffer.scala 369:112] + node _T_1935 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 371:36] + node _T_1936 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 372:34] + node _T_1937 = and(io.ldst_dual_r, _T_1936) @[lsu_bus_buffer.scala 372:23] + node _T_1938 = or(_T_1935, _T_1937) @[lsu_bus_buffer.scala 371:46] + node _T_1939 = and(io.lsu_busreq_r, _T_1938) @[lsu_bus_buffer.scala 371:22] + node _T_1940 = or(_T_1934, _T_1939) @[lsu_bus_buffer.scala 370:42] + node _T_1941 = eq(_T_1940, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78] + node _T_1942 = and(_T_1929, _T_1941) @[lsu_bus_buffer.scala 369:76] + node _T_1943 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 369:65] + node _T_1944 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 369:103] + node _T_1945 = and(ibuf_valid, _T_1944) @[lsu_bus_buffer.scala 369:92] + node _T_1946 = eq(WrPtr0_m, UInt<2>("h03")) @[lsu_bus_buffer.scala 370:33] + node _T_1947 = and(io.lsu_busreq_m, _T_1946) @[lsu_bus_buffer.scala 370:22] + node _T_1948 = or(_T_1945, _T_1947) @[lsu_bus_buffer.scala 369:112] + node _T_1949 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 371:36] + node _T_1950 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 372:34] + node _T_1951 = and(io.ldst_dual_r, _T_1950) @[lsu_bus_buffer.scala 372:23] + node _T_1952 = or(_T_1949, _T_1951) @[lsu_bus_buffer.scala 371:46] + node _T_1953 = and(io.lsu_busreq_r, _T_1952) @[lsu_bus_buffer.scala 371:22] + node _T_1954 = or(_T_1948, _T_1953) @[lsu_bus_buffer.scala 370:42] + node _T_1955 = eq(_T_1954, UInt<1>("h00")) @[lsu_bus_buffer.scala 369:78] + node _T_1956 = and(_T_1943, _T_1955) @[lsu_bus_buffer.scala 369:76] + node _T_1957 = mux(_T_1956, UInt<2>("h03"), UInt<2>("h03")) @[Mux.scala 98:16] + node _T_1958 = mux(_T_1942, UInt<2>("h02"), _T_1957) @[Mux.scala 98:16] + node _T_1959 = mux(_T_1928, UInt<1>("h01"), _T_1958) @[Mux.scala 98:16] + node _T_1960 = mux(_T_1914, UInt<1>("h00"), _T_1959) @[Mux.scala 98:16] + WrPtr1_m <= _T_1960 @[lsu_bus_buffer.scala 369:12] + wire buf_age : UInt<4>[4] @[lsu_bus_buffer.scala 374:21] + buf_age[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11] + buf_age[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11] + buf_age[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11] + buf_age[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 375:11] + node _T_1961 = orr(buf_age[0]) @[lsu_bus_buffer.scala 377:58] + node _T_1962 = eq(_T_1961, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45] + node _T_1963 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78] + node _T_1964 = and(_T_1962, _T_1963) @[lsu_bus_buffer.scala 377:63] + node _T_1965 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90] + node _T_1966 = and(_T_1964, _T_1965) @[lsu_bus_buffer.scala 377:88] + node _T_1967 = orr(buf_age[1]) @[lsu_bus_buffer.scala 377:58] + node _T_1968 = eq(_T_1967, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45] + node _T_1969 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78] + node _T_1970 = and(_T_1968, _T_1969) @[lsu_bus_buffer.scala 377:63] + node _T_1971 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90] + node _T_1972 = and(_T_1970, _T_1971) @[lsu_bus_buffer.scala 377:88] + node _T_1973 = orr(buf_age[2]) @[lsu_bus_buffer.scala 377:58] + node _T_1974 = eq(_T_1973, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45] + node _T_1975 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78] + node _T_1976 = and(_T_1974, _T_1975) @[lsu_bus_buffer.scala 377:63] + node _T_1977 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90] + node _T_1978 = and(_T_1976, _T_1977) @[lsu_bus_buffer.scala 377:88] + node _T_1979 = orr(buf_age[3]) @[lsu_bus_buffer.scala 377:58] + node _T_1980 = eq(_T_1979, UInt<1>("h00")) @[lsu_bus_buffer.scala 377:45] + node _T_1981 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 377:78] + node _T_1982 = and(_T_1980, _T_1981) @[lsu_bus_buffer.scala 377:63] + node _T_1983 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 377:90] + node _T_1984 = and(_T_1982, _T_1983) @[lsu_bus_buffer.scala 377:88] + node _T_1985 = cat(_T_1984, _T_1978) @[Cat.scala 29:58] + node _T_1986 = cat(_T_1985, _T_1972) @[Cat.scala 29:58] + node CmdPtr0Dec = cat(_T_1986, _T_1966) @[Cat.scala 29:58] + node _T_1987 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62] + node _T_1988 = and(buf_age[0], _T_1987) @[lsu_bus_buffer.scala 378:59] + node _T_1989 = orr(_T_1988) @[lsu_bus_buffer.scala 378:76] + node _T_1990 = eq(_T_1989, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_1991 = bits(CmdPtr0Dec, 0, 0) @[lsu_bus_buffer.scala 378:94] + node _T_1992 = eq(_T_1991, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83] + node _T_1993 = and(_T_1990, _T_1992) @[lsu_bus_buffer.scala 378:81] + node _T_1994 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113] + node _T_1995 = and(_T_1993, _T_1994) @[lsu_bus_buffer.scala 378:98] + node _T_1996 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125] + node _T_1997 = and(_T_1995, _T_1996) @[lsu_bus_buffer.scala 378:123] + node _T_1998 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62] + node _T_1999 = and(buf_age[1], _T_1998) @[lsu_bus_buffer.scala 378:59] + node _T_2000 = orr(_T_1999) @[lsu_bus_buffer.scala 378:76] + node _T_2001 = eq(_T_2000, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_2002 = bits(CmdPtr0Dec, 1, 1) @[lsu_bus_buffer.scala 378:94] + node _T_2003 = eq(_T_2002, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83] + node _T_2004 = and(_T_2001, _T_2003) @[lsu_bus_buffer.scala 378:81] + node _T_2005 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113] + node _T_2006 = and(_T_2004, _T_2005) @[lsu_bus_buffer.scala 378:98] + node _T_2007 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125] + node _T_2008 = and(_T_2006, _T_2007) @[lsu_bus_buffer.scala 378:123] + node _T_2009 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62] + node _T_2010 = and(buf_age[2], _T_2009) @[lsu_bus_buffer.scala 378:59] + node _T_2011 = orr(_T_2010) @[lsu_bus_buffer.scala 378:76] + node _T_2012 = eq(_T_2011, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_2013 = bits(CmdPtr0Dec, 2, 2) @[lsu_bus_buffer.scala 378:94] + node _T_2014 = eq(_T_2013, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83] + node _T_2015 = and(_T_2012, _T_2014) @[lsu_bus_buffer.scala 378:81] + node _T_2016 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113] + node _T_2017 = and(_T_2015, _T_2016) @[lsu_bus_buffer.scala 378:98] + node _T_2018 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125] + node _T_2019 = and(_T_2017, _T_2018) @[lsu_bus_buffer.scala 378:123] + node _T_2020 = not(CmdPtr0Dec) @[lsu_bus_buffer.scala 378:62] + node _T_2021 = and(buf_age[3], _T_2020) @[lsu_bus_buffer.scala 378:59] + node _T_2022 = orr(_T_2021) @[lsu_bus_buffer.scala 378:76] + node _T_2023 = eq(_T_2022, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:45] + node _T_2024 = bits(CmdPtr0Dec, 3, 3) @[lsu_bus_buffer.scala 378:94] + node _T_2025 = eq(_T_2024, UInt<1>("h00")) @[lsu_bus_buffer.scala 378:83] + node _T_2026 = and(_T_2023, _T_2025) @[lsu_bus_buffer.scala 378:81] + node _T_2027 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 378:113] + node _T_2028 = and(_T_2026, _T_2027) @[lsu_bus_buffer.scala 378:98] + node _T_2029 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 378:125] + node _T_2030 = and(_T_2028, _T_2029) @[lsu_bus_buffer.scala 378:123] + node _T_2031 = cat(_T_2030, _T_2019) @[Cat.scala 29:58] + node _T_2032 = cat(_T_2031, _T_2008) @[Cat.scala 29:58] + node CmdPtr1Dec = cat(_T_2032, _T_1997) @[Cat.scala 29:58] + wire buf_rsp_pickage : UInt<4>[4] @[lsu_bus_buffer.scala 379:29] + buf_rsp_pickage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19] + buf_rsp_pickage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19] + buf_rsp_pickage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19] + buf_rsp_pickage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 380:19] + node _T_2033 = orr(buf_rsp_pickage[0]) @[lsu_bus_buffer.scala 381:65] + node _T_2034 = eq(_T_2033, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44] + node _T_2035 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85] + node _T_2036 = and(_T_2034, _T_2035) @[lsu_bus_buffer.scala 381:70] + node _T_2037 = orr(buf_rsp_pickage[1]) @[lsu_bus_buffer.scala 381:65] + node _T_2038 = eq(_T_2037, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44] + node _T_2039 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85] + node _T_2040 = and(_T_2038, _T_2039) @[lsu_bus_buffer.scala 381:70] + node _T_2041 = orr(buf_rsp_pickage[2]) @[lsu_bus_buffer.scala 381:65] + node _T_2042 = eq(_T_2041, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44] + node _T_2043 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85] + node _T_2044 = and(_T_2042, _T_2043) @[lsu_bus_buffer.scala 381:70] + node _T_2045 = orr(buf_rsp_pickage[3]) @[lsu_bus_buffer.scala 381:65] + node _T_2046 = eq(_T_2045, UInt<1>("h00")) @[lsu_bus_buffer.scala 381:44] + node _T_2047 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 381:85] + node _T_2048 = and(_T_2046, _T_2047) @[lsu_bus_buffer.scala 381:70] + node _T_2049 = cat(_T_2048, _T_2044) @[Cat.scala 29:58] + node _T_2050 = cat(_T_2049, _T_2040) @[Cat.scala 29:58] + node RspPtrDec = cat(_T_2050, _T_2036) @[Cat.scala 29:58] + node _T_2051 = orr(CmdPtr0Dec) @[lsu_bus_buffer.scala 382:31] + found_cmdptr0 <= _T_2051 @[lsu_bus_buffer.scala 382:17] + node _T_2052 = orr(CmdPtr1Dec) @[lsu_bus_buffer.scala 383:31] + found_cmdptr1 <= _T_2052 @[lsu_bus_buffer.scala 383:17] + wire RspPtr : UInt<2> + RspPtr <= UInt<1>("h00") + node _T_2053 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2054 = cat(_T_2053, CmdPtr0Dec) @[Cat.scala 29:58] + node _T_2055 = bits(_T_2054, 4, 4) @[lsu_bus_buffer.scala 385:39] + node _T_2056 = bits(_T_2054, 5, 5) @[lsu_bus_buffer.scala 385:45] + node _T_2057 = or(_T_2055, _T_2056) @[lsu_bus_buffer.scala 385:42] + node _T_2058 = bits(_T_2054, 6, 6) @[lsu_bus_buffer.scala 385:51] + node _T_2059 = or(_T_2057, _T_2058) @[lsu_bus_buffer.scala 385:48] + node _T_2060 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 385:57] + node _T_2061 = or(_T_2059, _T_2060) @[lsu_bus_buffer.scala 385:54] + node _T_2062 = bits(_T_2054, 2, 2) @[lsu_bus_buffer.scala 385:64] + node _T_2063 = bits(_T_2054, 3, 3) @[lsu_bus_buffer.scala 385:70] + node _T_2064 = or(_T_2062, _T_2063) @[lsu_bus_buffer.scala 385:67] + node _T_2065 = bits(_T_2054, 6, 6) @[lsu_bus_buffer.scala 385:76] + node _T_2066 = or(_T_2064, _T_2065) @[lsu_bus_buffer.scala 385:73] + node _T_2067 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 385:82] + node _T_2068 = or(_T_2066, _T_2067) @[lsu_bus_buffer.scala 385:79] + node _T_2069 = bits(_T_2054, 1, 1) @[lsu_bus_buffer.scala 385:89] + node _T_2070 = bits(_T_2054, 3, 3) @[lsu_bus_buffer.scala 385:95] + node _T_2071 = or(_T_2069, _T_2070) @[lsu_bus_buffer.scala 385:92] + node _T_2072 = bits(_T_2054, 5, 5) @[lsu_bus_buffer.scala 385:101] + node _T_2073 = or(_T_2071, _T_2072) @[lsu_bus_buffer.scala 385:98] + node _T_2074 = bits(_T_2054, 7, 7) @[lsu_bus_buffer.scala 385:107] + node _T_2075 = or(_T_2073, _T_2074) @[lsu_bus_buffer.scala 385:104] + node _T_2076 = cat(_T_2061, _T_2068) @[Cat.scala 29:58] + node _T_2077 = cat(_T_2076, _T_2075) @[Cat.scala 29:58] + CmdPtr0 <= _T_2077 @[lsu_bus_buffer.scala 390:11] + node _T_2078 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2079 = cat(_T_2078, CmdPtr1Dec) @[Cat.scala 29:58] + node _T_2080 = bits(_T_2079, 4, 4) @[lsu_bus_buffer.scala 385:39] + node _T_2081 = bits(_T_2079, 5, 5) @[lsu_bus_buffer.scala 385:45] + node _T_2082 = or(_T_2080, _T_2081) @[lsu_bus_buffer.scala 385:42] + node _T_2083 = bits(_T_2079, 6, 6) @[lsu_bus_buffer.scala 385:51] + node _T_2084 = or(_T_2082, _T_2083) @[lsu_bus_buffer.scala 385:48] + node _T_2085 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 385:57] + node _T_2086 = or(_T_2084, _T_2085) @[lsu_bus_buffer.scala 385:54] + node _T_2087 = bits(_T_2079, 2, 2) @[lsu_bus_buffer.scala 385:64] + node _T_2088 = bits(_T_2079, 3, 3) @[lsu_bus_buffer.scala 385:70] + node _T_2089 = or(_T_2087, _T_2088) @[lsu_bus_buffer.scala 385:67] + node _T_2090 = bits(_T_2079, 6, 6) @[lsu_bus_buffer.scala 385:76] + node _T_2091 = or(_T_2089, _T_2090) @[lsu_bus_buffer.scala 385:73] + node _T_2092 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 385:82] + node _T_2093 = or(_T_2091, _T_2092) @[lsu_bus_buffer.scala 385:79] + node _T_2094 = bits(_T_2079, 1, 1) @[lsu_bus_buffer.scala 385:89] + node _T_2095 = bits(_T_2079, 3, 3) @[lsu_bus_buffer.scala 385:95] + node _T_2096 = or(_T_2094, _T_2095) @[lsu_bus_buffer.scala 385:92] + node _T_2097 = bits(_T_2079, 5, 5) @[lsu_bus_buffer.scala 385:101] + node _T_2098 = or(_T_2096, _T_2097) @[lsu_bus_buffer.scala 385:98] + node _T_2099 = bits(_T_2079, 7, 7) @[lsu_bus_buffer.scala 385:107] + node _T_2100 = or(_T_2098, _T_2099) @[lsu_bus_buffer.scala 385:104] + node _T_2101 = cat(_T_2086, _T_2093) @[Cat.scala 29:58] + node _T_2102 = cat(_T_2101, _T_2100) @[Cat.scala 29:58] + CmdPtr1 <= _T_2102 @[lsu_bus_buffer.scala 392:11] + node _T_2103 = mux(UInt<1>("h00"), UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2104 = cat(_T_2103, RspPtrDec) @[Cat.scala 29:58] + node _T_2105 = bits(_T_2104, 4, 4) @[lsu_bus_buffer.scala 385:39] + node _T_2106 = bits(_T_2104, 5, 5) @[lsu_bus_buffer.scala 385:45] + node _T_2107 = or(_T_2105, _T_2106) @[lsu_bus_buffer.scala 385:42] + node _T_2108 = bits(_T_2104, 6, 6) @[lsu_bus_buffer.scala 385:51] + node _T_2109 = or(_T_2107, _T_2108) @[lsu_bus_buffer.scala 385:48] + node _T_2110 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 385:57] + node _T_2111 = or(_T_2109, _T_2110) @[lsu_bus_buffer.scala 385:54] + node _T_2112 = bits(_T_2104, 2, 2) @[lsu_bus_buffer.scala 385:64] + node _T_2113 = bits(_T_2104, 3, 3) @[lsu_bus_buffer.scala 385:70] + node _T_2114 = or(_T_2112, _T_2113) @[lsu_bus_buffer.scala 385:67] + node _T_2115 = bits(_T_2104, 6, 6) @[lsu_bus_buffer.scala 385:76] + node _T_2116 = or(_T_2114, _T_2115) @[lsu_bus_buffer.scala 385:73] + node _T_2117 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 385:82] + node _T_2118 = or(_T_2116, _T_2117) @[lsu_bus_buffer.scala 385:79] + node _T_2119 = bits(_T_2104, 1, 1) @[lsu_bus_buffer.scala 385:89] + node _T_2120 = bits(_T_2104, 3, 3) @[lsu_bus_buffer.scala 385:95] + node _T_2121 = or(_T_2119, _T_2120) @[lsu_bus_buffer.scala 385:92] + node _T_2122 = bits(_T_2104, 5, 5) @[lsu_bus_buffer.scala 385:101] + node _T_2123 = or(_T_2121, _T_2122) @[lsu_bus_buffer.scala 385:98] + node _T_2124 = bits(_T_2104, 7, 7) @[lsu_bus_buffer.scala 385:107] + node _T_2125 = or(_T_2123, _T_2124) @[lsu_bus_buffer.scala 385:104] + node _T_2126 = cat(_T_2111, _T_2118) @[Cat.scala 29:58] + node _T_2127 = cat(_T_2126, _T_2125) @[Cat.scala 29:58] + RspPtr <= _T_2127 @[lsu_bus_buffer.scala 393:10] + wire buf_state_en : UInt<1>[4] @[lsu_bus_buffer.scala 394:26] + buf_state_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16] + buf_state_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16] + buf_state_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16] + buf_state_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 395:16] + wire buf_rspageQ : UInt<4>[4] @[lsu_bus_buffer.scala 396:25] + buf_rspageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15] + buf_rspageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15] + buf_rspageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15] + buf_rspageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 397:15] + wire buf_rspage_set : UInt<4>[4] @[lsu_bus_buffer.scala 398:28] + buf_rspage_set[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18] + buf_rspage_set[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18] + buf_rspage_set[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18] + buf_rspage_set[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 399:18] + wire buf_rspage_in : UInt<4>[4] @[lsu_bus_buffer.scala 400:27] + buf_rspage_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17] + buf_rspage_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17] + buf_rspage_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17] + buf_rspage_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 401:17] + wire buf_rspage : UInt<4>[4] @[lsu_bus_buffer.scala 402:24] + buf_rspage[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14] + buf_rspage[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14] + buf_rspage[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14] + buf_rspage[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 403:14] + node _T_2128 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2129 = and(_T_2128, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94] + node _T_2130 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2131 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2132 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2133 = and(_T_2131, _T_2132) @[lsu_bus_buffer.scala 406:57] + node _T_2134 = or(_T_2130, _T_2133) @[lsu_bus_buffer.scala 406:31] + node _T_2135 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2136 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2137 = and(_T_2135, _T_2136) @[lsu_bus_buffer.scala 407:41] + node _T_2138 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83] + node _T_2139 = and(_T_2137, _T_2138) @[lsu_bus_buffer.scala 407:71] + node _T_2140 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104] + node _T_2141 = and(_T_2139, _T_2140) @[lsu_bus_buffer.scala 407:92] + node _T_2142 = or(_T_2134, _T_2141) @[lsu_bus_buffer.scala 406:86] + node _T_2143 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2144 = and(_T_2143, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2145 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64] + node _T_2146 = and(_T_2144, _T_2145) @[lsu_bus_buffer.scala 408:52] + node _T_2147 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85] + node _T_2148 = and(_T_2146, _T_2147) @[lsu_bus_buffer.scala 408:73] + node _T_2149 = or(_T_2142, _T_2148) @[lsu_bus_buffer.scala 407:114] + node _T_2150 = and(_T_2129, _T_2149) @[lsu_bus_buffer.scala 405:113] + node _T_2151 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 408:109] + node _T_2152 = or(_T_2150, _T_2151) @[lsu_bus_buffer.scala 408:97] + node _T_2153 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2154 = and(_T_2153, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94] + node _T_2155 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2156 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2157 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2158 = and(_T_2156, _T_2157) @[lsu_bus_buffer.scala 406:57] + node _T_2159 = or(_T_2155, _T_2158) @[lsu_bus_buffer.scala 406:31] + node _T_2160 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2161 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2162 = and(_T_2160, _T_2161) @[lsu_bus_buffer.scala 407:41] + node _T_2163 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83] + node _T_2164 = and(_T_2162, _T_2163) @[lsu_bus_buffer.scala 407:71] + node _T_2165 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104] + node _T_2166 = and(_T_2164, _T_2165) @[lsu_bus_buffer.scala 407:92] + node _T_2167 = or(_T_2159, _T_2166) @[lsu_bus_buffer.scala 406:86] + node _T_2168 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2169 = and(_T_2168, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2170 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64] + node _T_2171 = and(_T_2169, _T_2170) @[lsu_bus_buffer.scala 408:52] + node _T_2172 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85] + node _T_2173 = and(_T_2171, _T_2172) @[lsu_bus_buffer.scala 408:73] + node _T_2174 = or(_T_2167, _T_2173) @[lsu_bus_buffer.scala 407:114] + node _T_2175 = and(_T_2154, _T_2174) @[lsu_bus_buffer.scala 405:113] + node _T_2176 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 408:109] + node _T_2177 = or(_T_2175, _T_2176) @[lsu_bus_buffer.scala 408:97] + node _T_2178 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2179 = and(_T_2178, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94] + node _T_2180 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2181 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2182 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2183 = and(_T_2181, _T_2182) @[lsu_bus_buffer.scala 406:57] + node _T_2184 = or(_T_2180, _T_2183) @[lsu_bus_buffer.scala 406:31] + node _T_2185 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2186 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2187 = and(_T_2185, _T_2186) @[lsu_bus_buffer.scala 407:41] + node _T_2188 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83] + node _T_2189 = and(_T_2187, _T_2188) @[lsu_bus_buffer.scala 407:71] + node _T_2190 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104] + node _T_2191 = and(_T_2189, _T_2190) @[lsu_bus_buffer.scala 407:92] + node _T_2192 = or(_T_2184, _T_2191) @[lsu_bus_buffer.scala 406:86] + node _T_2193 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2194 = and(_T_2193, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2195 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64] + node _T_2196 = and(_T_2194, _T_2195) @[lsu_bus_buffer.scala 408:52] + node _T_2197 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85] + node _T_2198 = and(_T_2196, _T_2197) @[lsu_bus_buffer.scala 408:73] + node _T_2199 = or(_T_2192, _T_2198) @[lsu_bus_buffer.scala 407:114] + node _T_2200 = and(_T_2179, _T_2199) @[lsu_bus_buffer.scala 405:113] + node _T_2201 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 408:109] + node _T_2202 = or(_T_2200, _T_2201) @[lsu_bus_buffer.scala 408:97] + node _T_2203 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2204 = and(_T_2203, buf_state_en[0]) @[lsu_bus_buffer.scala 405:94] + node _T_2205 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2206 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2207 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2208 = and(_T_2206, _T_2207) @[lsu_bus_buffer.scala 406:57] + node _T_2209 = or(_T_2205, _T_2208) @[lsu_bus_buffer.scala 406:31] + node _T_2210 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2211 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2212 = and(_T_2210, _T_2211) @[lsu_bus_buffer.scala 407:41] + node _T_2213 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:83] + node _T_2214 = and(_T_2212, _T_2213) @[lsu_bus_buffer.scala 407:71] + node _T_2215 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104] + node _T_2216 = and(_T_2214, _T_2215) @[lsu_bus_buffer.scala 407:92] + node _T_2217 = or(_T_2209, _T_2216) @[lsu_bus_buffer.scala 406:86] + node _T_2218 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2219 = and(_T_2218, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2220 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:64] + node _T_2221 = and(_T_2219, _T_2220) @[lsu_bus_buffer.scala 408:52] + node _T_2222 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85] + node _T_2223 = and(_T_2221, _T_2222) @[lsu_bus_buffer.scala 408:73] + node _T_2224 = or(_T_2217, _T_2223) @[lsu_bus_buffer.scala 407:114] + node _T_2225 = and(_T_2204, _T_2224) @[lsu_bus_buffer.scala 405:113] + node _T_2226 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 408:109] + node _T_2227 = or(_T_2225, _T_2226) @[lsu_bus_buffer.scala 408:97] + node _T_2228 = cat(_T_2227, _T_2202) @[Cat.scala 29:58] + node _T_2229 = cat(_T_2228, _T_2177) @[Cat.scala 29:58] + node buf_age_in_0 = cat(_T_2229, _T_2152) @[Cat.scala 29:58] + node _T_2230 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2231 = and(_T_2230, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94] + node _T_2232 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2233 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2234 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2235 = and(_T_2233, _T_2234) @[lsu_bus_buffer.scala 406:57] + node _T_2236 = or(_T_2232, _T_2235) @[lsu_bus_buffer.scala 406:31] + node _T_2237 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2238 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2239 = and(_T_2237, _T_2238) @[lsu_bus_buffer.scala 407:41] + node _T_2240 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83] + node _T_2241 = and(_T_2239, _T_2240) @[lsu_bus_buffer.scala 407:71] + node _T_2242 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104] + node _T_2243 = and(_T_2241, _T_2242) @[lsu_bus_buffer.scala 407:92] + node _T_2244 = or(_T_2236, _T_2243) @[lsu_bus_buffer.scala 406:86] + node _T_2245 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2246 = and(_T_2245, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2247 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64] + node _T_2248 = and(_T_2246, _T_2247) @[lsu_bus_buffer.scala 408:52] + node _T_2249 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85] + node _T_2250 = and(_T_2248, _T_2249) @[lsu_bus_buffer.scala 408:73] + node _T_2251 = or(_T_2244, _T_2250) @[lsu_bus_buffer.scala 407:114] + node _T_2252 = and(_T_2231, _T_2251) @[lsu_bus_buffer.scala 405:113] + node _T_2253 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 408:109] + node _T_2254 = or(_T_2252, _T_2253) @[lsu_bus_buffer.scala 408:97] + node _T_2255 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2256 = and(_T_2255, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94] + node _T_2257 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2258 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2259 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2260 = and(_T_2258, _T_2259) @[lsu_bus_buffer.scala 406:57] + node _T_2261 = or(_T_2257, _T_2260) @[lsu_bus_buffer.scala 406:31] + node _T_2262 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2263 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2264 = and(_T_2262, _T_2263) @[lsu_bus_buffer.scala 407:41] + node _T_2265 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83] + node _T_2266 = and(_T_2264, _T_2265) @[lsu_bus_buffer.scala 407:71] + node _T_2267 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104] + node _T_2268 = and(_T_2266, _T_2267) @[lsu_bus_buffer.scala 407:92] + node _T_2269 = or(_T_2261, _T_2268) @[lsu_bus_buffer.scala 406:86] + node _T_2270 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2271 = and(_T_2270, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2272 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64] + node _T_2273 = and(_T_2271, _T_2272) @[lsu_bus_buffer.scala 408:52] + node _T_2274 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85] + node _T_2275 = and(_T_2273, _T_2274) @[lsu_bus_buffer.scala 408:73] + node _T_2276 = or(_T_2269, _T_2275) @[lsu_bus_buffer.scala 407:114] + node _T_2277 = and(_T_2256, _T_2276) @[lsu_bus_buffer.scala 405:113] + node _T_2278 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 408:109] + node _T_2279 = or(_T_2277, _T_2278) @[lsu_bus_buffer.scala 408:97] + node _T_2280 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2281 = and(_T_2280, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94] + node _T_2282 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2283 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2284 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2285 = and(_T_2283, _T_2284) @[lsu_bus_buffer.scala 406:57] + node _T_2286 = or(_T_2282, _T_2285) @[lsu_bus_buffer.scala 406:31] + node _T_2287 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2288 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2289 = and(_T_2287, _T_2288) @[lsu_bus_buffer.scala 407:41] + node _T_2290 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83] + node _T_2291 = and(_T_2289, _T_2290) @[lsu_bus_buffer.scala 407:71] + node _T_2292 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104] + node _T_2293 = and(_T_2291, _T_2292) @[lsu_bus_buffer.scala 407:92] + node _T_2294 = or(_T_2286, _T_2293) @[lsu_bus_buffer.scala 406:86] + node _T_2295 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2296 = and(_T_2295, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2297 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64] + node _T_2298 = and(_T_2296, _T_2297) @[lsu_bus_buffer.scala 408:52] + node _T_2299 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85] + node _T_2300 = and(_T_2298, _T_2299) @[lsu_bus_buffer.scala 408:73] + node _T_2301 = or(_T_2294, _T_2300) @[lsu_bus_buffer.scala 407:114] + node _T_2302 = and(_T_2281, _T_2301) @[lsu_bus_buffer.scala 405:113] + node _T_2303 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 408:109] + node _T_2304 = or(_T_2302, _T_2303) @[lsu_bus_buffer.scala 408:97] + node _T_2305 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2306 = and(_T_2305, buf_state_en[1]) @[lsu_bus_buffer.scala 405:94] + node _T_2307 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2308 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2309 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2310 = and(_T_2308, _T_2309) @[lsu_bus_buffer.scala 406:57] + node _T_2311 = or(_T_2307, _T_2310) @[lsu_bus_buffer.scala 406:31] + node _T_2312 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2313 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2314 = and(_T_2312, _T_2313) @[lsu_bus_buffer.scala 407:41] + node _T_2315 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:83] + node _T_2316 = and(_T_2314, _T_2315) @[lsu_bus_buffer.scala 407:71] + node _T_2317 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104] + node _T_2318 = and(_T_2316, _T_2317) @[lsu_bus_buffer.scala 407:92] + node _T_2319 = or(_T_2311, _T_2318) @[lsu_bus_buffer.scala 406:86] + node _T_2320 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2321 = and(_T_2320, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2322 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:64] + node _T_2323 = and(_T_2321, _T_2322) @[lsu_bus_buffer.scala 408:52] + node _T_2324 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85] + node _T_2325 = and(_T_2323, _T_2324) @[lsu_bus_buffer.scala 408:73] + node _T_2326 = or(_T_2319, _T_2325) @[lsu_bus_buffer.scala 407:114] + node _T_2327 = and(_T_2306, _T_2326) @[lsu_bus_buffer.scala 405:113] + node _T_2328 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 408:109] + node _T_2329 = or(_T_2327, _T_2328) @[lsu_bus_buffer.scala 408:97] + node _T_2330 = cat(_T_2329, _T_2304) @[Cat.scala 29:58] + node _T_2331 = cat(_T_2330, _T_2279) @[Cat.scala 29:58] + node buf_age_in_1 = cat(_T_2331, _T_2254) @[Cat.scala 29:58] + node _T_2332 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2333 = and(_T_2332, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94] + node _T_2334 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2335 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2336 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2337 = and(_T_2335, _T_2336) @[lsu_bus_buffer.scala 406:57] + node _T_2338 = or(_T_2334, _T_2337) @[lsu_bus_buffer.scala 406:31] + node _T_2339 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2340 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2341 = and(_T_2339, _T_2340) @[lsu_bus_buffer.scala 407:41] + node _T_2342 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83] + node _T_2343 = and(_T_2341, _T_2342) @[lsu_bus_buffer.scala 407:71] + node _T_2344 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104] + node _T_2345 = and(_T_2343, _T_2344) @[lsu_bus_buffer.scala 407:92] + node _T_2346 = or(_T_2338, _T_2345) @[lsu_bus_buffer.scala 406:86] + node _T_2347 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2348 = and(_T_2347, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2349 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64] + node _T_2350 = and(_T_2348, _T_2349) @[lsu_bus_buffer.scala 408:52] + node _T_2351 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85] + node _T_2352 = and(_T_2350, _T_2351) @[lsu_bus_buffer.scala 408:73] + node _T_2353 = or(_T_2346, _T_2352) @[lsu_bus_buffer.scala 407:114] + node _T_2354 = and(_T_2333, _T_2353) @[lsu_bus_buffer.scala 405:113] + node _T_2355 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 408:109] + node _T_2356 = or(_T_2354, _T_2355) @[lsu_bus_buffer.scala 408:97] + node _T_2357 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2358 = and(_T_2357, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94] + node _T_2359 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2360 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2361 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2362 = and(_T_2360, _T_2361) @[lsu_bus_buffer.scala 406:57] + node _T_2363 = or(_T_2359, _T_2362) @[lsu_bus_buffer.scala 406:31] + node _T_2364 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2365 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2366 = and(_T_2364, _T_2365) @[lsu_bus_buffer.scala 407:41] + node _T_2367 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83] + node _T_2368 = and(_T_2366, _T_2367) @[lsu_bus_buffer.scala 407:71] + node _T_2369 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104] + node _T_2370 = and(_T_2368, _T_2369) @[lsu_bus_buffer.scala 407:92] + node _T_2371 = or(_T_2363, _T_2370) @[lsu_bus_buffer.scala 406:86] + node _T_2372 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2373 = and(_T_2372, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2374 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64] + node _T_2375 = and(_T_2373, _T_2374) @[lsu_bus_buffer.scala 408:52] + node _T_2376 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85] + node _T_2377 = and(_T_2375, _T_2376) @[lsu_bus_buffer.scala 408:73] + node _T_2378 = or(_T_2371, _T_2377) @[lsu_bus_buffer.scala 407:114] + node _T_2379 = and(_T_2358, _T_2378) @[lsu_bus_buffer.scala 405:113] + node _T_2380 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 408:109] + node _T_2381 = or(_T_2379, _T_2380) @[lsu_bus_buffer.scala 408:97] + node _T_2382 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2383 = and(_T_2382, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94] + node _T_2384 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2385 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2386 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2387 = and(_T_2385, _T_2386) @[lsu_bus_buffer.scala 406:57] + node _T_2388 = or(_T_2384, _T_2387) @[lsu_bus_buffer.scala 406:31] + node _T_2389 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2390 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2391 = and(_T_2389, _T_2390) @[lsu_bus_buffer.scala 407:41] + node _T_2392 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83] + node _T_2393 = and(_T_2391, _T_2392) @[lsu_bus_buffer.scala 407:71] + node _T_2394 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104] + node _T_2395 = and(_T_2393, _T_2394) @[lsu_bus_buffer.scala 407:92] + node _T_2396 = or(_T_2388, _T_2395) @[lsu_bus_buffer.scala 406:86] + node _T_2397 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2398 = and(_T_2397, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2399 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64] + node _T_2400 = and(_T_2398, _T_2399) @[lsu_bus_buffer.scala 408:52] + node _T_2401 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85] + node _T_2402 = and(_T_2400, _T_2401) @[lsu_bus_buffer.scala 408:73] + node _T_2403 = or(_T_2396, _T_2402) @[lsu_bus_buffer.scala 407:114] + node _T_2404 = and(_T_2383, _T_2403) @[lsu_bus_buffer.scala 405:113] + node _T_2405 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 408:109] + node _T_2406 = or(_T_2404, _T_2405) @[lsu_bus_buffer.scala 408:97] + node _T_2407 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2408 = and(_T_2407, buf_state_en[2]) @[lsu_bus_buffer.scala 405:94] + node _T_2409 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2410 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2411 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2412 = and(_T_2410, _T_2411) @[lsu_bus_buffer.scala 406:57] + node _T_2413 = or(_T_2409, _T_2412) @[lsu_bus_buffer.scala 406:31] + node _T_2414 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2415 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2416 = and(_T_2414, _T_2415) @[lsu_bus_buffer.scala 407:41] + node _T_2417 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:83] + node _T_2418 = and(_T_2416, _T_2417) @[lsu_bus_buffer.scala 407:71] + node _T_2419 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104] + node _T_2420 = and(_T_2418, _T_2419) @[lsu_bus_buffer.scala 407:92] + node _T_2421 = or(_T_2413, _T_2420) @[lsu_bus_buffer.scala 406:86] + node _T_2422 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2423 = and(_T_2422, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2424 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:64] + node _T_2425 = and(_T_2423, _T_2424) @[lsu_bus_buffer.scala 408:52] + node _T_2426 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85] + node _T_2427 = and(_T_2425, _T_2426) @[lsu_bus_buffer.scala 408:73] + node _T_2428 = or(_T_2421, _T_2427) @[lsu_bus_buffer.scala 407:114] + node _T_2429 = and(_T_2408, _T_2428) @[lsu_bus_buffer.scala 405:113] + node _T_2430 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 408:109] + node _T_2431 = or(_T_2429, _T_2430) @[lsu_bus_buffer.scala 408:97] + node _T_2432 = cat(_T_2431, _T_2406) @[Cat.scala 29:58] + node _T_2433 = cat(_T_2432, _T_2381) @[Cat.scala 29:58] + node buf_age_in_2 = cat(_T_2433, _T_2356) @[Cat.scala 29:58] + node _T_2434 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2435 = and(_T_2434, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94] + node _T_2436 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2437 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2438 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2439 = and(_T_2437, _T_2438) @[lsu_bus_buffer.scala 406:57] + node _T_2440 = or(_T_2436, _T_2439) @[lsu_bus_buffer.scala 406:31] + node _T_2441 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2442 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2443 = and(_T_2441, _T_2442) @[lsu_bus_buffer.scala 407:41] + node _T_2444 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83] + node _T_2445 = and(_T_2443, _T_2444) @[lsu_bus_buffer.scala 407:71] + node _T_2446 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 407:104] + node _T_2447 = and(_T_2445, _T_2446) @[lsu_bus_buffer.scala 407:92] + node _T_2448 = or(_T_2440, _T_2447) @[lsu_bus_buffer.scala 406:86] + node _T_2449 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2450 = and(_T_2449, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2451 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64] + node _T_2452 = and(_T_2450, _T_2451) @[lsu_bus_buffer.scala 408:52] + node _T_2453 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 408:85] + node _T_2454 = and(_T_2452, _T_2453) @[lsu_bus_buffer.scala 408:73] + node _T_2455 = or(_T_2448, _T_2454) @[lsu_bus_buffer.scala 407:114] + node _T_2456 = and(_T_2435, _T_2455) @[lsu_bus_buffer.scala 405:113] + node _T_2457 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 408:109] + node _T_2458 = or(_T_2456, _T_2457) @[lsu_bus_buffer.scala 408:97] + node _T_2459 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2460 = and(_T_2459, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94] + node _T_2461 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2462 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2463 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2464 = and(_T_2462, _T_2463) @[lsu_bus_buffer.scala 406:57] + node _T_2465 = or(_T_2461, _T_2464) @[lsu_bus_buffer.scala 406:31] + node _T_2466 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2467 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2468 = and(_T_2466, _T_2467) @[lsu_bus_buffer.scala 407:41] + node _T_2469 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83] + node _T_2470 = and(_T_2468, _T_2469) @[lsu_bus_buffer.scala 407:71] + node _T_2471 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 407:104] + node _T_2472 = and(_T_2470, _T_2471) @[lsu_bus_buffer.scala 407:92] + node _T_2473 = or(_T_2465, _T_2472) @[lsu_bus_buffer.scala 406:86] + node _T_2474 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2475 = and(_T_2474, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2476 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64] + node _T_2477 = and(_T_2475, _T_2476) @[lsu_bus_buffer.scala 408:52] + node _T_2478 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 408:85] + node _T_2479 = and(_T_2477, _T_2478) @[lsu_bus_buffer.scala 408:73] + node _T_2480 = or(_T_2473, _T_2479) @[lsu_bus_buffer.scala 407:114] + node _T_2481 = and(_T_2460, _T_2480) @[lsu_bus_buffer.scala 405:113] + node _T_2482 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 408:109] + node _T_2483 = or(_T_2481, _T_2482) @[lsu_bus_buffer.scala 408:97] + node _T_2484 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2485 = and(_T_2484, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94] + node _T_2486 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2487 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2488 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2489 = and(_T_2487, _T_2488) @[lsu_bus_buffer.scala 406:57] + node _T_2490 = or(_T_2486, _T_2489) @[lsu_bus_buffer.scala 406:31] + node _T_2491 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2492 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2493 = and(_T_2491, _T_2492) @[lsu_bus_buffer.scala 407:41] + node _T_2494 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83] + node _T_2495 = and(_T_2493, _T_2494) @[lsu_bus_buffer.scala 407:71] + node _T_2496 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 407:104] + node _T_2497 = and(_T_2495, _T_2496) @[lsu_bus_buffer.scala 407:92] + node _T_2498 = or(_T_2490, _T_2497) @[lsu_bus_buffer.scala 406:86] + node _T_2499 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2500 = and(_T_2499, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2501 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64] + node _T_2502 = and(_T_2500, _T_2501) @[lsu_bus_buffer.scala 408:52] + node _T_2503 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 408:85] + node _T_2504 = and(_T_2502, _T_2503) @[lsu_bus_buffer.scala 408:73] + node _T_2505 = or(_T_2498, _T_2504) @[lsu_bus_buffer.scala 407:114] + node _T_2506 = and(_T_2485, _T_2505) @[lsu_bus_buffer.scala 405:113] + node _T_2507 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 408:109] + node _T_2508 = or(_T_2506, _T_2507) @[lsu_bus_buffer.scala 408:97] + node _T_2509 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 405:83] + node _T_2510 = and(_T_2509, buf_state_en[3]) @[lsu_bus_buffer.scala 405:94] + node _T_2511 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 406:20] + node _T_2512 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 406:47] + node _T_2513 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 406:59] + node _T_2514 = and(_T_2512, _T_2513) @[lsu_bus_buffer.scala 406:57] + node _T_2515 = or(_T_2511, _T_2514) @[lsu_bus_buffer.scala 406:31] + node _T_2516 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 407:23] + node _T_2517 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 407:53] + node _T_2518 = and(_T_2516, _T_2517) @[lsu_bus_buffer.scala 407:41] + node _T_2519 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:83] + node _T_2520 = and(_T_2518, _T_2519) @[lsu_bus_buffer.scala 407:71] + node _T_2521 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 407:104] + node _T_2522 = and(_T_2520, _T_2521) @[lsu_bus_buffer.scala 407:92] + node _T_2523 = or(_T_2515, _T_2522) @[lsu_bus_buffer.scala 406:86] + node _T_2524 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 408:17] + node _T_2525 = and(_T_2524, io.ldst_dual_r) @[lsu_bus_buffer.scala 408:35] + node _T_2526 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:64] + node _T_2527 = and(_T_2525, _T_2526) @[lsu_bus_buffer.scala 408:52] + node _T_2528 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 408:85] + node _T_2529 = and(_T_2527, _T_2528) @[lsu_bus_buffer.scala 408:73] + node _T_2530 = or(_T_2523, _T_2529) @[lsu_bus_buffer.scala 407:114] + node _T_2531 = and(_T_2510, _T_2530) @[lsu_bus_buffer.scala 405:113] + node _T_2532 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 408:109] + node _T_2533 = or(_T_2531, _T_2532) @[lsu_bus_buffer.scala 408:97] + node _T_2534 = cat(_T_2533, _T_2508) @[Cat.scala 29:58] + node _T_2535 = cat(_T_2534, _T_2483) @[Cat.scala 29:58] + node buf_age_in_3 = cat(_T_2535, _T_2458) @[Cat.scala 29:58] + wire buf_ageQ : UInt<4>[4] @[lsu_bus_buffer.scala 409:22] + buf_ageQ[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12] + buf_ageQ[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12] + buf_ageQ[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12] + buf_ageQ[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 410:12] + node _T_2536 = bits(buf_ageQ[0], 0, 0) @[lsu_bus_buffer.scala 411:72] + node _T_2537 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2538 = and(_T_2537, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103] + node _T_2539 = eq(_T_2538, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2540 = and(_T_2536, _T_2539) @[lsu_bus_buffer.scala 411:76] + node _T_2541 = bits(buf_ageQ[0], 1, 1) @[lsu_bus_buffer.scala 411:72] + node _T_2542 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2543 = and(_T_2542, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103] + node _T_2544 = eq(_T_2543, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2545 = and(_T_2541, _T_2544) @[lsu_bus_buffer.scala 411:76] + node _T_2546 = bits(buf_ageQ[0], 2, 2) @[lsu_bus_buffer.scala 411:72] + node _T_2547 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2548 = and(_T_2547, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103] + node _T_2549 = eq(_T_2548, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2550 = and(_T_2546, _T_2549) @[lsu_bus_buffer.scala 411:76] + node _T_2551 = bits(buf_ageQ[0], 3, 3) @[lsu_bus_buffer.scala 411:72] + node _T_2552 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2553 = and(_T_2552, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103] + node _T_2554 = eq(_T_2553, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2555 = and(_T_2551, _T_2554) @[lsu_bus_buffer.scala 411:76] + node _T_2556 = cat(_T_2555, _T_2550) @[Cat.scala 29:58] + node _T_2557 = cat(_T_2556, _T_2545) @[Cat.scala 29:58] + node _T_2558 = cat(_T_2557, _T_2540) @[Cat.scala 29:58] + node _T_2559 = bits(buf_ageQ[1], 0, 0) @[lsu_bus_buffer.scala 411:72] + node _T_2560 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2561 = and(_T_2560, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103] + node _T_2562 = eq(_T_2561, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2563 = and(_T_2559, _T_2562) @[lsu_bus_buffer.scala 411:76] + node _T_2564 = bits(buf_ageQ[1], 1, 1) @[lsu_bus_buffer.scala 411:72] + node _T_2565 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2566 = and(_T_2565, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103] + node _T_2567 = eq(_T_2566, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2568 = and(_T_2564, _T_2567) @[lsu_bus_buffer.scala 411:76] + node _T_2569 = bits(buf_ageQ[1], 2, 2) @[lsu_bus_buffer.scala 411:72] + node _T_2570 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2571 = and(_T_2570, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103] + node _T_2572 = eq(_T_2571, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2573 = and(_T_2569, _T_2572) @[lsu_bus_buffer.scala 411:76] + node _T_2574 = bits(buf_ageQ[1], 3, 3) @[lsu_bus_buffer.scala 411:72] + node _T_2575 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2576 = and(_T_2575, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103] + node _T_2577 = eq(_T_2576, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2578 = and(_T_2574, _T_2577) @[lsu_bus_buffer.scala 411:76] + node _T_2579 = cat(_T_2578, _T_2573) @[Cat.scala 29:58] + node _T_2580 = cat(_T_2579, _T_2568) @[Cat.scala 29:58] + node _T_2581 = cat(_T_2580, _T_2563) @[Cat.scala 29:58] + node _T_2582 = bits(buf_ageQ[2], 0, 0) @[lsu_bus_buffer.scala 411:72] + node _T_2583 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2584 = and(_T_2583, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103] + node _T_2585 = eq(_T_2584, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2586 = and(_T_2582, _T_2585) @[lsu_bus_buffer.scala 411:76] + node _T_2587 = bits(buf_ageQ[2], 1, 1) @[lsu_bus_buffer.scala 411:72] + node _T_2588 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2589 = and(_T_2588, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103] + node _T_2590 = eq(_T_2589, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2591 = and(_T_2587, _T_2590) @[lsu_bus_buffer.scala 411:76] + node _T_2592 = bits(buf_ageQ[2], 2, 2) @[lsu_bus_buffer.scala 411:72] + node _T_2593 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2594 = and(_T_2593, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103] + node _T_2595 = eq(_T_2594, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2596 = and(_T_2592, _T_2595) @[lsu_bus_buffer.scala 411:76] + node _T_2597 = bits(buf_ageQ[2], 3, 3) @[lsu_bus_buffer.scala 411:72] + node _T_2598 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2599 = and(_T_2598, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103] + node _T_2600 = eq(_T_2599, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2601 = and(_T_2597, _T_2600) @[lsu_bus_buffer.scala 411:76] + node _T_2602 = cat(_T_2601, _T_2596) @[Cat.scala 29:58] + node _T_2603 = cat(_T_2602, _T_2591) @[Cat.scala 29:58] + node _T_2604 = cat(_T_2603, _T_2586) @[Cat.scala 29:58] + node _T_2605 = bits(buf_ageQ[3], 0, 0) @[lsu_bus_buffer.scala 411:72] + node _T_2606 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2607 = and(_T_2606, buf_cmd_state_bus_en[0]) @[lsu_bus_buffer.scala 411:103] + node _T_2608 = eq(_T_2607, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2609 = and(_T_2605, _T_2608) @[lsu_bus_buffer.scala 411:76] + node _T_2610 = bits(buf_ageQ[3], 1, 1) @[lsu_bus_buffer.scala 411:72] + node _T_2611 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2612 = and(_T_2611, buf_cmd_state_bus_en[1]) @[lsu_bus_buffer.scala 411:103] + node _T_2613 = eq(_T_2612, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2614 = and(_T_2610, _T_2613) @[lsu_bus_buffer.scala 411:76] + node _T_2615 = bits(buf_ageQ[3], 2, 2) @[lsu_bus_buffer.scala 411:72] + node _T_2616 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2617 = and(_T_2616, buf_cmd_state_bus_en[2]) @[lsu_bus_buffer.scala 411:103] + node _T_2618 = eq(_T_2617, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2619 = and(_T_2615, _T_2618) @[lsu_bus_buffer.scala 411:76] + node _T_2620 = bits(buf_ageQ[3], 3, 3) @[lsu_bus_buffer.scala 411:72] + node _T_2621 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 411:93] + node _T_2622 = and(_T_2621, buf_cmd_state_bus_en[3]) @[lsu_bus_buffer.scala 411:103] + node _T_2623 = eq(_T_2622, UInt<1>("h00")) @[lsu_bus_buffer.scala 411:78] + node _T_2624 = and(_T_2620, _T_2623) @[lsu_bus_buffer.scala 411:76] + node _T_2625 = cat(_T_2624, _T_2619) @[Cat.scala 29:58] + node _T_2626 = cat(_T_2625, _T_2614) @[Cat.scala 29:58] + node _T_2627 = cat(_T_2626, _T_2609) @[Cat.scala 29:58] + buf_age[0] <= _T_2558 @[lsu_bus_buffer.scala 411:11] + buf_age[1] <= _T_2581 @[lsu_bus_buffer.scala 411:11] + buf_age[2] <= _T_2604 @[lsu_bus_buffer.scala 411:11] + buf_age[3] <= _T_2627 @[lsu_bus_buffer.scala 411:11] + node _T_2628 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76] + node _T_2629 = bits(buf_age[0], 0, 0) @[lsu_bus_buffer.scala 412:100] + node _T_2630 = eq(_T_2629, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2631 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2632 = and(_T_2630, _T_2631) @[lsu_bus_buffer.scala 412:104] + node _T_2633 = mux(_T_2628, UInt<1>("h00"), _T_2632) @[lsu_bus_buffer.scala 412:72] + node _T_2634 = eq(UInt<1>("h00"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76] + node _T_2635 = bits(buf_age[0], 1, 1) @[lsu_bus_buffer.scala 412:100] + node _T_2636 = eq(_T_2635, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2637 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2638 = and(_T_2636, _T_2637) @[lsu_bus_buffer.scala 412:104] + node _T_2639 = mux(_T_2634, UInt<1>("h00"), _T_2638) @[lsu_bus_buffer.scala 412:72] + node _T_2640 = eq(UInt<1>("h00"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76] + node _T_2641 = bits(buf_age[0], 2, 2) @[lsu_bus_buffer.scala 412:100] + node _T_2642 = eq(_T_2641, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2643 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2644 = and(_T_2642, _T_2643) @[lsu_bus_buffer.scala 412:104] + node _T_2645 = mux(_T_2640, UInt<1>("h00"), _T_2644) @[lsu_bus_buffer.scala 412:72] + node _T_2646 = eq(UInt<1>("h00"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76] + node _T_2647 = bits(buf_age[0], 3, 3) @[lsu_bus_buffer.scala 412:100] + node _T_2648 = eq(_T_2647, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2649 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2650 = and(_T_2648, _T_2649) @[lsu_bus_buffer.scala 412:104] + node _T_2651 = mux(_T_2646, UInt<1>("h00"), _T_2650) @[lsu_bus_buffer.scala 412:72] + node _T_2652 = cat(_T_2651, _T_2645) @[Cat.scala 29:58] + node _T_2653 = cat(_T_2652, _T_2639) @[Cat.scala 29:58] + node _T_2654 = cat(_T_2653, _T_2633) @[Cat.scala 29:58] + node _T_2655 = eq(UInt<1>("h01"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76] + node _T_2656 = bits(buf_age[1], 0, 0) @[lsu_bus_buffer.scala 412:100] + node _T_2657 = eq(_T_2656, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2658 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2659 = and(_T_2657, _T_2658) @[lsu_bus_buffer.scala 412:104] + node _T_2660 = mux(_T_2655, UInt<1>("h00"), _T_2659) @[lsu_bus_buffer.scala 412:72] + node _T_2661 = eq(UInt<1>("h01"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76] + node _T_2662 = bits(buf_age[1], 1, 1) @[lsu_bus_buffer.scala 412:100] + node _T_2663 = eq(_T_2662, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2664 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2665 = and(_T_2663, _T_2664) @[lsu_bus_buffer.scala 412:104] + node _T_2666 = mux(_T_2661, UInt<1>("h00"), _T_2665) @[lsu_bus_buffer.scala 412:72] + node _T_2667 = eq(UInt<1>("h01"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76] + node _T_2668 = bits(buf_age[1], 2, 2) @[lsu_bus_buffer.scala 412:100] + node _T_2669 = eq(_T_2668, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2670 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2671 = and(_T_2669, _T_2670) @[lsu_bus_buffer.scala 412:104] + node _T_2672 = mux(_T_2667, UInt<1>("h00"), _T_2671) @[lsu_bus_buffer.scala 412:72] + node _T_2673 = eq(UInt<1>("h01"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76] + node _T_2674 = bits(buf_age[1], 3, 3) @[lsu_bus_buffer.scala 412:100] + node _T_2675 = eq(_T_2674, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2676 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2677 = and(_T_2675, _T_2676) @[lsu_bus_buffer.scala 412:104] + node _T_2678 = mux(_T_2673, UInt<1>("h00"), _T_2677) @[lsu_bus_buffer.scala 412:72] + node _T_2679 = cat(_T_2678, _T_2672) @[Cat.scala 29:58] + node _T_2680 = cat(_T_2679, _T_2666) @[Cat.scala 29:58] + node _T_2681 = cat(_T_2680, _T_2660) @[Cat.scala 29:58] + node _T_2682 = eq(UInt<2>("h02"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76] + node _T_2683 = bits(buf_age[2], 0, 0) @[lsu_bus_buffer.scala 412:100] + node _T_2684 = eq(_T_2683, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2685 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2686 = and(_T_2684, _T_2685) @[lsu_bus_buffer.scala 412:104] + node _T_2687 = mux(_T_2682, UInt<1>("h00"), _T_2686) @[lsu_bus_buffer.scala 412:72] + node _T_2688 = eq(UInt<2>("h02"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76] + node _T_2689 = bits(buf_age[2], 1, 1) @[lsu_bus_buffer.scala 412:100] + node _T_2690 = eq(_T_2689, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2691 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2692 = and(_T_2690, _T_2691) @[lsu_bus_buffer.scala 412:104] + node _T_2693 = mux(_T_2688, UInt<1>("h00"), _T_2692) @[lsu_bus_buffer.scala 412:72] + node _T_2694 = eq(UInt<2>("h02"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76] + node _T_2695 = bits(buf_age[2], 2, 2) @[lsu_bus_buffer.scala 412:100] + node _T_2696 = eq(_T_2695, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2697 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2698 = and(_T_2696, _T_2697) @[lsu_bus_buffer.scala 412:104] + node _T_2699 = mux(_T_2694, UInt<1>("h00"), _T_2698) @[lsu_bus_buffer.scala 412:72] + node _T_2700 = eq(UInt<2>("h02"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76] + node _T_2701 = bits(buf_age[2], 3, 3) @[lsu_bus_buffer.scala 412:100] + node _T_2702 = eq(_T_2701, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2703 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2704 = and(_T_2702, _T_2703) @[lsu_bus_buffer.scala 412:104] + node _T_2705 = mux(_T_2700, UInt<1>("h00"), _T_2704) @[lsu_bus_buffer.scala 412:72] + node _T_2706 = cat(_T_2705, _T_2699) @[Cat.scala 29:58] + node _T_2707 = cat(_T_2706, _T_2693) @[Cat.scala 29:58] + node _T_2708 = cat(_T_2707, _T_2687) @[Cat.scala 29:58] + node _T_2709 = eq(UInt<2>("h03"), UInt<1>("h00")) @[lsu_bus_buffer.scala 412:76] + node _T_2710 = bits(buf_age[3], 0, 0) @[lsu_bus_buffer.scala 412:100] + node _T_2711 = eq(_T_2710, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2712 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2713 = and(_T_2711, _T_2712) @[lsu_bus_buffer.scala 412:104] + node _T_2714 = mux(_T_2709, UInt<1>("h00"), _T_2713) @[lsu_bus_buffer.scala 412:72] + node _T_2715 = eq(UInt<2>("h03"), UInt<1>("h01")) @[lsu_bus_buffer.scala 412:76] + node _T_2716 = bits(buf_age[3], 1, 1) @[lsu_bus_buffer.scala 412:100] + node _T_2717 = eq(_T_2716, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2718 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2719 = and(_T_2717, _T_2718) @[lsu_bus_buffer.scala 412:104] + node _T_2720 = mux(_T_2715, UInt<1>("h00"), _T_2719) @[lsu_bus_buffer.scala 412:72] + node _T_2721 = eq(UInt<2>("h03"), UInt<2>("h02")) @[lsu_bus_buffer.scala 412:76] + node _T_2722 = bits(buf_age[3], 2, 2) @[lsu_bus_buffer.scala 412:100] + node _T_2723 = eq(_T_2722, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2724 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2725 = and(_T_2723, _T_2724) @[lsu_bus_buffer.scala 412:104] + node _T_2726 = mux(_T_2721, UInt<1>("h00"), _T_2725) @[lsu_bus_buffer.scala 412:72] + node _T_2727 = eq(UInt<2>("h03"), UInt<2>("h03")) @[lsu_bus_buffer.scala 412:76] + node _T_2728 = bits(buf_age[3], 3, 3) @[lsu_bus_buffer.scala 412:100] + node _T_2729 = eq(_T_2728, UInt<1>("h00")) @[lsu_bus_buffer.scala 412:89] + node _T_2730 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 412:119] + node _T_2731 = and(_T_2729, _T_2730) @[lsu_bus_buffer.scala 412:104] + node _T_2732 = mux(_T_2727, UInt<1>("h00"), _T_2731) @[lsu_bus_buffer.scala 412:72] + node _T_2733 = cat(_T_2732, _T_2726) @[Cat.scala 29:58] + node _T_2734 = cat(_T_2733, _T_2720) @[Cat.scala 29:58] + node _T_2735 = cat(_T_2734, _T_2714) @[Cat.scala 29:58] + buf_age_younger[0] <= _T_2654 @[lsu_bus_buffer.scala 412:19] + buf_age_younger[1] <= _T_2681 @[lsu_bus_buffer.scala 412:19] + buf_age_younger[2] <= _T_2708 @[lsu_bus_buffer.scala 412:19] + buf_age_younger[3] <= _T_2735 @[lsu_bus_buffer.scala 412:19] + node _T_2736 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 413:83] + node _T_2737 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2738 = and(_T_2736, _T_2737) @[lsu_bus_buffer.scala 413:87] + node _T_2739 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 413:83] + node _T_2740 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2741 = and(_T_2739, _T_2740) @[lsu_bus_buffer.scala 413:87] + node _T_2742 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 413:83] + node _T_2743 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2744 = and(_T_2742, _T_2743) @[lsu_bus_buffer.scala 413:87] + node _T_2745 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 413:83] + node _T_2746 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2747 = and(_T_2745, _T_2746) @[lsu_bus_buffer.scala 413:87] + node _T_2748 = cat(_T_2747, _T_2744) @[Cat.scala 29:58] + node _T_2749 = cat(_T_2748, _T_2741) @[Cat.scala 29:58] + node _T_2750 = cat(_T_2749, _T_2738) @[Cat.scala 29:58] + node _T_2751 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 413:83] + node _T_2752 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2753 = and(_T_2751, _T_2752) @[lsu_bus_buffer.scala 413:87] + node _T_2754 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 413:83] + node _T_2755 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2756 = and(_T_2754, _T_2755) @[lsu_bus_buffer.scala 413:87] + node _T_2757 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 413:83] + node _T_2758 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2759 = and(_T_2757, _T_2758) @[lsu_bus_buffer.scala 413:87] + node _T_2760 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 413:83] + node _T_2761 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2762 = and(_T_2760, _T_2761) @[lsu_bus_buffer.scala 413:87] + node _T_2763 = cat(_T_2762, _T_2759) @[Cat.scala 29:58] + node _T_2764 = cat(_T_2763, _T_2756) @[Cat.scala 29:58] + node _T_2765 = cat(_T_2764, _T_2753) @[Cat.scala 29:58] + node _T_2766 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 413:83] + node _T_2767 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2768 = and(_T_2766, _T_2767) @[lsu_bus_buffer.scala 413:87] + node _T_2769 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 413:83] + node _T_2770 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2771 = and(_T_2769, _T_2770) @[lsu_bus_buffer.scala 413:87] + node _T_2772 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 413:83] + node _T_2773 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2774 = and(_T_2772, _T_2773) @[lsu_bus_buffer.scala 413:87] + node _T_2775 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 413:83] + node _T_2776 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2777 = and(_T_2775, _T_2776) @[lsu_bus_buffer.scala 413:87] + node _T_2778 = cat(_T_2777, _T_2774) @[Cat.scala 29:58] + node _T_2779 = cat(_T_2778, _T_2771) @[Cat.scala 29:58] + node _T_2780 = cat(_T_2779, _T_2768) @[Cat.scala 29:58] + node _T_2781 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 413:83] + node _T_2782 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2783 = and(_T_2781, _T_2782) @[lsu_bus_buffer.scala 413:87] + node _T_2784 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 413:83] + node _T_2785 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2786 = and(_T_2784, _T_2785) @[lsu_bus_buffer.scala 413:87] + node _T_2787 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 413:83] + node _T_2788 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2789 = and(_T_2787, _T_2788) @[lsu_bus_buffer.scala 413:87] + node _T_2790 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 413:83] + node _T_2791 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 413:102] + node _T_2792 = and(_T_2790, _T_2791) @[lsu_bus_buffer.scala 413:87] + node _T_2793 = cat(_T_2792, _T_2789) @[Cat.scala 29:58] + node _T_2794 = cat(_T_2793, _T_2786) @[Cat.scala 29:58] + node _T_2795 = cat(_T_2794, _T_2783) @[Cat.scala 29:58] + buf_rsp_pickage[0] <= _T_2750 @[lsu_bus_buffer.scala 413:19] + buf_rsp_pickage[1] <= _T_2765 @[lsu_bus_buffer.scala 413:19] + buf_rsp_pickage[2] <= _T_2780 @[lsu_bus_buffer.scala 413:19] + buf_rsp_pickage[3] <= _T_2795 @[lsu_bus_buffer.scala 413:19] + node _T_2796 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2797 = and(_T_2796, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93] + node _T_2798 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2799 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2800 = or(_T_2798, _T_2799) @[lsu_bus_buffer.scala 416:32] + node _T_2801 = eq(_T_2800, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2802 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2803 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2804 = and(_T_2802, _T_2803) @[lsu_bus_buffer.scala 417:41] + node _T_2805 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82] + node _T_2806 = and(_T_2804, _T_2805) @[lsu_bus_buffer.scala 417:71] + node _T_2807 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101] + node _T_2808 = and(_T_2806, _T_2807) @[lsu_bus_buffer.scala 417:90] + node _T_2809 = or(_T_2801, _T_2808) @[lsu_bus_buffer.scala 416:59] + node _T_2810 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2811 = and(_T_2810, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2812 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63] + node _T_2813 = and(_T_2811, _T_2812) @[lsu_bus_buffer.scala 418:52] + node _T_2814 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2815 = and(_T_2813, _T_2814) @[lsu_bus_buffer.scala 418:71] + node _T_2816 = or(_T_2809, _T_2815) @[lsu_bus_buffer.scala 417:110] + node _T_2817 = and(_T_2797, _T_2816) @[lsu_bus_buffer.scala 415:112] + node _T_2818 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2819 = and(_T_2818, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93] + node _T_2820 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2821 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2822 = or(_T_2820, _T_2821) @[lsu_bus_buffer.scala 416:32] + node _T_2823 = eq(_T_2822, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2824 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2825 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2826 = and(_T_2824, _T_2825) @[lsu_bus_buffer.scala 417:41] + node _T_2827 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82] + node _T_2828 = and(_T_2826, _T_2827) @[lsu_bus_buffer.scala 417:71] + node _T_2829 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101] + node _T_2830 = and(_T_2828, _T_2829) @[lsu_bus_buffer.scala 417:90] + node _T_2831 = or(_T_2823, _T_2830) @[lsu_bus_buffer.scala 416:59] + node _T_2832 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2833 = and(_T_2832, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2834 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63] + node _T_2835 = and(_T_2833, _T_2834) @[lsu_bus_buffer.scala 418:52] + node _T_2836 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_2837 = and(_T_2835, _T_2836) @[lsu_bus_buffer.scala 418:71] + node _T_2838 = or(_T_2831, _T_2837) @[lsu_bus_buffer.scala 417:110] + node _T_2839 = and(_T_2819, _T_2838) @[lsu_bus_buffer.scala 415:112] + node _T_2840 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2841 = and(_T_2840, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93] + node _T_2842 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2843 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2844 = or(_T_2842, _T_2843) @[lsu_bus_buffer.scala 416:32] + node _T_2845 = eq(_T_2844, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2846 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2847 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2848 = and(_T_2846, _T_2847) @[lsu_bus_buffer.scala 417:41] + node _T_2849 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82] + node _T_2850 = and(_T_2848, _T_2849) @[lsu_bus_buffer.scala 417:71] + node _T_2851 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101] + node _T_2852 = and(_T_2850, _T_2851) @[lsu_bus_buffer.scala 417:90] + node _T_2853 = or(_T_2845, _T_2852) @[lsu_bus_buffer.scala 416:59] + node _T_2854 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2855 = and(_T_2854, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2856 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63] + node _T_2857 = and(_T_2855, _T_2856) @[lsu_bus_buffer.scala 418:52] + node _T_2858 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_2859 = and(_T_2857, _T_2858) @[lsu_bus_buffer.scala 418:71] + node _T_2860 = or(_T_2853, _T_2859) @[lsu_bus_buffer.scala 417:110] + node _T_2861 = and(_T_2841, _T_2860) @[lsu_bus_buffer.scala 415:112] + node _T_2862 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2863 = and(_T_2862, buf_state_en[0]) @[lsu_bus_buffer.scala 415:93] + node _T_2864 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2865 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2866 = or(_T_2864, _T_2865) @[lsu_bus_buffer.scala 416:32] + node _T_2867 = eq(_T_2866, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2868 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2869 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2870 = and(_T_2868, _T_2869) @[lsu_bus_buffer.scala 417:41] + node _T_2871 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:82] + node _T_2872 = and(_T_2870, _T_2871) @[lsu_bus_buffer.scala 417:71] + node _T_2873 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101] + node _T_2874 = and(_T_2872, _T_2873) @[lsu_bus_buffer.scala 417:90] + node _T_2875 = or(_T_2867, _T_2874) @[lsu_bus_buffer.scala 416:59] + node _T_2876 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2877 = and(_T_2876, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2878 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:63] + node _T_2879 = and(_T_2877, _T_2878) @[lsu_bus_buffer.scala 418:52] + node _T_2880 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_2881 = and(_T_2879, _T_2880) @[lsu_bus_buffer.scala 418:71] + node _T_2882 = or(_T_2875, _T_2881) @[lsu_bus_buffer.scala 417:110] + node _T_2883 = and(_T_2863, _T_2882) @[lsu_bus_buffer.scala 415:112] + node _T_2884 = cat(_T_2883, _T_2861) @[Cat.scala 29:58] + node _T_2885 = cat(_T_2884, _T_2839) @[Cat.scala 29:58] + node _T_2886 = cat(_T_2885, _T_2817) @[Cat.scala 29:58] + node _T_2887 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2888 = and(_T_2887, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93] + node _T_2889 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2890 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2891 = or(_T_2889, _T_2890) @[lsu_bus_buffer.scala 416:32] + node _T_2892 = eq(_T_2891, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2893 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2894 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2895 = and(_T_2893, _T_2894) @[lsu_bus_buffer.scala 417:41] + node _T_2896 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82] + node _T_2897 = and(_T_2895, _T_2896) @[lsu_bus_buffer.scala 417:71] + node _T_2898 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101] + node _T_2899 = and(_T_2897, _T_2898) @[lsu_bus_buffer.scala 417:90] + node _T_2900 = or(_T_2892, _T_2899) @[lsu_bus_buffer.scala 416:59] + node _T_2901 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2902 = and(_T_2901, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2903 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63] + node _T_2904 = and(_T_2902, _T_2903) @[lsu_bus_buffer.scala 418:52] + node _T_2905 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2906 = and(_T_2904, _T_2905) @[lsu_bus_buffer.scala 418:71] + node _T_2907 = or(_T_2900, _T_2906) @[lsu_bus_buffer.scala 417:110] + node _T_2908 = and(_T_2888, _T_2907) @[lsu_bus_buffer.scala 415:112] + node _T_2909 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2910 = and(_T_2909, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93] + node _T_2911 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2912 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2913 = or(_T_2911, _T_2912) @[lsu_bus_buffer.scala 416:32] + node _T_2914 = eq(_T_2913, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2915 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2916 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2917 = and(_T_2915, _T_2916) @[lsu_bus_buffer.scala 417:41] + node _T_2918 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82] + node _T_2919 = and(_T_2917, _T_2918) @[lsu_bus_buffer.scala 417:71] + node _T_2920 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101] + node _T_2921 = and(_T_2919, _T_2920) @[lsu_bus_buffer.scala 417:90] + node _T_2922 = or(_T_2914, _T_2921) @[lsu_bus_buffer.scala 416:59] + node _T_2923 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2924 = and(_T_2923, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2925 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63] + node _T_2926 = and(_T_2924, _T_2925) @[lsu_bus_buffer.scala 418:52] + node _T_2927 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_2928 = and(_T_2926, _T_2927) @[lsu_bus_buffer.scala 418:71] + node _T_2929 = or(_T_2922, _T_2928) @[lsu_bus_buffer.scala 417:110] + node _T_2930 = and(_T_2910, _T_2929) @[lsu_bus_buffer.scala 415:112] + node _T_2931 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2932 = and(_T_2931, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93] + node _T_2933 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2934 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2935 = or(_T_2933, _T_2934) @[lsu_bus_buffer.scala 416:32] + node _T_2936 = eq(_T_2935, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2937 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2938 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2939 = and(_T_2937, _T_2938) @[lsu_bus_buffer.scala 417:41] + node _T_2940 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82] + node _T_2941 = and(_T_2939, _T_2940) @[lsu_bus_buffer.scala 417:71] + node _T_2942 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101] + node _T_2943 = and(_T_2941, _T_2942) @[lsu_bus_buffer.scala 417:90] + node _T_2944 = or(_T_2936, _T_2943) @[lsu_bus_buffer.scala 416:59] + node _T_2945 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2946 = and(_T_2945, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2947 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63] + node _T_2948 = and(_T_2946, _T_2947) @[lsu_bus_buffer.scala 418:52] + node _T_2949 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_2950 = and(_T_2948, _T_2949) @[lsu_bus_buffer.scala 418:71] + node _T_2951 = or(_T_2944, _T_2950) @[lsu_bus_buffer.scala 417:110] + node _T_2952 = and(_T_2932, _T_2951) @[lsu_bus_buffer.scala 415:112] + node _T_2953 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2954 = and(_T_2953, buf_state_en[1]) @[lsu_bus_buffer.scala 415:93] + node _T_2955 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2956 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2957 = or(_T_2955, _T_2956) @[lsu_bus_buffer.scala 416:32] + node _T_2958 = eq(_T_2957, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2959 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2960 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2961 = and(_T_2959, _T_2960) @[lsu_bus_buffer.scala 417:41] + node _T_2962 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:82] + node _T_2963 = and(_T_2961, _T_2962) @[lsu_bus_buffer.scala 417:71] + node _T_2964 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101] + node _T_2965 = and(_T_2963, _T_2964) @[lsu_bus_buffer.scala 417:90] + node _T_2966 = or(_T_2958, _T_2965) @[lsu_bus_buffer.scala 416:59] + node _T_2967 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2968 = and(_T_2967, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2969 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:63] + node _T_2970 = and(_T_2968, _T_2969) @[lsu_bus_buffer.scala 418:52] + node _T_2971 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_2972 = and(_T_2970, _T_2971) @[lsu_bus_buffer.scala 418:71] + node _T_2973 = or(_T_2966, _T_2972) @[lsu_bus_buffer.scala 417:110] + node _T_2974 = and(_T_2954, _T_2973) @[lsu_bus_buffer.scala 415:112] + node _T_2975 = cat(_T_2974, _T_2952) @[Cat.scala 29:58] + node _T_2976 = cat(_T_2975, _T_2930) @[Cat.scala 29:58] + node _T_2977 = cat(_T_2976, _T_2908) @[Cat.scala 29:58] + node _T_2978 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_2979 = and(_T_2978, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93] + node _T_2980 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_2981 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_2982 = or(_T_2980, _T_2981) @[lsu_bus_buffer.scala 416:32] + node _T_2983 = eq(_T_2982, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_2984 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_2985 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_2986 = and(_T_2984, _T_2985) @[lsu_bus_buffer.scala 417:41] + node _T_2987 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82] + node _T_2988 = and(_T_2986, _T_2987) @[lsu_bus_buffer.scala 417:71] + node _T_2989 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101] + node _T_2990 = and(_T_2988, _T_2989) @[lsu_bus_buffer.scala 417:90] + node _T_2991 = or(_T_2983, _T_2990) @[lsu_bus_buffer.scala 416:59] + node _T_2992 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_2993 = and(_T_2992, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_2994 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63] + node _T_2995 = and(_T_2993, _T_2994) @[lsu_bus_buffer.scala 418:52] + node _T_2996 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_2997 = and(_T_2995, _T_2996) @[lsu_bus_buffer.scala 418:71] + node _T_2998 = or(_T_2991, _T_2997) @[lsu_bus_buffer.scala 417:110] + node _T_2999 = and(_T_2979, _T_2998) @[lsu_bus_buffer.scala 415:112] + node _T_3000 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3001 = and(_T_3000, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93] + node _T_3002 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3003 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3004 = or(_T_3002, _T_3003) @[lsu_bus_buffer.scala 416:32] + node _T_3005 = eq(_T_3004, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3006 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3007 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3008 = and(_T_3006, _T_3007) @[lsu_bus_buffer.scala 417:41] + node _T_3009 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82] + node _T_3010 = and(_T_3008, _T_3009) @[lsu_bus_buffer.scala 417:71] + node _T_3011 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101] + node _T_3012 = and(_T_3010, _T_3011) @[lsu_bus_buffer.scala 417:90] + node _T_3013 = or(_T_3005, _T_3012) @[lsu_bus_buffer.scala 416:59] + node _T_3014 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3015 = and(_T_3014, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3016 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63] + node _T_3017 = and(_T_3015, _T_3016) @[lsu_bus_buffer.scala 418:52] + node _T_3018 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_3019 = and(_T_3017, _T_3018) @[lsu_bus_buffer.scala 418:71] + node _T_3020 = or(_T_3013, _T_3019) @[lsu_bus_buffer.scala 417:110] + node _T_3021 = and(_T_3001, _T_3020) @[lsu_bus_buffer.scala 415:112] + node _T_3022 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3023 = and(_T_3022, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93] + node _T_3024 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3025 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3026 = or(_T_3024, _T_3025) @[lsu_bus_buffer.scala 416:32] + node _T_3027 = eq(_T_3026, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3028 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3029 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3030 = and(_T_3028, _T_3029) @[lsu_bus_buffer.scala 417:41] + node _T_3031 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82] + node _T_3032 = and(_T_3030, _T_3031) @[lsu_bus_buffer.scala 417:71] + node _T_3033 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101] + node _T_3034 = and(_T_3032, _T_3033) @[lsu_bus_buffer.scala 417:90] + node _T_3035 = or(_T_3027, _T_3034) @[lsu_bus_buffer.scala 416:59] + node _T_3036 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3037 = and(_T_3036, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3038 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63] + node _T_3039 = and(_T_3037, _T_3038) @[lsu_bus_buffer.scala 418:52] + node _T_3040 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_3041 = and(_T_3039, _T_3040) @[lsu_bus_buffer.scala 418:71] + node _T_3042 = or(_T_3035, _T_3041) @[lsu_bus_buffer.scala 417:110] + node _T_3043 = and(_T_3023, _T_3042) @[lsu_bus_buffer.scala 415:112] + node _T_3044 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3045 = and(_T_3044, buf_state_en[2]) @[lsu_bus_buffer.scala 415:93] + node _T_3046 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3047 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3048 = or(_T_3046, _T_3047) @[lsu_bus_buffer.scala 416:32] + node _T_3049 = eq(_T_3048, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3050 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3051 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3052 = and(_T_3050, _T_3051) @[lsu_bus_buffer.scala 417:41] + node _T_3053 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:82] + node _T_3054 = and(_T_3052, _T_3053) @[lsu_bus_buffer.scala 417:71] + node _T_3055 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101] + node _T_3056 = and(_T_3054, _T_3055) @[lsu_bus_buffer.scala 417:90] + node _T_3057 = or(_T_3049, _T_3056) @[lsu_bus_buffer.scala 416:59] + node _T_3058 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3059 = and(_T_3058, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3060 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:63] + node _T_3061 = and(_T_3059, _T_3060) @[lsu_bus_buffer.scala 418:52] + node _T_3062 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_3063 = and(_T_3061, _T_3062) @[lsu_bus_buffer.scala 418:71] + node _T_3064 = or(_T_3057, _T_3063) @[lsu_bus_buffer.scala 417:110] + node _T_3065 = and(_T_3045, _T_3064) @[lsu_bus_buffer.scala 415:112] + node _T_3066 = cat(_T_3065, _T_3043) @[Cat.scala 29:58] + node _T_3067 = cat(_T_3066, _T_3021) @[Cat.scala 29:58] + node _T_3068 = cat(_T_3067, _T_2999) @[Cat.scala 29:58] + node _T_3069 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3070 = and(_T_3069, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93] + node _T_3071 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3072 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3073 = or(_T_3071, _T_3072) @[lsu_bus_buffer.scala 416:32] + node _T_3074 = eq(_T_3073, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3075 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3076 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3077 = and(_T_3075, _T_3076) @[lsu_bus_buffer.scala 417:41] + node _T_3078 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82] + node _T_3079 = and(_T_3077, _T_3078) @[lsu_bus_buffer.scala 417:71] + node _T_3080 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 417:101] + node _T_3081 = and(_T_3079, _T_3080) @[lsu_bus_buffer.scala 417:90] + node _T_3082 = or(_T_3074, _T_3081) @[lsu_bus_buffer.scala 416:59] + node _T_3083 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3084 = and(_T_3083, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3085 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63] + node _T_3086 = and(_T_3084, _T_3085) @[lsu_bus_buffer.scala 418:52] + node _T_3087 = eq(WrPtr0_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 418:82] + node _T_3088 = and(_T_3086, _T_3087) @[lsu_bus_buffer.scala 418:71] + node _T_3089 = or(_T_3082, _T_3088) @[lsu_bus_buffer.scala 417:110] + node _T_3090 = and(_T_3070, _T_3089) @[lsu_bus_buffer.scala 415:112] + node _T_3091 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3092 = and(_T_3091, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93] + node _T_3093 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3094 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3095 = or(_T_3093, _T_3094) @[lsu_bus_buffer.scala 416:32] + node _T_3096 = eq(_T_3095, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3097 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3098 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3099 = and(_T_3097, _T_3098) @[lsu_bus_buffer.scala 417:41] + node _T_3100 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82] + node _T_3101 = and(_T_3099, _T_3100) @[lsu_bus_buffer.scala 417:71] + node _T_3102 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 417:101] + node _T_3103 = and(_T_3101, _T_3102) @[lsu_bus_buffer.scala 417:90] + node _T_3104 = or(_T_3096, _T_3103) @[lsu_bus_buffer.scala 416:59] + node _T_3105 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3106 = and(_T_3105, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3107 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63] + node _T_3108 = and(_T_3106, _T_3107) @[lsu_bus_buffer.scala 418:52] + node _T_3109 = eq(WrPtr0_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 418:82] + node _T_3110 = and(_T_3108, _T_3109) @[lsu_bus_buffer.scala 418:71] + node _T_3111 = or(_T_3104, _T_3110) @[lsu_bus_buffer.scala 417:110] + node _T_3112 = and(_T_3092, _T_3111) @[lsu_bus_buffer.scala 415:112] + node _T_3113 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3114 = and(_T_3113, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93] + node _T_3115 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3116 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3117 = or(_T_3115, _T_3116) @[lsu_bus_buffer.scala 416:32] + node _T_3118 = eq(_T_3117, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3119 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3120 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3121 = and(_T_3119, _T_3120) @[lsu_bus_buffer.scala 417:41] + node _T_3122 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82] + node _T_3123 = and(_T_3121, _T_3122) @[lsu_bus_buffer.scala 417:71] + node _T_3124 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 417:101] + node _T_3125 = and(_T_3123, _T_3124) @[lsu_bus_buffer.scala 417:90] + node _T_3126 = or(_T_3118, _T_3125) @[lsu_bus_buffer.scala 416:59] + node _T_3127 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3128 = and(_T_3127, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3129 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63] + node _T_3130 = and(_T_3128, _T_3129) @[lsu_bus_buffer.scala 418:52] + node _T_3131 = eq(WrPtr0_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 418:82] + node _T_3132 = and(_T_3130, _T_3131) @[lsu_bus_buffer.scala 418:71] + node _T_3133 = or(_T_3126, _T_3132) @[lsu_bus_buffer.scala 417:110] + node _T_3134 = and(_T_3114, _T_3133) @[lsu_bus_buffer.scala 415:112] + node _T_3135 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 415:82] + node _T_3136 = and(_T_3135, buf_state_en[3]) @[lsu_bus_buffer.scala 415:93] + node _T_3137 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 416:21] + node _T_3138 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 416:47] + node _T_3139 = or(_T_3137, _T_3138) @[lsu_bus_buffer.scala 416:32] + node _T_3140 = eq(_T_3139, UInt<1>("h00")) @[lsu_bus_buffer.scala 416:6] + node _T_3141 = and(ibuf_drain_vld, io.lsu_busreq_r) @[lsu_bus_buffer.scala 417:23] + node _T_3142 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 417:53] + node _T_3143 = and(_T_3141, _T_3142) @[lsu_bus_buffer.scala 417:41] + node _T_3144 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:82] + node _T_3145 = and(_T_3143, _T_3144) @[lsu_bus_buffer.scala 417:71] + node _T_3146 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 417:101] + node _T_3147 = and(_T_3145, _T_3146) @[lsu_bus_buffer.scala 417:90] + node _T_3148 = or(_T_3140, _T_3147) @[lsu_bus_buffer.scala 416:59] + node _T_3149 = and(ibuf_byp, io.lsu_busreq_r) @[lsu_bus_buffer.scala 418:17] + node _T_3150 = and(_T_3149, io.ldst_dual_r) @[lsu_bus_buffer.scala 418:35] + node _T_3151 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:63] + node _T_3152 = and(_T_3150, _T_3151) @[lsu_bus_buffer.scala 418:52] + node _T_3153 = eq(WrPtr0_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 418:82] + node _T_3154 = and(_T_3152, _T_3153) @[lsu_bus_buffer.scala 418:71] + node _T_3155 = or(_T_3148, _T_3154) @[lsu_bus_buffer.scala 417:110] + node _T_3156 = and(_T_3136, _T_3155) @[lsu_bus_buffer.scala 415:112] + node _T_3157 = cat(_T_3156, _T_3134) @[Cat.scala 29:58] + node _T_3158 = cat(_T_3157, _T_3112) @[Cat.scala 29:58] + node _T_3159 = cat(_T_3158, _T_3090) @[Cat.scala 29:58] + buf_rspage_set[0] <= _T_2886 @[lsu_bus_buffer.scala 415:18] + buf_rspage_set[1] <= _T_2977 @[lsu_bus_buffer.scala 415:18] + buf_rspage_set[2] <= _T_3068 @[lsu_bus_buffer.scala 415:18] + buf_rspage_set[3] <= _T_3159 @[lsu_bus_buffer.scala 415:18] + node _T_3160 = bits(buf_rspage_set[0], 0, 0) @[lsu_bus_buffer.scala 419:84] + node _T_3161 = bits(buf_rspage[0], 0, 0) @[lsu_bus_buffer.scala 419:103] + node _T_3162 = or(_T_3160, _T_3161) @[lsu_bus_buffer.scala 419:88] + node _T_3163 = bits(buf_rspage_set[0], 1, 1) @[lsu_bus_buffer.scala 419:84] + node _T_3164 = bits(buf_rspage[0], 1, 1) @[lsu_bus_buffer.scala 419:103] + node _T_3165 = or(_T_3163, _T_3164) @[lsu_bus_buffer.scala 419:88] + node _T_3166 = bits(buf_rspage_set[0], 2, 2) @[lsu_bus_buffer.scala 419:84] + node _T_3167 = bits(buf_rspage[0], 2, 2) @[lsu_bus_buffer.scala 419:103] + node _T_3168 = or(_T_3166, _T_3167) @[lsu_bus_buffer.scala 419:88] + node _T_3169 = bits(buf_rspage_set[0], 3, 3) @[lsu_bus_buffer.scala 419:84] + node _T_3170 = bits(buf_rspage[0], 3, 3) @[lsu_bus_buffer.scala 419:103] + node _T_3171 = or(_T_3169, _T_3170) @[lsu_bus_buffer.scala 419:88] + node _T_3172 = cat(_T_3171, _T_3168) @[Cat.scala 29:58] + node _T_3173 = cat(_T_3172, _T_3165) @[Cat.scala 29:58] + node _T_3174 = cat(_T_3173, _T_3162) @[Cat.scala 29:58] + node _T_3175 = bits(buf_rspage_set[1], 0, 0) @[lsu_bus_buffer.scala 419:84] + node _T_3176 = bits(buf_rspage[1], 0, 0) @[lsu_bus_buffer.scala 419:103] + node _T_3177 = or(_T_3175, _T_3176) @[lsu_bus_buffer.scala 419:88] + node _T_3178 = bits(buf_rspage_set[1], 1, 1) @[lsu_bus_buffer.scala 419:84] + node _T_3179 = bits(buf_rspage[1], 1, 1) @[lsu_bus_buffer.scala 419:103] + node _T_3180 = or(_T_3178, _T_3179) @[lsu_bus_buffer.scala 419:88] + node _T_3181 = bits(buf_rspage_set[1], 2, 2) @[lsu_bus_buffer.scala 419:84] + node _T_3182 = bits(buf_rspage[1], 2, 2) @[lsu_bus_buffer.scala 419:103] + node _T_3183 = or(_T_3181, _T_3182) @[lsu_bus_buffer.scala 419:88] + node _T_3184 = bits(buf_rspage_set[1], 3, 3) @[lsu_bus_buffer.scala 419:84] + node _T_3185 = bits(buf_rspage[1], 3, 3) @[lsu_bus_buffer.scala 419:103] + node _T_3186 = or(_T_3184, _T_3185) @[lsu_bus_buffer.scala 419:88] + node _T_3187 = cat(_T_3186, _T_3183) @[Cat.scala 29:58] + node _T_3188 = cat(_T_3187, _T_3180) @[Cat.scala 29:58] + node _T_3189 = cat(_T_3188, _T_3177) @[Cat.scala 29:58] + node _T_3190 = bits(buf_rspage_set[2], 0, 0) @[lsu_bus_buffer.scala 419:84] + node _T_3191 = bits(buf_rspage[2], 0, 0) @[lsu_bus_buffer.scala 419:103] + node _T_3192 = or(_T_3190, _T_3191) @[lsu_bus_buffer.scala 419:88] + node _T_3193 = bits(buf_rspage_set[2], 1, 1) @[lsu_bus_buffer.scala 419:84] + node _T_3194 = bits(buf_rspage[2], 1, 1) @[lsu_bus_buffer.scala 419:103] + node _T_3195 = or(_T_3193, _T_3194) @[lsu_bus_buffer.scala 419:88] + node _T_3196 = bits(buf_rspage_set[2], 2, 2) @[lsu_bus_buffer.scala 419:84] + node _T_3197 = bits(buf_rspage[2], 2, 2) @[lsu_bus_buffer.scala 419:103] + node _T_3198 = or(_T_3196, _T_3197) @[lsu_bus_buffer.scala 419:88] + node _T_3199 = bits(buf_rspage_set[2], 3, 3) @[lsu_bus_buffer.scala 419:84] + node _T_3200 = bits(buf_rspage[2], 3, 3) @[lsu_bus_buffer.scala 419:103] + node _T_3201 = or(_T_3199, _T_3200) @[lsu_bus_buffer.scala 419:88] + node _T_3202 = cat(_T_3201, _T_3198) @[Cat.scala 29:58] + node _T_3203 = cat(_T_3202, _T_3195) @[Cat.scala 29:58] + node _T_3204 = cat(_T_3203, _T_3192) @[Cat.scala 29:58] + node _T_3205 = bits(buf_rspage_set[3], 0, 0) @[lsu_bus_buffer.scala 419:84] + node _T_3206 = bits(buf_rspage[3], 0, 0) @[lsu_bus_buffer.scala 419:103] + node _T_3207 = or(_T_3205, _T_3206) @[lsu_bus_buffer.scala 419:88] + node _T_3208 = bits(buf_rspage_set[3], 1, 1) @[lsu_bus_buffer.scala 419:84] + node _T_3209 = bits(buf_rspage[3], 1, 1) @[lsu_bus_buffer.scala 419:103] + node _T_3210 = or(_T_3208, _T_3209) @[lsu_bus_buffer.scala 419:88] + node _T_3211 = bits(buf_rspage_set[3], 2, 2) @[lsu_bus_buffer.scala 419:84] + node _T_3212 = bits(buf_rspage[3], 2, 2) @[lsu_bus_buffer.scala 419:103] + node _T_3213 = or(_T_3211, _T_3212) @[lsu_bus_buffer.scala 419:88] + node _T_3214 = bits(buf_rspage_set[3], 3, 3) @[lsu_bus_buffer.scala 419:84] + node _T_3215 = bits(buf_rspage[3], 3, 3) @[lsu_bus_buffer.scala 419:103] + node _T_3216 = or(_T_3214, _T_3215) @[lsu_bus_buffer.scala 419:88] + node _T_3217 = cat(_T_3216, _T_3213) @[Cat.scala 29:58] + node _T_3218 = cat(_T_3217, _T_3210) @[Cat.scala 29:58] + node _T_3219 = cat(_T_3218, _T_3207) @[Cat.scala 29:58] + buf_rspage_in[0] <= _T_3174 @[lsu_bus_buffer.scala 419:17] + buf_rspage_in[1] <= _T_3189 @[lsu_bus_buffer.scala 419:17] + buf_rspage_in[2] <= _T_3204 @[lsu_bus_buffer.scala 419:17] + buf_rspage_in[3] <= _T_3219 @[lsu_bus_buffer.scala 419:17] + node _T_3220 = bits(buf_rspageQ[0], 0, 0) @[lsu_bus_buffer.scala 420:78] + node _T_3221 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3222 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3223 = or(_T_3221, _T_3222) @[lsu_bus_buffer.scala 420:110] + node _T_3224 = eq(_T_3223, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3225 = and(_T_3220, _T_3224) @[lsu_bus_buffer.scala 420:82] + node _T_3226 = bits(buf_rspageQ[0], 1, 1) @[lsu_bus_buffer.scala 420:78] + node _T_3227 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3228 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3229 = or(_T_3227, _T_3228) @[lsu_bus_buffer.scala 420:110] + node _T_3230 = eq(_T_3229, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3231 = and(_T_3226, _T_3230) @[lsu_bus_buffer.scala 420:82] + node _T_3232 = bits(buf_rspageQ[0], 2, 2) @[lsu_bus_buffer.scala 420:78] + node _T_3233 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3234 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3235 = or(_T_3233, _T_3234) @[lsu_bus_buffer.scala 420:110] + node _T_3236 = eq(_T_3235, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3237 = and(_T_3232, _T_3236) @[lsu_bus_buffer.scala 420:82] + node _T_3238 = bits(buf_rspageQ[0], 3, 3) @[lsu_bus_buffer.scala 420:78] + node _T_3239 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3240 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3241 = or(_T_3239, _T_3240) @[lsu_bus_buffer.scala 420:110] + node _T_3242 = eq(_T_3241, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3243 = and(_T_3238, _T_3242) @[lsu_bus_buffer.scala 420:82] + node _T_3244 = cat(_T_3243, _T_3237) @[Cat.scala 29:58] + node _T_3245 = cat(_T_3244, _T_3231) @[Cat.scala 29:58] + node _T_3246 = cat(_T_3245, _T_3225) @[Cat.scala 29:58] + node _T_3247 = bits(buf_rspageQ[1], 0, 0) @[lsu_bus_buffer.scala 420:78] + node _T_3248 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3249 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3250 = or(_T_3248, _T_3249) @[lsu_bus_buffer.scala 420:110] + node _T_3251 = eq(_T_3250, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3252 = and(_T_3247, _T_3251) @[lsu_bus_buffer.scala 420:82] + node _T_3253 = bits(buf_rspageQ[1], 1, 1) @[lsu_bus_buffer.scala 420:78] + node _T_3254 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3255 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3256 = or(_T_3254, _T_3255) @[lsu_bus_buffer.scala 420:110] + node _T_3257 = eq(_T_3256, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3258 = and(_T_3253, _T_3257) @[lsu_bus_buffer.scala 420:82] + node _T_3259 = bits(buf_rspageQ[1], 2, 2) @[lsu_bus_buffer.scala 420:78] + node _T_3260 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3261 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3262 = or(_T_3260, _T_3261) @[lsu_bus_buffer.scala 420:110] + node _T_3263 = eq(_T_3262, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3264 = and(_T_3259, _T_3263) @[lsu_bus_buffer.scala 420:82] + node _T_3265 = bits(buf_rspageQ[1], 3, 3) @[lsu_bus_buffer.scala 420:78] + node _T_3266 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3267 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3268 = or(_T_3266, _T_3267) @[lsu_bus_buffer.scala 420:110] + node _T_3269 = eq(_T_3268, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3270 = and(_T_3265, _T_3269) @[lsu_bus_buffer.scala 420:82] + node _T_3271 = cat(_T_3270, _T_3264) @[Cat.scala 29:58] + node _T_3272 = cat(_T_3271, _T_3258) @[Cat.scala 29:58] + node _T_3273 = cat(_T_3272, _T_3252) @[Cat.scala 29:58] + node _T_3274 = bits(buf_rspageQ[2], 0, 0) @[lsu_bus_buffer.scala 420:78] + node _T_3275 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3276 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3277 = or(_T_3275, _T_3276) @[lsu_bus_buffer.scala 420:110] + node _T_3278 = eq(_T_3277, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3279 = and(_T_3274, _T_3278) @[lsu_bus_buffer.scala 420:82] + node _T_3280 = bits(buf_rspageQ[2], 1, 1) @[lsu_bus_buffer.scala 420:78] + node _T_3281 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3282 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3283 = or(_T_3281, _T_3282) @[lsu_bus_buffer.scala 420:110] + node _T_3284 = eq(_T_3283, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3285 = and(_T_3280, _T_3284) @[lsu_bus_buffer.scala 420:82] + node _T_3286 = bits(buf_rspageQ[2], 2, 2) @[lsu_bus_buffer.scala 420:78] + node _T_3287 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3288 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3289 = or(_T_3287, _T_3288) @[lsu_bus_buffer.scala 420:110] + node _T_3290 = eq(_T_3289, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3291 = and(_T_3286, _T_3290) @[lsu_bus_buffer.scala 420:82] + node _T_3292 = bits(buf_rspageQ[2], 3, 3) @[lsu_bus_buffer.scala 420:78] + node _T_3293 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3294 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3295 = or(_T_3293, _T_3294) @[lsu_bus_buffer.scala 420:110] + node _T_3296 = eq(_T_3295, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3297 = and(_T_3292, _T_3296) @[lsu_bus_buffer.scala 420:82] + node _T_3298 = cat(_T_3297, _T_3291) @[Cat.scala 29:58] + node _T_3299 = cat(_T_3298, _T_3285) @[Cat.scala 29:58] + node _T_3300 = cat(_T_3299, _T_3279) @[Cat.scala 29:58] + node _T_3301 = bits(buf_rspageQ[3], 0, 0) @[lsu_bus_buffer.scala 420:78] + node _T_3302 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3303 = eq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3304 = or(_T_3302, _T_3303) @[lsu_bus_buffer.scala 420:110] + node _T_3305 = eq(_T_3304, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3306 = and(_T_3301, _T_3305) @[lsu_bus_buffer.scala 420:82] + node _T_3307 = bits(buf_rspageQ[3], 1, 1) @[lsu_bus_buffer.scala 420:78] + node _T_3308 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3309 = eq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3310 = or(_T_3308, _T_3309) @[lsu_bus_buffer.scala 420:110] + node _T_3311 = eq(_T_3310, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3312 = and(_T_3307, _T_3311) @[lsu_bus_buffer.scala 420:82] + node _T_3313 = bits(buf_rspageQ[3], 2, 2) @[lsu_bus_buffer.scala 420:78] + node _T_3314 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3315 = eq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3316 = or(_T_3314, _T_3315) @[lsu_bus_buffer.scala 420:110] + node _T_3317 = eq(_T_3316, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3318 = and(_T_3313, _T_3317) @[lsu_bus_buffer.scala 420:82] + node _T_3319 = bits(buf_rspageQ[3], 3, 3) @[lsu_bus_buffer.scala 420:78] + node _T_3320 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 420:99] + node _T_3321 = eq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 420:125] + node _T_3322 = or(_T_3320, _T_3321) @[lsu_bus_buffer.scala 420:110] + node _T_3323 = eq(_T_3322, UInt<1>("h00")) @[lsu_bus_buffer.scala 420:84] + node _T_3324 = and(_T_3319, _T_3323) @[lsu_bus_buffer.scala 420:82] + node _T_3325 = cat(_T_3324, _T_3318) @[Cat.scala 29:58] + node _T_3326 = cat(_T_3325, _T_3312) @[Cat.scala 29:58] + node _T_3327 = cat(_T_3326, _T_3306) @[Cat.scala 29:58] + buf_rspage[0] <= _T_3246 @[lsu_bus_buffer.scala 420:14] + buf_rspage[1] <= _T_3273 @[lsu_bus_buffer.scala 420:14] + buf_rspage[2] <= _T_3300 @[lsu_bus_buffer.scala 420:14] + buf_rspage[3] <= _T_3327 @[lsu_bus_buffer.scala 420:14] + node _T_3328 = eq(ibuf_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 425:75] + node _T_3329 = and(ibuf_drain_vld, _T_3328) @[lsu_bus_buffer.scala 425:63] + node _T_3330 = eq(ibuf_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 425:75] + node _T_3331 = and(ibuf_drain_vld, _T_3330) @[lsu_bus_buffer.scala 425:63] + node _T_3332 = eq(ibuf_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 425:75] + node _T_3333 = and(ibuf_drain_vld, _T_3332) @[lsu_bus_buffer.scala 425:63] + node _T_3334 = eq(ibuf_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 425:75] + node _T_3335 = and(ibuf_drain_vld, _T_3334) @[lsu_bus_buffer.scala 425:63] + node _T_3336 = cat(_T_3335, _T_3333) @[Cat.scala 29:58] + node _T_3337 = cat(_T_3336, _T_3331) @[Cat.scala 29:58] + node _T_3338 = cat(_T_3337, _T_3329) @[Cat.scala 29:58] + ibuf_drainvec_vld <= _T_3338 @[lsu_bus_buffer.scala 425:21] + node _T_3339 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 426:64] + node _T_3340 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] + node _T_3341 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] + node _T_3342 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 427:46] + node _T_3343 = and(_T_3341, _T_3342) @[lsu_bus_buffer.scala 427:35] + node _T_3344 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] + node _T_3345 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] + node _T_3346 = mux(_T_3343, _T_3344, _T_3345) @[lsu_bus_buffer.scala 427:8] + node _T_3347 = mux(_T_3339, _T_3340, _T_3346) @[lsu_bus_buffer.scala 426:46] + node _T_3348 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 426:64] + node _T_3349 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] + node _T_3350 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] + node _T_3351 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 427:46] + node _T_3352 = and(_T_3350, _T_3351) @[lsu_bus_buffer.scala 427:35] + node _T_3353 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] + node _T_3354 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] + node _T_3355 = mux(_T_3352, _T_3353, _T_3354) @[lsu_bus_buffer.scala 427:8] + node _T_3356 = mux(_T_3348, _T_3349, _T_3355) @[lsu_bus_buffer.scala 426:46] + node _T_3357 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 426:64] + node _T_3358 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] + node _T_3359 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] + node _T_3360 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 427:46] + node _T_3361 = and(_T_3359, _T_3360) @[lsu_bus_buffer.scala 427:35] + node _T_3362 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] + node _T_3363 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] + node _T_3364 = mux(_T_3361, _T_3362, _T_3363) @[lsu_bus_buffer.scala 427:8] + node _T_3365 = mux(_T_3357, _T_3358, _T_3364) @[lsu_bus_buffer.scala 426:46] + node _T_3366 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 426:64] + node _T_3367 = bits(ibuf_byteen_out, 3, 0) @[lsu_bus_buffer.scala 426:84] + node _T_3368 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 427:18] + node _T_3369 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 427:46] + node _T_3370 = and(_T_3368, _T_3369) @[lsu_bus_buffer.scala 427:35] + node _T_3371 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 427:71] + node _T_3372 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 427:94] + node _T_3373 = mux(_T_3370, _T_3371, _T_3372) @[lsu_bus_buffer.scala 427:8] + node _T_3374 = mux(_T_3366, _T_3367, _T_3373) @[lsu_bus_buffer.scala 426:46] + buf_byteen_in[0] <= _T_3347 @[lsu_bus_buffer.scala 426:17] + buf_byteen_in[1] <= _T_3356 @[lsu_bus_buffer.scala 426:17] + buf_byteen_in[2] <= _T_3365 @[lsu_bus_buffer.scala 426:17] + buf_byteen_in[3] <= _T_3374 @[lsu_bus_buffer.scala 426:17] + node _T_3375 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 428:62] + node _T_3376 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] + node _T_3377 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 428:119] + node _T_3378 = and(_T_3376, _T_3377) @[lsu_bus_buffer.scala 428:108] + node _T_3379 = mux(_T_3378, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] + node _T_3380 = mux(_T_3375, ibuf_addr, _T_3379) @[lsu_bus_buffer.scala 428:44] + node _T_3381 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 428:62] + node _T_3382 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] + node _T_3383 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 428:119] + node _T_3384 = and(_T_3382, _T_3383) @[lsu_bus_buffer.scala 428:108] + node _T_3385 = mux(_T_3384, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] + node _T_3386 = mux(_T_3381, ibuf_addr, _T_3385) @[lsu_bus_buffer.scala 428:44] + node _T_3387 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 428:62] + node _T_3388 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] + node _T_3389 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 428:119] + node _T_3390 = and(_T_3388, _T_3389) @[lsu_bus_buffer.scala 428:108] + node _T_3391 = mux(_T_3390, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] + node _T_3392 = mux(_T_3387, ibuf_addr, _T_3391) @[lsu_bus_buffer.scala 428:44] + node _T_3393 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 428:62] + node _T_3394 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 428:91] + node _T_3395 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 428:119] + node _T_3396 = and(_T_3394, _T_3395) @[lsu_bus_buffer.scala 428:108] + node _T_3397 = mux(_T_3396, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 428:81] + node _T_3398 = mux(_T_3393, ibuf_addr, _T_3397) @[lsu_bus_buffer.scala 428:44] + buf_addr_in[0] <= _T_3380 @[lsu_bus_buffer.scala 428:15] + buf_addr_in[1] <= _T_3386 @[lsu_bus_buffer.scala 428:15] + buf_addr_in[2] <= _T_3392 @[lsu_bus_buffer.scala 428:15] + buf_addr_in[3] <= _T_3398 @[lsu_bus_buffer.scala 428:15] + node _T_3399 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 429:63] + node _T_3400 = mux(_T_3399, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] + node _T_3401 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 429:63] + node _T_3402 = mux(_T_3401, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] + node _T_3403 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 429:63] + node _T_3404 = mux(_T_3403, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] + node _T_3405 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 429:63] + node _T_3406 = mux(_T_3405, ibuf_dual, io.ldst_dual_r) @[lsu_bus_buffer.scala 429:45] + node _T_3407 = cat(_T_3406, _T_3404) @[Cat.scala 29:58] + node _T_3408 = cat(_T_3407, _T_3402) @[Cat.scala 29:58] + node _T_3409 = cat(_T_3408, _T_3400) @[Cat.scala 29:58] + buf_dual_in <= _T_3409 @[lsu_bus_buffer.scala 429:15] + node _T_3410 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 430:65] + node _T_3411 = mux(_T_3410, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] + node _T_3412 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 430:65] + node _T_3413 = mux(_T_3412, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] + node _T_3414 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 430:65] + node _T_3415 = mux(_T_3414, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] + node _T_3416 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 430:65] + node _T_3417 = mux(_T_3416, ibuf_samedw, ldst_samedw_r) @[lsu_bus_buffer.scala 430:47] + node _T_3418 = cat(_T_3417, _T_3415) @[Cat.scala 29:58] + node _T_3419 = cat(_T_3418, _T_3413) @[Cat.scala 29:58] + node _T_3420 = cat(_T_3419, _T_3411) @[Cat.scala 29:58] + buf_samedw_in <= _T_3420 @[lsu_bus_buffer.scala 430:17] + node _T_3421 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 431:66] + node _T_3422 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] + node _T_3423 = mux(_T_3421, _T_3422, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] + node _T_3424 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 431:66] + node _T_3425 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] + node _T_3426 = mux(_T_3424, _T_3425, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] + node _T_3427 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 431:66] + node _T_3428 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] + node _T_3429 = mux(_T_3427, _T_3428, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] + node _T_3430 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 431:66] + node _T_3431 = or(ibuf_nomerge, ibuf_force_drain) @[lsu_bus_buffer.scala 431:84] + node _T_3432 = mux(_T_3430, _T_3431, io.no_dword_merge_r) @[lsu_bus_buffer.scala 431:48] + node _T_3433 = cat(_T_3432, _T_3429) @[Cat.scala 29:58] + node _T_3434 = cat(_T_3433, _T_3426) @[Cat.scala 29:58] + node _T_3435 = cat(_T_3434, _T_3423) @[Cat.scala 29:58] + buf_nomerge_in <= _T_3435 @[lsu_bus_buffer.scala 431:18] + node _T_3436 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 432:65] + node _T_3437 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] + node _T_3438 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 432:118] + node _T_3439 = and(_T_3437, _T_3438) @[lsu_bus_buffer.scala 432:107] + node _T_3440 = mux(_T_3436, ibuf_dual, _T_3439) @[lsu_bus_buffer.scala 432:47] + node _T_3441 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 432:65] + node _T_3442 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] + node _T_3443 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 432:118] + node _T_3444 = and(_T_3442, _T_3443) @[lsu_bus_buffer.scala 432:107] + node _T_3445 = mux(_T_3441, ibuf_dual, _T_3444) @[lsu_bus_buffer.scala 432:47] + node _T_3446 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 432:65] + node _T_3447 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] + node _T_3448 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 432:118] + node _T_3449 = and(_T_3447, _T_3448) @[lsu_bus_buffer.scala 432:107] + node _T_3450 = mux(_T_3446, ibuf_dual, _T_3449) @[lsu_bus_buffer.scala 432:47] + node _T_3451 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 432:65] + node _T_3452 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 432:90] + node _T_3453 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 432:118] + node _T_3454 = and(_T_3452, _T_3453) @[lsu_bus_buffer.scala 432:107] + node _T_3455 = mux(_T_3451, ibuf_dual, _T_3454) @[lsu_bus_buffer.scala 432:47] + node _T_3456 = cat(_T_3455, _T_3450) @[Cat.scala 29:58] + node _T_3457 = cat(_T_3456, _T_3445) @[Cat.scala 29:58] + node _T_3458 = cat(_T_3457, _T_3440) @[Cat.scala 29:58] + buf_dualhi_in <= _T_3458 @[lsu_bus_buffer.scala 432:17] + node _T_3459 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 433:65] + node _T_3460 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] + node _T_3461 = eq(WrPtr1_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 433:125] + node _T_3462 = and(_T_3460, _T_3461) @[lsu_bus_buffer.scala 433:114] + node _T_3463 = mux(_T_3462, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] + node _T_3464 = mux(_T_3459, ibuf_dualtag, _T_3463) @[lsu_bus_buffer.scala 433:47] + node _T_3465 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 433:65] + node _T_3466 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] + node _T_3467 = eq(WrPtr1_r, UInt<1>("h01")) @[lsu_bus_buffer.scala 433:125] + node _T_3468 = and(_T_3466, _T_3467) @[lsu_bus_buffer.scala 433:114] + node _T_3469 = mux(_T_3468, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] + node _T_3470 = mux(_T_3465, ibuf_dualtag, _T_3469) @[lsu_bus_buffer.scala 433:47] + node _T_3471 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 433:65] + node _T_3472 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] + node _T_3473 = eq(WrPtr1_r, UInt<2>("h02")) @[lsu_bus_buffer.scala 433:125] + node _T_3474 = and(_T_3472, _T_3473) @[lsu_bus_buffer.scala 433:114] + node _T_3475 = mux(_T_3474, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] + node _T_3476 = mux(_T_3471, ibuf_dualtag, _T_3475) @[lsu_bus_buffer.scala 433:47] + node _T_3477 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 433:65] + node _T_3478 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 433:97] + node _T_3479 = eq(WrPtr1_r, UInt<2>("h03")) @[lsu_bus_buffer.scala 433:125] + node _T_3480 = and(_T_3478, _T_3479) @[lsu_bus_buffer.scala 433:114] + node _T_3481 = mux(_T_3480, WrPtr0_r, WrPtr1_r) @[lsu_bus_buffer.scala 433:87] + node _T_3482 = mux(_T_3477, ibuf_dualtag, _T_3481) @[lsu_bus_buffer.scala 433:47] + buf_dualtag_in[0] <= _T_3464 @[lsu_bus_buffer.scala 433:18] + buf_dualtag_in[1] <= _T_3470 @[lsu_bus_buffer.scala 433:18] + buf_dualtag_in[2] <= _T_3476 @[lsu_bus_buffer.scala 433:18] + buf_dualtag_in[3] <= _T_3482 @[lsu_bus_buffer.scala 433:18] + node _T_3483 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 434:69] + node _T_3484 = mux(_T_3483, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] + node _T_3485 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 434:69] + node _T_3486 = mux(_T_3485, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] + node _T_3487 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 434:69] + node _T_3488 = mux(_T_3487, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] + node _T_3489 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 434:69] + node _T_3490 = mux(_T_3489, ibuf_sideeffect, io.is_sideeffects_r) @[lsu_bus_buffer.scala 434:51] + node _T_3491 = cat(_T_3490, _T_3488) @[Cat.scala 29:58] + node _T_3492 = cat(_T_3491, _T_3486) @[Cat.scala 29:58] + node _T_3493 = cat(_T_3492, _T_3484) @[Cat.scala 29:58] + buf_sideeffect_in <= _T_3493 @[lsu_bus_buffer.scala 434:21] + node _T_3494 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 435:65] + node _T_3495 = mux(_T_3494, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] + node _T_3496 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 435:65] + node _T_3497 = mux(_T_3496, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] + node _T_3498 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 435:65] + node _T_3499 = mux(_T_3498, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] + node _T_3500 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 435:65] + node _T_3501 = mux(_T_3500, ibuf_unsign, io.lsu_pkt_r.bits.unsign) @[lsu_bus_buffer.scala 435:47] + node _T_3502 = cat(_T_3501, _T_3499) @[Cat.scala 29:58] + node _T_3503 = cat(_T_3502, _T_3497) @[Cat.scala 29:58] + node _T_3504 = cat(_T_3503, _T_3495) @[Cat.scala 29:58] + buf_unsign_in <= _T_3504 @[lsu_bus_buffer.scala 435:17] + node _T_3505 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 436:60] + node _T_3506 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3507 = mux(_T_3505, ibuf_sz, _T_3506) @[lsu_bus_buffer.scala 436:42] + node _T_3508 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 436:60] + node _T_3509 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3510 = mux(_T_3508, ibuf_sz, _T_3509) @[lsu_bus_buffer.scala 436:42] + node _T_3511 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 436:60] + node _T_3512 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3513 = mux(_T_3511, ibuf_sz, _T_3512) @[lsu_bus_buffer.scala 436:42] + node _T_3514 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 436:60] + node _T_3515 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] + node _T_3516 = mux(_T_3514, ibuf_sz, _T_3515) @[lsu_bus_buffer.scala 436:42] + buf_sz_in[0] <= _T_3507 @[lsu_bus_buffer.scala 436:13] + buf_sz_in[1] <= _T_3510 @[lsu_bus_buffer.scala 436:13] + buf_sz_in[2] <= _T_3513 @[lsu_bus_buffer.scala 436:13] + buf_sz_in[3] <= _T_3516 @[lsu_bus_buffer.scala 436:13] + node _T_3517 = bits(ibuf_drainvec_vld, 0, 0) @[lsu_bus_buffer.scala 437:64] + node _T_3518 = mux(_T_3517, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] + node _T_3519 = bits(ibuf_drainvec_vld, 1, 1) @[lsu_bus_buffer.scala 437:64] + node _T_3520 = mux(_T_3519, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] + node _T_3521 = bits(ibuf_drainvec_vld, 2, 2) @[lsu_bus_buffer.scala 437:64] + node _T_3522 = mux(_T_3521, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] + node _T_3523 = bits(ibuf_drainvec_vld, 3, 3) @[lsu_bus_buffer.scala 437:64] + node _T_3524 = mux(_T_3523, ibuf_write, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 437:46] + node _T_3525 = cat(_T_3524, _T_3522) @[Cat.scala 29:58] + node _T_3526 = cat(_T_3525, _T_3520) @[Cat.scala 29:58] + node _T_3527 = cat(_T_3526, _T_3518) @[Cat.scala 29:58] + buf_write_in <= _T_3527 @[lsu_bus_buffer.scala 437:16] + node _T_3528 = eq(UInt<3>("h00"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3528 : @[Conditional.scala 40:58] + node _T_3529 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] + node _T_3530 = mux(_T_3529, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] + buf_nxtstate[0] <= _T_3530 @[lsu_bus_buffer.scala 442:25] + node _T_3531 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] + node _T_3532 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] + node _T_3533 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] + node _T_3534 = and(_T_3532, _T_3533) @[lsu_bus_buffer.scala 443:95] + node _T_3535 = eq(UInt<1>("h00"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] + node _T_3536 = and(_T_3534, _T_3535) @[lsu_bus_buffer.scala 443:112] + node _T_3537 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] + node _T_3538 = eq(UInt<1>("h00"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] + node _T_3539 = and(_T_3537, _T_3538) @[lsu_bus_buffer.scala 443:161] + node _T_3540 = or(_T_3536, _T_3539) @[lsu_bus_buffer.scala 443:132] + node _T_3541 = and(_T_3531, _T_3540) @[lsu_bus_buffer.scala 443:63] + node _T_3542 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] + node _T_3543 = and(ibuf_drain_vld, _T_3542) @[lsu_bus_buffer.scala 443:201] + node _T_3544 = or(_T_3541, _T_3543) @[lsu_bus_buffer.scala 443:183] + buf_state_en[0] <= _T_3544 @[lsu_bus_buffer.scala 443:25] + buf_wr_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 444:22] + buf_data_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 445:24] + node _T_3545 = eq(UInt<1>("h00"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] + node _T_3546 = and(ibuf_drain_vld, _T_3545) @[lsu_bus_buffer.scala 446:47] + node _T_3547 = bits(_T_3546, 0, 0) @[lsu_bus_buffer.scala 446:73] + node _T_3548 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] + node _T_3549 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] + node _T_3550 = mux(_T_3547, _T_3548, _T_3549) @[lsu_bus_buffer.scala 446:30] + buf_data_in[0] <= _T_3550 @[lsu_bus_buffer.scala 446:24] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3551 = eq(UInt<3>("h01"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3551 : @[Conditional.scala 39:67] + node _T_3552 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60] + node _T_3553 = mux(_T_3552, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31] + buf_nxtstate[0] <= _T_3553 @[lsu_bus_buffer.scala 449:25] + node _T_3554 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46] + buf_state_en[0] <= _T_3554 @[lsu_bus_buffer.scala 450:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3555 = eq(UInt<3>("h02"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3555 : @[Conditional.scala 39:67] + node _T_3556 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3557 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89] + node _T_3558 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124] + node _T_3559 = and(_T_3557, _T_3558) @[lsu_bus_buffer.scala 453:104] + node _T_3560 = mux(_T_3559, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75] + node _T_3561 = mux(_T_3556, UInt<3>("h00"), _T_3560) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[0] <= _T_3561 @[lsu_bus_buffer.scala 453:25] + node _T_3562 = eq(obuf_tag0, UInt<3>("h00")) @[lsu_bus_buffer.scala 454:48] + node _T_3563 = eq(obuf_tag1, UInt<3>("h00")) @[lsu_bus_buffer.scala 454:104] + node _T_3564 = and(obuf_merge, _T_3563) @[lsu_bus_buffer.scala 454:91] + node _T_3565 = or(_T_3562, _T_3564) @[lsu_bus_buffer.scala 454:77] + node _T_3566 = and(_T_3565, obuf_valid) @[lsu_bus_buffer.scala 454:135] + node _T_3567 = and(_T_3566, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148] + buf_cmd_state_bus_en[0] <= _T_3567 @[lsu_bus_buffer.scala 454:33] + buf_state_bus_en[0] <= buf_cmd_state_bus_en[0] @[lsu_bus_buffer.scala 455:29] + node _T_3568 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49] + node _T_3569 = or(_T_3568, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70] + buf_state_en[0] <= _T_3569 @[lsu_bus_buffer.scala 456:25] + buf_ldfwd_in[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25] + node _T_3570 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 458:56] + node _T_3571 = eq(_T_3570, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46] + node _T_3572 = and(buf_state_en[0], _T_3571) @[lsu_bus_buffer.scala 458:44] + node _T_3573 = and(_T_3572, obuf_nosend) @[lsu_bus_buffer.scala 458:60] + node _T_3574 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76] + node _T_3575 = and(_T_3573, _T_3574) @[lsu_bus_buffer.scala 458:74] + buf_ldfwd_en[0] <= _T_3575 @[lsu_bus_buffer.scala 458:25] + node _T_3576 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46] + buf_ldfwdtag_in[0] <= _T_3576 @[lsu_bus_buffer.scala 459:28] + node _T_3577 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47] + node _T_3578 = and(_T_3577, obuf_nosend) @[lsu_bus_buffer.scala 460:67] + node _T_3579 = and(_T_3578, bus_rsp_read) @[lsu_bus_buffer.scala 460:81] + buf_data_en[0] <= _T_3579 @[lsu_bus_buffer.scala 460:24] + node _T_3580 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48] + node _T_3581 = and(_T_3580, obuf_nosend) @[lsu_bus_buffer.scala 461:68] + node _T_3582 = and(_T_3581, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82] + buf_error_en[0] <= _T_3582 @[lsu_bus_buffer.scala 461:25] + node _T_3583 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61] + node _T_3584 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 462:85] + node _T_3585 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103] + node _T_3586 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126] + node _T_3587 = mux(_T_3584, _T_3585, _T_3586) @[lsu_bus_buffer.scala 462:73] + node _T_3588 = mux(buf_error_en[0], _T_3583, _T_3587) @[lsu_bus_buffer.scala 462:30] + buf_data_in[0] <= _T_3588 @[lsu_bus_buffer.scala 462:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3589 = eq(UInt<3>("h03"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3589 : @[Conditional.scala 39:67] + node _T_3590 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 465:67] + node _T_3591 = and(UInt<1>("h00"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94] + node _T_3592 = eq(_T_3591, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73] + node _T_3593 = and(_T_3590, _T_3592) @[lsu_bus_buffer.scala 465:71] + node _T_3594 = or(io.dec_tlu_force_halt, _T_3593) @[lsu_bus_buffer.scala 465:55] + node _T_3595 = bits(_T_3594, 0, 0) @[lsu_bus_buffer.scala 465:125] + node _T_3596 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30] + node _T_3597 = and(buf_dual[0], _T_3596) @[lsu_bus_buffer.scala 466:28] + node _T_3598 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 466:57] + node _T_3599 = eq(_T_3598, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47] + node _T_3600 = and(_T_3597, _T_3599) @[lsu_bus_buffer.scala 466:45] + node _T_3601 = neq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90] + node _T_3602 = and(_T_3600, _T_3601) @[lsu_bus_buffer.scala 466:61] + node _T_3603 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 467:27] + node _T_3604 = or(_T_3603, any_done_wait_state) @[lsu_bus_buffer.scala 467:31] + node _T_3605 = eq(buf_samedw[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70] + node _T_3606 = and(buf_dual[0], _T_3605) @[lsu_bus_buffer.scala 467:68] + node _T_3607 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 467:97] + node _T_3608 = eq(_T_3607, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] + node _T_3609 = and(_T_3606, _T_3608) @[lsu_bus_buffer.scala 467:85] + node _T_3610 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_3611 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_3612 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_3613 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_3614 = eq(buf_dualtag[0], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_3615 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_3616 = eq(buf_dualtag[0], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_3617 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_3618 = mux(_T_3610, _T_3611, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3619 = mux(_T_3612, _T_3613, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3620 = mux(_T_3614, _T_3615, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3621 = mux(_T_3616, _T_3617, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3622 = or(_T_3618, _T_3619) @[Mux.scala 27:72] + node _T_3623 = or(_T_3622, _T_3620) @[Mux.scala 27:72] + node _T_3624 = or(_T_3623, _T_3621) @[Mux.scala 27:72] + wire _T_3625 : UInt<1> @[Mux.scala 27:72] + _T_3625 <= _T_3624 @[Mux.scala 27:72] + node _T_3626 = and(_T_3609, _T_3625) @[lsu_bus_buffer.scala 467:101] + node _T_3627 = eq(buf_state[buf_dualtag[0]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167] + node _T_3628 = and(_T_3626, _T_3627) @[lsu_bus_buffer.scala 467:138] + node _T_3629 = and(_T_3628, any_done_wait_state) @[lsu_bus_buffer.scala 467:187] + node _T_3630 = or(_T_3604, _T_3629) @[lsu_bus_buffer.scala 467:53] + node _T_3631 = mux(_T_3630, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16] + node _T_3632 = mux(_T_3602, UInt<3>("h04"), _T_3631) @[lsu_bus_buffer.scala 466:14] + node _T_3633 = mux(_T_3595, UInt<3>("h00"), _T_3632) @[lsu_bus_buffer.scala 465:31] + buf_nxtstate[0] <= _T_3633 @[lsu_bus_buffer.scala 465:25] + node _T_3634 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 468:73] + node _T_3635 = and(bus_rsp_write, _T_3634) @[lsu_bus_buffer.scala 468:52] + node _T_3636 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 469:46] + node _T_3637 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 470:23] + node _T_3638 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 470:47] + node _T_3639 = and(_T_3637, _T_3638) @[lsu_bus_buffer.scala 470:27] + node _T_3640 = or(_T_3636, _T_3639) @[lsu_bus_buffer.scala 469:77] + node _T_3641 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 471:26] + node _T_3642 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 471:54] + node _T_3643 = not(_T_3642) @[lsu_bus_buffer.scala 471:44] + node _T_3644 = and(_T_3641, _T_3643) @[lsu_bus_buffer.scala 471:42] + node _T_3645 = and(_T_3644, buf_samedw[0]) @[lsu_bus_buffer.scala 471:58] + node _T_3646 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 471:94] + node _T_3647 = and(_T_3645, _T_3646) @[lsu_bus_buffer.scala 471:74] + node _T_3648 = or(_T_3640, _T_3647) @[lsu_bus_buffer.scala 470:71] + node _T_3649 = and(bus_rsp_read, _T_3648) @[lsu_bus_buffer.scala 469:25] + node _T_3650 = or(_T_3635, _T_3649) @[lsu_bus_buffer.scala 468:105] + buf_resp_state_bus_en[0] <= _T_3650 @[lsu_bus_buffer.scala 468:34] + buf_state_bus_en[0] <= buf_resp_state_bus_en[0] @[lsu_bus_buffer.scala 472:29] + node _T_3651 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49] + node _T_3652 = or(_T_3651, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70] + buf_state_en[0] <= _T_3652 @[lsu_bus_buffer.scala 473:25] + node _T_3653 = and(buf_state_bus_en[0], bus_rsp_read) @[lsu_bus_buffer.scala 474:47] + node _T_3654 = and(_T_3653, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62] + buf_data_en[0] <= _T_3654 @[lsu_bus_buffer.scala 474:24] + node _T_3655 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48] + node _T_3656 = eq(bus_rsp_read_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 475:111] + node _T_3657 = and(bus_rsp_read_error, _T_3656) @[lsu_bus_buffer.scala 475:91] + node _T_3658 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 476:42] + node _T_3659 = and(bus_rsp_read_error, _T_3658) @[lsu_bus_buffer.scala 476:31] + node _T_3660 = eq(bus_rsp_read_tag, buf_ldfwdtag[0]) @[lsu_bus_buffer.scala 476:66] + node _T_3661 = and(_T_3659, _T_3660) @[lsu_bus_buffer.scala 476:46] + node _T_3662 = or(_T_3657, _T_3661) @[lsu_bus_buffer.scala 475:143] + node _T_3663 = and(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 477:32] + node _T_3664 = eq(bus_rsp_write_tag, UInt<3>("h00")) @[lsu_bus_buffer.scala 477:74] + node _T_3665 = and(_T_3663, _T_3664) @[lsu_bus_buffer.scala 477:53] + node _T_3666 = or(_T_3662, _T_3665) @[lsu_bus_buffer.scala 476:88] + node _T_3667 = and(_T_3655, _T_3666) @[lsu_bus_buffer.scala 475:68] + buf_error_en[0] <= _T_3667 @[lsu_bus_buffer.scala 475:25] + node _T_3668 = eq(buf_error_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50] + node _T_3669 = and(buf_state_en[0], _T_3668) @[lsu_bus_buffer.scala 478:48] + node _T_3670 = bits(buf_addr[0], 2, 2) @[lsu_bus_buffer.scala 478:84] + node _T_3671 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102] + node _T_3672 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125] + node _T_3673 = mux(_T_3670, _T_3671, _T_3672) @[lsu_bus_buffer.scala 478:72] + node _T_3674 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148] + node _T_3675 = mux(_T_3669, _T_3673, _T_3674) @[lsu_bus_buffer.scala 478:30] + buf_data_in[0] <= _T_3675 @[lsu_bus_buffer.scala 478:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3676 = eq(UInt<3>("h04"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3676 : @[Conditional.scala 39:67] + node _T_3677 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60] + node _T_3678 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 481:86] + node _T_3679 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 481:101] + node _T_3680 = bits(_T_3679, 0, 0) @[lsu_bus_buffer.scala 481:101] + node _T_3681 = or(_T_3678, _T_3680) @[lsu_bus_buffer.scala 481:90] + node _T_3682 = or(_T_3681, any_done_wait_state) @[lsu_bus_buffer.scala 481:118] + node _T_3683 = mux(_T_3682, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75] + node _T_3684 = mux(_T_3677, UInt<3>("h00"), _T_3683) @[lsu_bus_buffer.scala 481:31] + buf_nxtstate[0] <= _T_3684 @[lsu_bus_buffer.scala 481:25] + node _T_3685 = eq(bus_rsp_read_tag, buf_dualtag[0]) @[lsu_bus_buffer.scala 482:66] + node _T_3686 = dshr(buf_ldfwd, buf_dualtag[0]) @[lsu_bus_buffer.scala 483:21] + node _T_3687 = bits(_T_3686, 0, 0) @[lsu_bus_buffer.scala 483:21] + node _T_3688 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[0]]) @[lsu_bus_buffer.scala 483:58] + node _T_3689 = and(_T_3687, _T_3688) @[lsu_bus_buffer.scala 483:38] + node _T_3690 = or(_T_3685, _T_3689) @[lsu_bus_buffer.scala 482:95] + node _T_3691 = and(bus_rsp_read, _T_3690) @[lsu_bus_buffer.scala 482:45] + buf_state_bus_en[0] <= _T_3691 @[lsu_bus_buffer.scala 482:29] + node _T_3692 = and(buf_state_bus_en[0], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49] + node _T_3693 = or(_T_3692, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70] + buf_state_en[0] <= _T_3693 @[lsu_bus_buffer.scala 484:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3694 = eq(UInt<3>("h05"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3694 : @[Conditional.scala 39:67] + node _T_3695 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_3696 = mux(_T_3695, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[0] <= _T_3696 @[lsu_bus_buffer.scala 487:25] + node _T_3697 = eq(RspPtr, UInt<2>("h00")) @[lsu_bus_buffer.scala 488:37] + node _T_3698 = eq(buf_dualtag[0], RspPtr) @[lsu_bus_buffer.scala 488:98] + node _T_3699 = and(buf_dual[0], _T_3698) @[lsu_bus_buffer.scala 488:80] + node _T_3700 = or(_T_3697, _T_3699) @[lsu_bus_buffer.scala 488:65] + node _T_3701 = or(_T_3700, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112] + buf_state_en[0] <= _T_3701 @[lsu_bus_buffer.scala 488:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3702 = eq(UInt<3>("h06"), buf_state[0]) @[Conditional.scala 37:30] + when _T_3702 : @[Conditional.scala 39:67] + buf_nxtstate[0] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25] + buf_rst[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20] + buf_state_en[0] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_en[0] <= buf_state_en[0] @[lsu_bus_buffer.scala 495:25] + skip @[Conditional.scala 39:67] + node _T_3703 = bits(buf_state_en[0], 0, 0) @[lsu_bus_buffer.scala 498:108] + reg _T_3704 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3703 : @[Reg.scala 28:19] + _T_3704 <= buf_nxtstate[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[0] <= _T_3704 @[lsu_bus_buffer.scala 498:18] + reg _T_3705 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60] + _T_3705 <= buf_age_in_0 @[lsu_bus_buffer.scala 499:60] + buf_ageQ[0] <= _T_3705 @[lsu_bus_buffer.scala 499:17] + reg _T_3706 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63] + _T_3706 <= buf_rspage_in[0] @[lsu_bus_buffer.scala 500:63] + buf_rspageQ[0] <= _T_3706 @[lsu_bus_buffer.scala 500:20] + node _T_3707 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 501:109] + reg _T_3708 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3707 : @[Reg.scala 28:19] + _T_3708 <= buf_dualtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[0] <= _T_3708 @[lsu_bus_buffer.scala 501:20] + node _T_3709 = bits(buf_dual_in, 0, 0) @[lsu_bus_buffer.scala 502:74] + node _T_3710 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 502:107] + reg _T_3711 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3710 : @[Reg.scala 28:19] + _T_3711 <= _T_3709 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[0] <= _T_3711 @[lsu_bus_buffer.scala 502:17] + node _T_3712 = bits(buf_samedw_in, 0, 0) @[lsu_bus_buffer.scala 503:78] + node _T_3713 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 503:111] + reg _T_3714 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3713 : @[Reg.scala 28:19] + _T_3714 <= _T_3712 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[0] <= _T_3714 @[lsu_bus_buffer.scala 503:19] + node _T_3715 = bits(buf_nomerge_in, 0, 0) @[lsu_bus_buffer.scala 504:80] + node _T_3716 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 504:113] + reg _T_3717 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3716 : @[Reg.scala 28:19] + _T_3717 <= _T_3715 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[0] <= _T_3717 @[lsu_bus_buffer.scala 504:20] + node _T_3718 = bits(buf_dualhi_in, 0, 0) @[lsu_bus_buffer.scala 505:78] + node _T_3719 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 505:111] + reg _T_3720 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3719 : @[Reg.scala 28:19] + _T_3720 <= _T_3718 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[0] <= _T_3720 @[lsu_bus_buffer.scala 505:19] + node _T_3721 = eq(UInt<3>("h00"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3721 : @[Conditional.scala 40:58] + node _T_3722 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] + node _T_3723 = mux(_T_3722, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] + buf_nxtstate[1] <= _T_3723 @[lsu_bus_buffer.scala 442:25] + node _T_3724 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] + node _T_3725 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] + node _T_3726 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] + node _T_3727 = and(_T_3725, _T_3726) @[lsu_bus_buffer.scala 443:95] + node _T_3728 = eq(UInt<1>("h01"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] + node _T_3729 = and(_T_3727, _T_3728) @[lsu_bus_buffer.scala 443:112] + node _T_3730 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] + node _T_3731 = eq(UInt<1>("h01"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] + node _T_3732 = and(_T_3730, _T_3731) @[lsu_bus_buffer.scala 443:161] + node _T_3733 = or(_T_3729, _T_3732) @[lsu_bus_buffer.scala 443:132] + node _T_3734 = and(_T_3724, _T_3733) @[lsu_bus_buffer.scala 443:63] + node _T_3735 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] + node _T_3736 = and(ibuf_drain_vld, _T_3735) @[lsu_bus_buffer.scala 443:201] + node _T_3737 = or(_T_3734, _T_3736) @[lsu_bus_buffer.scala 443:183] + buf_state_en[1] <= _T_3737 @[lsu_bus_buffer.scala 443:25] + buf_wr_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 444:22] + buf_data_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 445:24] + node _T_3738 = eq(UInt<1>("h01"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] + node _T_3739 = and(ibuf_drain_vld, _T_3738) @[lsu_bus_buffer.scala 446:47] + node _T_3740 = bits(_T_3739, 0, 0) @[lsu_bus_buffer.scala 446:73] + node _T_3741 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] + node _T_3742 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] + node _T_3743 = mux(_T_3740, _T_3741, _T_3742) @[lsu_bus_buffer.scala 446:30] + buf_data_in[1] <= _T_3743 @[lsu_bus_buffer.scala 446:24] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3744 = eq(UInt<3>("h01"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3744 : @[Conditional.scala 39:67] + node _T_3745 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60] + node _T_3746 = mux(_T_3745, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31] + buf_nxtstate[1] <= _T_3746 @[lsu_bus_buffer.scala 449:25] + node _T_3747 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46] + buf_state_en[1] <= _T_3747 @[lsu_bus_buffer.scala 450:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3748 = eq(UInt<3>("h02"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3748 : @[Conditional.scala 39:67] + node _T_3749 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3750 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89] + node _T_3751 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124] + node _T_3752 = and(_T_3750, _T_3751) @[lsu_bus_buffer.scala 453:104] + node _T_3753 = mux(_T_3752, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75] + node _T_3754 = mux(_T_3749, UInt<3>("h00"), _T_3753) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[1] <= _T_3754 @[lsu_bus_buffer.scala 453:25] + node _T_3755 = eq(obuf_tag0, UInt<3>("h01")) @[lsu_bus_buffer.scala 454:48] + node _T_3756 = eq(obuf_tag1, UInt<3>("h01")) @[lsu_bus_buffer.scala 454:104] + node _T_3757 = and(obuf_merge, _T_3756) @[lsu_bus_buffer.scala 454:91] + node _T_3758 = or(_T_3755, _T_3757) @[lsu_bus_buffer.scala 454:77] + node _T_3759 = and(_T_3758, obuf_valid) @[lsu_bus_buffer.scala 454:135] + node _T_3760 = and(_T_3759, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148] + buf_cmd_state_bus_en[1] <= _T_3760 @[lsu_bus_buffer.scala 454:33] + buf_state_bus_en[1] <= buf_cmd_state_bus_en[1] @[lsu_bus_buffer.scala 455:29] + node _T_3761 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49] + node _T_3762 = or(_T_3761, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70] + buf_state_en[1] <= _T_3762 @[lsu_bus_buffer.scala 456:25] + buf_ldfwd_in[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25] + node _T_3763 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 458:56] + node _T_3764 = eq(_T_3763, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46] + node _T_3765 = and(buf_state_en[1], _T_3764) @[lsu_bus_buffer.scala 458:44] + node _T_3766 = and(_T_3765, obuf_nosend) @[lsu_bus_buffer.scala 458:60] + node _T_3767 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76] + node _T_3768 = and(_T_3766, _T_3767) @[lsu_bus_buffer.scala 458:74] + buf_ldfwd_en[1] <= _T_3768 @[lsu_bus_buffer.scala 458:25] + node _T_3769 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46] + buf_ldfwdtag_in[1] <= _T_3769 @[lsu_bus_buffer.scala 459:28] + node _T_3770 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47] + node _T_3771 = and(_T_3770, obuf_nosend) @[lsu_bus_buffer.scala 460:67] + node _T_3772 = and(_T_3771, bus_rsp_read) @[lsu_bus_buffer.scala 460:81] + buf_data_en[1] <= _T_3772 @[lsu_bus_buffer.scala 460:24] + node _T_3773 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48] + node _T_3774 = and(_T_3773, obuf_nosend) @[lsu_bus_buffer.scala 461:68] + node _T_3775 = and(_T_3774, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82] + buf_error_en[1] <= _T_3775 @[lsu_bus_buffer.scala 461:25] + node _T_3776 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61] + node _T_3777 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 462:85] + node _T_3778 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103] + node _T_3779 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126] + node _T_3780 = mux(_T_3777, _T_3778, _T_3779) @[lsu_bus_buffer.scala 462:73] + node _T_3781 = mux(buf_error_en[1], _T_3776, _T_3780) @[lsu_bus_buffer.scala 462:30] + buf_data_in[1] <= _T_3781 @[lsu_bus_buffer.scala 462:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3782 = eq(UInt<3>("h03"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3782 : @[Conditional.scala 39:67] + node _T_3783 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 465:67] + node _T_3784 = and(UInt<1>("h00"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94] + node _T_3785 = eq(_T_3784, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73] + node _T_3786 = and(_T_3783, _T_3785) @[lsu_bus_buffer.scala 465:71] + node _T_3787 = or(io.dec_tlu_force_halt, _T_3786) @[lsu_bus_buffer.scala 465:55] + node _T_3788 = bits(_T_3787, 0, 0) @[lsu_bus_buffer.scala 465:125] + node _T_3789 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30] + node _T_3790 = and(buf_dual[1], _T_3789) @[lsu_bus_buffer.scala 466:28] + node _T_3791 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 466:57] + node _T_3792 = eq(_T_3791, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47] + node _T_3793 = and(_T_3790, _T_3792) @[lsu_bus_buffer.scala 466:45] + node _T_3794 = neq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90] + node _T_3795 = and(_T_3793, _T_3794) @[lsu_bus_buffer.scala 466:61] + node _T_3796 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 467:27] + node _T_3797 = or(_T_3796, any_done_wait_state) @[lsu_bus_buffer.scala 467:31] + node _T_3798 = eq(buf_samedw[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70] + node _T_3799 = and(buf_dual[1], _T_3798) @[lsu_bus_buffer.scala 467:68] + node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 467:97] + node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] + node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 467:85] + node _T_3803 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_3804 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_3805 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_3806 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_3807 = eq(buf_dualtag[1], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_3808 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_3809 = eq(buf_dualtag[1], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_3810 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_3811 = mux(_T_3803, _T_3804, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3812 = mux(_T_3805, _T_3806, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3813 = mux(_T_3807, _T_3808, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3814 = mux(_T_3809, _T_3810, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_3815 = or(_T_3811, _T_3812) @[Mux.scala 27:72] + node _T_3816 = or(_T_3815, _T_3813) @[Mux.scala 27:72] + node _T_3817 = or(_T_3816, _T_3814) @[Mux.scala 27:72] + wire _T_3818 : UInt<1> @[Mux.scala 27:72] + _T_3818 <= _T_3817 @[Mux.scala 27:72] + node _T_3819 = and(_T_3802, _T_3818) @[lsu_bus_buffer.scala 467:101] + node _T_3820 = eq(buf_state[buf_dualtag[1]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167] + node _T_3821 = and(_T_3819, _T_3820) @[lsu_bus_buffer.scala 467:138] + node _T_3822 = and(_T_3821, any_done_wait_state) @[lsu_bus_buffer.scala 467:187] + node _T_3823 = or(_T_3797, _T_3822) @[lsu_bus_buffer.scala 467:53] + node _T_3824 = mux(_T_3823, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16] + node _T_3825 = mux(_T_3795, UInt<3>("h04"), _T_3824) @[lsu_bus_buffer.scala 466:14] + node _T_3826 = mux(_T_3788, UInt<3>("h00"), _T_3825) @[lsu_bus_buffer.scala 465:31] + buf_nxtstate[1] <= _T_3826 @[lsu_bus_buffer.scala 465:25] + node _T_3827 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 468:73] + node _T_3828 = and(bus_rsp_write, _T_3827) @[lsu_bus_buffer.scala 468:52] + node _T_3829 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 469:46] + node _T_3830 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 470:23] + node _T_3831 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 470:47] + node _T_3832 = and(_T_3830, _T_3831) @[lsu_bus_buffer.scala 470:27] + node _T_3833 = or(_T_3829, _T_3832) @[lsu_bus_buffer.scala 469:77] + node _T_3834 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 471:26] + node _T_3835 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 471:54] + node _T_3836 = not(_T_3835) @[lsu_bus_buffer.scala 471:44] + node _T_3837 = and(_T_3834, _T_3836) @[lsu_bus_buffer.scala 471:42] + node _T_3838 = and(_T_3837, buf_samedw[1]) @[lsu_bus_buffer.scala 471:58] + node _T_3839 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 471:94] + node _T_3840 = and(_T_3838, _T_3839) @[lsu_bus_buffer.scala 471:74] + node _T_3841 = or(_T_3833, _T_3840) @[lsu_bus_buffer.scala 470:71] + node _T_3842 = and(bus_rsp_read, _T_3841) @[lsu_bus_buffer.scala 469:25] + node _T_3843 = or(_T_3828, _T_3842) @[lsu_bus_buffer.scala 468:105] + buf_resp_state_bus_en[1] <= _T_3843 @[lsu_bus_buffer.scala 468:34] + buf_state_bus_en[1] <= buf_resp_state_bus_en[1] @[lsu_bus_buffer.scala 472:29] + node _T_3844 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49] + node _T_3845 = or(_T_3844, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70] + buf_state_en[1] <= _T_3845 @[lsu_bus_buffer.scala 473:25] + node _T_3846 = and(buf_state_bus_en[1], bus_rsp_read) @[lsu_bus_buffer.scala 474:47] + node _T_3847 = and(_T_3846, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62] + buf_data_en[1] <= _T_3847 @[lsu_bus_buffer.scala 474:24] + node _T_3848 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48] + node _T_3849 = eq(bus_rsp_read_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 475:111] + node _T_3850 = and(bus_rsp_read_error, _T_3849) @[lsu_bus_buffer.scala 475:91] + node _T_3851 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 476:42] + node _T_3852 = and(bus_rsp_read_error, _T_3851) @[lsu_bus_buffer.scala 476:31] + node _T_3853 = eq(bus_rsp_read_tag, buf_ldfwdtag[1]) @[lsu_bus_buffer.scala 476:66] + node _T_3854 = and(_T_3852, _T_3853) @[lsu_bus_buffer.scala 476:46] + node _T_3855 = or(_T_3850, _T_3854) @[lsu_bus_buffer.scala 475:143] + node _T_3856 = and(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 477:32] + node _T_3857 = eq(bus_rsp_write_tag, UInt<3>("h01")) @[lsu_bus_buffer.scala 477:74] + node _T_3858 = and(_T_3856, _T_3857) @[lsu_bus_buffer.scala 477:53] + node _T_3859 = or(_T_3855, _T_3858) @[lsu_bus_buffer.scala 476:88] + node _T_3860 = and(_T_3848, _T_3859) @[lsu_bus_buffer.scala 475:68] + buf_error_en[1] <= _T_3860 @[lsu_bus_buffer.scala 475:25] + node _T_3861 = eq(buf_error_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50] + node _T_3862 = and(buf_state_en[1], _T_3861) @[lsu_bus_buffer.scala 478:48] + node _T_3863 = bits(buf_addr[1], 2, 2) @[lsu_bus_buffer.scala 478:84] + node _T_3864 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102] + node _T_3865 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125] + node _T_3866 = mux(_T_3863, _T_3864, _T_3865) @[lsu_bus_buffer.scala 478:72] + node _T_3867 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148] + node _T_3868 = mux(_T_3862, _T_3866, _T_3867) @[lsu_bus_buffer.scala 478:30] + buf_data_in[1] <= _T_3868 @[lsu_bus_buffer.scala 478:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3869 = eq(UInt<3>("h04"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3869 : @[Conditional.scala 39:67] + node _T_3870 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60] + node _T_3871 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 481:86] + node _T_3872 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 481:101] + node _T_3873 = bits(_T_3872, 0, 0) @[lsu_bus_buffer.scala 481:101] + node _T_3874 = or(_T_3871, _T_3873) @[lsu_bus_buffer.scala 481:90] + node _T_3875 = or(_T_3874, any_done_wait_state) @[lsu_bus_buffer.scala 481:118] + node _T_3876 = mux(_T_3875, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75] + node _T_3877 = mux(_T_3870, UInt<3>("h00"), _T_3876) @[lsu_bus_buffer.scala 481:31] + buf_nxtstate[1] <= _T_3877 @[lsu_bus_buffer.scala 481:25] + node _T_3878 = eq(bus_rsp_read_tag, buf_dualtag[1]) @[lsu_bus_buffer.scala 482:66] + node _T_3879 = dshr(buf_ldfwd, buf_dualtag[1]) @[lsu_bus_buffer.scala 483:21] + node _T_3880 = bits(_T_3879, 0, 0) @[lsu_bus_buffer.scala 483:21] + node _T_3881 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[1]]) @[lsu_bus_buffer.scala 483:58] + node _T_3882 = and(_T_3880, _T_3881) @[lsu_bus_buffer.scala 483:38] + node _T_3883 = or(_T_3878, _T_3882) @[lsu_bus_buffer.scala 482:95] + node _T_3884 = and(bus_rsp_read, _T_3883) @[lsu_bus_buffer.scala 482:45] + buf_state_bus_en[1] <= _T_3884 @[lsu_bus_buffer.scala 482:29] + node _T_3885 = and(buf_state_bus_en[1], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49] + node _T_3886 = or(_T_3885, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70] + buf_state_en[1] <= _T_3886 @[lsu_bus_buffer.scala 484:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3887 = eq(UInt<3>("h05"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3887 : @[Conditional.scala 39:67] + node _T_3888 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_3889 = mux(_T_3888, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[1] <= _T_3889 @[lsu_bus_buffer.scala 487:25] + node _T_3890 = eq(RspPtr, UInt<2>("h01")) @[lsu_bus_buffer.scala 488:37] + node _T_3891 = eq(buf_dualtag[1], RspPtr) @[lsu_bus_buffer.scala 488:98] + node _T_3892 = and(buf_dual[1], _T_3891) @[lsu_bus_buffer.scala 488:80] + node _T_3893 = or(_T_3890, _T_3892) @[lsu_bus_buffer.scala 488:65] + node _T_3894 = or(_T_3893, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112] + buf_state_en[1] <= _T_3894 @[lsu_bus_buffer.scala 488:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3895 = eq(UInt<3>("h06"), buf_state[1]) @[Conditional.scala 37:30] + when _T_3895 : @[Conditional.scala 39:67] + buf_nxtstate[1] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25] + buf_rst[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20] + buf_state_en[1] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_en[1] <= buf_state_en[1] @[lsu_bus_buffer.scala 495:25] + skip @[Conditional.scala 39:67] + node _T_3896 = bits(buf_state_en[1], 0, 0) @[lsu_bus_buffer.scala 498:108] + reg _T_3897 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3896 : @[Reg.scala 28:19] + _T_3897 <= buf_nxtstate[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[1] <= _T_3897 @[lsu_bus_buffer.scala 498:18] + reg _T_3898 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60] + _T_3898 <= buf_age_in_1 @[lsu_bus_buffer.scala 499:60] + buf_ageQ[1] <= _T_3898 @[lsu_bus_buffer.scala 499:17] + reg _T_3899 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63] + _T_3899 <= buf_rspage_in[1] @[lsu_bus_buffer.scala 500:63] + buf_rspageQ[1] <= _T_3899 @[lsu_bus_buffer.scala 500:20] + node _T_3900 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 501:109] + reg _T_3901 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3900 : @[Reg.scala 28:19] + _T_3901 <= buf_dualtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[1] <= _T_3901 @[lsu_bus_buffer.scala 501:20] + node _T_3902 = bits(buf_dual_in, 1, 1) @[lsu_bus_buffer.scala 502:74] + node _T_3903 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 502:107] + reg _T_3904 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3903 : @[Reg.scala 28:19] + _T_3904 <= _T_3902 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[1] <= _T_3904 @[lsu_bus_buffer.scala 502:17] + node _T_3905 = bits(buf_samedw_in, 1, 1) @[lsu_bus_buffer.scala 503:78] + node _T_3906 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 503:111] + reg _T_3907 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3906 : @[Reg.scala 28:19] + _T_3907 <= _T_3905 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[1] <= _T_3907 @[lsu_bus_buffer.scala 503:19] + node _T_3908 = bits(buf_nomerge_in, 1, 1) @[lsu_bus_buffer.scala 504:80] + node _T_3909 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 504:113] + reg _T_3910 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3909 : @[Reg.scala 28:19] + _T_3910 <= _T_3908 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[1] <= _T_3910 @[lsu_bus_buffer.scala 504:20] + node _T_3911 = bits(buf_dualhi_in, 1, 1) @[lsu_bus_buffer.scala 505:78] + node _T_3912 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 505:111] + reg _T_3913 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_3912 : @[Reg.scala 28:19] + _T_3913 <= _T_3911 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[1] <= _T_3913 @[lsu_bus_buffer.scala 505:19] + node _T_3914 = eq(UInt<3>("h00"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3914 : @[Conditional.scala 40:58] + node _T_3915 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] + node _T_3916 = mux(_T_3915, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] + buf_nxtstate[2] <= _T_3916 @[lsu_bus_buffer.scala 442:25] + node _T_3917 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] + node _T_3918 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] + node _T_3919 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] + node _T_3920 = and(_T_3918, _T_3919) @[lsu_bus_buffer.scala 443:95] + node _T_3921 = eq(UInt<2>("h02"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] + node _T_3922 = and(_T_3920, _T_3921) @[lsu_bus_buffer.scala 443:112] + node _T_3923 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] + node _T_3924 = eq(UInt<2>("h02"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] + node _T_3925 = and(_T_3923, _T_3924) @[lsu_bus_buffer.scala 443:161] + node _T_3926 = or(_T_3922, _T_3925) @[lsu_bus_buffer.scala 443:132] + node _T_3927 = and(_T_3917, _T_3926) @[lsu_bus_buffer.scala 443:63] + node _T_3928 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] + node _T_3929 = and(ibuf_drain_vld, _T_3928) @[lsu_bus_buffer.scala 443:201] + node _T_3930 = or(_T_3927, _T_3929) @[lsu_bus_buffer.scala 443:183] + buf_state_en[2] <= _T_3930 @[lsu_bus_buffer.scala 443:25] + buf_wr_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 444:22] + buf_data_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 445:24] + node _T_3931 = eq(UInt<2>("h02"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] + node _T_3932 = and(ibuf_drain_vld, _T_3931) @[lsu_bus_buffer.scala 446:47] + node _T_3933 = bits(_T_3932, 0, 0) @[lsu_bus_buffer.scala 446:73] + node _T_3934 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] + node _T_3935 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] + node _T_3936 = mux(_T_3933, _T_3934, _T_3935) @[lsu_bus_buffer.scala 446:30] + buf_data_in[2] <= _T_3936 @[lsu_bus_buffer.scala 446:24] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_3937 = eq(UInt<3>("h01"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3937 : @[Conditional.scala 39:67] + node _T_3938 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60] + node _T_3939 = mux(_T_3938, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31] + buf_nxtstate[2] <= _T_3939 @[lsu_bus_buffer.scala 449:25] + node _T_3940 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46] + buf_state_en[2] <= _T_3940 @[lsu_bus_buffer.scala 450:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3941 = eq(UInt<3>("h02"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3941 : @[Conditional.scala 39:67] + node _T_3942 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_3943 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89] + node _T_3944 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124] + node _T_3945 = and(_T_3943, _T_3944) @[lsu_bus_buffer.scala 453:104] + node _T_3946 = mux(_T_3945, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75] + node _T_3947 = mux(_T_3942, UInt<3>("h00"), _T_3946) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[2] <= _T_3947 @[lsu_bus_buffer.scala 453:25] + node _T_3948 = eq(obuf_tag0, UInt<3>("h02")) @[lsu_bus_buffer.scala 454:48] + node _T_3949 = eq(obuf_tag1, UInt<3>("h02")) @[lsu_bus_buffer.scala 454:104] + node _T_3950 = and(obuf_merge, _T_3949) @[lsu_bus_buffer.scala 454:91] + node _T_3951 = or(_T_3948, _T_3950) @[lsu_bus_buffer.scala 454:77] + node _T_3952 = and(_T_3951, obuf_valid) @[lsu_bus_buffer.scala 454:135] + node _T_3953 = and(_T_3952, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148] + buf_cmd_state_bus_en[2] <= _T_3953 @[lsu_bus_buffer.scala 454:33] + buf_state_bus_en[2] <= buf_cmd_state_bus_en[2] @[lsu_bus_buffer.scala 455:29] + node _T_3954 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49] + node _T_3955 = or(_T_3954, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70] + buf_state_en[2] <= _T_3955 @[lsu_bus_buffer.scala 456:25] + buf_ldfwd_in[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25] + node _T_3956 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 458:56] + node _T_3957 = eq(_T_3956, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46] + node _T_3958 = and(buf_state_en[2], _T_3957) @[lsu_bus_buffer.scala 458:44] + node _T_3959 = and(_T_3958, obuf_nosend) @[lsu_bus_buffer.scala 458:60] + node _T_3960 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76] + node _T_3961 = and(_T_3959, _T_3960) @[lsu_bus_buffer.scala 458:74] + buf_ldfwd_en[2] <= _T_3961 @[lsu_bus_buffer.scala 458:25] + node _T_3962 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46] + buf_ldfwdtag_in[2] <= _T_3962 @[lsu_bus_buffer.scala 459:28] + node _T_3963 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47] + node _T_3964 = and(_T_3963, obuf_nosend) @[lsu_bus_buffer.scala 460:67] + node _T_3965 = and(_T_3964, bus_rsp_read) @[lsu_bus_buffer.scala 460:81] + buf_data_en[2] <= _T_3965 @[lsu_bus_buffer.scala 460:24] + node _T_3966 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48] + node _T_3967 = and(_T_3966, obuf_nosend) @[lsu_bus_buffer.scala 461:68] + node _T_3968 = and(_T_3967, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82] + buf_error_en[2] <= _T_3968 @[lsu_bus_buffer.scala 461:25] + node _T_3969 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61] + node _T_3970 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 462:85] + node _T_3971 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103] + node _T_3972 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126] + node _T_3973 = mux(_T_3970, _T_3971, _T_3972) @[lsu_bus_buffer.scala 462:73] + node _T_3974 = mux(buf_error_en[2], _T_3969, _T_3973) @[lsu_bus_buffer.scala 462:30] + buf_data_in[2] <= _T_3974 @[lsu_bus_buffer.scala 462:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_3975 = eq(UInt<3>("h03"), buf_state[2]) @[Conditional.scala 37:30] + when _T_3975 : @[Conditional.scala 39:67] + node _T_3976 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 465:67] + node _T_3977 = and(UInt<1>("h00"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94] + node _T_3978 = eq(_T_3977, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73] + node _T_3979 = and(_T_3976, _T_3978) @[lsu_bus_buffer.scala 465:71] + node _T_3980 = or(io.dec_tlu_force_halt, _T_3979) @[lsu_bus_buffer.scala 465:55] + node _T_3981 = bits(_T_3980, 0, 0) @[lsu_bus_buffer.scala 465:125] + node _T_3982 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30] + node _T_3983 = and(buf_dual[2], _T_3982) @[lsu_bus_buffer.scala 466:28] + node _T_3984 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 466:57] + node _T_3985 = eq(_T_3984, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47] + node _T_3986 = and(_T_3983, _T_3985) @[lsu_bus_buffer.scala 466:45] + node _T_3987 = neq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90] + node _T_3988 = and(_T_3986, _T_3987) @[lsu_bus_buffer.scala 466:61] + node _T_3989 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 467:27] + node _T_3990 = or(_T_3989, any_done_wait_state) @[lsu_bus_buffer.scala 467:31] + node _T_3991 = eq(buf_samedw[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70] + node _T_3992 = and(buf_dual[2], _T_3991) @[lsu_bus_buffer.scala 467:68] + node _T_3993 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 467:97] + node _T_3994 = eq(_T_3993, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] + node _T_3995 = and(_T_3992, _T_3994) @[lsu_bus_buffer.scala 467:85] + node _T_3996 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_3997 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_3998 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_3999 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4000 = eq(buf_dualtag[2], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4001 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4002 = eq(buf_dualtag[2], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4003 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4004 = mux(_T_3996, _T_3997, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4005 = mux(_T_3998, _T_3999, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4006 = mux(_T_4000, _T_4001, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4007 = mux(_T_4002, _T_4003, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4008 = or(_T_4004, _T_4005) @[Mux.scala 27:72] + node _T_4009 = or(_T_4008, _T_4006) @[Mux.scala 27:72] + node _T_4010 = or(_T_4009, _T_4007) @[Mux.scala 27:72] + wire _T_4011 : UInt<1> @[Mux.scala 27:72] + _T_4011 <= _T_4010 @[Mux.scala 27:72] + node _T_4012 = and(_T_3995, _T_4011) @[lsu_bus_buffer.scala 467:101] + node _T_4013 = eq(buf_state[buf_dualtag[2]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167] + node _T_4014 = and(_T_4012, _T_4013) @[lsu_bus_buffer.scala 467:138] + node _T_4015 = and(_T_4014, any_done_wait_state) @[lsu_bus_buffer.scala 467:187] + node _T_4016 = or(_T_3990, _T_4015) @[lsu_bus_buffer.scala 467:53] + node _T_4017 = mux(_T_4016, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16] + node _T_4018 = mux(_T_3988, UInt<3>("h04"), _T_4017) @[lsu_bus_buffer.scala 466:14] + node _T_4019 = mux(_T_3981, UInt<3>("h00"), _T_4018) @[lsu_bus_buffer.scala 465:31] + buf_nxtstate[2] <= _T_4019 @[lsu_bus_buffer.scala 465:25] + node _T_4020 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 468:73] + node _T_4021 = and(bus_rsp_write, _T_4020) @[lsu_bus_buffer.scala 468:52] + node _T_4022 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 469:46] + node _T_4023 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 470:23] + node _T_4024 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 470:47] + node _T_4025 = and(_T_4023, _T_4024) @[lsu_bus_buffer.scala 470:27] + node _T_4026 = or(_T_4022, _T_4025) @[lsu_bus_buffer.scala 469:77] + node _T_4027 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 471:26] + node _T_4028 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 471:54] + node _T_4029 = not(_T_4028) @[lsu_bus_buffer.scala 471:44] + node _T_4030 = and(_T_4027, _T_4029) @[lsu_bus_buffer.scala 471:42] + node _T_4031 = and(_T_4030, buf_samedw[2]) @[lsu_bus_buffer.scala 471:58] + node _T_4032 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 471:94] + node _T_4033 = and(_T_4031, _T_4032) @[lsu_bus_buffer.scala 471:74] + node _T_4034 = or(_T_4026, _T_4033) @[lsu_bus_buffer.scala 470:71] + node _T_4035 = and(bus_rsp_read, _T_4034) @[lsu_bus_buffer.scala 469:25] + node _T_4036 = or(_T_4021, _T_4035) @[lsu_bus_buffer.scala 468:105] + buf_resp_state_bus_en[2] <= _T_4036 @[lsu_bus_buffer.scala 468:34] + buf_state_bus_en[2] <= buf_resp_state_bus_en[2] @[lsu_bus_buffer.scala 472:29] + node _T_4037 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49] + node _T_4038 = or(_T_4037, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70] + buf_state_en[2] <= _T_4038 @[lsu_bus_buffer.scala 473:25] + node _T_4039 = and(buf_state_bus_en[2], bus_rsp_read) @[lsu_bus_buffer.scala 474:47] + node _T_4040 = and(_T_4039, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62] + buf_data_en[2] <= _T_4040 @[lsu_bus_buffer.scala 474:24] + node _T_4041 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48] + node _T_4042 = eq(bus_rsp_read_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 475:111] + node _T_4043 = and(bus_rsp_read_error, _T_4042) @[lsu_bus_buffer.scala 475:91] + node _T_4044 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 476:42] + node _T_4045 = and(bus_rsp_read_error, _T_4044) @[lsu_bus_buffer.scala 476:31] + node _T_4046 = eq(bus_rsp_read_tag, buf_ldfwdtag[2]) @[lsu_bus_buffer.scala 476:66] + node _T_4047 = and(_T_4045, _T_4046) @[lsu_bus_buffer.scala 476:46] + node _T_4048 = or(_T_4043, _T_4047) @[lsu_bus_buffer.scala 475:143] + node _T_4049 = and(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 477:32] + node _T_4050 = eq(bus_rsp_write_tag, UInt<3>("h02")) @[lsu_bus_buffer.scala 477:74] + node _T_4051 = and(_T_4049, _T_4050) @[lsu_bus_buffer.scala 477:53] + node _T_4052 = or(_T_4048, _T_4051) @[lsu_bus_buffer.scala 476:88] + node _T_4053 = and(_T_4041, _T_4052) @[lsu_bus_buffer.scala 475:68] + buf_error_en[2] <= _T_4053 @[lsu_bus_buffer.scala 475:25] + node _T_4054 = eq(buf_error_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50] + node _T_4055 = and(buf_state_en[2], _T_4054) @[lsu_bus_buffer.scala 478:48] + node _T_4056 = bits(buf_addr[2], 2, 2) @[lsu_bus_buffer.scala 478:84] + node _T_4057 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102] + node _T_4058 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125] + node _T_4059 = mux(_T_4056, _T_4057, _T_4058) @[lsu_bus_buffer.scala 478:72] + node _T_4060 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148] + node _T_4061 = mux(_T_4055, _T_4059, _T_4060) @[lsu_bus_buffer.scala 478:30] + buf_data_in[2] <= _T_4061 @[lsu_bus_buffer.scala 478:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4062 = eq(UInt<3>("h04"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4062 : @[Conditional.scala 39:67] + node _T_4063 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60] + node _T_4064 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 481:86] + node _T_4065 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 481:101] + node _T_4066 = bits(_T_4065, 0, 0) @[lsu_bus_buffer.scala 481:101] + node _T_4067 = or(_T_4064, _T_4066) @[lsu_bus_buffer.scala 481:90] + node _T_4068 = or(_T_4067, any_done_wait_state) @[lsu_bus_buffer.scala 481:118] + node _T_4069 = mux(_T_4068, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75] + node _T_4070 = mux(_T_4063, UInt<3>("h00"), _T_4069) @[lsu_bus_buffer.scala 481:31] + buf_nxtstate[2] <= _T_4070 @[lsu_bus_buffer.scala 481:25] + node _T_4071 = eq(bus_rsp_read_tag, buf_dualtag[2]) @[lsu_bus_buffer.scala 482:66] + node _T_4072 = dshr(buf_ldfwd, buf_dualtag[2]) @[lsu_bus_buffer.scala 483:21] + node _T_4073 = bits(_T_4072, 0, 0) @[lsu_bus_buffer.scala 483:21] + node _T_4074 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[2]]) @[lsu_bus_buffer.scala 483:58] + node _T_4075 = and(_T_4073, _T_4074) @[lsu_bus_buffer.scala 483:38] + node _T_4076 = or(_T_4071, _T_4075) @[lsu_bus_buffer.scala 482:95] + node _T_4077 = and(bus_rsp_read, _T_4076) @[lsu_bus_buffer.scala 482:45] + buf_state_bus_en[2] <= _T_4077 @[lsu_bus_buffer.scala 482:29] + node _T_4078 = and(buf_state_bus_en[2], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49] + node _T_4079 = or(_T_4078, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70] + buf_state_en[2] <= _T_4079 @[lsu_bus_buffer.scala 484:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4080 = eq(UInt<3>("h05"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4080 : @[Conditional.scala 39:67] + node _T_4081 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_4082 = mux(_T_4081, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[2] <= _T_4082 @[lsu_bus_buffer.scala 487:25] + node _T_4083 = eq(RspPtr, UInt<2>("h02")) @[lsu_bus_buffer.scala 488:37] + node _T_4084 = eq(buf_dualtag[2], RspPtr) @[lsu_bus_buffer.scala 488:98] + node _T_4085 = and(buf_dual[2], _T_4084) @[lsu_bus_buffer.scala 488:80] + node _T_4086 = or(_T_4083, _T_4085) @[lsu_bus_buffer.scala 488:65] + node _T_4087 = or(_T_4086, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112] + buf_state_en[2] <= _T_4087 @[lsu_bus_buffer.scala 488:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4088 = eq(UInt<3>("h06"), buf_state[2]) @[Conditional.scala 37:30] + when _T_4088 : @[Conditional.scala 39:67] + buf_nxtstate[2] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25] + buf_rst[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20] + buf_state_en[2] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_en[2] <= buf_state_en[2] @[lsu_bus_buffer.scala 495:25] + skip @[Conditional.scala 39:67] + node _T_4089 = bits(buf_state_en[2], 0, 0) @[lsu_bus_buffer.scala 498:108] + reg _T_4090 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4089 : @[Reg.scala 28:19] + _T_4090 <= buf_nxtstate[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[2] <= _T_4090 @[lsu_bus_buffer.scala 498:18] + reg _T_4091 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60] + _T_4091 <= buf_age_in_2 @[lsu_bus_buffer.scala 499:60] + buf_ageQ[2] <= _T_4091 @[lsu_bus_buffer.scala 499:17] + reg _T_4092 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63] + _T_4092 <= buf_rspage_in[2] @[lsu_bus_buffer.scala 500:63] + buf_rspageQ[2] <= _T_4092 @[lsu_bus_buffer.scala 500:20] + node _T_4093 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 501:109] + reg _T_4094 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4093 : @[Reg.scala 28:19] + _T_4094 <= buf_dualtag_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[2] <= _T_4094 @[lsu_bus_buffer.scala 501:20] + node _T_4095 = bits(buf_dual_in, 2, 2) @[lsu_bus_buffer.scala 502:74] + node _T_4096 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 502:107] + reg _T_4097 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4096 : @[Reg.scala 28:19] + _T_4097 <= _T_4095 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[2] <= _T_4097 @[lsu_bus_buffer.scala 502:17] + node _T_4098 = bits(buf_samedw_in, 2, 2) @[lsu_bus_buffer.scala 503:78] + node _T_4099 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 503:111] + reg _T_4100 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4099 : @[Reg.scala 28:19] + _T_4100 <= _T_4098 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[2] <= _T_4100 @[lsu_bus_buffer.scala 503:19] + node _T_4101 = bits(buf_nomerge_in, 2, 2) @[lsu_bus_buffer.scala 504:80] + node _T_4102 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 504:113] + reg _T_4103 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4102 : @[Reg.scala 28:19] + _T_4103 <= _T_4101 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[2] <= _T_4103 @[lsu_bus_buffer.scala 504:20] + node _T_4104 = bits(buf_dualhi_in, 2, 2) @[lsu_bus_buffer.scala 505:78] + node _T_4105 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 505:111] + reg _T_4106 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4105 : @[Reg.scala 28:19] + _T_4106 <= _T_4104 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[2] <= _T_4106 @[lsu_bus_buffer.scala 505:19] + node _T_4107 = eq(UInt<3>("h00"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4107 : @[Conditional.scala 40:58] + node _T_4108 = bits(io.lsu_bus_clk_en, 0, 0) @[lsu_bus_buffer.scala 442:56] + node _T_4109 = mux(_T_4108, UInt<3>("h02"), UInt<3>("h01")) @[lsu_bus_buffer.scala 442:31] + buf_nxtstate[3] <= _T_4109 @[lsu_bus_buffer.scala 442:25] + node _T_4110 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 443:45] + node _T_4111 = or(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:77] + node _T_4112 = eq(ibuf_merge_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 443:97] + node _T_4113 = and(_T_4111, _T_4112) @[lsu_bus_buffer.scala 443:95] + node _T_4114 = eq(UInt<2>("h03"), WrPtr0_r) @[lsu_bus_buffer.scala 443:117] + node _T_4115 = and(_T_4113, _T_4114) @[lsu_bus_buffer.scala 443:112] + node _T_4116 = and(ibuf_byp, io.ldst_dual_r) @[lsu_bus_buffer.scala 443:144] + node _T_4117 = eq(UInt<2>("h03"), WrPtr1_r) @[lsu_bus_buffer.scala 443:166] + node _T_4118 = and(_T_4116, _T_4117) @[lsu_bus_buffer.scala 443:161] + node _T_4119 = or(_T_4115, _T_4118) @[lsu_bus_buffer.scala 443:132] + node _T_4120 = and(_T_4110, _T_4119) @[lsu_bus_buffer.scala 443:63] + node _T_4121 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 443:206] + node _T_4122 = and(ibuf_drain_vld, _T_4121) @[lsu_bus_buffer.scala 443:201] + node _T_4123 = or(_T_4120, _T_4122) @[lsu_bus_buffer.scala 443:183] + buf_state_en[3] <= _T_4123 @[lsu_bus_buffer.scala 443:25] + buf_wr_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 444:22] + buf_data_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 445:24] + node _T_4124 = eq(UInt<2>("h03"), ibuf_tag) @[lsu_bus_buffer.scala 446:52] + node _T_4125 = and(ibuf_drain_vld, _T_4124) @[lsu_bus_buffer.scala 446:47] + node _T_4126 = bits(_T_4125, 0, 0) @[lsu_bus_buffer.scala 446:73] + node _T_4127 = bits(ibuf_data_out, 31, 0) @[lsu_bus_buffer.scala 446:90] + node _T_4128 = bits(store_data_lo_r, 31, 0) @[lsu_bus_buffer.scala 446:114] + node _T_4129 = mux(_T_4126, _T_4127, _T_4128) @[lsu_bus_buffer.scala 446:30] + buf_data_in[3] <= _T_4129 @[lsu_bus_buffer.scala 446:24] + skip @[Conditional.scala 40:58] + else : @[Conditional.scala 39:67] + node _T_4130 = eq(UInt<3>("h01"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4130 : @[Conditional.scala 39:67] + node _T_4131 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 449:60] + node _T_4132 = mux(_T_4131, UInt<3>("h00"), UInt<3>("h02")) @[lsu_bus_buffer.scala 449:31] + buf_nxtstate[3] <= _T_4132 @[lsu_bus_buffer.scala 449:25] + node _T_4133 = or(io.lsu_bus_clk_en, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 450:46] + buf_state_en[3] <= _T_4133 @[lsu_bus_buffer.scala 450:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4134 = eq(UInt<3>("h02"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4134 : @[Conditional.scala 39:67] + node _T_4135 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 453:60] + node _T_4136 = and(obuf_nosend, bus_rsp_read) @[lsu_bus_buffer.scala 453:89] + node _T_4137 = eq(bus_rsp_read_tag, obuf_rdrsp_tag) @[lsu_bus_buffer.scala 453:124] + node _T_4138 = and(_T_4136, _T_4137) @[lsu_bus_buffer.scala 453:104] + node _T_4139 = mux(_T_4138, UInt<3>("h05"), UInt<3>("h03")) @[lsu_bus_buffer.scala 453:75] + node _T_4140 = mux(_T_4135, UInt<3>("h00"), _T_4139) @[lsu_bus_buffer.scala 453:31] + buf_nxtstate[3] <= _T_4140 @[lsu_bus_buffer.scala 453:25] + node _T_4141 = eq(obuf_tag0, UInt<3>("h03")) @[lsu_bus_buffer.scala 454:48] + node _T_4142 = eq(obuf_tag1, UInt<3>("h03")) @[lsu_bus_buffer.scala 454:104] + node _T_4143 = and(obuf_merge, _T_4142) @[lsu_bus_buffer.scala 454:91] + node _T_4144 = or(_T_4141, _T_4143) @[lsu_bus_buffer.scala 454:77] + node _T_4145 = and(_T_4144, obuf_valid) @[lsu_bus_buffer.scala 454:135] + node _T_4146 = and(_T_4145, obuf_wr_enQ) @[lsu_bus_buffer.scala 454:148] + buf_cmd_state_bus_en[3] <= _T_4146 @[lsu_bus_buffer.scala 454:33] + buf_state_bus_en[3] <= buf_cmd_state_bus_en[3] @[lsu_bus_buffer.scala 455:29] + node _T_4147 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 456:49] + node _T_4148 = or(_T_4147, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 456:70] + buf_state_en[3] <= _T_4148 @[lsu_bus_buffer.scala 456:25] + buf_ldfwd_in[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 457:25] + node _T_4149 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 458:56] + node _T_4150 = eq(_T_4149, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:46] + node _T_4151 = and(buf_state_en[3], _T_4150) @[lsu_bus_buffer.scala 458:44] + node _T_4152 = and(_T_4151, obuf_nosend) @[lsu_bus_buffer.scala 458:60] + node _T_4153 = eq(io.dec_tlu_force_halt, UInt<1>("h00")) @[lsu_bus_buffer.scala 458:76] + node _T_4154 = and(_T_4152, _T_4153) @[lsu_bus_buffer.scala 458:74] + buf_ldfwd_en[3] <= _T_4154 @[lsu_bus_buffer.scala 458:25] + node _T_4155 = bits(obuf_rdrsp_tag, 1, 0) @[lsu_bus_buffer.scala 459:46] + buf_ldfwdtag_in[3] <= _T_4155 @[lsu_bus_buffer.scala 459:28] + node _T_4156 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 460:47] + node _T_4157 = and(_T_4156, obuf_nosend) @[lsu_bus_buffer.scala 460:67] + node _T_4158 = and(_T_4157, bus_rsp_read) @[lsu_bus_buffer.scala 460:81] + buf_data_en[3] <= _T_4158 @[lsu_bus_buffer.scala 460:24] + node _T_4159 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 461:48] + node _T_4160 = and(_T_4159, obuf_nosend) @[lsu_bus_buffer.scala 461:68] + node _T_4161 = and(_T_4160, bus_rsp_read_error) @[lsu_bus_buffer.scala 461:82] + buf_error_en[3] <= _T_4161 @[lsu_bus_buffer.scala 461:25] + node _T_4162 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:61] + node _T_4163 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 462:85] + node _T_4164 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 462:103] + node _T_4165 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 462:126] + node _T_4166 = mux(_T_4163, _T_4164, _T_4165) @[lsu_bus_buffer.scala 462:73] + node _T_4167 = mux(buf_error_en[3], _T_4162, _T_4166) @[lsu_bus_buffer.scala 462:30] + buf_data_in[3] <= _T_4167 @[lsu_bus_buffer.scala 462:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4168 = eq(UInt<3>("h03"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4168 : @[Conditional.scala 39:67] + node _T_4169 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 465:67] + node _T_4170 = and(UInt<1>("h00"), bus_rsp_write_error) @[lsu_bus_buffer.scala 465:94] + node _T_4171 = eq(_T_4170, UInt<1>("h00")) @[lsu_bus_buffer.scala 465:73] + node _T_4172 = and(_T_4169, _T_4171) @[lsu_bus_buffer.scala 465:71] + node _T_4173 = or(io.dec_tlu_force_halt, _T_4172) @[lsu_bus_buffer.scala 465:55] + node _T_4174 = bits(_T_4173, 0, 0) @[lsu_bus_buffer.scala 465:125] + node _T_4175 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 466:30] + node _T_4176 = and(buf_dual[3], _T_4175) @[lsu_bus_buffer.scala 466:28] + node _T_4177 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 466:57] + node _T_4178 = eq(_T_4177, UInt<1>("h00")) @[lsu_bus_buffer.scala 466:47] + node _T_4179 = and(_T_4176, _T_4178) @[lsu_bus_buffer.scala 466:45] + node _T_4180 = neq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 466:90] + node _T_4181 = and(_T_4179, _T_4180) @[lsu_bus_buffer.scala 466:61] + node _T_4182 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 467:27] + node _T_4183 = or(_T_4182, any_done_wait_state) @[lsu_bus_buffer.scala 467:31] + node _T_4184 = eq(buf_samedw[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 467:70] + node _T_4185 = and(buf_dual[3], _T_4184) @[lsu_bus_buffer.scala 467:68] + node _T_4186 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 467:97] + node _T_4187 = eq(_T_4186, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] + node _T_4188 = and(_T_4185, _T_4187) @[lsu_bus_buffer.scala 467:85] + node _T_4189 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_4190 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_4191 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_4192 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4193 = eq(buf_dualtag[3], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4194 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4195 = eq(buf_dualtag[3], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4196 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4197 = mux(_T_4189, _T_4190, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4198 = mux(_T_4191, _T_4192, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4199 = mux(_T_4193, _T_4194, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4200 = mux(_T_4195, _T_4196, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4201 = or(_T_4197, _T_4198) @[Mux.scala 27:72] + node _T_4202 = or(_T_4201, _T_4199) @[Mux.scala 27:72] + node _T_4203 = or(_T_4202, _T_4200) @[Mux.scala 27:72] + wire _T_4204 : UInt<1> @[Mux.scala 27:72] + _T_4204 <= _T_4203 @[Mux.scala 27:72] + node _T_4205 = and(_T_4188, _T_4204) @[lsu_bus_buffer.scala 467:101] + node _T_4206 = eq(buf_state[buf_dualtag[3]], UInt<3>("h04")) @[lsu_bus_buffer.scala 467:167] + node _T_4207 = and(_T_4205, _T_4206) @[lsu_bus_buffer.scala 467:138] + node _T_4208 = and(_T_4207, any_done_wait_state) @[lsu_bus_buffer.scala 467:187] + node _T_4209 = or(_T_4183, _T_4208) @[lsu_bus_buffer.scala 467:53] + node _T_4210 = mux(_T_4209, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 467:16] + node _T_4211 = mux(_T_4181, UInt<3>("h04"), _T_4210) @[lsu_bus_buffer.scala 466:14] + node _T_4212 = mux(_T_4174, UInt<3>("h00"), _T_4211) @[lsu_bus_buffer.scala 465:31] + buf_nxtstate[3] <= _T_4212 @[lsu_bus_buffer.scala 465:25] + node _T_4213 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 468:73] + node _T_4214 = and(bus_rsp_write, _T_4213) @[lsu_bus_buffer.scala 468:52] + node _T_4215 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 469:46] + node _T_4216 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 470:23] + node _T_4217 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 470:47] + node _T_4218 = and(_T_4216, _T_4217) @[lsu_bus_buffer.scala 470:27] + node _T_4219 = or(_T_4215, _T_4218) @[lsu_bus_buffer.scala 469:77] + node _T_4220 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 471:26] + node _T_4221 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 471:54] + node _T_4222 = not(_T_4221) @[lsu_bus_buffer.scala 471:44] + node _T_4223 = and(_T_4220, _T_4222) @[lsu_bus_buffer.scala 471:42] + node _T_4224 = and(_T_4223, buf_samedw[3]) @[lsu_bus_buffer.scala 471:58] + node _T_4225 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 471:94] + node _T_4226 = and(_T_4224, _T_4225) @[lsu_bus_buffer.scala 471:74] + node _T_4227 = or(_T_4219, _T_4226) @[lsu_bus_buffer.scala 470:71] + node _T_4228 = and(bus_rsp_read, _T_4227) @[lsu_bus_buffer.scala 469:25] + node _T_4229 = or(_T_4214, _T_4228) @[lsu_bus_buffer.scala 468:105] + buf_resp_state_bus_en[3] <= _T_4229 @[lsu_bus_buffer.scala 468:34] + buf_state_bus_en[3] <= buf_resp_state_bus_en[3] @[lsu_bus_buffer.scala 472:29] + node _T_4230 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 473:49] + node _T_4231 = or(_T_4230, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 473:70] + buf_state_en[3] <= _T_4231 @[lsu_bus_buffer.scala 473:25] + node _T_4232 = and(buf_state_bus_en[3], bus_rsp_read) @[lsu_bus_buffer.scala 474:47] + node _T_4233 = and(_T_4232, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 474:62] + buf_data_en[3] <= _T_4233 @[lsu_bus_buffer.scala 474:24] + node _T_4234 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 475:48] + node _T_4235 = eq(bus_rsp_read_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 475:111] + node _T_4236 = and(bus_rsp_read_error, _T_4235) @[lsu_bus_buffer.scala 475:91] + node _T_4237 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 476:42] + node _T_4238 = and(bus_rsp_read_error, _T_4237) @[lsu_bus_buffer.scala 476:31] + node _T_4239 = eq(bus_rsp_read_tag, buf_ldfwdtag[3]) @[lsu_bus_buffer.scala 476:66] + node _T_4240 = and(_T_4238, _T_4239) @[lsu_bus_buffer.scala 476:46] + node _T_4241 = or(_T_4236, _T_4240) @[lsu_bus_buffer.scala 475:143] + node _T_4242 = and(bus_rsp_write_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 477:32] + node _T_4243 = eq(bus_rsp_write_tag, UInt<3>("h03")) @[lsu_bus_buffer.scala 477:74] + node _T_4244 = and(_T_4242, _T_4243) @[lsu_bus_buffer.scala 477:53] + node _T_4245 = or(_T_4241, _T_4244) @[lsu_bus_buffer.scala 476:88] + node _T_4246 = and(_T_4234, _T_4245) @[lsu_bus_buffer.scala 475:68] + buf_error_en[3] <= _T_4246 @[lsu_bus_buffer.scala 475:25] + node _T_4247 = eq(buf_error_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 478:50] + node _T_4248 = and(buf_state_en[3], _T_4247) @[lsu_bus_buffer.scala 478:48] + node _T_4249 = bits(buf_addr[3], 2, 2) @[lsu_bus_buffer.scala 478:84] + node _T_4250 = bits(bus_rsp_rdata, 63, 32) @[lsu_bus_buffer.scala 478:102] + node _T_4251 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:125] + node _T_4252 = mux(_T_4249, _T_4250, _T_4251) @[lsu_bus_buffer.scala 478:72] + node _T_4253 = bits(bus_rsp_rdata, 31, 0) @[lsu_bus_buffer.scala 478:148] + node _T_4254 = mux(_T_4248, _T_4252, _T_4253) @[lsu_bus_buffer.scala 478:30] + buf_data_in[3] <= _T_4254 @[lsu_bus_buffer.scala 478:24] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4255 = eq(UInt<3>("h04"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4255 : @[Conditional.scala 39:67] + node _T_4256 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 481:60] + node _T_4257 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 481:86] + node _T_4258 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 481:101] + node _T_4259 = bits(_T_4258, 0, 0) @[lsu_bus_buffer.scala 481:101] + node _T_4260 = or(_T_4257, _T_4259) @[lsu_bus_buffer.scala 481:90] + node _T_4261 = or(_T_4260, any_done_wait_state) @[lsu_bus_buffer.scala 481:118] + node _T_4262 = mux(_T_4261, UInt<3>("h05"), UInt<3>("h06")) @[lsu_bus_buffer.scala 481:75] + node _T_4263 = mux(_T_4256, UInt<3>("h00"), _T_4262) @[lsu_bus_buffer.scala 481:31] + buf_nxtstate[3] <= _T_4263 @[lsu_bus_buffer.scala 481:25] + node _T_4264 = eq(bus_rsp_read_tag, buf_dualtag[3]) @[lsu_bus_buffer.scala 482:66] + node _T_4265 = dshr(buf_ldfwd, buf_dualtag[3]) @[lsu_bus_buffer.scala 483:21] + node _T_4266 = bits(_T_4265, 0, 0) @[lsu_bus_buffer.scala 483:21] + node _T_4267 = eq(bus_rsp_read_tag, buf_ldfwdtag[buf_dualtag[3]]) @[lsu_bus_buffer.scala 483:58] + node _T_4268 = and(_T_4266, _T_4267) @[lsu_bus_buffer.scala 483:38] + node _T_4269 = or(_T_4264, _T_4268) @[lsu_bus_buffer.scala 482:95] + node _T_4270 = and(bus_rsp_read, _T_4269) @[lsu_bus_buffer.scala 482:45] + buf_state_bus_en[3] <= _T_4270 @[lsu_bus_buffer.scala 482:29] + node _T_4271 = and(buf_state_bus_en[3], io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 484:49] + node _T_4272 = or(_T_4271, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 484:70] + buf_state_en[3] <= _T_4272 @[lsu_bus_buffer.scala 484:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4273 = eq(UInt<3>("h05"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4273 : @[Conditional.scala 39:67] + node _T_4274 = bits(io.dec_tlu_force_halt, 0, 0) @[lsu_bus_buffer.scala 487:60] + node _T_4275 = mux(_T_4274, UInt<3>("h00"), UInt<3>("h06")) @[lsu_bus_buffer.scala 487:31] + buf_nxtstate[3] <= _T_4275 @[lsu_bus_buffer.scala 487:25] + node _T_4276 = eq(RspPtr, UInt<2>("h03")) @[lsu_bus_buffer.scala 488:37] + node _T_4277 = eq(buf_dualtag[3], RspPtr) @[lsu_bus_buffer.scala 488:98] + node _T_4278 = and(buf_dual[3], _T_4277) @[lsu_bus_buffer.scala 488:80] + node _T_4279 = or(_T_4276, _T_4278) @[lsu_bus_buffer.scala 488:65] + node _T_4280 = or(_T_4279, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 488:112] + buf_state_en[3] <= _T_4280 @[lsu_bus_buffer.scala 488:25] + skip @[Conditional.scala 39:67] + else : @[Conditional.scala 39:67] + node _T_4281 = eq(UInt<3>("h06"), buf_state[3]) @[Conditional.scala 37:30] + when _T_4281 : @[Conditional.scala 39:67] + buf_nxtstate[3] <= UInt<3>("h00") @[lsu_bus_buffer.scala 491:25] + buf_rst[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 492:20] + buf_state_en[3] <= UInt<1>("h01") @[lsu_bus_buffer.scala 493:25] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 494:25] + buf_ldfwd_en[3] <= buf_state_en[3] @[lsu_bus_buffer.scala 495:25] + skip @[Conditional.scala 39:67] + node _T_4282 = bits(buf_state_en[3], 0, 0) @[lsu_bus_buffer.scala 498:108] + reg _T_4283 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4282 : @[Reg.scala 28:19] + _T_4283 <= buf_nxtstate[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_state[3] <= _T_4283 @[lsu_bus_buffer.scala 498:18] + reg _T_4284 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 499:60] + _T_4284 <= buf_age_in_3 @[lsu_bus_buffer.scala 499:60] + buf_ageQ[3] <= _T_4284 @[lsu_bus_buffer.scala 499:17] + reg _T_4285 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 500:63] + _T_4285 <= buf_rspage_in[3] @[lsu_bus_buffer.scala 500:63] + buf_rspageQ[3] <= _T_4285 @[lsu_bus_buffer.scala 500:20] + node _T_4286 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 501:109] + reg _T_4287 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4286 : @[Reg.scala 28:19] + _T_4287 <= buf_dualtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualtag[3] <= _T_4287 @[lsu_bus_buffer.scala 501:20] + node _T_4288 = bits(buf_dual_in, 3, 3) @[lsu_bus_buffer.scala 502:74] + node _T_4289 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 502:107] + reg _T_4290 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4289 : @[Reg.scala 28:19] + _T_4290 <= _T_4288 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dual[3] <= _T_4290 @[lsu_bus_buffer.scala 502:17] + node _T_4291 = bits(buf_samedw_in, 3, 3) @[lsu_bus_buffer.scala 503:78] + node _T_4292 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 503:111] + reg _T_4293 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4292 : @[Reg.scala 28:19] + _T_4293 <= _T_4291 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_samedw[3] <= _T_4293 @[lsu_bus_buffer.scala 503:19] + node _T_4294 = bits(buf_nomerge_in, 3, 3) @[lsu_bus_buffer.scala 504:80] + node _T_4295 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 504:113] + reg _T_4296 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4295 : @[Reg.scala 28:19] + _T_4296 <= _T_4294 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_nomerge[3] <= _T_4296 @[lsu_bus_buffer.scala 504:20] + node _T_4297 = bits(buf_dualhi_in, 3, 3) @[lsu_bus_buffer.scala 505:78] + node _T_4298 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 505:111] + reg _T_4299 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4298 : @[Reg.scala 28:19] + _T_4299 <= _T_4297 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_dualhi[3] <= _T_4299 @[lsu_bus_buffer.scala 505:19] + node _T_4300 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 508:131] + reg _T_4301 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4300 : @[Reg.scala 28:19] + _T_4301 <= buf_ldfwd_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4302 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 508:131] + reg _T_4303 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4302 : @[Reg.scala 28:19] + _T_4303 <= buf_ldfwd_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4304 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 508:131] + reg _T_4305 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4304 : @[Reg.scala 28:19] + _T_4305 <= buf_ldfwd_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4306 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 508:131] + reg _T_4307 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4306 : @[Reg.scala 28:19] + _T_4307 <= buf_ldfwd_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4308 = cat(_T_4307, _T_4305) @[Cat.scala 29:58] + node _T_4309 = cat(_T_4308, _T_4303) @[Cat.scala 29:58] + node _T_4310 = cat(_T_4309, _T_4301) @[Cat.scala 29:58] + buf_ldfwd <= _T_4310 @[lsu_bus_buffer.scala 508:13] + node _T_4311 = bits(buf_ldfwd_en[0], 0, 0) @[lsu_bus_buffer.scala 509:132] + reg _T_4312 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4311 : @[Reg.scala 28:19] + _T_4312 <= buf_ldfwdtag_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4313 = bits(buf_ldfwd_en[1], 0, 0) @[lsu_bus_buffer.scala 509:132] + reg _T_4314 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4313 : @[Reg.scala 28:19] + _T_4314 <= buf_ldfwdtag_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4315 = bits(buf_ldfwd_en[2], 0, 0) @[lsu_bus_buffer.scala 509:132] + reg _T_4316 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4315 : @[Reg.scala 28:19] + _T_4316 <= buf_ldfwdtag_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4317 = bits(buf_ldfwd_en[3], 0, 0) @[lsu_bus_buffer.scala 509:132] + reg _T_4318 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4317 : @[Reg.scala 28:19] + _T_4318 <= buf_ldfwdtag_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_ldfwdtag[0] <= _T_4312 @[lsu_bus_buffer.scala 509:16] + buf_ldfwdtag[1] <= _T_4314 @[lsu_bus_buffer.scala 509:16] + buf_ldfwdtag[2] <= _T_4316 @[lsu_bus_buffer.scala 509:16] + buf_ldfwdtag[3] <= _T_4318 @[lsu_bus_buffer.scala 509:16] + node _T_4319 = bits(buf_sideeffect_in, 0, 0) @[lsu_bus_buffer.scala 510:105] + node _T_4320 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 510:138] + reg _T_4321 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4320 : @[Reg.scala 28:19] + _T_4321 <= _T_4319 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4322 = bits(buf_sideeffect_in, 1, 1) @[lsu_bus_buffer.scala 510:105] + node _T_4323 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 510:138] + reg _T_4324 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4323 : @[Reg.scala 28:19] + _T_4324 <= _T_4322 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4325 = bits(buf_sideeffect_in, 2, 2) @[lsu_bus_buffer.scala 510:105] + node _T_4326 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 510:138] + reg _T_4327 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4326 : @[Reg.scala 28:19] + _T_4327 <= _T_4325 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4328 = bits(buf_sideeffect_in, 3, 3) @[lsu_bus_buffer.scala 510:105] + node _T_4329 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 510:138] + reg _T_4330 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4329 : @[Reg.scala 28:19] + _T_4330 <= _T_4328 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4331 = cat(_T_4330, _T_4327) @[Cat.scala 29:58] + node _T_4332 = cat(_T_4331, _T_4324) @[Cat.scala 29:58] + node _T_4333 = cat(_T_4332, _T_4321) @[Cat.scala 29:58] + buf_sideeffect <= _T_4333 @[lsu_bus_buffer.scala 510:18] + node _T_4334 = bits(buf_unsign_in, 0, 0) @[lsu_bus_buffer.scala 511:97] + node _T_4335 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 511:130] + reg _T_4336 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4335 : @[Reg.scala 28:19] + _T_4336 <= _T_4334 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4337 = bits(buf_unsign_in, 1, 1) @[lsu_bus_buffer.scala 511:97] + node _T_4338 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 511:130] + reg _T_4339 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4338 : @[Reg.scala 28:19] + _T_4339 <= _T_4337 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4340 = bits(buf_unsign_in, 2, 2) @[lsu_bus_buffer.scala 511:97] + node _T_4341 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 511:130] + reg _T_4342 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4341 : @[Reg.scala 28:19] + _T_4342 <= _T_4340 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4343 = bits(buf_unsign_in, 3, 3) @[lsu_bus_buffer.scala 511:97] + node _T_4344 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 511:130] + reg _T_4345 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4344 : @[Reg.scala 28:19] + _T_4345 <= _T_4343 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4346 = cat(_T_4345, _T_4342) @[Cat.scala 29:58] + node _T_4347 = cat(_T_4346, _T_4339) @[Cat.scala 29:58] + node _T_4348 = cat(_T_4347, _T_4336) @[Cat.scala 29:58] + buf_unsign <= _T_4348 @[lsu_bus_buffer.scala 511:14] + node _T_4349 = bits(buf_write_in, 0, 0) @[lsu_bus_buffer.scala 512:95] + node _T_4350 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 512:128] + reg _T_4351 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4350 : @[Reg.scala 28:19] + _T_4351 <= _T_4349 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4352 = bits(buf_write_in, 1, 1) @[lsu_bus_buffer.scala 512:95] + node _T_4353 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 512:128] + reg _T_4354 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4353 : @[Reg.scala 28:19] + _T_4354 <= _T_4352 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4355 = bits(buf_write_in, 2, 2) @[lsu_bus_buffer.scala 512:95] + node _T_4356 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 512:128] + reg _T_4357 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4356 : @[Reg.scala 28:19] + _T_4357 <= _T_4355 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4358 = bits(buf_write_in, 3, 3) @[lsu_bus_buffer.scala 512:95] + node _T_4359 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 512:128] + reg _T_4360 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4359 : @[Reg.scala 28:19] + _T_4360 <= _T_4358 @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4361 = cat(_T_4360, _T_4357) @[Cat.scala 29:58] + node _T_4362 = cat(_T_4361, _T_4354) @[Cat.scala 29:58] + node _T_4363 = cat(_T_4362, _T_4351) @[Cat.scala 29:58] + buf_write <= _T_4363 @[lsu_bus_buffer.scala 512:13] + node _T_4364 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 513:117] + reg _T_4365 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4364 : @[Reg.scala 28:19] + _T_4365 <= buf_sz_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4366 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 513:117] + reg _T_4367 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4366 : @[Reg.scala 28:19] + _T_4367 <= buf_sz_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4368 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 513:117] + reg _T_4369 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4368 : @[Reg.scala 28:19] + _T_4369 <= buf_sz_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4370 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 513:117] + reg _T_4371 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4370 : @[Reg.scala 28:19] + _T_4371 <= buf_sz_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_sz[0] <= _T_4365 @[lsu_bus_buffer.scala 513:10] + buf_sz[1] <= _T_4367 @[lsu_bus_buffer.scala 513:10] + buf_sz[2] <= _T_4369 @[lsu_bus_buffer.scala 513:10] + buf_sz[3] <= _T_4371 @[lsu_bus_buffer.scala 513:10] + node _T_4372 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 514:80] + inst rvclkhdr_4 of rvclkhdr_4 @[lib.scala 368:23] + rvclkhdr_4.clock <= clock + rvclkhdr_4.reset <= reset + rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_4.io.en <= _T_4372 @[lib.scala 371:17] + rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4373 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4373 <= buf_addr_in[0] @[lib.scala 374:16] + node _T_4374 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 514:80] + inst rvclkhdr_5 of rvclkhdr_5 @[lib.scala 368:23] + rvclkhdr_5.clock <= clock + rvclkhdr_5.reset <= reset + rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_5.io.en <= _T_4374 @[lib.scala 371:17] + rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4375 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4375 <= buf_addr_in[1] @[lib.scala 374:16] + node _T_4376 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 514:80] + inst rvclkhdr_6 of rvclkhdr_6 @[lib.scala 368:23] + rvclkhdr_6.clock <= clock + rvclkhdr_6.reset <= reset + rvclkhdr_6.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_6.io.en <= _T_4376 @[lib.scala 371:17] + rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4377 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4377 <= buf_addr_in[2] @[lib.scala 374:16] + node _T_4378 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 514:80] + inst rvclkhdr_7 of rvclkhdr_7 @[lib.scala 368:23] + rvclkhdr_7.clock <= clock + rvclkhdr_7.reset <= reset + rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_7.io.en <= _T_4378 @[lib.scala 371:17] + rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4379 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4379 <= buf_addr_in[3] @[lib.scala 374:16] + buf_addr[0] <= _T_4373 @[lsu_bus_buffer.scala 514:12] + buf_addr[1] <= _T_4375 @[lsu_bus_buffer.scala 514:12] + buf_addr[2] <= _T_4377 @[lsu_bus_buffer.scala 514:12] + buf_addr[3] <= _T_4379 @[lsu_bus_buffer.scala 514:12] + node _T_4380 = bits(buf_wr_en[0], 0, 0) @[lsu_bus_buffer.scala 515:125] + reg _T_4381 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4380 : @[Reg.scala 28:19] + _T_4381 <= buf_byteen_in[0] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4382 = bits(buf_wr_en[1], 0, 0) @[lsu_bus_buffer.scala 515:125] + reg _T_4383 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4382 : @[Reg.scala 28:19] + _T_4383 <= buf_byteen_in[1] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4384 = bits(buf_wr_en[2], 0, 0) @[lsu_bus_buffer.scala 515:125] + reg _T_4385 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4384 : @[Reg.scala 28:19] + _T_4385 <= buf_byteen_in[2] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + node _T_4386 = bits(buf_wr_en[3], 0, 0) @[lsu_bus_buffer.scala 515:125] + reg _T_4387 : UInt, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_4386 : @[Reg.scala 28:19] + _T_4387 <= buf_byteen_in[3] @[Reg.scala 28:23] + skip @[Reg.scala 28:19] + buf_byteen[0] <= _T_4381 @[lsu_bus_buffer.scala 515:14] + buf_byteen[1] <= _T_4383 @[lsu_bus_buffer.scala 515:14] + buf_byteen[2] <= _T_4385 @[lsu_bus_buffer.scala 515:14] + buf_byteen[3] <= _T_4387 @[lsu_bus_buffer.scala 515:14] + inst rvclkhdr_8 of rvclkhdr_8 @[lib.scala 368:23] + rvclkhdr_8.clock <= clock + rvclkhdr_8.reset <= reset + rvclkhdr_8.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_8.io.en <= buf_data_en[0] @[lib.scala 371:17] + rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4388 : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4388 <= buf_data_in[0] @[lib.scala 374:16] + inst rvclkhdr_9 of rvclkhdr_9 @[lib.scala 368:23] + rvclkhdr_9.clock <= clock + rvclkhdr_9.reset <= reset + rvclkhdr_9.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_9.io.en <= buf_data_en[1] @[lib.scala 371:17] + rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4389 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4389 <= buf_data_in[1] @[lib.scala 374:16] + inst rvclkhdr_10 of rvclkhdr_10 @[lib.scala 368:23] + rvclkhdr_10.clock <= clock + rvclkhdr_10.reset <= reset + rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_10.io.en <= buf_data_en[2] @[lib.scala 371:17] + rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4390 : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4390 <= buf_data_in[2] @[lib.scala 374:16] + inst rvclkhdr_11 of rvclkhdr_11 @[lib.scala 368:23] + rvclkhdr_11.clock <= clock + rvclkhdr_11.reset <= reset + rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] + rvclkhdr_11.io.en <= buf_data_en[3] @[lib.scala 371:17] + rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] + reg _T_4391 : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_4391 <= buf_data_in[3] @[lib.scala 374:16] + buf_data[0] <= _T_4388 @[lsu_bus_buffer.scala 516:12] + buf_data[1] <= _T_4389 @[lsu_bus_buffer.scala 516:12] + buf_data[2] <= _T_4390 @[lsu_bus_buffer.scala 516:12] + buf_data[3] <= _T_4391 @[lsu_bus_buffer.scala 516:12] + node _T_4392 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 517:119] + node _T_4393 = mux(buf_error_en[0], UInt<1>("h01"), _T_4392) @[lsu_bus_buffer.scala 517:84] + node _T_4394 = eq(buf_rst[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126] + node _T_4395 = and(_T_4393, _T_4394) @[lsu_bus_buffer.scala 517:124] + reg _T_4396 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80] + _T_4396 <= _T_4395 @[lsu_bus_buffer.scala 517:80] + node _T_4397 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 517:119] + node _T_4398 = mux(buf_error_en[1], UInt<1>("h01"), _T_4397) @[lsu_bus_buffer.scala 517:84] + node _T_4399 = eq(buf_rst[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126] + node _T_4400 = and(_T_4398, _T_4399) @[lsu_bus_buffer.scala 517:124] + reg _T_4401 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80] + _T_4401 <= _T_4400 @[lsu_bus_buffer.scala 517:80] + node _T_4402 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 517:119] + node _T_4403 = mux(buf_error_en[2], UInt<1>("h01"), _T_4402) @[lsu_bus_buffer.scala 517:84] + node _T_4404 = eq(buf_rst[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126] + node _T_4405 = and(_T_4403, _T_4404) @[lsu_bus_buffer.scala 517:124] + reg _T_4406 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80] + _T_4406 <= _T_4405 @[lsu_bus_buffer.scala 517:80] + node _T_4407 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 517:119] + node _T_4408 = mux(buf_error_en[3], UInt<1>("h01"), _T_4407) @[lsu_bus_buffer.scala 517:84] + node _T_4409 = eq(buf_rst[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 517:126] + node _T_4410 = and(_T_4408, _T_4409) @[lsu_bus_buffer.scala 517:124] + reg _T_4411 : UInt<1>, io.lsu_bus_buf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 517:80] + _T_4411 <= _T_4410 @[lsu_bus_buffer.scala 517:80] + node _T_4412 = cat(_T_4411, _T_4406) @[Cat.scala 29:58] + node _T_4413 = cat(_T_4412, _T_4401) @[Cat.scala 29:58] + node _T_4414 = cat(_T_4413, _T_4396) @[Cat.scala 29:58] + buf_error <= _T_4414 @[lsu_bus_buffer.scala 517:13] + node _T_4415 = cat(io.lsu_busreq_m, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4416 = mux(io.ldst_dual_m, _T_4415, io.lsu_busreq_m) @[lsu_bus_buffer.scala 520:28] + node _T_4417 = cat(io.lsu_busreq_r, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_4418 = mux(io.ldst_dual_r, _T_4417, io.lsu_busreq_r) @[lsu_bus_buffer.scala 520:94] + node _T_4419 = add(_T_4416, _T_4418) @[lsu_bus_buffer.scala 520:88] + node _T_4420 = add(_T_4419, ibuf_valid) @[lsu_bus_buffer.scala 520:154] + node _T_4421 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190] + node _T_4422 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190] + node _T_4423 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190] + node _T_4424 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 520:190] + node _T_4425 = add(_T_4421, _T_4422) @[lsu_bus_buffer.scala 520:217] + node _T_4426 = add(_T_4425, _T_4423) @[lsu_bus_buffer.scala 520:217] + node _T_4427 = add(_T_4426, _T_4424) @[lsu_bus_buffer.scala 520:217] + node _T_4428 = add(_T_4420, _T_4427) @[lsu_bus_buffer.scala 520:169] + node buf_numvld_any = tail(_T_4428, 1) @[lsu_bus_buffer.scala 520:169] + node _T_4429 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 521:60] + node _T_4430 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79] + node _T_4431 = and(_T_4429, _T_4430) @[lsu_bus_buffer.scala 521:64] + node _T_4432 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91] + node _T_4433 = and(_T_4431, _T_4432) @[lsu_bus_buffer.scala 521:89] + node _T_4434 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 521:60] + node _T_4435 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79] + node _T_4436 = and(_T_4434, _T_4435) @[lsu_bus_buffer.scala 521:64] + node _T_4437 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91] + node _T_4438 = and(_T_4436, _T_4437) @[lsu_bus_buffer.scala 521:89] + node _T_4439 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 521:60] + node _T_4440 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79] + node _T_4441 = and(_T_4439, _T_4440) @[lsu_bus_buffer.scala 521:64] + node _T_4442 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91] + node _T_4443 = and(_T_4441, _T_4442) @[lsu_bus_buffer.scala 521:89] + node _T_4444 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 521:60] + node _T_4445 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 521:79] + node _T_4446 = and(_T_4444, _T_4445) @[lsu_bus_buffer.scala 521:64] + node _T_4447 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 521:91] + node _T_4448 = and(_T_4446, _T_4447) @[lsu_bus_buffer.scala 521:89] + node _T_4449 = add(_T_4448, _T_4443) @[lsu_bus_buffer.scala 521:142] + node _T_4450 = add(_T_4449, _T_4438) @[lsu_bus_buffer.scala 521:142] + node _T_4451 = add(_T_4450, _T_4433) @[lsu_bus_buffer.scala 521:142] + buf_numvld_wrcmd_any <= _T_4451 @[lsu_bus_buffer.scala 521:24] + node _T_4452 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63] + node _T_4453 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75] + node _T_4454 = and(_T_4452, _T_4453) @[lsu_bus_buffer.scala 522:73] + node _T_4455 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63] + node _T_4456 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75] + node _T_4457 = and(_T_4455, _T_4456) @[lsu_bus_buffer.scala 522:73] + node _T_4458 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63] + node _T_4459 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75] + node _T_4460 = and(_T_4458, _T_4459) @[lsu_bus_buffer.scala 522:73] + node _T_4461 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 522:63] + node _T_4462 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 522:75] + node _T_4463 = and(_T_4461, _T_4462) @[lsu_bus_buffer.scala 522:73] + node _T_4464 = add(_T_4463, _T_4460) @[lsu_bus_buffer.scala 522:126] + node _T_4465 = add(_T_4464, _T_4457) @[lsu_bus_buffer.scala 522:126] + node _T_4466 = add(_T_4465, _T_4454) @[lsu_bus_buffer.scala 522:126] + buf_numvld_cmd_any <= _T_4466 @[lsu_bus_buffer.scala 522:22] + node _T_4467 = eq(buf_state[0], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63] + node _T_4468 = eq(buf_state[0], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90] + node _T_4469 = eq(buf_cmd_state_bus_en[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102] + node _T_4470 = and(_T_4468, _T_4469) @[lsu_bus_buffer.scala 523:100] + node _T_4471 = or(_T_4467, _T_4470) @[lsu_bus_buffer.scala 523:74] + node _T_4472 = eq(buf_state[1], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63] + node _T_4473 = eq(buf_state[1], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90] + node _T_4474 = eq(buf_cmd_state_bus_en[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102] + node _T_4475 = and(_T_4473, _T_4474) @[lsu_bus_buffer.scala 523:100] + node _T_4476 = or(_T_4472, _T_4475) @[lsu_bus_buffer.scala 523:74] + node _T_4477 = eq(buf_state[2], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63] + node _T_4478 = eq(buf_state[2], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90] + node _T_4479 = eq(buf_cmd_state_bus_en[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102] + node _T_4480 = and(_T_4478, _T_4479) @[lsu_bus_buffer.scala 523:100] + node _T_4481 = or(_T_4477, _T_4480) @[lsu_bus_buffer.scala 523:74] + node _T_4482 = eq(buf_state[3], UInt<3>("h01")) @[lsu_bus_buffer.scala 523:63] + node _T_4483 = eq(buf_state[3], UInt<3>("h02")) @[lsu_bus_buffer.scala 523:90] + node _T_4484 = eq(buf_cmd_state_bus_en[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 523:102] + node _T_4485 = and(_T_4483, _T_4484) @[lsu_bus_buffer.scala 523:100] + node _T_4486 = or(_T_4482, _T_4485) @[lsu_bus_buffer.scala 523:74] + node _T_4487 = add(_T_4486, _T_4481) @[lsu_bus_buffer.scala 523:154] + node _T_4488 = add(_T_4487, _T_4476) @[lsu_bus_buffer.scala 523:154] + node _T_4489 = add(_T_4488, _T_4471) @[lsu_bus_buffer.scala 523:154] + buf_numvld_pend_any <= _T_4489 @[lsu_bus_buffer.scala 523:23] + node _T_4490 = eq(buf_state[0], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61] + node _T_4491 = eq(buf_state[1], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61] + node _T_4492 = eq(buf_state[2], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61] + node _T_4493 = eq(buf_state[3], UInt<3>("h05")) @[lsu_bus_buffer.scala 524:61] + node _T_4494 = or(_T_4493, _T_4492) @[lsu_bus_buffer.scala 524:93] + node _T_4495 = or(_T_4494, _T_4491) @[lsu_bus_buffer.scala 524:93] + node _T_4496 = or(_T_4495, _T_4490) @[lsu_bus_buffer.scala 524:93] + any_done_wait_state <= _T_4496 @[lsu_bus_buffer.scala 524:23] + node _T_4497 = orr(buf_numvld_pend_any) @[lsu_bus_buffer.scala 525:53] + io.lsu_bus_buffer_pend_any <= _T_4497 @[lsu_bus_buffer.scala 525:30] + node _T_4498 = and(io.ldst_dual_d, io.dec_lsu_valid_raw_d) @[lsu_bus_buffer.scala 526:52] + node _T_4499 = geq(buf_numvld_any, UInt<2>("h03")) @[lsu_bus_buffer.scala 526:92] + node _T_4500 = eq(buf_numvld_any, UInt<3>("h04")) @[lsu_bus_buffer.scala 526:121] + node _T_4501 = mux(_T_4498, _T_4499, _T_4500) @[lsu_bus_buffer.scala 526:36] + io.lsu_bus_buffer_full_any <= _T_4501 @[lsu_bus_buffer.scala 526:30] + node _T_4502 = orr(buf_state[0]) @[lsu_bus_buffer.scala 527:52] + node _T_4503 = orr(buf_state[1]) @[lsu_bus_buffer.scala 527:52] + node _T_4504 = orr(buf_state[2]) @[lsu_bus_buffer.scala 527:52] + node _T_4505 = orr(buf_state[3]) @[lsu_bus_buffer.scala 527:52] + node _T_4506 = or(_T_4502, _T_4503) @[lsu_bus_buffer.scala 527:65] + node _T_4507 = or(_T_4506, _T_4504) @[lsu_bus_buffer.scala 527:65] + node _T_4508 = or(_T_4507, _T_4505) @[lsu_bus_buffer.scala 527:65] + node _T_4509 = eq(_T_4508, UInt<1>("h00")) @[lsu_bus_buffer.scala 527:34] + node _T_4510 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 527:72] + node _T_4511 = and(_T_4509, _T_4510) @[lsu_bus_buffer.scala 527:70] + node _T_4512 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 527:86] + node _T_4513 = and(_T_4511, _T_4512) @[lsu_bus_buffer.scala 527:84] + io.lsu_bus_buffer_empty_any <= _T_4513 @[lsu_bus_buffer.scala 527:31] + node _T_4514 = and(io.lsu_busreq_m, io.lsu_pkt_m.valid) @[lsu_bus_buffer.scala 529:64] + node _T_4515 = and(_T_4514, io.lsu_pkt_m.bits.load) @[lsu_bus_buffer.scala 529:85] + node _T_4516 = eq(io.flush_m_up, UInt<1>("h00")) @[lsu_bus_buffer.scala 529:112] + node _T_4517 = and(_T_4515, _T_4516) @[lsu_bus_buffer.scala 529:110] + node _T_4518 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 529:129] + node _T_4519 = and(_T_4517, _T_4518) @[lsu_bus_buffer.scala 529:127] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= _T_4519 @[lsu_bus_buffer.scala 529:45] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= WrPtr0_m @[lsu_bus_buffer.scala 530:43] + wire lsu_nonblock_load_valid_r : UInt<1> + lsu_nonblock_load_valid_r <= UInt<1>("h00") + node _T_4520 = eq(io.lsu_commit_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 532:74] + node _T_4521 = and(lsu_nonblock_load_valid_r, _T_4520) @[lsu_bus_buffer.scala 532:72] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= _T_4521 @[lsu_bus_buffer.scala 532:43] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= WrPtr0_r @[lsu_bus_buffer.scala 533:47] + node _T_4522 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80] + node _T_4523 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 534:127] + node _T_4524 = and(UInt<1>("h00"), _T_4523) @[lsu_bus_buffer.scala 534:116] + node _T_4525 = eq(_T_4524, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95] + node _T_4526 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80] + node _T_4527 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 534:127] + node _T_4528 = and(UInt<1>("h00"), _T_4527) @[lsu_bus_buffer.scala 534:116] + node _T_4529 = eq(_T_4528, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95] + node _T_4530 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80] + node _T_4531 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 534:127] + node _T_4532 = and(UInt<1>("h00"), _T_4531) @[lsu_bus_buffer.scala 534:116] + node _T_4533 = eq(_T_4532, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95] + node _T_4534 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 534:80] + node _T_4535 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 534:127] + node _T_4536 = and(UInt<1>("h00"), _T_4535) @[lsu_bus_buffer.scala 534:116] + node _T_4537 = eq(_T_4536, UInt<1>("h00")) @[lsu_bus_buffer.scala 534:95] + node _T_4538 = mux(_T_4522, _T_4525, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4539 = mux(_T_4526, _T_4529, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4540 = mux(_T_4530, _T_4533, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4541 = mux(_T_4534, _T_4537, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4542 = or(_T_4538, _T_4539) @[Mux.scala 27:72] + node _T_4543 = or(_T_4542, _T_4540) @[Mux.scala 27:72] + node _T_4544 = or(_T_4543, _T_4541) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready : UInt<1> @[Mux.scala 27:72] + lsu_nonblock_load_data_ready <= _T_4544 @[Mux.scala 27:72] + node _T_4545 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93] + node _T_4546 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 535:117] + node _T_4547 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 535:133] + node _T_4548 = eq(_T_4547, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123] + node _T_4549 = and(_T_4546, _T_4548) @[lsu_bus_buffer.scala 535:121] + node _T_4550 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93] + node _T_4551 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 535:117] + node _T_4552 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 535:133] + node _T_4553 = eq(_T_4552, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123] + node _T_4554 = and(_T_4551, _T_4553) @[lsu_bus_buffer.scala 535:121] + node _T_4555 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93] + node _T_4556 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 535:117] + node _T_4557 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 535:133] + node _T_4558 = eq(_T_4557, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123] + node _T_4559 = and(_T_4556, _T_4558) @[lsu_bus_buffer.scala 535:121] + node _T_4560 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 535:93] + node _T_4561 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 535:117] + node _T_4562 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 535:133] + node _T_4563 = eq(_T_4562, UInt<1>("h00")) @[lsu_bus_buffer.scala 535:123] + node _T_4564 = and(_T_4561, _T_4563) @[lsu_bus_buffer.scala 535:121] + node _T_4565 = mux(_T_4545, _T_4549, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4566 = mux(_T_4550, _T_4554, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4567 = mux(_T_4555, _T_4559, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4568 = mux(_T_4560, _T_4564, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4569 = or(_T_4565, _T_4566) @[Mux.scala 27:72] + node _T_4570 = or(_T_4569, _T_4567) @[Mux.scala 27:72] + node _T_4571 = or(_T_4570, _T_4568) @[Mux.scala 27:72] + wire _T_4572 : UInt<1> @[Mux.scala 27:72] + _T_4572 <= _T_4571 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_error <= _T_4572 @[lsu_bus_buffer.scala 535:48] + node _T_4573 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92] + node _T_4574 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 536:115] + node _T_4575 = eq(_T_4574, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105] + node _T_4576 = and(_T_4573, _T_4575) @[lsu_bus_buffer.scala 536:103] + node _T_4577 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122] + node _T_4578 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137] + node _T_4579 = or(_T_4577, _T_4578) @[lsu_bus_buffer.scala 536:135] + node _T_4580 = and(_T_4576, _T_4579) @[lsu_bus_buffer.scala 536:119] + node _T_4581 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92] + node _T_4582 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 536:115] + node _T_4583 = eq(_T_4582, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105] + node _T_4584 = and(_T_4581, _T_4583) @[lsu_bus_buffer.scala 536:103] + node _T_4585 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122] + node _T_4586 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137] + node _T_4587 = or(_T_4585, _T_4586) @[lsu_bus_buffer.scala 536:135] + node _T_4588 = and(_T_4584, _T_4587) @[lsu_bus_buffer.scala 536:119] + node _T_4589 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92] + node _T_4590 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 536:115] + node _T_4591 = eq(_T_4590, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105] + node _T_4592 = and(_T_4589, _T_4591) @[lsu_bus_buffer.scala 536:103] + node _T_4593 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122] + node _T_4594 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137] + node _T_4595 = or(_T_4593, _T_4594) @[lsu_bus_buffer.scala 536:135] + node _T_4596 = and(_T_4592, _T_4595) @[lsu_bus_buffer.scala 536:119] + node _T_4597 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 536:92] + node _T_4598 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 536:115] + node _T_4599 = eq(_T_4598, UInt<1>("h00")) @[lsu_bus_buffer.scala 536:105] + node _T_4600 = and(_T_4597, _T_4599) @[lsu_bus_buffer.scala 536:103] + node _T_4601 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:122] + node _T_4602 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 536:137] + node _T_4603 = or(_T_4601, _T_4602) @[lsu_bus_buffer.scala 536:135] + node _T_4604 = and(_T_4600, _T_4603) @[lsu_bus_buffer.scala 536:119] + node _T_4605 = mux(_T_4580, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4606 = mux(_T_4588, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4607 = mux(_T_4596, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4608 = mux(_T_4604, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4609 = or(_T_4605, _T_4606) @[Mux.scala 27:72] + node _T_4610 = or(_T_4609, _T_4607) @[Mux.scala 27:72] + node _T_4611 = or(_T_4610, _T_4608) @[Mux.scala 27:72] + wire _T_4612 : UInt<2> @[Mux.scala 27:72] + _T_4612 <= _T_4611 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= _T_4612 @[lsu_bus_buffer.scala 536:46] + node _T_4613 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78] + node _T_4614 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 537:101] + node _T_4615 = eq(_T_4614, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91] + node _T_4616 = and(_T_4613, _T_4615) @[lsu_bus_buffer.scala 537:89] + node _T_4617 = eq(buf_dual[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108] + node _T_4618 = eq(buf_dualhi[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123] + node _T_4619 = or(_T_4617, _T_4618) @[lsu_bus_buffer.scala 537:121] + node _T_4620 = and(_T_4616, _T_4619) @[lsu_bus_buffer.scala 537:105] + node _T_4621 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78] + node _T_4622 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 537:101] + node _T_4623 = eq(_T_4622, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91] + node _T_4624 = and(_T_4621, _T_4623) @[lsu_bus_buffer.scala 537:89] + node _T_4625 = eq(buf_dual[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108] + node _T_4626 = eq(buf_dualhi[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123] + node _T_4627 = or(_T_4625, _T_4626) @[lsu_bus_buffer.scala 537:121] + node _T_4628 = and(_T_4624, _T_4627) @[lsu_bus_buffer.scala 537:105] + node _T_4629 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78] + node _T_4630 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 537:101] + node _T_4631 = eq(_T_4630, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91] + node _T_4632 = and(_T_4629, _T_4631) @[lsu_bus_buffer.scala 537:89] + node _T_4633 = eq(buf_dual[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108] + node _T_4634 = eq(buf_dualhi[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123] + node _T_4635 = or(_T_4633, _T_4634) @[lsu_bus_buffer.scala 537:121] + node _T_4636 = and(_T_4632, _T_4635) @[lsu_bus_buffer.scala 537:105] + node _T_4637 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 537:78] + node _T_4638 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 537:101] + node _T_4639 = eq(_T_4638, UInt<1>("h00")) @[lsu_bus_buffer.scala 537:91] + node _T_4640 = and(_T_4637, _T_4639) @[lsu_bus_buffer.scala 537:89] + node _T_4641 = eq(buf_dual[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:108] + node _T_4642 = eq(buf_dualhi[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 537:123] + node _T_4643 = or(_T_4641, _T_4642) @[lsu_bus_buffer.scala 537:121] + node _T_4644 = and(_T_4640, _T_4643) @[lsu_bus_buffer.scala 537:105] + node _T_4645 = mux(_T_4620, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4646 = mux(_T_4628, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4647 = mux(_T_4636, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4648 = mux(_T_4644, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4649 = or(_T_4645, _T_4646) @[Mux.scala 27:72] + node _T_4650 = or(_T_4649, _T_4647) @[Mux.scala 27:72] + node _T_4651 = or(_T_4650, _T_4648) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_lo : UInt<32> @[Mux.scala 27:72] + lsu_nonblock_load_data_lo <= _T_4651 @[Mux.scala 27:72] + node _T_4652 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4653 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 538:101] + node _T_4654 = eq(_T_4653, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4655 = and(_T_4652, _T_4654) @[lsu_bus_buffer.scala 538:89] + node _T_4656 = and(buf_dual[0], buf_dualhi[0]) @[lsu_bus_buffer.scala 538:120] + node _T_4657 = and(_T_4655, _T_4656) @[lsu_bus_buffer.scala 538:105] + node _T_4658 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4659 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 538:101] + node _T_4660 = eq(_T_4659, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4661 = and(_T_4658, _T_4660) @[lsu_bus_buffer.scala 538:89] + node _T_4662 = and(buf_dual[1], buf_dualhi[1]) @[lsu_bus_buffer.scala 538:120] + node _T_4663 = and(_T_4661, _T_4662) @[lsu_bus_buffer.scala 538:105] + node _T_4664 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4665 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 538:101] + node _T_4666 = eq(_T_4665, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4667 = and(_T_4664, _T_4666) @[lsu_bus_buffer.scala 538:89] + node _T_4668 = and(buf_dual[2], buf_dualhi[2]) @[lsu_bus_buffer.scala 538:120] + node _T_4669 = and(_T_4667, _T_4668) @[lsu_bus_buffer.scala 538:105] + node _T_4670 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 538:78] + node _T_4671 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 538:101] + node _T_4672 = eq(_T_4671, UInt<1>("h00")) @[lsu_bus_buffer.scala 538:91] + node _T_4673 = and(_T_4670, _T_4672) @[lsu_bus_buffer.scala 538:89] + node _T_4674 = and(buf_dual[3], buf_dualhi[3]) @[lsu_bus_buffer.scala 538:120] + node _T_4675 = and(_T_4673, _T_4674) @[lsu_bus_buffer.scala 538:105] + node _T_4676 = mux(_T_4657, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4677 = mux(_T_4663, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4678 = mux(_T_4669, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4679 = mux(_T_4675, buf_data[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4680 = or(_T_4676, _T_4677) @[Mux.scala 27:72] + node _T_4681 = or(_T_4680, _T_4678) @[Mux.scala 27:72] + node _T_4682 = or(_T_4681, _T_4679) @[Mux.scala 27:72] + wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] + lsu_nonblock_load_data_hi <= _T_4682 @[Mux.scala 27:72] + node _T_4683 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_4684 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_4685 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_4687 = mux(_T_4683, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4688 = mux(_T_4684, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4689 = mux(_T_4685, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4690 = mux(_T_4686, buf_addr[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4691 = or(_T_4687, _T_4688) @[Mux.scala 27:72] + node _T_4692 = or(_T_4691, _T_4689) @[Mux.scala 27:72] + node _T_4693 = or(_T_4692, _T_4690) @[Mux.scala 27:72] + wire _T_4694 : UInt<32> @[Mux.scala 27:72] + _T_4694 <= _T_4693 @[Mux.scala 27:72] + node lsu_nonblock_addr_offset = bits(_T_4694, 1, 0) @[lsu_bus_buffer.scala 539:96] + node _T_4695 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_4696 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_4697 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_4698 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] + node _T_4699 = mux(_T_4695, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4700 = mux(_T_4696, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4701 = mux(_T_4697, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4702 = mux(_T_4698, buf_sz[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4703 = or(_T_4699, _T_4700) @[Mux.scala 27:72] + node _T_4704 = or(_T_4703, _T_4701) @[Mux.scala 27:72] + node _T_4705 = or(_T_4704, _T_4702) @[Mux.scala 27:72] + wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] + lsu_nonblock_sz <= _T_4705 @[Mux.scala 27:72] + node _T_4706 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_4707 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_4708 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_4709 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4710 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4711 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4712 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4713 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4714 = mux(_T_4706, _T_4707, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4715 = mux(_T_4708, _T_4709, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4716 = mux(_T_4710, _T_4711, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4717 = mux(_T_4712, _T_4713, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4718 = or(_T_4714, _T_4715) @[Mux.scala 27:72] + node _T_4719 = or(_T_4718, _T_4716) @[Mux.scala 27:72] + node _T_4720 = or(_T_4719, _T_4717) @[Mux.scala 27:72] + wire lsu_nonblock_unsign : UInt<1> @[Mux.scala 27:72] + lsu_nonblock_unsign <= _T_4720 @[Mux.scala 27:72] + node _T_4721 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] + node _T_4722 = cat(_T_4721, buf_dual[1]) @[Cat.scala 29:58] + node _T_4723 = cat(_T_4722, buf_dual[0]) @[Cat.scala 29:58] + node _T_4724 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_4725 = bits(_T_4723, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_4726 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_4727 = bits(_T_4723, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4728 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4729 = bits(_T_4723, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4730 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4731 = bits(_T_4723, 3, 3) @[lsu_bus_buffer.scala 57:129] + node _T_4732 = mux(_T_4724, _T_4725, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4733 = mux(_T_4726, _T_4727, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4734 = mux(_T_4728, _T_4729, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4735 = mux(_T_4730, _T_4731, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4736 = or(_T_4732, _T_4733) @[Mux.scala 27:72] + node _T_4737 = or(_T_4736, _T_4734) @[Mux.scala 27:72] + node _T_4738 = or(_T_4737, _T_4735) @[Mux.scala 27:72] + wire lsu_nonblock_dual : UInt<1> @[Mux.scala 27:72] + lsu_nonblock_dual <= _T_4738 @[Mux.scala 27:72] + node _T_4739 = cat(lsu_nonblock_load_data_hi, lsu_nonblock_load_data_lo) @[Cat.scala 29:58] + node _T_4740 = mul(lsu_nonblock_addr_offset, UInt<4>("h08")) @[lsu_bus_buffer.scala 543:121] + node lsu_nonblock_data_unalgn = dshr(_T_4739, _T_4740) @[lsu_bus_buffer.scala 543:92] + node _T_4741 = eq(io.dctl_busbuff.lsu_nonblock_load_data_error, UInt<1>("h00")) @[lsu_bus_buffer.scala 545:82] + node _T_4742 = and(lsu_nonblock_load_data_ready, _T_4741) @[lsu_bus_buffer.scala 545:80] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= _T_4742 @[lsu_bus_buffer.scala 545:48] + node _T_4743 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 546:94] + node _T_4744 = and(lsu_nonblock_unsign, _T_4743) @[lsu_bus_buffer.scala 546:76] + node _T_4745 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 546:144] + node _T_4746 = cat(UInt<24>("h00"), _T_4745) @[Cat.scala 29:58] + node _T_4747 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 547:45] + node _T_4748 = and(lsu_nonblock_unsign, _T_4747) @[lsu_bus_buffer.scala 547:26] + node _T_4749 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 547:95] + node _T_4750 = cat(UInt<16>("h00"), _T_4749) @[Cat.scala 29:58] + node _T_4751 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:6] + node _T_4752 = eq(lsu_nonblock_sz, UInt<1>("h00")) @[lsu_bus_buffer.scala 548:45] + node _T_4753 = and(_T_4751, _T_4752) @[lsu_bus_buffer.scala 548:27] + node _T_4754 = bits(lsu_nonblock_data_unalgn, 7, 7) @[lsu_bus_buffer.scala 548:93] + node _T_4755 = bits(_T_4754, 0, 0) @[Bitwise.scala 72:15] + node _T_4756 = mux(_T_4755, UInt<24>("h0ffffff"), UInt<24>("h00")) @[Bitwise.scala 72:12] + node _T_4757 = bits(lsu_nonblock_data_unalgn, 7, 0) @[lsu_bus_buffer.scala 548:123] + node _T_4758 = cat(_T_4756, _T_4757) @[Cat.scala 29:58] + node _T_4759 = eq(lsu_nonblock_unsign, UInt<1>("h00")) @[lsu_bus_buffer.scala 549:6] + node _T_4760 = eq(lsu_nonblock_sz, UInt<1>("h01")) @[lsu_bus_buffer.scala 549:45] + node _T_4761 = and(_T_4759, _T_4760) @[lsu_bus_buffer.scala 549:27] + node _T_4762 = bits(lsu_nonblock_data_unalgn, 15, 15) @[lsu_bus_buffer.scala 549:93] + node _T_4763 = bits(_T_4762, 0, 0) @[Bitwise.scala 72:15] + node _T_4764 = mux(_T_4763, UInt<16>("h0ffff"), UInt<16>("h00")) @[Bitwise.scala 72:12] + node _T_4765 = bits(lsu_nonblock_data_unalgn, 15, 0) @[lsu_bus_buffer.scala 549:124] + node _T_4766 = cat(_T_4764, _T_4765) @[Cat.scala 29:58] + node _T_4767 = eq(lsu_nonblock_sz, UInt<2>("h02")) @[lsu_bus_buffer.scala 550:21] + node _T_4768 = mux(_T_4744, _T_4746, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4769 = mux(_T_4748, _T_4750, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4770 = mux(_T_4753, _T_4758, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4771 = mux(_T_4761, _T_4766, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4772 = mux(_T_4767, lsu_nonblock_data_unalgn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4773 = or(_T_4768, _T_4769) @[Mux.scala 27:72] + node _T_4774 = or(_T_4773, _T_4770) @[Mux.scala 27:72] + node _T_4775 = or(_T_4774, _T_4771) @[Mux.scala 27:72] + node _T_4776 = or(_T_4775, _T_4772) @[Mux.scala 27:72] + wire _T_4777 : UInt<64> @[Mux.scala 27:72] + _T_4777 <= _T_4776 @[Mux.scala 27:72] + io.dctl_busbuff.lsu_nonblock_load_data <= _T_4777 @[lsu_bus_buffer.scala 546:42] + node _T_4778 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62] + node _T_4779 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 551:89] + node _T_4780 = and(_T_4778, _T_4779) @[lsu_bus_buffer.scala 551:73] + node _T_4781 = and(_T_4780, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93] + node _T_4782 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62] + node _T_4783 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 551:89] + node _T_4784 = and(_T_4782, _T_4783) @[lsu_bus_buffer.scala 551:73] + node _T_4785 = and(_T_4784, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93] + node _T_4786 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62] + node _T_4787 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 551:89] + node _T_4788 = and(_T_4786, _T_4787) @[lsu_bus_buffer.scala 551:73] + node _T_4789 = and(_T_4788, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93] + node _T_4790 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 551:62] + node _T_4791 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 551:89] + node _T_4792 = and(_T_4790, _T_4791) @[lsu_bus_buffer.scala 551:73] + node _T_4793 = and(_T_4792, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:93] + node _T_4794 = or(_T_4781, _T_4785) @[lsu_bus_buffer.scala 551:153] + node _T_4795 = or(_T_4794, _T_4789) @[lsu_bus_buffer.scala 551:153] + node _T_4796 = or(_T_4795, _T_4793) @[lsu_bus_buffer.scala 551:153] + node _T_4797 = and(obuf_valid, obuf_sideeffect) @[lsu_bus_buffer.scala 551:171] + node _T_4798 = and(_T_4797, io.tlu_busbuff.dec_tlu_sideeffect_posted_disable) @[lsu_bus_buffer.scala 551:189] + node _T_4799 = or(_T_4796, _T_4798) @[lsu_bus_buffer.scala 551:157] + bus_sideeffect_pend <= _T_4799 @[lsu_bus_buffer.scala 551:23] + node _T_4800 = eq(buf_state[0], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71] + node _T_4801 = and(UInt<1>("h00"), obuf_valid) @[lsu_bus_buffer.scala 553:25] + node _T_4802 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50] + node _T_4803 = bits(buf_addr[0], 31, 3) @[lsu_bus_buffer.scala 553:70] + node _T_4804 = eq(_T_4802, _T_4803) @[lsu_bus_buffer.scala 553:56] + node _T_4805 = and(_T_4801, _T_4804) @[lsu_bus_buffer.scala 553:38] + node _T_4806 = eq(obuf_tag0, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:92] + node _T_4807 = eq(obuf_tag1, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:126] + node _T_4808 = and(obuf_merge, _T_4807) @[lsu_bus_buffer.scala 553:114] + node _T_4809 = or(_T_4806, _T_4808) @[lsu_bus_buffer.scala 553:100] + node _T_4810 = eq(_T_4809, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80] + node _T_4811 = and(_T_4805, _T_4810) @[lsu_bus_buffer.scala 553:78] + node _T_4812 = eq(buf_state[1], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71] + node _T_4813 = and(UInt<1>("h00"), obuf_valid) @[lsu_bus_buffer.scala 553:25] + node _T_4814 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50] + node _T_4815 = bits(buf_addr[1], 31, 3) @[lsu_bus_buffer.scala 553:70] + node _T_4816 = eq(_T_4814, _T_4815) @[lsu_bus_buffer.scala 553:56] + node _T_4817 = and(_T_4813, _T_4816) @[lsu_bus_buffer.scala 553:38] + node _T_4818 = eq(obuf_tag0, UInt<1>("h01")) @[lsu_bus_buffer.scala 553:92] + node _T_4819 = eq(obuf_tag1, UInt<1>("h01")) @[lsu_bus_buffer.scala 553:126] + node _T_4820 = and(obuf_merge, _T_4819) @[lsu_bus_buffer.scala 553:114] + node _T_4821 = or(_T_4818, _T_4820) @[lsu_bus_buffer.scala 553:100] + node _T_4822 = eq(_T_4821, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80] + node _T_4823 = and(_T_4817, _T_4822) @[lsu_bus_buffer.scala 553:78] + node _T_4824 = eq(buf_state[2], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71] + node _T_4825 = and(UInt<1>("h00"), obuf_valid) @[lsu_bus_buffer.scala 553:25] + node _T_4826 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50] + node _T_4827 = bits(buf_addr[2], 31, 3) @[lsu_bus_buffer.scala 553:70] + node _T_4828 = eq(_T_4826, _T_4827) @[lsu_bus_buffer.scala 553:56] + node _T_4829 = and(_T_4825, _T_4828) @[lsu_bus_buffer.scala 553:38] + node _T_4830 = eq(obuf_tag0, UInt<2>("h02")) @[lsu_bus_buffer.scala 553:92] + node _T_4831 = eq(obuf_tag1, UInt<2>("h02")) @[lsu_bus_buffer.scala 553:126] + node _T_4832 = and(obuf_merge, _T_4831) @[lsu_bus_buffer.scala 553:114] + node _T_4833 = or(_T_4830, _T_4832) @[lsu_bus_buffer.scala 553:100] + node _T_4834 = eq(_T_4833, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80] + node _T_4835 = and(_T_4829, _T_4834) @[lsu_bus_buffer.scala 553:78] + node _T_4836 = eq(buf_state[3], UInt<3>("h03")) @[lsu_bus_buffer.scala 552:71] + node _T_4837 = and(UInt<1>("h00"), obuf_valid) @[lsu_bus_buffer.scala 553:25] + node _T_4838 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 553:50] + node _T_4839 = bits(buf_addr[3], 31, 3) @[lsu_bus_buffer.scala 553:70] + node _T_4840 = eq(_T_4838, _T_4839) @[lsu_bus_buffer.scala 553:56] + node _T_4841 = and(_T_4837, _T_4840) @[lsu_bus_buffer.scala 553:38] + node _T_4842 = eq(obuf_tag0, UInt<2>("h03")) @[lsu_bus_buffer.scala 553:92] + node _T_4843 = eq(obuf_tag1, UInt<2>("h03")) @[lsu_bus_buffer.scala 553:126] + node _T_4844 = and(obuf_merge, _T_4843) @[lsu_bus_buffer.scala 553:114] + node _T_4845 = or(_T_4842, _T_4844) @[lsu_bus_buffer.scala 553:100] + node _T_4846 = eq(_T_4845, UInt<1>("h00")) @[lsu_bus_buffer.scala 553:80] + node _T_4847 = and(_T_4841, _T_4846) @[lsu_bus_buffer.scala 553:78] + node _T_4848 = mux(_T_4800, _T_4811, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4849 = mux(_T_4812, _T_4823, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4850 = mux(_T_4824, _T_4835, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4851 = mux(_T_4836, _T_4847, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4852 = or(_T_4848, _T_4849) @[Mux.scala 27:72] + node _T_4853 = or(_T_4852, _T_4850) @[Mux.scala 27:72] + node _T_4854 = or(_T_4853, _T_4851) @[Mux.scala 27:72] + wire _T_4855 : UInt<1> @[Mux.scala 27:72] + _T_4855 <= _T_4854 @[Mux.scala 27:72] + bus_addr_match_pending <= _T_4855 @[lsu_bus_buffer.scala 552:26] + node _T_4856 = or(obuf_cmd_done, obuf_data_done) @[lsu_bus_buffer.scala 555:54] + node _T_4857 = mux(obuf_cmd_done, io.lsu_axi.w.ready, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 555:75] + node _T_4858 = and(io.lsu_axi.aw.ready, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 555:153] + node _T_4859 = mux(_T_4856, _T_4857, _T_4858) @[lsu_bus_buffer.scala 555:39] + node _T_4860 = mux(obuf_write, _T_4859, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 555:23] + bus_cmd_ready <= _T_4860 @[lsu_bus_buffer.scala 555:17] + node _T_4861 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 556:40] + bus_wcmd_sent <= _T_4861 @[lsu_bus_buffer.scala 556:17] + node _T_4862 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 557:40] + bus_wdata_sent <= _T_4862 @[lsu_bus_buffer.scala 557:18] + node _T_4863 = or(obuf_cmd_done, bus_wcmd_sent) @[lsu_bus_buffer.scala 558:35] + node _T_4864 = or(obuf_data_done, bus_wdata_sent) @[lsu_bus_buffer.scala 558:70] + node _T_4865 = and(_T_4863, _T_4864) @[lsu_bus_buffer.scala 558:52] + node _T_4866 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 558:112] + node _T_4867 = or(_T_4865, _T_4866) @[lsu_bus_buffer.scala 558:89] + bus_cmd_sent <= _T_4867 @[lsu_bus_buffer.scala 558:16] + node _T_4868 = and(io.lsu_axi.r.valid, io.lsu_axi.r.ready) @[lsu_bus_buffer.scala 559:38] + bus_rsp_read <= _T_4868 @[lsu_bus_buffer.scala 559:16] + node _T_4869 = and(io.lsu_axi.b.valid, io.lsu_axi.b.ready) @[lsu_bus_buffer.scala 560:39] + bus_rsp_write <= _T_4869 @[lsu_bus_buffer.scala 560:17] + bus_rsp_read_tag <= io.lsu_axi.r.bits.id @[lsu_bus_buffer.scala 561:20] + bus_rsp_write_tag <= io.lsu_axi.b.bits.id @[lsu_bus_buffer.scala 562:21] + node _T_4870 = neq(io.lsu_axi.b.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 563:66] + node _T_4871 = and(bus_rsp_write, _T_4870) @[lsu_bus_buffer.scala 563:40] + bus_rsp_write_error <= _T_4871 @[lsu_bus_buffer.scala 563:23] + node _T_4872 = neq(io.lsu_axi.r.bits.resp, UInt<1>("h00")) @[lsu_bus_buffer.scala 564:64] + node _T_4873 = and(bus_rsp_read, _T_4872) @[lsu_bus_buffer.scala 564:38] + bus_rsp_read_error <= _T_4873 @[lsu_bus_buffer.scala 564:22] + bus_rsp_rdata <= io.lsu_axi.r.bits.data @[lsu_bus_buffer.scala 565:17] + node _T_4874 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 568:37] + node _T_4875 = eq(obuf_cmd_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 568:52] + node _T_4876 = and(_T_4874, _T_4875) @[lsu_bus_buffer.scala 568:50] + node _T_4877 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 568:69] + node _T_4878 = and(_T_4876, _T_4877) @[lsu_bus_buffer.scala 568:67] + io.lsu_axi.aw.valid <= _T_4878 @[lsu_bus_buffer.scala 568:23] + io.lsu_axi.aw.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 569:25] + node _T_4879 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 570:75] + node _T_4880 = cat(_T_4879, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4881 = mux(obuf_sideeffect, obuf_addr, _T_4880) @[lsu_bus_buffer.scala 570:33] + io.lsu_axi.aw.bits.addr <= _T_4881 @[lsu_bus_buffer.scala 570:27] + node _T_4882 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4883 = mux(obuf_sideeffect, _T_4882, UInt<3>("h03")) @[lsu_bus_buffer.scala 571:33] + io.lsu_axi.aw.bits.size <= _T_4883 @[lsu_bus_buffer.scala 571:27] + io.lsu_axi.aw.bits.prot <= UInt<1>("h00") @[lsu_bus_buffer.scala 572:27] + node _T_4884 = mux(obuf_sideeffect, UInt<1>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 573:34] + io.lsu_axi.aw.bits.cache <= _T_4884 @[lsu_bus_buffer.scala 573:28] + node _T_4885 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 574:41] + io.lsu_axi.aw.bits.region <= _T_4885 @[lsu_bus_buffer.scala 574:29] + io.lsu_axi.aw.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 575:26] + io.lsu_axi.aw.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 576:28] + io.lsu_axi.aw.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 577:26] + io.lsu_axi.aw.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 578:27] + node _T_4886 = and(obuf_valid, obuf_write) @[lsu_bus_buffer.scala 580:36] + node _T_4887 = eq(obuf_data_done, UInt<1>("h00")) @[lsu_bus_buffer.scala 580:51] + node _T_4888 = and(_T_4886, _T_4887) @[lsu_bus_buffer.scala 580:49] + node _T_4889 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 580:69] + node _T_4890 = and(_T_4888, _T_4889) @[lsu_bus_buffer.scala 580:67] + io.lsu_axi.w.valid <= _T_4890 @[lsu_bus_buffer.scala 580:22] + node _T_4891 = bits(obuf_write, 0, 0) @[Bitwise.scala 72:15] + node _T_4892 = mux(_T_4891, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_4893 = and(obuf_byteen, _T_4892) @[lsu_bus_buffer.scala 581:41] + io.lsu_axi.w.bits.strb <= _T_4893 @[lsu_bus_buffer.scala 581:26] + io.lsu_axi.w.bits.data <= obuf_data @[lsu_bus_buffer.scala 582:26] + io.lsu_axi.w.bits.last <= UInt<1>("h01") @[lsu_bus_buffer.scala 583:26] + node _T_4894 = eq(obuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 585:39] + node _T_4895 = and(obuf_valid, _T_4894) @[lsu_bus_buffer.scala 585:37] + node _T_4896 = eq(obuf_nosend, UInt<1>("h00")) @[lsu_bus_buffer.scala 585:53] + node _T_4897 = and(_T_4895, _T_4896) @[lsu_bus_buffer.scala 585:51] + node _T_4898 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 585:68] + node _T_4899 = and(_T_4897, _T_4898) @[lsu_bus_buffer.scala 585:66] + io.lsu_axi.ar.valid <= _T_4899 @[lsu_bus_buffer.scala 585:23] + io.lsu_axi.ar.bits.id <= obuf_tag0 @[lsu_bus_buffer.scala 586:25] + node _T_4900 = bits(obuf_addr, 31, 3) @[lsu_bus_buffer.scala 587:75] + node _T_4901 = cat(_T_4900, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_4902 = mux(obuf_sideeffect, obuf_addr, _T_4901) @[lsu_bus_buffer.scala 587:33] + io.lsu_axi.ar.bits.addr <= _T_4902 @[lsu_bus_buffer.scala 587:27] + node _T_4903 = cat(UInt<1>("h00"), obuf_sz) @[Cat.scala 29:58] + node _T_4904 = mux(obuf_sideeffect, _T_4903, UInt<3>("h03")) @[lsu_bus_buffer.scala 588:33] + io.lsu_axi.ar.bits.size <= _T_4904 @[lsu_bus_buffer.scala 588:27] + io.lsu_axi.ar.bits.prot <= UInt<1>("h00") @[lsu_bus_buffer.scala 589:27] + node _T_4905 = mux(obuf_sideeffect, UInt<4>("h00"), UInt<4>("h0f")) @[lsu_bus_buffer.scala 590:34] + io.lsu_axi.ar.bits.cache <= _T_4905 @[lsu_bus_buffer.scala 590:28] + node _T_4906 = bits(obuf_addr, 31, 28) @[lsu_bus_buffer.scala 591:41] + io.lsu_axi.ar.bits.region <= _T_4906 @[lsu_bus_buffer.scala 591:29] + io.lsu_axi.ar.bits.len <= UInt<1>("h00") @[lsu_bus_buffer.scala 592:26] + io.lsu_axi.ar.bits.burst <= UInt<2>("h01") @[lsu_bus_buffer.scala 593:28] + io.lsu_axi.ar.bits.qos <= UInt<1>("h00") @[lsu_bus_buffer.scala 594:26] + io.lsu_axi.ar.bits.lock <= UInt<1>("h00") @[lsu_bus_buffer.scala 595:27] + io.lsu_axi.b.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 596:22] + io.lsu_axi.r.ready <= UInt<1>("h01") @[lsu_bus_buffer.scala 597:22] + node _T_4907 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93] + node _T_4908 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 598:137] + node _T_4909 = and(io.lsu_bus_clk_en_q, _T_4908) @[lsu_bus_buffer.scala 598:126] + node _T_4910 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 598:152] + node _T_4911 = and(_T_4909, _T_4910) @[lsu_bus_buffer.scala 598:141] + node _T_4912 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93] + node _T_4913 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 598:137] + node _T_4914 = and(io.lsu_bus_clk_en_q, _T_4913) @[lsu_bus_buffer.scala 598:126] + node _T_4915 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 598:152] + node _T_4916 = and(_T_4914, _T_4915) @[lsu_bus_buffer.scala 598:141] + node _T_4917 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93] + node _T_4918 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 598:137] + node _T_4919 = and(io.lsu_bus_clk_en_q, _T_4918) @[lsu_bus_buffer.scala 598:126] + node _T_4920 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 598:152] + node _T_4921 = and(_T_4919, _T_4920) @[lsu_bus_buffer.scala 598:141] + node _T_4922 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 598:93] + node _T_4923 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 598:137] + node _T_4924 = and(io.lsu_bus_clk_en_q, _T_4923) @[lsu_bus_buffer.scala 598:126] + node _T_4925 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 598:152] + node _T_4926 = and(_T_4924, _T_4925) @[lsu_bus_buffer.scala 598:141] + node _T_4927 = mux(_T_4907, _T_4911, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4928 = mux(_T_4912, _T_4916, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4929 = mux(_T_4917, _T_4921, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4930 = mux(_T_4922, _T_4926, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4931 = or(_T_4927, _T_4928) @[Mux.scala 27:72] + node _T_4932 = or(_T_4931, _T_4929) @[Mux.scala 27:72] + node _T_4933 = or(_T_4932, _T_4930) @[Mux.scala 27:72] + wire _T_4934 : UInt<1> @[Mux.scala 27:72] + _T_4934 <= _T_4933 @[Mux.scala 27:72] + io.tlu_busbuff.lsu_imprecise_error_store_any <= _T_4934 @[lsu_bus_buffer.scala 598:48] + node _T_4935 = eq(buf_state[0], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82] + node _T_4936 = bits(buf_error, 0, 0) @[lsu_bus_buffer.scala 599:104] + node _T_4937 = and(_T_4935, _T_4936) @[lsu_bus_buffer.scala 599:93] + node _T_4938 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 599:119] + node _T_4939 = and(_T_4937, _T_4938) @[lsu_bus_buffer.scala 599:108] + node _T_4940 = eq(buf_state[1], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82] + node _T_4941 = bits(buf_error, 1, 1) @[lsu_bus_buffer.scala 599:104] + node _T_4942 = and(_T_4940, _T_4941) @[lsu_bus_buffer.scala 599:93] + node _T_4943 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 599:119] + node _T_4944 = and(_T_4942, _T_4943) @[lsu_bus_buffer.scala 599:108] + node _T_4945 = eq(buf_state[2], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82] + node _T_4946 = bits(buf_error, 2, 2) @[lsu_bus_buffer.scala 599:104] + node _T_4947 = and(_T_4945, _T_4946) @[lsu_bus_buffer.scala 599:93] + node _T_4948 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 599:119] + node _T_4949 = and(_T_4947, _T_4948) @[lsu_bus_buffer.scala 599:108] + node _T_4950 = eq(buf_state[3], UInt<3>("h06")) @[lsu_bus_buffer.scala 599:82] + node _T_4951 = bits(buf_error, 3, 3) @[lsu_bus_buffer.scala 599:104] + node _T_4952 = and(_T_4950, _T_4951) @[lsu_bus_buffer.scala 599:93] + node _T_4953 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 599:119] + node _T_4954 = and(_T_4952, _T_4953) @[lsu_bus_buffer.scala 599:108] + node _T_4955 = mux(_T_4939, UInt<1>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4956 = mux(_T_4944, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4957 = mux(_T_4949, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4958 = mux(_T_4954, UInt<2>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_4959 = or(_T_4955, _T_4956) @[Mux.scala 27:72] + node _T_4960 = or(_T_4959, _T_4957) @[Mux.scala 27:72] + node _T_4961 = or(_T_4960, _T_4958) @[Mux.scala 27:72] + wire lsu_imprecise_error_store_tag : UInt<2> @[Mux.scala 27:72] + lsu_imprecise_error_store_tag <= _T_4961 @[Mux.scala 27:72] + node _T_4962 = eq(io.tlu_busbuff.lsu_imprecise_error_store_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 601:97] + node _T_4963 = and(io.dctl_busbuff.lsu_nonblock_load_data_error, _T_4962) @[lsu_bus_buffer.scala 601:95] + io.tlu_busbuff.lsu_imprecise_error_load_any <= _T_4963 @[lsu_bus_buffer.scala 601:47] + node _T_4964 = mux(io.tlu_busbuff.lsu_imprecise_error_store_any, buf_addr[lsu_imprecise_error_store_tag], buf_addr[io.dctl_busbuff.lsu_nonblock_load_data_tag]) @[lsu_bus_buffer.scala 602:53] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= _T_4964 @[lsu_bus_buffer.scala 602:47] + lsu_bus_cntr_overflow <= UInt<1>("h00") @[lsu_bus_buffer.scala 603:25] + io.lsu_bus_idle_any <= UInt<1>("h01") @[lsu_bus_buffer.scala 605:23] + node _T_4965 = and(io.lsu_axi.aw.valid, io.lsu_axi.aw.ready) @[lsu_bus_buffer.scala 608:59] + node _T_4966 = and(io.lsu_axi.w.valid, io.lsu_axi.w.ready) @[lsu_bus_buffer.scala 608:104] + node _T_4967 = or(_T_4965, _T_4966) @[lsu_bus_buffer.scala 608:82] + node _T_4968 = and(io.lsu_axi.ar.valid, io.lsu_axi.ar.ready) @[lsu_bus_buffer.scala 608:149] + node _T_4969 = or(_T_4967, _T_4968) @[lsu_bus_buffer.scala 608:126] + io.tlu_busbuff.lsu_pmu_bus_trxn <= _T_4969 @[lsu_bus_buffer.scala 608:35] + node _T_4970 = and(io.lsu_busreq_r, io.ldst_dual_r) @[lsu_bus_buffer.scala 609:60] + node _T_4971 = and(_T_4970, io.lsu_commit_r) @[lsu_bus_buffer.scala 609:77] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= _T_4971 @[lsu_bus_buffer.scala 609:41] + node _T_4972 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[lsu_bus_buffer.scala 610:83] + io.tlu_busbuff.lsu_pmu_bus_error <= _T_4972 @[lsu_bus_buffer.scala 610:36] + node _T_4973 = eq(io.lsu_axi.aw.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 612:61] + node _T_4974 = and(io.lsu_axi.aw.valid, _T_4973) @[lsu_bus_buffer.scala 612:59] + node _T_4975 = eq(io.lsu_axi.w.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 612:107] + node _T_4976 = and(io.lsu_axi.w.valid, _T_4975) @[lsu_bus_buffer.scala 612:105] + node _T_4977 = or(_T_4974, _T_4976) @[lsu_bus_buffer.scala 612:83] + node _T_4978 = eq(io.lsu_axi.ar.ready, UInt<1>("h00")) @[lsu_bus_buffer.scala 612:153] + node _T_4979 = and(io.lsu_axi.ar.valid, _T_4978) @[lsu_bus_buffer.scala 612:151] + node _T_4980 = or(_T_4977, _T_4979) @[lsu_bus_buffer.scala 612:128] + io.tlu_busbuff.lsu_pmu_bus_busy <= _T_4980 @[lsu_bus_buffer.scala 612:35] + reg _T_4981 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 614:49] + _T_4981 <= WrPtr0_m @[lsu_bus_buffer.scala 614:49] + WrPtr0_r <= _T_4981 @[lsu_bus_buffer.scala 614:12] + reg _T_4982 : UInt, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 615:49] + _T_4982 <= WrPtr1_m @[lsu_bus_buffer.scala 615:49] + WrPtr1_r <= _T_4982 @[lsu_bus_buffer.scala 615:12] + node _T_4983 = eq(io.flush_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:75] + node _T_4984 = and(io.lsu_busreq_m, _T_4983) @[lsu_bus_buffer.scala 616:73] + node _T_4985 = eq(io.ld_full_hit_m, UInt<1>("h00")) @[lsu_bus_buffer.scala 616:89] + node _T_4986 = and(_T_4984, _T_4985) @[lsu_bus_buffer.scala 616:87] + reg _T_4987 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 616:56] + _T_4987 <= _T_4986 @[lsu_bus_buffer.scala 616:56] + io.lsu_busreq_r <= _T_4987 @[lsu_bus_buffer.scala 616:19] + reg _T_4988 : UInt<1>, io.lsu_c2_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 617:66] + _T_4988 <= io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_buffer.scala 617:66] + lsu_nonblock_load_valid_r <= _T_4988 @[lsu_bus_buffer.scala 617:29] + diff --git a/lsu_bus_buffer.v b/lsu_bus_buffer.v new file mode 100644 index 00000000..c27ee5f6 --- /dev/null +++ b/lsu_bus_buffer.v @@ -0,0 +1,4586 @@ +module rvclkhdr( + output io_l1clk, + input io_clk, + input io_en, + input io_scan_mode +); + wire clkhdr_Q; // @[lib.scala 334:26] + wire clkhdr_CK; // @[lib.scala 334:26] + wire clkhdr_EN; // @[lib.scala 334:26] + wire clkhdr_SE; // @[lib.scala 334:26] + gated_latch clkhdr ( // @[lib.scala 334:26] + .Q(clkhdr_Q), + .CK(clkhdr_CK), + .EN(clkhdr_EN), + .SE(clkhdr_SE) + ); + assign io_l1clk = clkhdr_Q; // @[lib.scala 335:14] + assign clkhdr_CK = io_clk; // @[lib.scala 336:18] + assign clkhdr_EN = io_en; // @[lib.scala 337:18] + assign clkhdr_SE = io_scan_mode; // @[lib.scala 338:18] +endmodule +module lsu_bus_buffer( + input clock, + input reset, + input io_scan_mode, + output io_tlu_busbuff_lsu_pmu_bus_trxn, + output io_tlu_busbuff_lsu_pmu_bus_misaligned, + output io_tlu_busbuff_lsu_pmu_bus_error, + output io_tlu_busbuff_lsu_pmu_bus_busy, + input io_tlu_busbuff_dec_tlu_external_ldfwd_disable, + input io_tlu_busbuff_dec_tlu_wb_coalescing_disable, + input io_tlu_busbuff_dec_tlu_sideeffect_posted_disable, + output io_tlu_busbuff_lsu_imprecise_error_load_any, + output io_tlu_busbuff_lsu_imprecise_error_store_any, + output [31:0] io_tlu_busbuff_lsu_imprecise_error_addr_any, + output io_dctl_busbuff_lsu_nonblock_load_valid_m, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_tag_m, + output io_dctl_busbuff_lsu_nonblock_load_inv_r, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_inv_tag_r, + output io_dctl_busbuff_lsu_nonblock_load_data_valid, + output io_dctl_busbuff_lsu_nonblock_load_data_error, + output [1:0] io_dctl_busbuff_lsu_nonblock_load_data_tag, + output [31:0] io_dctl_busbuff_lsu_nonblock_load_data, + input io_dec_tlu_force_halt, + input io_lsu_c2_r_clk, + input io_lsu_bus_ibuf_c1_clk, + input io_lsu_bus_obuf_c1_clk, + input io_lsu_bus_buf_c1_clk, + input io_lsu_free_c2_clk, + input io_lsu_busm_clk, + input io_dec_lsu_valid_raw_d, + input io_lsu_pkt_m_valid, + input io_lsu_pkt_m_bits_fast_int, + input io_lsu_pkt_m_bits_by, + input io_lsu_pkt_m_bits_half, + input io_lsu_pkt_m_bits_word, + input io_lsu_pkt_m_bits_dword, + input io_lsu_pkt_m_bits_load, + input io_lsu_pkt_m_bits_store, + input io_lsu_pkt_m_bits_unsign, + input io_lsu_pkt_m_bits_dma, + input io_lsu_pkt_m_bits_store_data_bypass_d, + input io_lsu_pkt_m_bits_load_ldst_bypass_d, + input io_lsu_pkt_m_bits_store_data_bypass_m, + input io_lsu_pkt_r_valid, + input io_lsu_pkt_r_bits_fast_int, + input io_lsu_pkt_r_bits_by, + input io_lsu_pkt_r_bits_half, + input io_lsu_pkt_r_bits_word, + input io_lsu_pkt_r_bits_dword, + input io_lsu_pkt_r_bits_load, + input io_lsu_pkt_r_bits_store, + input io_lsu_pkt_r_bits_unsign, + input io_lsu_pkt_r_bits_dma, + input io_lsu_pkt_r_bits_store_data_bypass_d, + input io_lsu_pkt_r_bits_load_ldst_bypass_d, + input io_lsu_pkt_r_bits_store_data_bypass_m, + input [31:0] io_lsu_addr_m, + input [31:0] io_end_addr_m, + input [31:0] io_lsu_addr_r, + input [31:0] io_end_addr_r, + input [31:0] io_store_data_r, + input io_no_word_merge_r, + input io_no_dword_merge_r, + input io_lsu_busreq_m, + input io_ld_full_hit_m, + input io_flush_m_up, + input io_flush_r, + input io_lsu_commit_r, + input io_is_sideeffects_r, + input io_ldst_dual_d, + input io_ldst_dual_m, + input io_ldst_dual_r, + input [7:0] io_ldst_byteen_ext_m, + input io_lsu_axi_aw_ready, + output io_lsu_axi_aw_valid, + output [2:0] io_lsu_axi_aw_bits_id, + output [31:0] io_lsu_axi_aw_bits_addr, + output [3:0] io_lsu_axi_aw_bits_region, + output [7:0] io_lsu_axi_aw_bits_len, + output [2:0] io_lsu_axi_aw_bits_size, + output [1:0] io_lsu_axi_aw_bits_burst, + output io_lsu_axi_aw_bits_lock, + output [3:0] io_lsu_axi_aw_bits_cache, + output [2:0] io_lsu_axi_aw_bits_prot, + output [3:0] io_lsu_axi_aw_bits_qos, + input io_lsu_axi_w_ready, + output io_lsu_axi_w_valid, + output [63:0] io_lsu_axi_w_bits_data, + output [7:0] io_lsu_axi_w_bits_strb, + output io_lsu_axi_w_bits_last, + output io_lsu_axi_b_ready, + input io_lsu_axi_b_valid, + input [1:0] io_lsu_axi_b_bits_resp, + input [2:0] io_lsu_axi_b_bits_id, + input io_lsu_axi_ar_ready, + output io_lsu_axi_ar_valid, + output [2:0] io_lsu_axi_ar_bits_id, + output [31:0] io_lsu_axi_ar_bits_addr, + output [3:0] io_lsu_axi_ar_bits_region, + output [7:0] io_lsu_axi_ar_bits_len, + output [2:0] io_lsu_axi_ar_bits_size, + output [1:0] io_lsu_axi_ar_bits_burst, + output io_lsu_axi_ar_bits_lock, + output [3:0] io_lsu_axi_ar_bits_cache, + output [2:0] io_lsu_axi_ar_bits_prot, + output [3:0] io_lsu_axi_ar_bits_qos, + output io_lsu_axi_r_ready, + input io_lsu_axi_r_valid, + input [2:0] io_lsu_axi_r_bits_id, + input [63:0] io_lsu_axi_r_bits_data, + input [1:0] io_lsu_axi_r_bits_resp, + input io_lsu_axi_r_bits_last, + input io_lsu_bus_clk_en, + input io_lsu_bus_clk_en_q, + output io_lsu_busreq_r, + output io_lsu_bus_buffer_pend_any, + output io_lsu_bus_buffer_full_any, + output io_lsu_bus_buffer_empty_any, + output io_lsu_bus_idle_any, + output [3:0] io_ld_byte_hit_buf_lo, + output [3:0] io_ld_byte_hit_buf_hi, + output [31:0] io_ld_fwddata_buf_lo, + output [31:0] io_ld_fwddata_buf_hi +); +`ifdef RANDOMIZE_REG_INIT + reg [31:0] _RAND_0; + reg [31:0] _RAND_1; + reg [31:0] _RAND_2; + reg [31:0] _RAND_3; + reg [31:0] _RAND_4; + reg [31:0] _RAND_5; + reg [31:0] _RAND_6; + reg [31:0] _RAND_7; + reg [31:0] _RAND_8; + reg [31:0] _RAND_9; + reg [31:0] _RAND_10; + reg [31:0] _RAND_11; + reg [31:0] _RAND_12; + reg [31:0] _RAND_13; + reg [31:0] _RAND_14; + reg [31:0] _RAND_15; + reg [31:0] _RAND_16; + reg [31:0] _RAND_17; + reg [31:0] _RAND_18; + reg [31:0] _RAND_19; + reg [31:0] _RAND_20; + reg [31:0] _RAND_21; + reg [31:0] _RAND_22; + reg [31:0] _RAND_23; + reg [31:0] _RAND_24; + reg [31:0] _RAND_25; + reg [31:0] _RAND_26; + reg [31:0] _RAND_27; + reg [31:0] _RAND_28; + reg [31:0] _RAND_29; + reg [31:0] _RAND_30; + reg [31:0] _RAND_31; + reg [31:0] _RAND_32; + reg [31:0] _RAND_33; + reg [31:0] _RAND_34; + reg [31:0] _RAND_35; + reg [31:0] _RAND_36; + reg [31:0] _RAND_37; + reg [31:0] _RAND_38; + reg [31:0] _RAND_39; + reg [31:0] _RAND_40; + reg [31:0] _RAND_41; + reg [31:0] _RAND_42; + reg [31:0] _RAND_43; + reg [31:0] _RAND_44; + reg [31:0] _RAND_45; + reg [31:0] _RAND_46; + reg [31:0] _RAND_47; + reg [31:0] _RAND_48; + reg [31:0] _RAND_49; + reg [31:0] _RAND_50; + reg [31:0] _RAND_51; + reg [31:0] _RAND_52; + reg [31:0] _RAND_53; + reg [31:0] _RAND_54; + reg [31:0] _RAND_55; + reg [31:0] _RAND_56; + reg [31:0] _RAND_57; + reg [31:0] _RAND_58; + reg [31:0] _RAND_59; + reg [31:0] _RAND_60; + reg [31:0] _RAND_61; + reg [31:0] _RAND_62; + reg [31:0] _RAND_63; + reg [31:0] _RAND_64; + reg [31:0] _RAND_65; + reg [31:0] _RAND_66; + reg [31:0] _RAND_67; + reg [31:0] _RAND_68; + reg [31:0] _RAND_69; + reg [31:0] _RAND_70; + reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; + reg [31:0] _RAND_74; + reg [31:0] _RAND_75; + reg [31:0] _RAND_76; + reg [31:0] _RAND_77; + reg [31:0] _RAND_78; + reg [31:0] _RAND_79; + reg [63:0] _RAND_80; + reg [31:0] _RAND_81; + reg [31:0] _RAND_82; + reg [31:0] _RAND_83; + reg [31:0] _RAND_84; + reg [31:0] _RAND_85; + reg [31:0] _RAND_86; + reg [31:0] _RAND_87; + reg [31:0] _RAND_88; + reg [31:0] _RAND_89; + reg [31:0] _RAND_90; + reg [31:0] _RAND_91; + reg [31:0] _RAND_92; + reg [31:0] _RAND_93; + reg [31:0] _RAND_94; + reg [31:0] _RAND_95; + reg [31:0] _RAND_96; + reg [31:0] _RAND_97; + reg [31:0] _RAND_98; + reg [31:0] _RAND_99; + reg [31:0] _RAND_100; + reg [31:0] _RAND_101; + reg [31:0] _RAND_102; + reg [31:0] _RAND_103; + reg [31:0] _RAND_104; + reg [31:0] _RAND_105; + reg [31:0] _RAND_106; +`endif // RANDOMIZE_REG_INIT + wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_io_en; // @[lib.scala 368:23] + wire rvclkhdr_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_1_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_1_io_en; // @[lib.scala 368:23] + wire rvclkhdr_1_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_2_io_en; // @[lib.scala 368:23] + wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_3_io_en; // @[lib.scala 368:23] + wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_4_io_en; // @[lib.scala 368:23] + wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_5_io_en; // @[lib.scala 368:23] + wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_6_io_en; // @[lib.scala 368:23] + wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_7_io_en; // @[lib.scala 368:23] + wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_8_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_8_io_en; // @[lib.scala 368:23] + wire rvclkhdr_8_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_9_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_9_io_en; // @[lib.scala 368:23] + wire rvclkhdr_9_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_10_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_10_io_en; // @[lib.scala 368:23] + wire rvclkhdr_10_io_scan_mode; // @[lib.scala 368:23] + wire rvclkhdr_11_io_l1clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_clk; // @[lib.scala 368:23] + wire rvclkhdr_11_io_en; // @[lib.scala 368:23] + wire rvclkhdr_11_io_scan_mode; // @[lib.scala 368:23] + wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[lsu_bus_buffer.scala 73:46] + wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[lsu_bus_buffer.scala 74:46] + reg [31:0] buf_addr_0; // @[lib.scala 374:16] + wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 76:74] + reg _T_4360; // @[Reg.scala 27:20] + reg _T_4357; // @[Reg.scala 27:20] + reg _T_4354; // @[Reg.scala 27:20] + reg _T_4351; // @[Reg.scala 27:20] + wire [3:0] buf_write = {_T_4360,_T_4357,_T_4354,_T_4351}; // @[Cat.scala 29:58] + wire _T_4 = _T_2 & buf_write[0]; // @[lsu_bus_buffer.scala 76:98] + reg [2:0] buf_state_0; // @[Reg.scala 27:20] + wire _T_5 = buf_state_0 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_6 = _T_4 & _T_5; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + reg [31:0] buf_addr_1; // @[lib.scala 374:16] + wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_11 = _T_9 & buf_write[1]; // @[lsu_bus_buffer.scala 76:98] + reg [2:0] buf_state_1; // @[Reg.scala 27:20] + wire _T_12 = buf_state_1 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_13 = _T_11 & _T_12; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + reg [31:0] buf_addr_2; // @[lib.scala 374:16] + wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_18 = _T_16 & buf_write[2]; // @[lsu_bus_buffer.scala 76:98] + reg [2:0] buf_state_2; // @[Reg.scala 27:20] + wire _T_19 = buf_state_2 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_20 = _T_18 & _T_19; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + reg [31:0] buf_addr_3; // @[lib.scala 374:16] + wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_25 = _T_23 & buf_write[3]; // @[lsu_bus_buffer.scala 76:98] + reg [2:0] buf_state_3; // @[Reg.scala 27:20] + wire _T_26 = buf_state_3 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_27 = _T_25 & _T_26; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_32 = _T_30 & buf_write[0]; // @[lsu_bus_buffer.scala 77:98] + wire _T_34 = _T_32 & _T_5; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_39 = _T_37 & buf_write[1]; // @[lsu_bus_buffer.scala 77:98] + wire _T_41 = _T_39 & _T_12; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_46 = _T_44 & buf_write[2]; // @[lsu_bus_buffer.scala 77:98] + wire _T_48 = _T_46 & _T_19; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_53 = _T_51 & buf_write[3]; // @[lsu_bus_buffer.scala 77:98] + wire _T_55 = _T_53 & _T_26; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + reg [3:0] buf_byteen_3; // @[Reg.scala 27:20] + wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + reg [3:0] buf_byteen_2; // @[Reg.scala 27:20] + wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + reg [3:0] buf_byteen_1; // @[Reg.scala 27:20] + wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + reg [3:0] buf_byteen_0; // @[Reg.scala 27:20] + wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] + wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] + reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 499:60] + wire _T_2621 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 411:93] + wire _T_4107 = 3'h0 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4130 = 3'h1 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4134 = 3'h2 == buf_state_3; // @[Conditional.scala 37:30] + reg [1:0] _T_1848; // @[Reg.scala 27:20] + wire [2:0] obuf_tag0 = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 351:13] + wire _T_4141 = obuf_tag0 == 3'h3; // @[lsu_bus_buffer.scala 454:48] + reg obuf_merge; // @[Reg.scala 27:20] + reg [1:0] obuf_tag1; // @[Reg.scala 27:20] + wire [2:0] _GEN_358 = {{1'd0}, obuf_tag1}; // @[lsu_bus_buffer.scala 454:104] + wire _T_4142 = _GEN_358 == 3'h3; // @[lsu_bus_buffer.scala 454:104] + wire _T_4143 = obuf_merge & _T_4142; // @[lsu_bus_buffer.scala 454:91] + wire _T_4144 = _T_4141 | _T_4143; // @[lsu_bus_buffer.scala 454:77] + reg obuf_valid; // @[lsu_bus_buffer.scala 345:54] + wire _T_4145 = _T_4144 & obuf_valid; // @[lsu_bus_buffer.scala 454:135] + reg obuf_wr_enQ; // @[lsu_bus_buffer.scala 344:55] + wire _T_4146 = _T_4145 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 454:148] + wire _GEN_280 = _T_4134 & _T_4146; // @[Conditional.scala 39:67] + wire _GEN_293 = _T_4130 ? 1'h0 : _GEN_280; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_3 = _T_4107 ? 1'h0 : _GEN_293; // @[Conditional.scala 40:58] + wire _T_2622 = _T_2621 & buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 411:103] + wire _T_2623 = ~_T_2622; // @[lsu_bus_buffer.scala 411:78] + wire _T_2624 = buf_ageQ_3[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] + wire _T_2616 = buf_state_2 == 3'h2; // @[lsu_bus_buffer.scala 411:93] + wire _T_3914 = 3'h0 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3937 = 3'h1 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3941 = 3'h2 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_3948 = obuf_tag0 == 3'h2; // @[lsu_bus_buffer.scala 454:48] + wire _T_3949 = _GEN_358 == 3'h2; // @[lsu_bus_buffer.scala 454:104] + wire _T_3950 = obuf_merge & _T_3949; // @[lsu_bus_buffer.scala 454:91] + wire _T_3951 = _T_3948 | _T_3950; // @[lsu_bus_buffer.scala 454:77] + wire _T_3952 = _T_3951 & obuf_valid; // @[lsu_bus_buffer.scala 454:135] + wire _T_3953 = _T_3952 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 454:148] + wire _GEN_204 = _T_3941 & _T_3953; // @[Conditional.scala 39:67] + wire _GEN_217 = _T_3937 ? 1'h0 : _GEN_204; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_2 = _T_3914 ? 1'h0 : _GEN_217; // @[Conditional.scala 40:58] + wire _T_2617 = _T_2616 & buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 411:103] + wire _T_2618 = ~_T_2617; // @[lsu_bus_buffer.scala 411:78] + wire _T_2619 = buf_ageQ_3[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] + wire _T_2611 = buf_state_1 == 3'h2; // @[lsu_bus_buffer.scala 411:93] + wire _T_3721 = 3'h0 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3744 = 3'h1 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3748 = 3'h2 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3755 = obuf_tag0 == 3'h1; // @[lsu_bus_buffer.scala 454:48] + wire _T_3756 = _GEN_358 == 3'h1; // @[lsu_bus_buffer.scala 454:104] + wire _T_3757 = obuf_merge & _T_3756; // @[lsu_bus_buffer.scala 454:91] + wire _T_3758 = _T_3755 | _T_3757; // @[lsu_bus_buffer.scala 454:77] + wire _T_3759 = _T_3758 & obuf_valid; // @[lsu_bus_buffer.scala 454:135] + wire _T_3760 = _T_3759 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 454:148] + wire _GEN_128 = _T_3748 & _T_3760; // @[Conditional.scala 39:67] + wire _GEN_141 = _T_3744 ? 1'h0 : _GEN_128; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_141; // @[Conditional.scala 40:58] + wire _T_2612 = _T_2611 & buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 411:103] + wire _T_2613 = ~_T_2612; // @[lsu_bus_buffer.scala 411:78] + wire _T_2614 = buf_ageQ_3[1] & _T_2613; // @[lsu_bus_buffer.scala 411:76] + wire _T_2606 = buf_state_0 == 3'h2; // @[lsu_bus_buffer.scala 411:93] + wire _T_3528 = 3'h0 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3551 = 3'h1 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3555 = 3'h2 == buf_state_0; // @[Conditional.scala 37:30] + wire _T_3562 = obuf_tag0 == 3'h0; // @[lsu_bus_buffer.scala 454:48] + wire _T_3563 = _GEN_358 == 3'h0; // @[lsu_bus_buffer.scala 454:104] + wire _T_3564 = obuf_merge & _T_3563; // @[lsu_bus_buffer.scala 454:91] + wire _T_3565 = _T_3562 | _T_3564; // @[lsu_bus_buffer.scala 454:77] + wire _T_3566 = _T_3565 & obuf_valid; // @[lsu_bus_buffer.scala 454:135] + wire _T_3567 = _T_3566 & obuf_wr_enQ; // @[lsu_bus_buffer.scala 454:148] + wire _GEN_52 = _T_3555 & _T_3567; // @[Conditional.scala 39:67] + wire _GEN_65 = _T_3551 ? 1'h0 : _GEN_52; // @[Conditional.scala 39:67] + wire buf_cmd_state_bus_en_0 = _T_3528 ? 1'h0 : _GEN_65; // @[Conditional.scala 40:58] + wire _T_2607 = _T_2606 & buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 411:103] + wire _T_2608 = ~_T_2607; // @[lsu_bus_buffer.scala 411:78] + wire _T_2609 = buf_ageQ_3[0] & _T_2608; // @[lsu_bus_buffer.scala 411:76] + wire [3:0] buf_age_3 = {_T_2624,_T_2619,_T_2614,_T_2609}; // @[Cat.scala 29:58] + wire _T_2723 = ~buf_age_3[2]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2725 = _T_2723 & _T_19; // @[lsu_bus_buffer.scala 412:104] + wire _T_2717 = ~buf_age_3[1]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2719 = _T_2717 & _T_12; // @[lsu_bus_buffer.scala 412:104] + wire _T_2711 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2713 = _T_2711 & _T_5; // @[lsu_bus_buffer.scala 412:104] + wire [3:0] buf_age_younger_3 = {1'h0,_T_2725,_T_2719,_T_2713}; // @[Cat.scala 29:58] + wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_256 = |_T_255; // @[lsu_bus_buffer.scala 146:144] + wire _T_257 = ~_T_256; // @[lsu_bus_buffer.scala 146:99] + wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[lsu_bus_buffer.scala 146:97] + reg [31:0] ibuf_addr; // @[lib.scala 374:16] + wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 152:51] + reg ibuf_write; // @[Reg.scala 27:20] + wire _T_513 = _T_512 & ibuf_write; // @[lsu_bus_buffer.scala 152:73] + reg ibuf_valid; // @[lsu_bus_buffer.scala 239:54] + wire _T_514 = _T_513 & ibuf_valid; // @[lsu_bus_buffer.scala 152:86] + wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 152:99] + wire [3:0] _T_521 = ld_addr_ibuf_hit_lo ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] + wire [3:0] _T_522 = _T_521 & ibuf_byteen; // @[lsu_bus_buffer.scala 157:55] + wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[lsu_bus_buffer.scala 157:69] + wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 146:150] + wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 146:148] + reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 499:60] + wire _T_2601 = buf_ageQ_2[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] + wire _T_2596 = buf_ageQ_2[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] + wire _T_2591 = buf_ageQ_2[1] & _T_2613; // @[lsu_bus_buffer.scala 411:76] + wire _T_2586 = buf_ageQ_2[0] & _T_2608; // @[lsu_bus_buffer.scala 411:76] + wire [3:0] buf_age_2 = {_T_2601,_T_2596,_T_2591,_T_2586}; // @[Cat.scala 29:58] + wire _T_2702 = ~buf_age_2[3]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2704 = _T_2702 & _T_26; // @[lsu_bus_buffer.scala 412:104] + wire _T_2690 = ~buf_age_2[1]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2692 = _T_2690 & _T_12; // @[lsu_bus_buffer.scala 412:104] + wire _T_2684 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2686 = _T_2684 & _T_5; // @[lsu_bus_buffer.scala 412:104] + wire [3:0] buf_age_younger_2 = {_T_2704,1'h0,_T_2692,_T_2686}; // @[Cat.scala 29:58] + wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_248 = |_T_247; // @[lsu_bus_buffer.scala 146:144] + wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 146:99] + wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 146:97] + wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 146:148] + reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 499:60] + wire _T_2578 = buf_ageQ_1[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] + wire _T_2573 = buf_ageQ_1[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] + wire _T_2568 = buf_ageQ_1[1] & _T_2613; // @[lsu_bus_buffer.scala 411:76] + wire _T_2563 = buf_ageQ_1[0] & _T_2608; // @[lsu_bus_buffer.scala 411:76] + wire [3:0] buf_age_1 = {_T_2578,_T_2573,_T_2568,_T_2563}; // @[Cat.scala 29:58] + wire _T_2675 = ~buf_age_1[3]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2677 = _T_2675 & _T_26; // @[lsu_bus_buffer.scala 412:104] + wire _T_2669 = ~buf_age_1[2]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2671 = _T_2669 & _T_19; // @[lsu_bus_buffer.scala 412:104] + wire _T_2657 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2659 = _T_2657 & _T_5; // @[lsu_bus_buffer.scala 412:104] + wire [3:0] buf_age_younger_1 = {_T_2677,_T_2671,1'h0,_T_2659}; // @[Cat.scala 29:58] + wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_240 = |_T_239; // @[lsu_bus_buffer.scala 146:144] + wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 146:99] + wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 146:97] + wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 146:148] + reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 499:60] + wire _T_2555 = buf_ageQ_0[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] + wire _T_2550 = buf_ageQ_0[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] + wire _T_2545 = buf_ageQ_0[1] & _T_2613; // @[lsu_bus_buffer.scala 411:76] + wire _T_2540 = buf_ageQ_0[0] & _T_2608; // @[lsu_bus_buffer.scala 411:76] + wire [3:0] buf_age_0 = {_T_2555,_T_2550,_T_2545,_T_2540}; // @[Cat.scala 29:58] + wire _T_2648 = ~buf_age_0[3]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2650 = _T_2648 & _T_26; // @[lsu_bus_buffer.scala 412:104] + wire _T_2642 = ~buf_age_0[2]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2644 = _T_2642 & _T_19; // @[lsu_bus_buffer.scala 412:104] + wire _T_2636 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 412:89] + wire _T_2638 = _T_2636 & _T_12; // @[lsu_bus_buffer.scala 412:104] + wire [3:0] buf_age_younger_0 = {_T_2650,_T_2644,_T_2638,1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_232 = |_T_231; // @[lsu_bus_buffer.scala 146:144] + wire _T_233 = ~_T_232; // @[lsu_bus_buffer.scala 146:99] + wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[lsu_bus_buffer.scala 146:97] + wire _T_237 = _T_234 & _T_260; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] ld_byte_hitvecfn_lo_0 = {_T_261,_T_253,_T_245,_T_237}; // @[Cat.scala 29:58] + wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[lsu_bus_buffer.scala 138:73] + wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 138:77] + wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire [3:0] ld_byte_hitvec_lo_1 = {_T_119,_T_115,_T_111,_T_107}; // @[Cat.scala 29:58] + wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_291 = |_T_290; // @[lsu_bus_buffer.scala 146:144] + wire _T_292 = ~_T_291; // @[lsu_bus_buffer.scala 146:99] + wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[lsu_bus_buffer.scala 146:97] + wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 146:150] + wire _T_296 = _T_293 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_283 = |_T_282; // @[lsu_bus_buffer.scala 146:144] + wire _T_284 = ~_T_283; // @[lsu_bus_buffer.scala 146:99] + wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[lsu_bus_buffer.scala 146:97] + wire _T_288 = _T_285 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_275 = |_T_274; // @[lsu_bus_buffer.scala 146:144] + wire _T_276 = ~_T_275; // @[lsu_bus_buffer.scala 146:99] + wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[lsu_bus_buffer.scala 146:97] + wire _T_280 = _T_277 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_267 = |_T_266; // @[lsu_bus_buffer.scala 146:144] + wire _T_268 = ~_T_267; // @[lsu_bus_buffer.scala 146:99] + wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[lsu_bus_buffer.scala 146:97] + wire _T_272 = _T_269 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] ld_byte_hitvecfn_lo_1 = {_T_296,_T_288,_T_280,_T_272}; // @[Cat.scala 29:58] + wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[lsu_bus_buffer.scala 138:73] + wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 138:77] + wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire [3:0] ld_byte_hitvec_lo_2 = {_T_137,_T_133,_T_129,_T_125}; // @[Cat.scala 29:58] + wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_326 = |_T_325; // @[lsu_bus_buffer.scala 146:144] + wire _T_327 = ~_T_326; // @[lsu_bus_buffer.scala 146:99] + wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[lsu_bus_buffer.scala 146:97] + wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 146:150] + wire _T_331 = _T_328 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_318 = |_T_317; // @[lsu_bus_buffer.scala 146:144] + wire _T_319 = ~_T_318; // @[lsu_bus_buffer.scala 146:99] + wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[lsu_bus_buffer.scala 146:97] + wire _T_323 = _T_320 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_310 = |_T_309; // @[lsu_bus_buffer.scala 146:144] + wire _T_311 = ~_T_310; // @[lsu_bus_buffer.scala 146:99] + wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[lsu_bus_buffer.scala 146:97] + wire _T_315 = _T_312 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_302 = |_T_301; // @[lsu_bus_buffer.scala 146:144] + wire _T_303 = ~_T_302; // @[lsu_bus_buffer.scala 146:99] + wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[lsu_bus_buffer.scala 146:97] + wire _T_307 = _T_304 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] ld_byte_hitvecfn_lo_2 = {_T_331,_T_323,_T_315,_T_307}; // @[Cat.scala 29:58] + wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[lsu_bus_buffer.scala 138:73] + wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 138:77] + wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire [3:0] ld_byte_hitvec_lo_3 = {_T_155,_T_151,_T_147,_T_143}; // @[Cat.scala 29:58] + wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_361 = |_T_360; // @[lsu_bus_buffer.scala 146:144] + wire _T_362 = ~_T_361; // @[lsu_bus_buffer.scala 146:99] + wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[lsu_bus_buffer.scala 146:97] + wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 146:150] + wire _T_366 = _T_363 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_353 = |_T_352; // @[lsu_bus_buffer.scala 146:144] + wire _T_354 = ~_T_353; // @[lsu_bus_buffer.scala 146:99] + wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[lsu_bus_buffer.scala 146:97] + wire _T_358 = _T_355 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_345 = |_T_344; // @[lsu_bus_buffer.scala 146:144] + wire _T_346 = ~_T_345; // @[lsu_bus_buffer.scala 146:99] + wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[lsu_bus_buffer.scala 146:97] + wire _T_350 = _T_347 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_337 = |_T_336; // @[lsu_bus_buffer.scala 146:144] + wire _T_338 = ~_T_337; // @[lsu_bus_buffer.scala 146:99] + wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[lsu_bus_buffer.scala 146:97] + wire _T_342 = _T_339 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] ld_byte_hitvecfn_lo_3 = {_T_366,_T_358,_T_350,_T_342}; // @[Cat.scala 29:58] + wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[lsu_bus_buffer.scala 138:73] + wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 138:77] + wire [2:0] _T_69 = {_T_67,_T_64,_T_61}; // @[Cat.scala 29:58] + wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire [3:0] ld_byte_hitvec_hi_0 = {_T_173,_T_169,_T_165,_T_161}; // @[Cat.scala 29:58] + wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_396 = |_T_395; // @[lsu_bus_buffer.scala 147:144] + wire _T_397 = ~_T_396; // @[lsu_bus_buffer.scala 147:99] + wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[lsu_bus_buffer.scala 147:97] + wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 153:51] + wire _T_518 = _T_517 & ibuf_write; // @[lsu_bus_buffer.scala 153:73] + wire _T_519 = _T_518 & ibuf_valid; // @[lsu_bus_buffer.scala 153:86] + wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 153:99] + wire [3:0] _T_525 = ld_addr_ibuf_hit_hi ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_526 = _T_525 & ibuf_byteen; // @[lsu_bus_buffer.scala 158:55] + wire [3:0] ld_byte_ibuf_hit_hi = _T_526 & ldst_byteen_hi_m; // @[lsu_bus_buffer.scala 158:69] + wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 147:150] + wire _T_401 = _T_398 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_388 = |_T_387; // @[lsu_bus_buffer.scala 147:144] + wire _T_389 = ~_T_388; // @[lsu_bus_buffer.scala 147:99] + wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[lsu_bus_buffer.scala 147:97] + wire _T_393 = _T_390 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_380 = |_T_379; // @[lsu_bus_buffer.scala 147:144] + wire _T_381 = ~_T_380; // @[lsu_bus_buffer.scala 147:99] + wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[lsu_bus_buffer.scala 147:97] + wire _T_385 = _T_382 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_372 = |_T_371; // @[lsu_bus_buffer.scala 147:144] + wire _T_373 = ~_T_372; // @[lsu_bus_buffer.scala 147:99] + wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[lsu_bus_buffer.scala 147:97] + wire _T_377 = _T_374 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] ld_byte_hitvecfn_hi_0 = {_T_401,_T_393,_T_385,_T_377}; // @[Cat.scala 29:58] + wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[lsu_bus_buffer.scala 139:73] + wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 139:77] + wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire [3:0] ld_byte_hitvec_hi_1 = {_T_191,_T_187,_T_183,_T_179}; // @[Cat.scala 29:58] + wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_431 = |_T_430; // @[lsu_bus_buffer.scala 147:144] + wire _T_432 = ~_T_431; // @[lsu_bus_buffer.scala 147:99] + wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[lsu_bus_buffer.scala 147:97] + wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 147:150] + wire _T_436 = _T_433 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_423 = |_T_422; // @[lsu_bus_buffer.scala 147:144] + wire _T_424 = ~_T_423; // @[lsu_bus_buffer.scala 147:99] + wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[lsu_bus_buffer.scala 147:97] + wire _T_428 = _T_425 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_415 = |_T_414; // @[lsu_bus_buffer.scala 147:144] + wire _T_416 = ~_T_415; // @[lsu_bus_buffer.scala 147:99] + wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[lsu_bus_buffer.scala 147:97] + wire _T_420 = _T_417 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_407 = |_T_406; // @[lsu_bus_buffer.scala 147:144] + wire _T_408 = ~_T_407; // @[lsu_bus_buffer.scala 147:99] + wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[lsu_bus_buffer.scala 147:97] + wire _T_412 = _T_409 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] ld_byte_hitvecfn_hi_1 = {_T_436,_T_428,_T_420,_T_412}; // @[Cat.scala 29:58] + wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[lsu_bus_buffer.scala 139:73] + wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 139:77] + wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire [3:0] ld_byte_hitvec_hi_2 = {_T_209,_T_205,_T_201,_T_197}; // @[Cat.scala 29:58] + wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_466 = |_T_465; // @[lsu_bus_buffer.scala 147:144] + wire _T_467 = ~_T_466; // @[lsu_bus_buffer.scala 147:99] + wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[lsu_bus_buffer.scala 147:97] + wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 147:150] + wire _T_471 = _T_468 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_458 = |_T_457; // @[lsu_bus_buffer.scala 147:144] + wire _T_459 = ~_T_458; // @[lsu_bus_buffer.scala 147:99] + wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[lsu_bus_buffer.scala 147:97] + wire _T_463 = _T_460 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_450 = |_T_449; // @[lsu_bus_buffer.scala 147:144] + wire _T_451 = ~_T_450; // @[lsu_bus_buffer.scala 147:99] + wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[lsu_bus_buffer.scala 147:97] + wire _T_455 = _T_452 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_442 = |_T_441; // @[lsu_bus_buffer.scala 147:144] + wire _T_443 = ~_T_442; // @[lsu_bus_buffer.scala 147:99] + wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[lsu_bus_buffer.scala 147:97] + wire _T_447 = _T_444 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] ld_byte_hitvecfn_hi_2 = {_T_471,_T_463,_T_455,_T_447}; // @[Cat.scala 29:58] + wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[lsu_bus_buffer.scala 139:73] + wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 139:77] + wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire [3:0] ld_byte_hitvec_hi_3 = {_T_227,_T_223,_T_219,_T_215}; // @[Cat.scala 29:58] + wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_501 = |_T_500; // @[lsu_bus_buffer.scala 147:144] + wire _T_502 = ~_T_501; // @[lsu_bus_buffer.scala 147:99] + wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[lsu_bus_buffer.scala 147:97] + wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 147:150] + wire _T_506 = _T_503 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_493 = |_T_492; // @[lsu_bus_buffer.scala 147:144] + wire _T_494 = ~_T_493; // @[lsu_bus_buffer.scala 147:99] + wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[lsu_bus_buffer.scala 147:97] + wire _T_498 = _T_495 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_485 = |_T_484; // @[lsu_bus_buffer.scala 147:144] + wire _T_486 = ~_T_485; // @[lsu_bus_buffer.scala 147:99] + wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[lsu_bus_buffer.scala 147:97] + wire _T_490 = _T_487 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_477 = |_T_476; // @[lsu_bus_buffer.scala 147:144] + wire _T_478 = ~_T_477; // @[lsu_bus_buffer.scala 147:99] + wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[lsu_bus_buffer.scala 147:97] + wire _T_482 = _T_479 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] ld_byte_hitvecfn_hi_3 = {_T_506,_T_498,_T_490,_T_482}; // @[Cat.scala 29:58] + wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[lsu_bus_buffer.scala 139:73] + wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 139:77] + wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] + wire [7:0] _T_530 = ld_byte_ibuf_hit_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_533 = ld_byte_ibuf_hit_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_536 = ld_byte_ibuf_hit_lo[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_539 = ld_byte_ibuf_hit_lo[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] ld_fwddata_buf_lo_initial = {_T_539,_T_536,_T_533,_T_530}; // @[Cat.scala 29:58] + wire [7:0] _T_544 = ld_byte_ibuf_hit_hi[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_547 = ld_byte_ibuf_hit_hi[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_550 = ld_byte_ibuf_hit_hi[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_553 = ld_byte_ibuf_hit_hi[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [31:0] ld_fwddata_buf_hi_initial = {_T_553,_T_550,_T_547,_T_544}; // @[Cat.scala 29:58] + wire [7:0] _T_558 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_0; // @[lib.scala 374:16] + wire [7:0] _T_560 = _T_558 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_563 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_1; // @[lib.scala 374:16] + wire [7:0] _T_565 = _T_563 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_568 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_2; // @[lib.scala 374:16] + wire [7:0] _T_570 = _T_568 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_573 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + reg [31:0] buf_data_3; // @[lib.scala 374:16] + wire [7:0] _T_575 = _T_573 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_576 = _T_560 | _T_565; // @[lsu_bus_buffer.scala 165:123] + wire [7:0] _T_577 = _T_576 | _T_570; // @[lsu_bus_buffer.scala 165:123] + wire [7:0] _T_578 = _T_577 | _T_575; // @[lsu_bus_buffer.scala 165:123] + wire [7:0] _T_581 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_583 = _T_581 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_586 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_588 = _T_586 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_591 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_593 = _T_591 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_596 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_598 = _T_596 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_599 = _T_583 | _T_588; // @[lsu_bus_buffer.scala 166:97] + wire [7:0] _T_600 = _T_599 | _T_593; // @[lsu_bus_buffer.scala 166:97] + wire [7:0] _T_601 = _T_600 | _T_598; // @[lsu_bus_buffer.scala 166:97] + wire [7:0] _T_604 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_606 = _T_604 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_609 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_611 = _T_609 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_614 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_616 = _T_614 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_619 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_621 = _T_619 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_622 = _T_606 | _T_611; // @[lsu_bus_buffer.scala 167:97] + wire [7:0] _T_623 = _T_622 | _T_616; // @[lsu_bus_buffer.scala 167:97] + wire [7:0] _T_624 = _T_623 | _T_621; // @[lsu_bus_buffer.scala 167:97] + wire [7:0] _T_627 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_629 = _T_627 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_632 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_634 = _T_632 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_637 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_639 = _T_637 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_642 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_644 = _T_642 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_645 = _T_629 | _T_634; // @[lsu_bus_buffer.scala 168:97] + wire [7:0] _T_646 = _T_645 | _T_639; // @[lsu_bus_buffer.scala 168:97] + wire [7:0] _T_647 = _T_646 | _T_644; // @[lsu_bus_buffer.scala 168:97] + wire [31:0] _T_650 = {_T_578,_T_601,_T_624,_T_647}; // @[Cat.scala 29:58] + reg [31:0] ibuf_data; // @[lib.scala 374:16] + wire [31:0] _T_651 = ld_fwddata_buf_lo_initial & ibuf_data; // @[lsu_bus_buffer.scala 169:32] + wire [7:0] _T_655 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_657 = _T_655 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_660 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_662 = _T_660 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_665 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_667 = _T_665 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_670 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_672 = _T_670 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_673 = _T_657 | _T_662; // @[lsu_bus_buffer.scala 171:123] + wire [7:0] _T_674 = _T_673 | _T_667; // @[lsu_bus_buffer.scala 171:123] + wire [7:0] _T_675 = _T_674 | _T_672; // @[lsu_bus_buffer.scala 171:123] + wire [7:0] _T_678 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_680 = _T_678 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_683 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_685 = _T_683 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_688 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_690 = _T_688 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_693 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_695 = _T_693 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_696 = _T_680 | _T_685; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_697 = _T_696 | _T_690; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_698 = _T_697 | _T_695; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_701 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_703 = _T_701 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_706 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_708 = _T_706 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_711 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_713 = _T_711 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_716 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_718 = _T_716 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_719 = _T_703 | _T_708; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_720 = _T_719 | _T_713; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_721 = _T_720 | _T_718; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_724 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_726 = _T_724 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_729 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_731 = _T_729 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_734 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_736 = _T_734 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_739 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [7:0] _T_741 = _T_739 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_742 = _T_726 | _T_731; // @[lsu_bus_buffer.scala 174:97] + wire [7:0] _T_743 = _T_742 | _T_736; // @[lsu_bus_buffer.scala 174:97] + wire [7:0] _T_744 = _T_743 | _T_741; // @[lsu_bus_buffer.scala 174:97] + wire [31:0] _T_747 = {_T_675,_T_698,_T_721,_T_744}; // @[Cat.scala 29:58] + wire [31:0] _T_748 = ld_fwddata_buf_hi_initial & ibuf_data; // @[lsu_bus_buffer.scala 175:32] + wire [3:0] _T_750 = io_lsu_pkt_r_bits_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_751 = io_lsu_pkt_r_bits_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_752 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_753 = _T_750 | _T_751; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_r = _T_753 | _T_752; // @[Mux.scala 27:72] + wire _T_756 = io_lsu_addr_r[1:0] == 2'h0; // @[lsu_bus_buffer.scala 182:55] + wire _T_758 = io_lsu_addr_r[1:0] == 2'h1; // @[lsu_bus_buffer.scala 183:24] + wire [3:0] _T_760 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] + wire _T_762 = io_lsu_addr_r[1:0] == 2'h2; // @[lsu_bus_buffer.scala 184:24] + wire [3:0] _T_764 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] + wire _T_766 = io_lsu_addr_r[1:0] == 2'h3; // @[lsu_bus_buffer.scala 185:24] + wire [3:0] _T_768 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] + wire [3:0] _T_770 = _T_758 ? _T_760 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_771 = _T_762 ? _T_764 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_772 = _T_766 ? _T_768 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_774 = _T_770 | _T_771; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_hi_r = _T_774 | _T_772; // @[Mux.scala 27:72] + wire [3:0] _T_781 = {ldst_byteen_r[2:0],1'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_785 = {ldst_byteen_r[1:0],2'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_789 = {ldst_byteen_r[0],3'h0}; // @[Cat.scala 29:58] + wire [3:0] _T_790 = _T_756 ? ldst_byteen_r : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_791 = _T_758 ? _T_781 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_792 = _T_762 ? _T_785 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_793 = _T_766 ? _T_789 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_794 = _T_790 | _T_791; // @[Mux.scala 27:72] + wire [3:0] _T_795 = _T_794 | _T_792; // @[Mux.scala 27:72] + wire [3:0] ldst_byteen_lo_r = _T_795 | _T_793; // @[Mux.scala 27:72] + wire [31:0] _T_802 = {24'h0,io_store_data_r[31:24]}; // @[Cat.scala 29:58] + wire [31:0] _T_806 = {16'h0,io_store_data_r[31:16]}; // @[Cat.scala 29:58] + wire [31:0] _T_810 = {8'h0,io_store_data_r[31:8]}; // @[Cat.scala 29:58] + wire [31:0] _T_812 = _T_758 ? _T_802 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_813 = _T_762 ? _T_806 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_814 = _T_766 ? _T_810 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_816 = _T_812 | _T_813; // @[Mux.scala 27:72] + wire [31:0] store_data_hi_r = _T_816 | _T_814; // @[Mux.scala 27:72] + wire [31:0] _T_823 = {io_store_data_r[23:0],8'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_827 = {io_store_data_r[15:0],16'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_831 = {io_store_data_r[7:0],24'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_832 = _T_756 ? io_store_data_r : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_833 = _T_758 ? _T_823 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_834 = _T_762 ? _T_827 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_835 = _T_766 ? _T_831 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_836 = _T_832 | _T_833; // @[Mux.scala 27:72] + wire [31:0] _T_837 = _T_836 | _T_834; // @[Mux.scala 27:72] + wire [31:0] store_data_lo_r = _T_837 | _T_835; // @[Mux.scala 27:72] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[lsu_bus_buffer.scala 202:40] + wire _T_844 = ~io_lsu_addr_r[0]; // @[lsu_bus_buffer.scala 204:31] + wire _T_845 = io_lsu_pkt_r_bits_word & _T_756; // @[Mux.scala 27:72] + wire _T_846 = io_lsu_pkt_r_bits_half & _T_844; // @[Mux.scala 27:72] + wire _T_848 = _T_845 | _T_846; // @[Mux.scala 27:72] + wire is_aligned_r = _T_848 | io_lsu_pkt_r_bits_by; // @[Mux.scala 27:72] + wire _T_850 = io_lsu_pkt_r_bits_load | io_no_word_merge_r; // @[lsu_bus_buffer.scala 206:60] + wire _T_851 = io_lsu_busreq_r & _T_850; // @[lsu_bus_buffer.scala 206:34] + wire _T_852 = ~ibuf_valid; // @[lsu_bus_buffer.scala 206:84] + wire ibuf_byp = _T_851 & _T_852; // @[lsu_bus_buffer.scala 206:82] + wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[lsu_bus_buffer.scala 207:36] + wire _T_854 = ~ibuf_byp; // @[lsu_bus_buffer.scala 207:56] + wire ibuf_wr_en = _T_853 & _T_854; // @[lsu_bus_buffer.scala 207:54] + wire _T_855 = ~ibuf_wr_en; // @[lsu_bus_buffer.scala 209:36] + reg [2:0] ibuf_timer; // @[lsu_bus_buffer.scala 252:55] + wire _T_864 = ibuf_timer == 3'h7; // @[lsu_bus_buffer.scala 215:62] + wire _T_865 = ibuf_wr_en | _T_864; // @[lsu_bus_buffer.scala 215:48] + wire _T_929 = _T_853 & io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 234:54] + wire _T_930 = _T_929 & ibuf_valid; // @[lsu_bus_buffer.scala 234:80] + wire _T_931 = _T_930 & ibuf_write; // @[lsu_bus_buffer.scala 234:93] + wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 234:129] + wire _T_935 = _T_931 & _T_934; // @[lsu_bus_buffer.scala 234:106] + wire _T_936 = ~io_is_sideeffects_r; // @[lsu_bus_buffer.scala 234:152] + wire _T_937 = _T_935 & _T_936; // @[lsu_bus_buffer.scala 234:150] + wire _T_938 = ~io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 234:175] + wire ibuf_merge_en = _T_937 & _T_938; // @[lsu_bus_buffer.scala 234:173] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[lsu_bus_buffer.scala 235:20] + wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[lsu_bus_buffer.scala 215:98] + wire _T_867 = ~_T_866; // @[lsu_bus_buffer.scala 215:82] + wire _T_868 = _T_865 & _T_867; // @[lsu_bus_buffer.scala 215:80] + wire _T_869 = _T_868 | ibuf_byp; // @[lsu_bus_buffer.scala 216:5] + wire _T_857 = ~io_lsu_busreq_r; // @[lsu_bus_buffer.scala 210:44] + wire _T_858 = io_lsu_busreq_m & _T_857; // @[lsu_bus_buffer.scala 210:42] + wire _T_859 = _T_858 & ibuf_valid; // @[lsu_bus_buffer.scala 210:61] + wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[lsu_bus_buffer.scala 210:120] + wire _T_863 = io_lsu_pkt_m_bits_load | _T_862; // @[lsu_bus_buffer.scala 210:100] + wire ibuf_force_drain = _T_859 & _T_863; // @[lsu_bus_buffer.scala 210:74] + wire _T_870 = _T_869 | ibuf_force_drain; // @[lsu_bus_buffer.scala 216:16] + reg ibuf_sideeffect; // @[Reg.scala 27:20] + wire _T_871 = _T_870 | ibuf_sideeffect; // @[lsu_bus_buffer.scala 216:35] + wire _T_872 = ~ibuf_write; // @[lsu_bus_buffer.scala 216:55] + wire _T_873 = _T_871 | _T_872; // @[lsu_bus_buffer.scala 216:53] + wire _T_874 = _T_873 | io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 216:67] + wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 215:32] + wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 209:34] + wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 209:49] + reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 615:49] + reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 614:49] + reg [1:0] ibuf_tag; // @[Reg.scala 27:20] + wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_bits_word,io_lsu_pkt_r_bits_half}; // @[Cat.scala 29:58] + wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 225:77] + wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 230:8] + wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[lsu_bus_buffer.scala 229:46] + wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 230:8] + wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[lsu_bus_buffer.scala 229:46] + wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 230:8] + wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[lsu_bus_buffer.scala 229:46] + wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 230:8] + wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[lsu_bus_buffer.scala 229:46] + wire [23:0] _T_922 = {_T_920,_T_911,_T_902}; // @[Cat.scala 29:58] + wire _T_923 = ibuf_timer < 3'h7; // @[lsu_bus_buffer.scala 232:59] + wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[lsu_bus_buffer.scala 232:93] + wire _T_941 = ~ibuf_merge_in; // @[lsu_bus_buffer.scala 236:65] + wire _T_942 = ibuf_merge_en & _T_941; // @[lsu_bus_buffer.scala 236:63] + wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[lsu_bus_buffer.scala 236:96] + wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[lsu_bus_buffer.scala 236:48] + wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[lsu_bus_buffer.scala 236:96] + wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[lsu_bus_buffer.scala 236:48] + wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[lsu_bus_buffer.scala 236:96] + wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[lsu_bus_buffer.scala 236:48] + wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[lsu_bus_buffer.scala 236:96] + wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[lsu_bus_buffer.scala 236:48] + wire [3:0] ibuf_byteen_out = {_T_968,_T_961,_T_954,_T_947}; // @[Cat.scala 29:58] + wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 237:45] + wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 237:45] + wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 237:45] + wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 237:45] + wire [31:0] ibuf_data_out = {_T_1002,_T_994,_T_986,_T_978}; // @[Cat.scala 29:58] + wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[lsu_bus_buffer.scala 239:58] + wire _T_1006 = ~ibuf_rst; // @[lsu_bus_buffer.scala 239:93] + reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] + reg ibuf_dual; // @[Reg.scala 27:20] + reg ibuf_samedw; // @[Reg.scala 27:20] + reg ibuf_nomerge; // @[Reg.scala 27:20] + reg ibuf_unsign; // @[Reg.scala 27:20] + reg [1:0] ibuf_sz; // @[Reg.scala 27:20] + wire _T_4446 = buf_write[3] & _T_2621; // @[lsu_bus_buffer.scala 521:64] + wire _T_4447 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 521:91] + wire _T_4448 = _T_4446 & _T_4447; // @[lsu_bus_buffer.scala 521:89] + wire _T_4441 = buf_write[2] & _T_2616; // @[lsu_bus_buffer.scala 521:64] + wire _T_4442 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 521:91] + wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 521:89] + wire [1:0] _T_4449 = _T_4448 + _T_4443; // @[lsu_bus_buffer.scala 521:142] + wire _T_4436 = buf_write[1] & _T_2611; // @[lsu_bus_buffer.scala 521:64] + wire _T_4437 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 521:91] + wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 521:89] + wire [1:0] _GEN_362 = {{1'd0}, _T_4438}; // @[lsu_bus_buffer.scala 521:142] + wire [2:0] _T_4450 = _T_4449 + _GEN_362; // @[lsu_bus_buffer.scala 521:142] + wire _T_4431 = buf_write[0] & _T_2606; // @[lsu_bus_buffer.scala 521:64] + wire _T_4432 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 521:91] + wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 521:89] + wire [2:0] _GEN_363 = {{2'd0}, _T_4433}; // @[lsu_bus_buffer.scala 521:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4450 + _GEN_363; // @[lsu_bus_buffer.scala 521:142] + wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 262:43] + wire _T_4463 = _T_2621 & _T_4447; // @[lsu_bus_buffer.scala 522:73] + wire _T_4460 = _T_2616 & _T_4442; // @[lsu_bus_buffer.scala 522:73] + wire [1:0] _T_4464 = _T_4463 + _T_4460; // @[lsu_bus_buffer.scala 522:126] + wire _T_4457 = _T_2611 & _T_4437; // @[lsu_bus_buffer.scala 522:73] + wire [1:0] _GEN_364 = {{1'd0}, _T_4457}; // @[lsu_bus_buffer.scala 522:126] + wire [2:0] _T_4465 = _T_4464 + _GEN_364; // @[lsu_bus_buffer.scala 522:126] + wire _T_4454 = _T_2606 & _T_4432; // @[lsu_bus_buffer.scala 522:73] + wire [2:0] _GEN_365 = {{2'd0}, _T_4454}; // @[lsu_bus_buffer.scala 522:126] + wire [3:0] buf_numvld_cmd_any = _T_4465 + _GEN_365; // @[lsu_bus_buffer.scala 522:126] + wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 262:72] + wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 262:51] + reg [2:0] obuf_wr_timer; // @[lsu_bus_buffer.scala 360:54] + wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 262:97] + wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 262:80] + wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 262:114] + wire _T_1979 = |buf_age_3; // @[lsu_bus_buffer.scala 377:58] + wire _T_1980 = ~_T_1979; // @[lsu_bus_buffer.scala 377:45] + wire _T_1982 = _T_1980 & _T_2621; // @[lsu_bus_buffer.scala 377:63] + wire _T_1984 = _T_1982 & _T_4447; // @[lsu_bus_buffer.scala 377:88] + wire _T_1973 = |buf_age_2; // @[lsu_bus_buffer.scala 377:58] + wire _T_1974 = ~_T_1973; // @[lsu_bus_buffer.scala 377:45] + wire _T_1976 = _T_1974 & _T_2616; // @[lsu_bus_buffer.scala 377:63] + wire _T_1978 = _T_1976 & _T_4442; // @[lsu_bus_buffer.scala 377:88] + wire _T_1967 = |buf_age_1; // @[lsu_bus_buffer.scala 377:58] + wire _T_1968 = ~_T_1967; // @[lsu_bus_buffer.scala 377:45] + wire _T_1970 = _T_1968 & _T_2611; // @[lsu_bus_buffer.scala 377:63] + wire _T_1972 = _T_1970 & _T_4437; // @[lsu_bus_buffer.scala 377:88] + wire _T_1961 = |buf_age_0; // @[lsu_bus_buffer.scala 377:58] + wire _T_1962 = ~_T_1961; // @[lsu_bus_buffer.scala 377:45] + wire _T_1964 = _T_1962 & _T_2606; // @[lsu_bus_buffer.scala 377:63] + wire _T_1966 = _T_1964 & _T_4432; // @[lsu_bus_buffer.scala 377:88] + wire [3:0] CmdPtr0Dec = {_T_1984,_T_1978,_T_1972,_T_1966}; // @[Cat.scala 29:58] + wire [7:0] _T_2054 = {4'h0,_T_1984,_T_1978,_T_1972,_T_1966}; // @[Cat.scala 29:58] + wire _T_2057 = _T_2054[4] | _T_2054[5]; // @[lsu_bus_buffer.scala 385:42] + wire _T_2059 = _T_2057 | _T_2054[6]; // @[lsu_bus_buffer.scala 385:48] + wire _T_2061 = _T_2059 | _T_2054[7]; // @[lsu_bus_buffer.scala 385:54] + wire _T_2064 = _T_2054[2] | _T_2054[3]; // @[lsu_bus_buffer.scala 385:67] + wire _T_2066 = _T_2064 | _T_2054[6]; // @[lsu_bus_buffer.scala 385:73] + wire _T_2068 = _T_2066 | _T_2054[7]; // @[lsu_bus_buffer.scala 385:79] + wire _T_2071 = _T_2054[1] | _T_2054[3]; // @[lsu_bus_buffer.scala 385:92] + wire _T_2073 = _T_2071 | _T_2054[5]; // @[lsu_bus_buffer.scala 385:98] + wire _T_2075 = _T_2073 | _T_2054[7]; // @[lsu_bus_buffer.scala 385:104] + wire [2:0] _T_2077 = {_T_2061,_T_2068,_T_2075}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr0 = _T_2077[1:0]; // @[lsu_bus_buffer.scala 390:11] + wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 263:114] + wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 263:114] + wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 263:114] + wire _T_1026 = CmdPtr0 == 2'h3; // @[lsu_bus_buffer.scala 263:114] + reg buf_nomerge_0; // @[Reg.scala 27:20] + wire _T_1027 = _T_1023 & buf_nomerge_0; // @[Mux.scala 27:72] + reg buf_nomerge_1; // @[Reg.scala 27:20] + wire _T_1028 = _T_1024 & buf_nomerge_1; // @[Mux.scala 27:72] + reg buf_nomerge_2; // @[Reg.scala 27:20] + wire _T_1029 = _T_1025 & buf_nomerge_2; // @[Mux.scala 27:72] + reg buf_nomerge_3; // @[Reg.scala 27:20] + wire _T_1030 = _T_1026 & buf_nomerge_3; // @[Mux.scala 27:72] + wire _T_1031 = _T_1027 | _T_1028; // @[Mux.scala 27:72] + wire _T_1032 = _T_1031 | _T_1029; // @[Mux.scala 27:72] + wire _T_1033 = _T_1032 | _T_1030; // @[Mux.scala 27:72] + wire _T_1035 = ~_T_1033; // @[lsu_bus_buffer.scala 263:31] + wire _T_1036 = _T_1022 & _T_1035; // @[lsu_bus_buffer.scala 263:29] + reg _T_4330; // @[Reg.scala 27:20] + reg _T_4327; // @[Reg.scala 27:20] + reg _T_4324; // @[Reg.scala 27:20] + reg _T_4321; // @[Reg.scala 27:20] + wire [3:0] buf_sideeffect = {_T_4330,_T_4327,_T_4324,_T_4321}; // @[Cat.scala 29:58] + wire _T_1045 = _T_1023 & buf_sideeffect[0]; // @[Mux.scala 27:72] + wire _T_1046 = _T_1024 & buf_sideeffect[1]; // @[Mux.scala 27:72] + wire _T_1047 = _T_1025 & buf_sideeffect[2]; // @[Mux.scala 27:72] + wire _T_1048 = _T_1026 & buf_sideeffect[3]; // @[Mux.scala 27:72] + wire _T_1049 = _T_1045 | _T_1046; // @[Mux.scala 27:72] + wire _T_1050 = _T_1049 | _T_1047; // @[Mux.scala 27:72] + wire _T_1051 = _T_1050 | _T_1048; // @[Mux.scala 27:72] + wire _T_1053 = ~_T_1051; // @[lsu_bus_buffer.scala 264:5] + wire _T_1054 = _T_1036 & _T_1053; // @[lsu_bus_buffer.scala 263:140] + wire _T_1065 = _T_858 & _T_852; // @[lsu_bus_buffer.scala 266:58] + wire _T_1067 = _T_1065 & _T_1017; // @[lsu_bus_buffer.scala 266:72] + wire [29:0] _T_1077 = _T_1023 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1078 = _T_1024 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1081 = _T_1077 | _T_1078; // @[Mux.scala 27:72] + wire [29:0] _T_1079 = _T_1025 ? buf_addr_2[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1082 = _T_1081 | _T_1079; // @[Mux.scala 27:72] + wire [29:0] _T_1080 = _T_1026 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] + wire [29:0] _T_1083 = _T_1082 | _T_1080; // @[Mux.scala 27:72] + wire _T_1085 = io_lsu_addr_m[31:2] != _T_1083; // @[lsu_bus_buffer.scala 266:123] + wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 266:101] + wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 264:119] + wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 264:117] + wire _T_1056 = |buf_numvld_cmd_any; // @[lsu_bus_buffer.scala 265:75] + wire _T_1057 = obuf_wr_timer < 3'h7; // @[lsu_bus_buffer.scala 265:95] + wire _T_1058 = _T_1056 & _T_1057; // @[lsu_bus_buffer.scala 265:79] + wire [2:0] _T_1060 = obuf_wr_timer + 3'h1; // @[lsu_bus_buffer.scala 265:123] + wire _T_4482 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 523:63] + wire _T_4486 = _T_4482 | _T_4463; // @[lsu_bus_buffer.scala 523:74] + wire _T_4477 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 523:63] + wire _T_4481 = _T_4477 | _T_4460; // @[lsu_bus_buffer.scala 523:74] + wire [1:0] _T_4487 = _T_4486 + _T_4481; // @[lsu_bus_buffer.scala 523:154] + wire _T_4472 = buf_state_1 == 3'h1; // @[lsu_bus_buffer.scala 523:63] + wire _T_4476 = _T_4472 | _T_4457; // @[lsu_bus_buffer.scala 523:74] + wire [1:0] _GEN_366 = {{1'd0}, _T_4476}; // @[lsu_bus_buffer.scala 523:154] + wire [2:0] _T_4488 = _T_4487 + _GEN_366; // @[lsu_bus_buffer.scala 523:154] + wire _T_4467 = buf_state_0 == 3'h1; // @[lsu_bus_buffer.scala 523:63] + wire _T_4471 = _T_4467 | _T_4454; // @[lsu_bus_buffer.scala 523:74] + wire [2:0] _GEN_367 = {{2'd0}, _T_4471}; // @[lsu_bus_buffer.scala 523:154] + wire [3:0] buf_numvld_pend_any = _T_4488 + _GEN_367; // @[lsu_bus_buffer.scala 523:154] + wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 268:53] + wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 268:31] + wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 268:64] + wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 268:89] + wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 268:61] + wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 283:32] + wire _T_4778 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 551:62] + wire _T_4780 = _T_4778 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 551:73] + wire _T_4781 = _T_4780 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:93] + wire _T_4782 = buf_state_1 == 3'h3; // @[lsu_bus_buffer.scala 551:62] + wire _T_4784 = _T_4782 & buf_sideeffect[1]; // @[lsu_bus_buffer.scala 551:73] + wire _T_4785 = _T_4784 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:93] + wire _T_4794 = _T_4781 | _T_4785; // @[lsu_bus_buffer.scala 551:153] + wire _T_4786 = buf_state_2 == 3'h3; // @[lsu_bus_buffer.scala 551:62] + wire _T_4788 = _T_4786 & buf_sideeffect[2]; // @[lsu_bus_buffer.scala 551:73] + wire _T_4789 = _T_4788 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:93] + wire _T_4795 = _T_4794 | _T_4789; // @[lsu_bus_buffer.scala 551:153] + wire _T_4790 = buf_state_3 == 3'h3; // @[lsu_bus_buffer.scala 551:62] + wire _T_4792 = _T_4790 & buf_sideeffect[3]; // @[lsu_bus_buffer.scala 551:73] + wire _T_4793 = _T_4792 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:93] + wire _T_4796 = _T_4795 | _T_4793; // @[lsu_bus_buffer.scala 551:153] + reg obuf_sideeffect; // @[Reg.scala 27:20] + wire _T_4797 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 551:171] + wire _T_4798 = _T_4797 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:189] + wire bus_sideeffect_pend = _T_4796 | _T_4798; // @[lsu_bus_buffer.scala 551:157] + wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 283:74] + wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 283:52] + wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 283:50] + wire [2:0] _T_1099 = _T_1023 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1100 = _T_1024 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1103 = _T_1099 | _T_1100; // @[Mux.scala 27:72] + wire [2:0] _T_1101 = _T_1025 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1104 = _T_1103 | _T_1101; // @[Mux.scala 27:72] + wire [2:0] _T_1102 = _T_1026 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1105 = _T_1104 | _T_1102; // @[Mux.scala 27:72] + wire _T_1107 = _T_1105 == 3'h2; // @[lsu_bus_buffer.scala 284:36] + wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 382:31] + wire _T_1108 = _T_1107 & found_cmdptr0; // @[lsu_bus_buffer.scala 284:47] + wire [3:0] _T_1111 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] + wire _T_1120 = _T_1023 & _T_1111[0]; // @[Mux.scala 27:72] + wire _T_1121 = _T_1024 & _T_1111[1]; // @[Mux.scala 27:72] + wire _T_1124 = _T_1120 | _T_1121; // @[Mux.scala 27:72] + wire _T_1122 = _T_1025 & _T_1111[2]; // @[Mux.scala 27:72] + wire _T_1125 = _T_1124 | _T_1122; // @[Mux.scala 27:72] + wire _T_1123 = _T_1026 & _T_1111[3]; // @[Mux.scala 27:72] + wire _T_1126 = _T_1125 | _T_1123; // @[Mux.scala 27:72] + wire _T_1128 = ~_T_1126; // @[lsu_bus_buffer.scala 285:23] + wire _T_1129 = _T_1108 & _T_1128; // @[lsu_bus_buffer.scala 285:21] + wire _T_1146 = _T_1051 & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 285:141] + wire _T_1147 = ~_T_1146; // @[lsu_bus_buffer.scala 285:105] + wire _T_1148 = _T_1129 & _T_1147; // @[lsu_bus_buffer.scala 285:103] + reg buf_dual_3; // @[Reg.scala 27:20] + reg buf_dual_2; // @[Reg.scala 27:20] + reg buf_dual_1; // @[Reg.scala 27:20] + reg buf_dual_0; // @[Reg.scala 27:20] + wire [3:0] _T_1151 = {buf_dual_3,buf_dual_2,buf_dual_1,buf_dual_0}; // @[Cat.scala 29:58] + wire _T_1160 = _T_1023 & _T_1151[0]; // @[Mux.scala 27:72] + wire _T_1161 = _T_1024 & _T_1151[1]; // @[Mux.scala 27:72] + wire _T_1164 = _T_1160 | _T_1161; // @[Mux.scala 27:72] + wire _T_1162 = _T_1025 & _T_1151[2]; // @[Mux.scala 27:72] + wire _T_1165 = _T_1164 | _T_1162; // @[Mux.scala 27:72] + wire _T_1163 = _T_1026 & _T_1151[3]; // @[Mux.scala 27:72] + wire _T_1166 = _T_1165 | _T_1163; // @[Mux.scala 27:72] + reg buf_samedw_3; // @[Reg.scala 27:20] + reg buf_samedw_2; // @[Reg.scala 27:20] + reg buf_samedw_1; // @[Reg.scala 27:20] + reg buf_samedw_0; // @[Reg.scala 27:20] + wire [3:0] _T_1170 = {buf_samedw_3,buf_samedw_2,buf_samedw_1,buf_samedw_0}; // @[Cat.scala 29:58] + wire _T_1179 = _T_1023 & _T_1170[0]; // @[Mux.scala 27:72] + wire _T_1180 = _T_1024 & _T_1170[1]; // @[Mux.scala 27:72] + wire _T_1183 = _T_1179 | _T_1180; // @[Mux.scala 27:72] + wire _T_1181 = _T_1025 & _T_1170[2]; // @[Mux.scala 27:72] + wire _T_1184 = _T_1183 | _T_1181; // @[Mux.scala 27:72] + wire _T_1182 = _T_1026 & _T_1170[3]; // @[Mux.scala 27:72] + wire _T_1185 = _T_1184 | _T_1182; // @[Mux.scala 27:72] + wire _T_1187 = _T_1166 & _T_1185; // @[lsu_bus_buffer.scala 286:77] + wire _T_1196 = _T_1023 & buf_write[0]; // @[Mux.scala 27:72] + wire _T_1197 = _T_1024 & buf_write[1]; // @[Mux.scala 27:72] + wire _T_1200 = _T_1196 | _T_1197; // @[Mux.scala 27:72] + wire _T_1198 = _T_1025 & buf_write[2]; // @[Mux.scala 27:72] + wire _T_1201 = _T_1200 | _T_1198; // @[Mux.scala 27:72] + wire _T_1199 = _T_1026 & buf_write[3]; // @[Mux.scala 27:72] + wire _T_1202 = _T_1201 | _T_1199; // @[Mux.scala 27:72] + wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 286:150] + wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 286:148] + wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 286:8] + wire [3:0] _T_2020 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 378:62] + wire [3:0] _T_2021 = buf_age_3 & _T_2020; // @[lsu_bus_buffer.scala 378:59] + wire _T_2022 = |_T_2021; // @[lsu_bus_buffer.scala 378:76] + wire _T_2023 = ~_T_2022; // @[lsu_bus_buffer.scala 378:45] + wire _T_2025 = ~CmdPtr0Dec[3]; // @[lsu_bus_buffer.scala 378:83] + wire _T_2026 = _T_2023 & _T_2025; // @[lsu_bus_buffer.scala 378:81] + wire _T_2028 = _T_2026 & _T_2621; // @[lsu_bus_buffer.scala 378:98] + wire _T_2030 = _T_2028 & _T_4447; // @[lsu_bus_buffer.scala 378:123] + wire [3:0] _T_2010 = buf_age_2 & _T_2020; // @[lsu_bus_buffer.scala 378:59] + wire _T_2011 = |_T_2010; // @[lsu_bus_buffer.scala 378:76] + wire _T_2012 = ~_T_2011; // @[lsu_bus_buffer.scala 378:45] + wire _T_2014 = ~CmdPtr0Dec[2]; // @[lsu_bus_buffer.scala 378:83] + wire _T_2015 = _T_2012 & _T_2014; // @[lsu_bus_buffer.scala 378:81] + wire _T_2017 = _T_2015 & _T_2616; // @[lsu_bus_buffer.scala 378:98] + wire _T_2019 = _T_2017 & _T_4442; // @[lsu_bus_buffer.scala 378:123] + wire [3:0] _T_1999 = buf_age_1 & _T_2020; // @[lsu_bus_buffer.scala 378:59] + wire _T_2000 = |_T_1999; // @[lsu_bus_buffer.scala 378:76] + wire _T_2001 = ~_T_2000; // @[lsu_bus_buffer.scala 378:45] + wire _T_2003 = ~CmdPtr0Dec[1]; // @[lsu_bus_buffer.scala 378:83] + wire _T_2004 = _T_2001 & _T_2003; // @[lsu_bus_buffer.scala 378:81] + wire _T_2006 = _T_2004 & _T_2611; // @[lsu_bus_buffer.scala 378:98] + wire _T_2008 = _T_2006 & _T_4437; // @[lsu_bus_buffer.scala 378:123] + wire [3:0] _T_1988 = buf_age_0 & _T_2020; // @[lsu_bus_buffer.scala 378:59] + wire _T_1989 = |_T_1988; // @[lsu_bus_buffer.scala 378:76] + wire _T_1990 = ~_T_1989; // @[lsu_bus_buffer.scala 378:45] + wire _T_1992 = ~CmdPtr0Dec[0]; // @[lsu_bus_buffer.scala 378:83] + wire _T_1993 = _T_1990 & _T_1992; // @[lsu_bus_buffer.scala 378:81] + wire _T_1995 = _T_1993 & _T_2606; // @[lsu_bus_buffer.scala 378:98] + wire _T_1997 = _T_1995 & _T_4432; // @[lsu_bus_buffer.scala 378:123] + wire [3:0] CmdPtr1Dec = {_T_2030,_T_2019,_T_2008,_T_1997}; // @[Cat.scala 29:58] + wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 383:31] + wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 286:181] + wire [3:0] _T_1210 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] + wire _T_1219 = _T_1023 & _T_1210[0]; // @[Mux.scala 27:72] + wire _T_1220 = _T_1024 & _T_1210[1]; // @[Mux.scala 27:72] + wire _T_1223 = _T_1219 | _T_1220; // @[Mux.scala 27:72] + wire _T_1221 = _T_1025 & _T_1210[2]; // @[Mux.scala 27:72] + wire _T_1224 = _T_1223 | _T_1221; // @[Mux.scala 27:72] + wire _T_1222 = _T_1026 & _T_1210[3]; // @[Mux.scala 27:72] + wire _T_1225 = _T_1224 | _T_1222; // @[Mux.scala 27:72] + wire _T_1227 = _T_1207 | _T_1225; // @[lsu_bus_buffer.scala 286:197] + wire _T_1228 = _T_1227 | obuf_force_wr_en; // @[lsu_bus_buffer.scala 286:269] + wire _T_1229 = _T_1148 & _T_1228; // @[lsu_bus_buffer.scala 285:164] + wire _T_1230 = _T_1094 | _T_1229; // @[lsu_bus_buffer.scala 283:98] + reg obuf_write; // @[Reg.scala 27:20] + reg obuf_cmd_done; // @[lsu_bus_buffer.scala 347:54] + reg obuf_data_done; // @[lsu_bus_buffer.scala 348:55] + wire _T_4856 = obuf_cmd_done | obuf_data_done; // @[lsu_bus_buffer.scala 555:54] + wire _T_4857 = obuf_cmd_done ? io_lsu_axi_w_ready : io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 555:75] + wire _T_4858 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 555:153] + wire _T_4859 = _T_4856 ? _T_4857 : _T_4858; // @[lsu_bus_buffer.scala 555:39] + wire bus_cmd_ready = obuf_write ? _T_4859 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 555:23] + wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 287:48] + wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 287:46] + reg obuf_nosend; // @[Reg.scala 27:20] + wire _T_1233 = _T_1232 | obuf_nosend; // @[lsu_bus_buffer.scala 287:60] + wire _T_1234 = _T_1230 & _T_1233; // @[lsu_bus_buffer.scala 287:29] + wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 287:77] + wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 287:75] + reg [31:0] obuf_addr; // @[lib.scala 374:16] + wire obuf_wr_en = _T_1236 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 287:142] + wire _T_1242 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 289:47] + wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 556:40] + wire _T_4863 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 558:35] + wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 557:40] + wire _T_4864 = obuf_data_done | bus_wdata_sent; // @[lsu_bus_buffer.scala 558:70] + wire _T_4865 = _T_4863 & _T_4864; // @[lsu_bus_buffer.scala 558:52] + wire _T_4866 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 558:112] + wire bus_cmd_sent = _T_4865 | _T_4866; // @[lsu_bus_buffer.scala 558:89] + wire _T_1243 = bus_cmd_sent | _T_1242; // @[lsu_bus_buffer.scala 289:33] + wire _T_1244 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 289:65] + wire _T_1245 = _T_1243 & _T_1244; // @[lsu_bus_buffer.scala 289:63] + wire _T_1246 = _T_1245 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 289:77] + wire obuf_rst = _T_1246 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 289:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_bits_store : _T_1202; // @[lsu_bus_buffer.scala 290:26] + wire [31:0] _T_1283 = _T_1023 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1284 = _T_1024 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1285 = _T_1025 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1286 = _T_1026 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1287 = _T_1283 | _T_1284; // @[Mux.scala 27:72] + wire [31:0] _T_1288 = _T_1287 | _T_1285; // @[Mux.scala 27:72] + wire [31:0] _T_1289 = _T_1288 | _T_1286; // @[Mux.scala 27:72] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1289; // @[lsu_bus_buffer.scala 292:25] + reg [1:0] buf_sz_0; // @[Reg.scala 27:20] + wire [1:0] _T_1296 = _T_1023 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_1; // @[Reg.scala 27:20] + wire [1:0] _T_1297 = _T_1024 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_2; // @[Reg.scala 27:20] + wire [1:0] _T_1298 = _T_1025 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + reg [1:0] buf_sz_3; // @[Reg.scala 27:20] + wire [1:0] _T_1299 = _T_1026 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_1300 = _T_1296 | _T_1297; // @[Mux.scala 27:72] + wire [1:0] _T_1301 = _T_1300 | _T_1298; // @[Mux.scala 27:72] + wire [1:0] _T_1302 = _T_1301 | _T_1299; // @[Mux.scala 27:72] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1302; // @[lsu_bus_buffer.scala 295:23] + wire [7:0] _T_2079 = {4'h0,_T_2030,_T_2019,_T_2008,_T_1997}; // @[Cat.scala 29:58] + wire _T_2082 = _T_2079[4] | _T_2079[5]; // @[lsu_bus_buffer.scala 385:42] + wire _T_2084 = _T_2082 | _T_2079[6]; // @[lsu_bus_buffer.scala 385:48] + wire _T_2086 = _T_2084 | _T_2079[7]; // @[lsu_bus_buffer.scala 385:54] + wire _T_2089 = _T_2079[2] | _T_2079[3]; // @[lsu_bus_buffer.scala 385:67] + wire _T_2091 = _T_2089 | _T_2079[6]; // @[lsu_bus_buffer.scala 385:73] + wire _T_2093 = _T_2091 | _T_2079[7]; // @[lsu_bus_buffer.scala 385:79] + wire _T_2096 = _T_2079[1] | _T_2079[3]; // @[lsu_bus_buffer.scala 385:92] + wire _T_2098 = _T_2096 | _T_2079[5]; // @[lsu_bus_buffer.scala 385:98] + wire _T_2100 = _T_2098 | _T_2079[7]; // @[lsu_bus_buffer.scala 385:104] + wire [2:0] _T_2102 = {_T_2086,_T_2093,_T_2100}; // @[Cat.scala 29:58] + wire [1:0] CmdPtr1 = _T_2102[1:0]; // @[lsu_bus_buffer.scala 392:11] + wire _T_1304 = obuf_wr_en | obuf_rst; // @[lsu_bus_buffer.scala 303:39] + wire _T_1305 = ~_T_1304; // @[lsu_bus_buffer.scala 303:26] + wire _T_1311 = obuf_sz_in == 2'h0; // @[lsu_bus_buffer.scala 307:72] + wire _T_1314 = ~obuf_addr_in[0]; // @[lsu_bus_buffer.scala 307:98] + wire _T_1315 = obuf_sz_in[0] & _T_1314; // @[lsu_bus_buffer.scala 307:96] + wire _T_1316 = _T_1311 | _T_1315; // @[lsu_bus_buffer.scala 307:79] + wire _T_1319 = |obuf_addr_in[1:0]; // @[lsu_bus_buffer.scala 307:153] + wire _T_1320 = ~_T_1319; // @[lsu_bus_buffer.scala 307:134] + wire _T_1321 = obuf_sz_in[1] & _T_1320; // @[lsu_bus_buffer.scala 307:132] + wire _T_1322 = _T_1316 | _T_1321; // @[lsu_bus_buffer.scala 307:116] + wire obuf_aligned_in = ibuf_buf_byp ? is_aligned_r : _T_1322; // @[lsu_bus_buffer.scala 307:28] + wire _T_1339 = obuf_addr_in[31:3] == obuf_addr[31:3]; // @[lsu_bus_buffer.scala 321:40] + wire _T_1340 = _T_1339 & obuf_aligned_in; // @[lsu_bus_buffer.scala 321:60] + wire _T_1341 = ~obuf_sideeffect; // @[lsu_bus_buffer.scala 321:80] + wire _T_1342 = _T_1340 & _T_1341; // @[lsu_bus_buffer.scala 321:78] + wire _T_1343 = ~obuf_write; // @[lsu_bus_buffer.scala 321:99] + wire _T_1344 = _T_1342 & _T_1343; // @[lsu_bus_buffer.scala 321:97] + wire _T_1345 = ~obuf_write_in; // @[lsu_bus_buffer.scala 321:113] + wire _T_1346 = _T_1344 & _T_1345; // @[lsu_bus_buffer.scala 321:111] + wire _T_1347 = ~io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_buffer.scala 321:130] + wire _T_1348 = _T_1346 & _T_1347; // @[lsu_bus_buffer.scala 321:128] + wire _T_1349 = ~obuf_nosend; // @[lsu_bus_buffer.scala 322:20] + wire _T_1350 = obuf_valid & _T_1349; // @[lsu_bus_buffer.scala 322:18] + reg obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 349:56] + wire bus_rsp_read = io_lsu_axi_r_valid & io_lsu_axi_r_ready; // @[lsu_bus_buffer.scala 559:38] + reg [2:0] obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 350:55] + wire _T_1351 = io_lsu_axi_r_bits_id == obuf_rdrsp_tag; // @[lsu_bus_buffer.scala 322:90] + wire _T_1352 = bus_rsp_read & _T_1351; // @[lsu_bus_buffer.scala 322:70] + wire _T_1353 = ~_T_1352; // @[lsu_bus_buffer.scala 322:55] + wire _T_1354 = obuf_rdrsp_pend & _T_1353; // @[lsu_bus_buffer.scala 322:53] + wire _T_1355 = _T_1350 | _T_1354; // @[lsu_bus_buffer.scala 322:34] + wire obuf_nosend_in = _T_1348 & _T_1355; // @[lsu_bus_buffer.scala 321:177] + wire _T_1323 = ~obuf_nosend_in; // @[lsu_bus_buffer.scala 315:44] + wire _T_1324 = obuf_wr_en & _T_1323; // @[lsu_bus_buffer.scala 315:42] + wire _T_1325 = ~_T_1324; // @[lsu_bus_buffer.scala 315:29] + wire _T_1326 = _T_1325 & obuf_rdrsp_pend; // @[lsu_bus_buffer.scala 315:61] + wire _T_1330 = _T_1326 & _T_1353; // @[lsu_bus_buffer.scala 315:79] + wire _T_1332 = bus_cmd_sent & _T_1343; // @[lsu_bus_buffer.scala 316:20] + wire _T_1333 = ~io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 316:37] + wire _T_1334 = _T_1332 & _T_1333; // @[lsu_bus_buffer.scala 316:35] + wire [7:0] _T_1358 = {ldst_byteen_lo_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1359 = {4'h0,ldst_byteen_lo_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1360 = io_lsu_addr_r[2] ? _T_1358 : _T_1359; // @[lsu_bus_buffer.scala 323:46] + wire [3:0] _T_1379 = _T_1023 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1380 = _T_1024 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1381 = _T_1025 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1382 = _T_1026 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1383 = _T_1379 | _T_1380; // @[Mux.scala 27:72] + wire [3:0] _T_1384 = _T_1383 | _T_1381; // @[Mux.scala 27:72] + wire [3:0] _T_1385 = _T_1384 | _T_1382; // @[Mux.scala 27:72] + wire [7:0] _T_1387 = {_T_1385,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1400 = {4'h0,_T_1385}; // @[Cat.scala 29:58] + wire [7:0] _T_1401 = _T_1289[2] ? _T_1387 : _T_1400; // @[lsu_bus_buffer.scala 324:8] + wire [7:0] obuf_byteen0_in = ibuf_buf_byp ? _T_1360 : _T_1401; // @[lsu_bus_buffer.scala 323:28] + wire [7:0] _T_1403 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1404 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] + wire [7:0] _T_1405 = io_end_addr_r[2] ? _T_1403 : _T_1404; // @[lsu_bus_buffer.scala 325:46] + wire _T_1406 = CmdPtr1 == 2'h0; // @[lsu_bus_buffer.scala 58:123] + wire _T_1407 = CmdPtr1 == 2'h1; // @[lsu_bus_buffer.scala 58:123] + wire _T_1408 = CmdPtr1 == 2'h2; // @[lsu_bus_buffer.scala 58:123] + wire _T_1409 = CmdPtr1 == 2'h3; // @[lsu_bus_buffer.scala 58:123] + wire [31:0] _T_1410 = _T_1406 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1411 = _T_1407 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1412 = _T_1408 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1413 = _T_1409 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1414 = _T_1410 | _T_1411; // @[Mux.scala 27:72] + wire [31:0] _T_1415 = _T_1414 | _T_1412; // @[Mux.scala 27:72] + wire [31:0] _T_1416 = _T_1415 | _T_1413; // @[Mux.scala 27:72] + wire [3:0] _T_1424 = _T_1406 ? buf_byteen_0 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1425 = _T_1407 ? buf_byteen_1 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1426 = _T_1408 ? buf_byteen_2 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1427 = _T_1409 ? buf_byteen_3 : 4'h0; // @[Mux.scala 27:72] + wire [3:0] _T_1428 = _T_1424 | _T_1425; // @[Mux.scala 27:72] + wire [3:0] _T_1429 = _T_1428 | _T_1426; // @[Mux.scala 27:72] + wire [3:0] _T_1430 = _T_1429 | _T_1427; // @[Mux.scala 27:72] + wire [7:0] _T_1432 = {_T_1430,4'h0}; // @[Cat.scala 29:58] + wire [7:0] _T_1445 = {4'h0,_T_1430}; // @[Cat.scala 29:58] + wire [7:0] _T_1446 = _T_1416[2] ? _T_1432 : _T_1445; // @[lsu_bus_buffer.scala 326:8] + wire [7:0] obuf_byteen1_in = ibuf_buf_byp ? _T_1405 : _T_1446; // @[lsu_bus_buffer.scala 325:28] + wire [63:0] _T_1448 = {store_data_lo_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1449 = {32'h0,store_data_lo_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1450 = io_lsu_addr_r[2] ? _T_1448 : _T_1449; // @[lsu_bus_buffer.scala 328:44] + wire [31:0] _T_1469 = _T_1023 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1470 = _T_1024 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1471 = _T_1025 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1472 = _T_1026 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1473 = _T_1469 | _T_1470; // @[Mux.scala 27:72] + wire [31:0] _T_1474 = _T_1473 | _T_1471; // @[Mux.scala 27:72] + wire [31:0] _T_1475 = _T_1474 | _T_1472; // @[Mux.scala 27:72] + wire [63:0] _T_1477 = {_T_1475,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1490 = {32'h0,_T_1475}; // @[Cat.scala 29:58] + wire [63:0] _T_1491 = _T_1289[2] ? _T_1477 : _T_1490; // @[lsu_bus_buffer.scala 329:8] + wire [63:0] obuf_data0_in = ibuf_buf_byp ? _T_1450 : _T_1491; // @[lsu_bus_buffer.scala 328:26] + wire [63:0] _T_1493 = {store_data_hi_r,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1494 = {32'h0,store_data_hi_r}; // @[Cat.scala 29:58] + wire [63:0] _T_1495 = io_lsu_addr_r[2] ? _T_1493 : _T_1494; // @[lsu_bus_buffer.scala 330:44] + wire [31:0] _T_1514 = _T_1406 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1515 = _T_1407 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1516 = _T_1408 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1517 = _T_1409 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1518 = _T_1514 | _T_1515; // @[Mux.scala 27:72] + wire [31:0] _T_1519 = _T_1518 | _T_1516; // @[Mux.scala 27:72] + wire [31:0] _T_1520 = _T_1519 | _T_1517; // @[Mux.scala 27:72] + wire [63:0] _T_1522 = {_T_1520,32'h0}; // @[Cat.scala 29:58] + wire [63:0] _T_1535 = {32'h0,_T_1520}; // @[Cat.scala 29:58] + wire [63:0] _T_1536 = _T_1416[2] ? _T_1522 : _T_1535; // @[lsu_bus_buffer.scala 331:8] + wire [63:0] obuf_data1_in = ibuf_buf_byp ? _T_1495 : _T_1536; // @[lsu_bus_buffer.scala 330:26] + wire _T_1621 = CmdPtr0 != CmdPtr1; // @[lsu_bus_buffer.scala 337:30] + wire _T_1622 = _T_1621 & found_cmdptr0; // @[lsu_bus_buffer.scala 337:43] + wire _T_1623 = _T_1622 & found_cmdptr1; // @[lsu_bus_buffer.scala 337:59] + wire _T_1637 = _T_1623 & _T_1107; // @[lsu_bus_buffer.scala 337:75] + wire [2:0] _T_1642 = _T_1406 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1643 = _T_1407 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1646 = _T_1642 | _T_1643; // @[Mux.scala 27:72] + wire [2:0] _T_1644 = _T_1408 ? buf_state_2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1647 = _T_1646 | _T_1644; // @[Mux.scala 27:72] + wire [2:0] _T_1645 = _T_1409 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_1648 = _T_1647 | _T_1645; // @[Mux.scala 27:72] + wire _T_1650 = _T_1648 == 3'h2; // @[lsu_bus_buffer.scala 337:150] + wire _T_1651 = _T_1637 & _T_1650; // @[lsu_bus_buffer.scala 337:118] + wire _T_1672 = _T_1651 & _T_1128; // @[lsu_bus_buffer.scala 337:161] + wire _T_1690 = _T_1672 & _T_1053; // @[lsu_bus_buffer.scala 338:85] + wire _T_1715 = _T_1406 & buf_write[0]; // @[Mux.scala 27:72] + wire _T_1716 = _T_1407 & buf_write[1]; // @[Mux.scala 27:72] + wire _T_1719 = _T_1715 | _T_1716; // @[Mux.scala 27:72] + wire _T_1717 = _T_1408 & buf_write[2]; // @[Mux.scala 27:72] + wire _T_1720 = _T_1719 | _T_1717; // @[Mux.scala 27:72] + wire _T_1718 = _T_1409 & buf_write[3]; // @[Mux.scala 27:72] + wire _T_1721 = _T_1720 | _T_1718; // @[Mux.scala 27:72] + wire _T_1723 = _T_1202 & _T_1721; // @[lsu_bus_buffer.scala 339:36] + wire _T_1750 = _T_1289[31:3] == _T_1416[31:3]; // @[lsu_bus_buffer.scala 340:41] + wire _T_1751 = _T_1723 & _T_1750; // @[lsu_bus_buffer.scala 339:67] + wire _T_1753 = _T_1751 & _T_938; // @[lsu_bus_buffer.scala 340:79] + wire _T_1792 = _T_1204 & _T_1166; // @[lsu_bus_buffer.scala 341:38] + reg buf_dualhi_3; // @[Reg.scala 27:20] + reg buf_dualhi_2; // @[Reg.scala 27:20] + reg buf_dualhi_1; // @[Reg.scala 27:20] + reg buf_dualhi_0; // @[Reg.scala 27:20] + wire [3:0] _T_1795 = {buf_dualhi_3,buf_dualhi_2,buf_dualhi_1,buf_dualhi_0}; // @[Cat.scala 29:58] + wire _T_1804 = _T_1023 & _T_1795[0]; // @[Mux.scala 27:72] + wire _T_1805 = _T_1024 & _T_1795[1]; // @[Mux.scala 27:72] + wire _T_1808 = _T_1804 | _T_1805; // @[Mux.scala 27:72] + wire _T_1806 = _T_1025 & _T_1795[2]; // @[Mux.scala 27:72] + wire _T_1809 = _T_1808 | _T_1806; // @[Mux.scala 27:72] + wire _T_1807 = _T_1026 & _T_1795[3]; // @[Mux.scala 27:72] + wire _T_1810 = _T_1809 | _T_1807; // @[Mux.scala 27:72] + wire _T_1812 = ~_T_1810; // @[lsu_bus_buffer.scala 341:109] + wire _T_1813 = _T_1792 & _T_1812; // @[lsu_bus_buffer.scala 341:107] + wire _T_1833 = _T_1813 & _T_1185; // @[lsu_bus_buffer.scala 341:179] + wire _T_1834 = _T_1753 | _T_1833; // @[lsu_bus_buffer.scala 340:128] + wire _T_1835 = _T_1690 & _T_1834; // @[lsu_bus_buffer.scala 338:122] + wire _T_1836 = ibuf_buf_byp & ldst_samedw_r; // @[lsu_bus_buffer.scala 342:19] + wire _T_1837 = _T_1836 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 342:35] + wire obuf_merge_en = _T_1835 | _T_1837; // @[lsu_bus_buffer.scala 341:253] + wire _T_1539 = obuf_merge_en & obuf_byteen1_in[0]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1540 = obuf_byteen0_in[0] | _T_1539; // @[lsu_bus_buffer.scala 332:63] + wire _T_1543 = obuf_merge_en & obuf_byteen1_in[1]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1544 = obuf_byteen0_in[1] | _T_1543; // @[lsu_bus_buffer.scala 332:63] + wire _T_1547 = obuf_merge_en & obuf_byteen1_in[2]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1548 = obuf_byteen0_in[2] | _T_1547; // @[lsu_bus_buffer.scala 332:63] + wire _T_1551 = obuf_merge_en & obuf_byteen1_in[3]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1552 = obuf_byteen0_in[3] | _T_1551; // @[lsu_bus_buffer.scala 332:63] + wire _T_1555 = obuf_merge_en & obuf_byteen1_in[4]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1556 = obuf_byteen0_in[4] | _T_1555; // @[lsu_bus_buffer.scala 332:63] + wire _T_1559 = obuf_merge_en & obuf_byteen1_in[5]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1560 = obuf_byteen0_in[5] | _T_1559; // @[lsu_bus_buffer.scala 332:63] + wire _T_1563 = obuf_merge_en & obuf_byteen1_in[6]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1564 = obuf_byteen0_in[6] | _T_1563; // @[lsu_bus_buffer.scala 332:63] + wire _T_1567 = obuf_merge_en & obuf_byteen1_in[7]; // @[lsu_bus_buffer.scala 332:80] + wire _T_1568 = obuf_byteen0_in[7] | _T_1567; // @[lsu_bus_buffer.scala 332:63] + wire [7:0] obuf_byteen_in = {_T_1568,_T_1564,_T_1560,_T_1556,_T_1552,_T_1548,_T_1544,_T_1540}; // @[Cat.scala 29:58] + wire [7:0] _T_1579 = _T_1539 ? obuf_data1_in[7:0] : obuf_data0_in[7:0]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1584 = _T_1543 ? obuf_data1_in[15:8] : obuf_data0_in[15:8]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1589 = _T_1547 ? obuf_data1_in[23:16] : obuf_data0_in[23:16]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1594 = _T_1551 ? obuf_data1_in[31:24] : obuf_data0_in[31:24]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1599 = _T_1555 ? obuf_data1_in[39:32] : obuf_data0_in[39:32]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1604 = _T_1559 ? obuf_data1_in[47:40] : obuf_data0_in[47:40]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1609 = _T_1563 ? obuf_data1_in[55:48] : obuf_data0_in[55:48]; // @[lsu_bus_buffer.scala 333:44] + wire [7:0] _T_1614 = _T_1567 ? obuf_data1_in[63:56] : obuf_data0_in[63:56]; // @[lsu_bus_buffer.scala 333:44] + wire [55:0] _T_1620 = {_T_1614,_T_1609,_T_1604,_T_1599,_T_1594,_T_1589,_T_1584}; // @[Cat.scala 29:58] + wire _T_1839 = obuf_wr_en | obuf_valid; // @[lsu_bus_buffer.scala 345:58] + wire _T_1840 = ~obuf_rst; // @[lsu_bus_buffer.scala 345:93] + reg [1:0] obuf_sz; // @[Reg.scala 27:20] + reg [7:0] obuf_byteen; // @[Reg.scala 27:20] + reg [63:0] obuf_data; // @[lib.scala 374:16] + wire _T_1853 = buf_state_0 == 3'h0; // @[lsu_bus_buffer.scala 363:65] + wire _T_1854 = ibuf_tag == 2'h0; // @[lsu_bus_buffer.scala 364:30] + wire _T_1855 = ibuf_valid & _T_1854; // @[lsu_bus_buffer.scala 364:19] + wire _T_1856 = WrPtr0_r == 2'h0; // @[lsu_bus_buffer.scala 365:18] + wire _T_1857 = WrPtr1_r == 2'h0; // @[lsu_bus_buffer.scala 365:57] + wire _T_1858 = io_ldst_dual_r & _T_1857; // @[lsu_bus_buffer.scala 365:45] + wire _T_1859 = _T_1856 | _T_1858; // @[lsu_bus_buffer.scala 365:27] + wire _T_1860 = io_lsu_busreq_r & _T_1859; // @[lsu_bus_buffer.scala 364:58] + wire _T_1861 = _T_1855 | _T_1860; // @[lsu_bus_buffer.scala 364:39] + wire _T_1862 = ~_T_1861; // @[lsu_bus_buffer.scala 364:5] + wire _T_1863 = _T_1853 & _T_1862; // @[lsu_bus_buffer.scala 363:76] + wire _T_1864 = buf_state_1 == 3'h0; // @[lsu_bus_buffer.scala 363:65] + wire _T_1865 = ibuf_tag == 2'h1; // @[lsu_bus_buffer.scala 364:30] + wire _T_1866 = ibuf_valid & _T_1865; // @[lsu_bus_buffer.scala 364:19] + wire _T_1867 = WrPtr0_r == 2'h1; // @[lsu_bus_buffer.scala 365:18] + wire _T_1868 = WrPtr1_r == 2'h1; // @[lsu_bus_buffer.scala 365:57] + wire _T_1869 = io_ldst_dual_r & _T_1868; // @[lsu_bus_buffer.scala 365:45] + wire _T_1870 = _T_1867 | _T_1869; // @[lsu_bus_buffer.scala 365:27] + wire _T_1871 = io_lsu_busreq_r & _T_1870; // @[lsu_bus_buffer.scala 364:58] + wire _T_1872 = _T_1866 | _T_1871; // @[lsu_bus_buffer.scala 364:39] + wire _T_1873 = ~_T_1872; // @[lsu_bus_buffer.scala 364:5] + wire _T_1874 = _T_1864 & _T_1873; // @[lsu_bus_buffer.scala 363:76] + wire _T_1875 = buf_state_2 == 3'h0; // @[lsu_bus_buffer.scala 363:65] + wire _T_1876 = ibuf_tag == 2'h2; // @[lsu_bus_buffer.scala 364:30] + wire _T_1877 = ibuf_valid & _T_1876; // @[lsu_bus_buffer.scala 364:19] + wire _T_1878 = WrPtr0_r == 2'h2; // @[lsu_bus_buffer.scala 365:18] + wire _T_1879 = WrPtr1_r == 2'h2; // @[lsu_bus_buffer.scala 365:57] + wire _T_1880 = io_ldst_dual_r & _T_1879; // @[lsu_bus_buffer.scala 365:45] + wire _T_1881 = _T_1878 | _T_1880; // @[lsu_bus_buffer.scala 365:27] + wire _T_1882 = io_lsu_busreq_r & _T_1881; // @[lsu_bus_buffer.scala 364:58] + wire _T_1883 = _T_1877 | _T_1882; // @[lsu_bus_buffer.scala 364:39] + wire _T_1884 = ~_T_1883; // @[lsu_bus_buffer.scala 364:5] + wire _T_1885 = _T_1875 & _T_1884; // @[lsu_bus_buffer.scala 363:76] + wire _T_1886 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 363:65] + wire _T_1887 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 364:30] + wire _T_1889 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 365:18] + wire _T_1890 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 365:57] + wire [1:0] _T_1898 = _T_1885 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] + wire [1:0] _T_1899 = _T_1874 ? 2'h1 : _T_1898; // @[Mux.scala 98:16] + wire [1:0] WrPtr0_m = _T_1863 ? 2'h0 : _T_1899; // @[Mux.scala 98:16] + wire _T_1904 = WrPtr0_m == 2'h0; // @[lsu_bus_buffer.scala 370:33] + wire _T_1905 = io_lsu_busreq_m & _T_1904; // @[lsu_bus_buffer.scala 370:22] + wire _T_1906 = _T_1855 | _T_1905; // @[lsu_bus_buffer.scala 369:112] + wire _T_1912 = _T_1906 | _T_1860; // @[lsu_bus_buffer.scala 370:42] + wire _T_1913 = ~_T_1912; // @[lsu_bus_buffer.scala 369:78] + wire _T_1914 = _T_1853 & _T_1913; // @[lsu_bus_buffer.scala 369:76] + wire _T_1918 = WrPtr0_m == 2'h1; // @[lsu_bus_buffer.scala 370:33] + wire _T_1919 = io_lsu_busreq_m & _T_1918; // @[lsu_bus_buffer.scala 370:22] + wire _T_1920 = _T_1866 | _T_1919; // @[lsu_bus_buffer.scala 369:112] + wire _T_1926 = _T_1920 | _T_1871; // @[lsu_bus_buffer.scala 370:42] + wire _T_1927 = ~_T_1926; // @[lsu_bus_buffer.scala 369:78] + wire _T_1928 = _T_1864 & _T_1927; // @[lsu_bus_buffer.scala 369:76] + wire _T_1932 = WrPtr0_m == 2'h2; // @[lsu_bus_buffer.scala 370:33] + wire _T_1933 = io_lsu_busreq_m & _T_1932; // @[lsu_bus_buffer.scala 370:22] + wire _T_1934 = _T_1877 | _T_1933; // @[lsu_bus_buffer.scala 369:112] + wire _T_1940 = _T_1934 | _T_1882; // @[lsu_bus_buffer.scala 370:42] + wire _T_1941 = ~_T_1940; // @[lsu_bus_buffer.scala 369:78] + wire _T_1942 = _T_1875 & _T_1941; // @[lsu_bus_buffer.scala 369:76] + reg [3:0] buf_rspageQ_0; // @[lsu_bus_buffer.scala 500:63] + wire _T_2746 = buf_state_3 == 3'h5; // @[lsu_bus_buffer.scala 413:102] + wire _T_2747 = buf_rspageQ_0[3] & _T_2746; // @[lsu_bus_buffer.scala 413:87] + wire _T_2743 = buf_state_2 == 3'h5; // @[lsu_bus_buffer.scala 413:102] + wire _T_2744 = buf_rspageQ_0[2] & _T_2743; // @[lsu_bus_buffer.scala 413:87] + wire _T_2740 = buf_state_1 == 3'h5; // @[lsu_bus_buffer.scala 413:102] + wire _T_2741 = buf_rspageQ_0[1] & _T_2740; // @[lsu_bus_buffer.scala 413:87] + wire _T_2737 = buf_state_0 == 3'h5; // @[lsu_bus_buffer.scala 413:102] + wire _T_2738 = buf_rspageQ_0[0] & _T_2737; // @[lsu_bus_buffer.scala 413:87] + wire [3:0] buf_rsp_pickage_0 = {_T_2747,_T_2744,_T_2741,_T_2738}; // @[Cat.scala 29:58] + wire _T_2033 = |buf_rsp_pickage_0; // @[lsu_bus_buffer.scala 381:65] + wire _T_2034 = ~_T_2033; // @[lsu_bus_buffer.scala 381:44] + wire _T_2036 = _T_2034 & _T_2737; // @[lsu_bus_buffer.scala 381:70] + reg [3:0] buf_rspageQ_1; // @[lsu_bus_buffer.scala 500:63] + wire _T_2762 = buf_rspageQ_1[3] & _T_2746; // @[lsu_bus_buffer.scala 413:87] + wire _T_2759 = buf_rspageQ_1[2] & _T_2743; // @[lsu_bus_buffer.scala 413:87] + wire _T_2756 = buf_rspageQ_1[1] & _T_2740; // @[lsu_bus_buffer.scala 413:87] + wire _T_2753 = buf_rspageQ_1[0] & _T_2737; // @[lsu_bus_buffer.scala 413:87] + wire [3:0] buf_rsp_pickage_1 = {_T_2762,_T_2759,_T_2756,_T_2753}; // @[Cat.scala 29:58] + wire _T_2037 = |buf_rsp_pickage_1; // @[lsu_bus_buffer.scala 381:65] + wire _T_2038 = ~_T_2037; // @[lsu_bus_buffer.scala 381:44] + wire _T_2040 = _T_2038 & _T_2740; // @[lsu_bus_buffer.scala 381:70] + reg [3:0] buf_rspageQ_2; // @[lsu_bus_buffer.scala 500:63] + wire _T_2777 = buf_rspageQ_2[3] & _T_2746; // @[lsu_bus_buffer.scala 413:87] + wire _T_2774 = buf_rspageQ_2[2] & _T_2743; // @[lsu_bus_buffer.scala 413:87] + wire _T_2771 = buf_rspageQ_2[1] & _T_2740; // @[lsu_bus_buffer.scala 413:87] + wire _T_2768 = buf_rspageQ_2[0] & _T_2737; // @[lsu_bus_buffer.scala 413:87] + wire [3:0] buf_rsp_pickage_2 = {_T_2777,_T_2774,_T_2771,_T_2768}; // @[Cat.scala 29:58] + wire _T_2041 = |buf_rsp_pickage_2; // @[lsu_bus_buffer.scala 381:65] + wire _T_2042 = ~_T_2041; // @[lsu_bus_buffer.scala 381:44] + wire _T_2044 = _T_2042 & _T_2743; // @[lsu_bus_buffer.scala 381:70] + reg [3:0] buf_rspageQ_3; // @[lsu_bus_buffer.scala 500:63] + wire _T_2792 = buf_rspageQ_3[3] & _T_2746; // @[lsu_bus_buffer.scala 413:87] + wire _T_2789 = buf_rspageQ_3[2] & _T_2743; // @[lsu_bus_buffer.scala 413:87] + wire _T_2786 = buf_rspageQ_3[1] & _T_2740; // @[lsu_bus_buffer.scala 413:87] + wire _T_2783 = buf_rspageQ_3[0] & _T_2737; // @[lsu_bus_buffer.scala 413:87] + wire [3:0] buf_rsp_pickage_3 = {_T_2792,_T_2789,_T_2786,_T_2783}; // @[Cat.scala 29:58] + wire _T_2045 = |buf_rsp_pickage_3; // @[lsu_bus_buffer.scala 381:65] + wire _T_2046 = ~_T_2045; // @[lsu_bus_buffer.scala 381:44] + wire _T_2048 = _T_2046 & _T_2746; // @[lsu_bus_buffer.scala 381:70] + wire [7:0] _T_2104 = {4'h0,_T_2048,_T_2044,_T_2040,_T_2036}; // @[Cat.scala 29:58] + wire _T_2107 = _T_2104[4] | _T_2104[5]; // @[lsu_bus_buffer.scala 385:42] + wire _T_2109 = _T_2107 | _T_2104[6]; // @[lsu_bus_buffer.scala 385:48] + wire _T_2111 = _T_2109 | _T_2104[7]; // @[lsu_bus_buffer.scala 385:54] + wire _T_2114 = _T_2104[2] | _T_2104[3]; // @[lsu_bus_buffer.scala 385:67] + wire _T_2116 = _T_2114 | _T_2104[6]; // @[lsu_bus_buffer.scala 385:73] + wire _T_2118 = _T_2116 | _T_2104[7]; // @[lsu_bus_buffer.scala 385:79] + wire _T_2121 = _T_2104[1] | _T_2104[3]; // @[lsu_bus_buffer.scala 385:92] + wire _T_2123 = _T_2121 | _T_2104[5]; // @[lsu_bus_buffer.scala 385:98] + wire _T_2125 = _T_2123 | _T_2104[7]; // @[lsu_bus_buffer.scala 385:104] + wire [2:0] _T_2127 = {_T_2111,_T_2118,_T_2125}; // @[Cat.scala 29:58] + wire _T_3532 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 443:77] + wire _T_3533 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 443:97] + wire _T_3534 = _T_3532 & _T_3533; // @[lsu_bus_buffer.scala 443:95] + wire _T_3535 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] + wire _T_3536 = _T_3534 & _T_3535; // @[lsu_bus_buffer.scala 443:112] + wire _T_3537 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 443:144] + wire _T_3538 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] + wire _T_3539 = _T_3537 & _T_3538; // @[lsu_bus_buffer.scala 443:161] + wire _T_3540 = _T_3536 | _T_3539; // @[lsu_bus_buffer.scala 443:132] + wire _T_3541 = _T_853 & _T_3540; // @[lsu_bus_buffer.scala 443:63] + wire _T_3542 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] + wire _T_3543 = ibuf_drain_vld & _T_3542; // @[lsu_bus_buffer.scala 443:201] + wire _T_3544 = _T_3541 | _T_3543; // @[lsu_bus_buffer.scala 443:183] + wire _T_3554 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 450:46] + wire _T_3589 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] + wire bus_rsp_write = io_lsu_axi_b_valid & io_lsu_axi_b_ready; // @[lsu_bus_buffer.scala 560:39] + wire _T_3634 = io_lsu_axi_b_bits_id == 3'h0; // @[lsu_bus_buffer.scala 468:73] + wire _T_3635 = bus_rsp_write & _T_3634; // @[lsu_bus_buffer.scala 468:52] + wire _T_3636 = io_lsu_axi_r_bits_id == 3'h0; // @[lsu_bus_buffer.scala 469:46] + reg _T_4307; // @[Reg.scala 27:20] + reg _T_4305; // @[Reg.scala 27:20] + reg _T_4303; // @[Reg.scala 27:20] + reg _T_4301; // @[Reg.scala 27:20] + wire [3:0] buf_ldfwd = {_T_4307,_T_4305,_T_4303,_T_4301}; // @[Cat.scala 29:58] + reg [1:0] buf_ldfwdtag_0; // @[Reg.scala 27:20] + wire [2:0] _GEN_368 = {{1'd0}, buf_ldfwdtag_0}; // @[lsu_bus_buffer.scala 470:47] + wire _T_3638 = io_lsu_axi_r_bits_id == _GEN_368; // @[lsu_bus_buffer.scala 470:47] + wire _T_3639 = buf_ldfwd[0] & _T_3638; // @[lsu_bus_buffer.scala 470:27] + wire _T_3640 = _T_3636 | _T_3639; // @[lsu_bus_buffer.scala 469:77] + wire _T_3641 = buf_dual_0 & buf_dualhi_0; // @[lsu_bus_buffer.scala 471:26] + wire _T_3643 = ~buf_write[0]; // @[lsu_bus_buffer.scala 471:44] + wire _T_3644 = _T_3641 & _T_3643; // @[lsu_bus_buffer.scala 471:42] + wire _T_3645 = _T_3644 & buf_samedw_0; // @[lsu_bus_buffer.scala 471:58] + reg [1:0] buf_dualtag_0; // @[Reg.scala 27:20] + wire [2:0] _GEN_369 = {{1'd0}, buf_dualtag_0}; // @[lsu_bus_buffer.scala 471:94] + wire _T_3646 = io_lsu_axi_r_bits_id == _GEN_369; // @[lsu_bus_buffer.scala 471:94] + wire _T_3647 = _T_3645 & _T_3646; // @[lsu_bus_buffer.scala 471:74] + wire _T_3648 = _T_3640 | _T_3647; // @[lsu_bus_buffer.scala 470:71] + wire _T_3649 = bus_rsp_read & _T_3648; // @[lsu_bus_buffer.scala 469:25] + wire _T_3650 = _T_3635 | _T_3649; // @[lsu_bus_buffer.scala 468:105] + wire _GEN_42 = _T_3589 & _T_3650; // @[Conditional.scala 39:67] + wire _GEN_61 = _T_3555 ? 1'h0 : _GEN_42; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_3551 ? 1'h0 : _GEN_61; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_0 = _T_3528 ? 1'h0 : _GEN_73; // @[Conditional.scala 40:58] + wire _T_3676 = 3'h4 == buf_state_0; // @[Conditional.scala 37:30] + wire [3:0] _T_3686 = buf_ldfwd >> buf_dualtag_0; // @[lsu_bus_buffer.scala 483:21] + reg [1:0] buf_ldfwdtag_3; // @[Reg.scala 27:20] + reg [1:0] buf_ldfwdtag_2; // @[Reg.scala 27:20] + reg [1:0] buf_ldfwdtag_1; // @[Reg.scala 27:20] + wire [1:0] _GEN_23 = 2'h1 == buf_dualtag_0 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_24 = 2'h2 == buf_dualtag_0 ? buf_ldfwdtag_2 : _GEN_23; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_25 = 2'h3 == buf_dualtag_0 ? buf_ldfwdtag_3 : _GEN_24; // @[lsu_bus_buffer.scala 483:58] + wire [2:0] _GEN_371 = {{1'd0}, _GEN_25}; // @[lsu_bus_buffer.scala 483:58] + wire _T_3688 = io_lsu_axi_r_bits_id == _GEN_371; // @[lsu_bus_buffer.scala 483:58] + wire _T_3689 = _T_3686[0] & _T_3688; // @[lsu_bus_buffer.scala 483:38] + wire _T_3690 = _T_3646 | _T_3689; // @[lsu_bus_buffer.scala 482:95] + wire _T_3691 = bus_rsp_read & _T_3690; // @[lsu_bus_buffer.scala 482:45] + wire _GEN_36 = _T_3676 & _T_3691; // @[Conditional.scala 39:67] + wire _GEN_43 = _T_3589 ? buf_resp_state_bus_en_0 : _GEN_36; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_3555 ? buf_cmd_state_bus_en_0 : _GEN_43; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_3551 ? 1'h0 : _GEN_53; // @[Conditional.scala 39:67] + wire buf_state_bus_en_0 = _T_3528 ? 1'h0 : _GEN_66; // @[Conditional.scala 40:58] + wire _T_3568 = buf_state_bus_en_0 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 456:49] + wire _T_3569 = _T_3568 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 456:70] + wire _T_3694 = 3'h5 == buf_state_0; // @[Conditional.scala 37:30] + wire [1:0] RspPtr = _T_2127[1:0]; // @[lsu_bus_buffer.scala 393:10] + wire _T_3697 = RspPtr == 2'h0; // @[lsu_bus_buffer.scala 488:37] + wire _T_3698 = buf_dualtag_0 == RspPtr; // @[lsu_bus_buffer.scala 488:98] + wire _T_3699 = buf_dual_0 & _T_3698; // @[lsu_bus_buffer.scala 488:80] + wire _T_3700 = _T_3697 | _T_3699; // @[lsu_bus_buffer.scala 488:65] + wire _T_3701 = _T_3700 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 488:112] + wire _T_3702 = 3'h6 == buf_state_0; // @[Conditional.scala 37:30] + wire _GEN_31 = _T_3694 ? _T_3701 : _T_3702; // @[Conditional.scala 39:67] + wire _GEN_37 = _T_3676 ? _T_3569 : _GEN_31; // @[Conditional.scala 39:67] + wire _GEN_44 = _T_3589 ? _T_3569 : _GEN_37; // @[Conditional.scala 39:67] + wire _GEN_54 = _T_3555 ? _T_3569 : _GEN_44; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_3551 ? _T_3554 : _GEN_54; // @[Conditional.scala 39:67] + wire buf_state_en_0 = _T_3528 ? _T_3544 : _GEN_64; // @[Conditional.scala 40:58] + wire _T_2129 = _T_1853 & buf_state_en_0; // @[lsu_bus_buffer.scala 405:94] + wire _T_2135 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 407:23] + wire _T_2137 = _T_2135 & _T_3532; // @[lsu_bus_buffer.scala 407:41] + wire _T_2139 = _T_2137 & _T_1856; // @[lsu_bus_buffer.scala 407:71] + wire _T_2141 = _T_2139 & _T_1854; // @[lsu_bus_buffer.scala 407:92] + wire _T_2142 = _T_4471 | _T_2141; // @[lsu_bus_buffer.scala 406:86] + wire _T_2143 = ibuf_byp & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 408:17] + wire _T_2144 = _T_2143 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 408:35] + wire _T_2146 = _T_2144 & _T_1857; // @[lsu_bus_buffer.scala 408:52] + wire _T_2148 = _T_2146 & _T_1856; // @[lsu_bus_buffer.scala 408:73] + wire _T_2149 = _T_2142 | _T_2148; // @[lsu_bus_buffer.scala 407:114] + wire _T_2150 = _T_2129 & _T_2149; // @[lsu_bus_buffer.scala 405:113] + wire _T_2152 = _T_2150 | buf_age_0[0]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2166 = _T_2139 & _T_1865; // @[lsu_bus_buffer.scala 407:92] + wire _T_2167 = _T_4476 | _T_2166; // @[lsu_bus_buffer.scala 406:86] + wire _T_2173 = _T_2146 & _T_1867; // @[lsu_bus_buffer.scala 408:73] + wire _T_2174 = _T_2167 | _T_2173; // @[lsu_bus_buffer.scala 407:114] + wire _T_2175 = _T_2129 & _T_2174; // @[lsu_bus_buffer.scala 405:113] + wire _T_2177 = _T_2175 | buf_age_0[1]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2191 = _T_2139 & _T_1876; // @[lsu_bus_buffer.scala 407:92] + wire _T_2192 = _T_4481 | _T_2191; // @[lsu_bus_buffer.scala 406:86] + wire _T_2198 = _T_2146 & _T_1878; // @[lsu_bus_buffer.scala 408:73] + wire _T_2199 = _T_2192 | _T_2198; // @[lsu_bus_buffer.scala 407:114] + wire _T_2200 = _T_2129 & _T_2199; // @[lsu_bus_buffer.scala 405:113] + wire _T_2202 = _T_2200 | buf_age_0[2]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2216 = _T_2139 & _T_1887; // @[lsu_bus_buffer.scala 407:92] + wire _T_2217 = _T_4486 | _T_2216; // @[lsu_bus_buffer.scala 406:86] + wire _T_2223 = _T_2146 & _T_1889; // @[lsu_bus_buffer.scala 408:73] + wire _T_2224 = _T_2217 | _T_2223; // @[lsu_bus_buffer.scala 407:114] + wire _T_2225 = _T_2129 & _T_2224; // @[lsu_bus_buffer.scala 405:113] + wire _T_2227 = _T_2225 | buf_age_0[3]; // @[lsu_bus_buffer.scala 408:97] + wire [2:0] _T_2229 = {_T_2227,_T_2202,_T_2177}; // @[Cat.scala 29:58] + wire _T_3728 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] + wire _T_3729 = _T_3534 & _T_3728; // @[lsu_bus_buffer.scala 443:112] + wire _T_3731 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] + wire _T_3732 = _T_3537 & _T_3731; // @[lsu_bus_buffer.scala 443:161] + wire _T_3733 = _T_3729 | _T_3732; // @[lsu_bus_buffer.scala 443:132] + wire _T_3734 = _T_853 & _T_3733; // @[lsu_bus_buffer.scala 443:63] + wire _T_3735 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] + wire _T_3736 = ibuf_drain_vld & _T_3735; // @[lsu_bus_buffer.scala 443:201] + wire _T_3737 = _T_3734 | _T_3736; // @[lsu_bus_buffer.scala 443:183] + wire _T_3782 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 468:73] + wire _T_3828 = bus_rsp_write & _T_3827; // @[lsu_bus_buffer.scala 468:52] + wire _T_3829 = io_lsu_axi_r_bits_id == 3'h1; // @[lsu_bus_buffer.scala 469:46] + wire [2:0] _GEN_372 = {{1'd0}, buf_ldfwdtag_1}; // @[lsu_bus_buffer.scala 470:47] + wire _T_3831 = io_lsu_axi_r_bits_id == _GEN_372; // @[lsu_bus_buffer.scala 470:47] + wire _T_3832 = buf_ldfwd[1] & _T_3831; // @[lsu_bus_buffer.scala 470:27] + wire _T_3833 = _T_3829 | _T_3832; // @[lsu_bus_buffer.scala 469:77] + wire _T_3834 = buf_dual_1 & buf_dualhi_1; // @[lsu_bus_buffer.scala 471:26] + wire _T_3836 = ~buf_write[1]; // @[lsu_bus_buffer.scala 471:44] + wire _T_3837 = _T_3834 & _T_3836; // @[lsu_bus_buffer.scala 471:42] + wire _T_3838 = _T_3837 & buf_samedw_1; // @[lsu_bus_buffer.scala 471:58] + reg [1:0] buf_dualtag_1; // @[Reg.scala 27:20] + wire [2:0] _GEN_373 = {{1'd0}, buf_dualtag_1}; // @[lsu_bus_buffer.scala 471:94] + wire _T_3839 = io_lsu_axi_r_bits_id == _GEN_373; // @[lsu_bus_buffer.scala 471:94] + wire _T_3840 = _T_3838 & _T_3839; // @[lsu_bus_buffer.scala 471:74] + wire _T_3841 = _T_3833 | _T_3840; // @[lsu_bus_buffer.scala 470:71] + wire _T_3842 = bus_rsp_read & _T_3841; // @[lsu_bus_buffer.scala 469:25] + wire _T_3843 = _T_3828 | _T_3842; // @[lsu_bus_buffer.scala 468:105] + wire _GEN_118 = _T_3782 & _T_3843; // @[Conditional.scala 39:67] + wire _GEN_137 = _T_3748 ? 1'h0 : _GEN_118; // @[Conditional.scala 39:67] + wire _GEN_149 = _T_3744 ? 1'h0 : _GEN_137; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_149; // @[Conditional.scala 40:58] + wire _T_3869 = 3'h4 == buf_state_1; // @[Conditional.scala 37:30] + wire [3:0] _T_3879 = buf_ldfwd >> buf_dualtag_1; // @[lsu_bus_buffer.scala 483:21] + wire [1:0] _GEN_99 = 2'h1 == buf_dualtag_1 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_100 = 2'h2 == buf_dualtag_1 ? buf_ldfwdtag_2 : _GEN_99; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_101 = 2'h3 == buf_dualtag_1 ? buf_ldfwdtag_3 : _GEN_100; // @[lsu_bus_buffer.scala 483:58] + wire [2:0] _GEN_375 = {{1'd0}, _GEN_101}; // @[lsu_bus_buffer.scala 483:58] + wire _T_3881 = io_lsu_axi_r_bits_id == _GEN_375; // @[lsu_bus_buffer.scala 483:58] + wire _T_3882 = _T_3879[0] & _T_3881; // @[lsu_bus_buffer.scala 483:38] + wire _T_3883 = _T_3839 | _T_3882; // @[lsu_bus_buffer.scala 482:95] + wire _T_3884 = bus_rsp_read & _T_3883; // @[lsu_bus_buffer.scala 482:45] + wire _GEN_112 = _T_3869 & _T_3884; // @[Conditional.scala 39:67] + wire _GEN_119 = _T_3782 ? buf_resp_state_bus_en_1 : _GEN_112; // @[Conditional.scala 39:67] + wire _GEN_129 = _T_3748 ? buf_cmd_state_bus_en_1 : _GEN_119; // @[Conditional.scala 39:67] + wire _GEN_142 = _T_3744 ? 1'h0 : _GEN_129; // @[Conditional.scala 39:67] + wire buf_state_bus_en_1 = _T_3721 ? 1'h0 : _GEN_142; // @[Conditional.scala 40:58] + wire _T_3761 = buf_state_bus_en_1 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 456:49] + wire _T_3762 = _T_3761 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 456:70] + wire _T_3887 = 3'h5 == buf_state_1; // @[Conditional.scala 37:30] + wire _T_3890 = RspPtr == 2'h1; // @[lsu_bus_buffer.scala 488:37] + wire _T_3891 = buf_dualtag_1 == RspPtr; // @[lsu_bus_buffer.scala 488:98] + wire _T_3892 = buf_dual_1 & _T_3891; // @[lsu_bus_buffer.scala 488:80] + wire _T_3893 = _T_3890 | _T_3892; // @[lsu_bus_buffer.scala 488:65] + wire _T_3894 = _T_3893 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 488:112] + wire _T_3895 = 3'h6 == buf_state_1; // @[Conditional.scala 37:30] + wire _GEN_107 = _T_3887 ? _T_3894 : _T_3895; // @[Conditional.scala 39:67] + wire _GEN_113 = _T_3869 ? _T_3762 : _GEN_107; // @[Conditional.scala 39:67] + wire _GEN_120 = _T_3782 ? _T_3762 : _GEN_113; // @[Conditional.scala 39:67] + wire _GEN_130 = _T_3748 ? _T_3762 : _GEN_120; // @[Conditional.scala 39:67] + wire _GEN_140 = _T_3744 ? _T_3554 : _GEN_130; // @[Conditional.scala 39:67] + wire buf_state_en_1 = _T_3721 ? _T_3737 : _GEN_140; // @[Conditional.scala 40:58] + wire _T_2231 = _T_1864 & buf_state_en_1; // @[lsu_bus_buffer.scala 405:94] + wire _T_2241 = _T_2137 & _T_1867; // @[lsu_bus_buffer.scala 407:71] + wire _T_2243 = _T_2241 & _T_1854; // @[lsu_bus_buffer.scala 407:92] + wire _T_2244 = _T_4471 | _T_2243; // @[lsu_bus_buffer.scala 406:86] + wire _T_2248 = _T_2144 & _T_1868; // @[lsu_bus_buffer.scala 408:52] + wire _T_2250 = _T_2248 & _T_1856; // @[lsu_bus_buffer.scala 408:73] + wire _T_2251 = _T_2244 | _T_2250; // @[lsu_bus_buffer.scala 407:114] + wire _T_2252 = _T_2231 & _T_2251; // @[lsu_bus_buffer.scala 405:113] + wire _T_2254 = _T_2252 | buf_age_1[0]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2268 = _T_2241 & _T_1865; // @[lsu_bus_buffer.scala 407:92] + wire _T_2269 = _T_4476 | _T_2268; // @[lsu_bus_buffer.scala 406:86] + wire _T_2275 = _T_2248 & _T_1867; // @[lsu_bus_buffer.scala 408:73] + wire _T_2276 = _T_2269 | _T_2275; // @[lsu_bus_buffer.scala 407:114] + wire _T_2277 = _T_2231 & _T_2276; // @[lsu_bus_buffer.scala 405:113] + wire _T_2279 = _T_2277 | buf_age_1[1]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2293 = _T_2241 & _T_1876; // @[lsu_bus_buffer.scala 407:92] + wire _T_2294 = _T_4481 | _T_2293; // @[lsu_bus_buffer.scala 406:86] + wire _T_2300 = _T_2248 & _T_1878; // @[lsu_bus_buffer.scala 408:73] + wire _T_2301 = _T_2294 | _T_2300; // @[lsu_bus_buffer.scala 407:114] + wire _T_2302 = _T_2231 & _T_2301; // @[lsu_bus_buffer.scala 405:113] + wire _T_2304 = _T_2302 | buf_age_1[2]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2318 = _T_2241 & _T_1887; // @[lsu_bus_buffer.scala 407:92] + wire _T_2319 = _T_4486 | _T_2318; // @[lsu_bus_buffer.scala 406:86] + wire _T_2325 = _T_2248 & _T_1889; // @[lsu_bus_buffer.scala 408:73] + wire _T_2326 = _T_2319 | _T_2325; // @[lsu_bus_buffer.scala 407:114] + wire _T_2327 = _T_2231 & _T_2326; // @[lsu_bus_buffer.scala 405:113] + wire _T_2329 = _T_2327 | buf_age_1[3]; // @[lsu_bus_buffer.scala 408:97] + wire [2:0] _T_2331 = {_T_2329,_T_2304,_T_2279}; // @[Cat.scala 29:58] + wire _T_3921 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] + wire _T_3922 = _T_3534 & _T_3921; // @[lsu_bus_buffer.scala 443:112] + wire _T_3924 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] + wire _T_3925 = _T_3537 & _T_3924; // @[lsu_bus_buffer.scala 443:161] + wire _T_3926 = _T_3922 | _T_3925; // @[lsu_bus_buffer.scala 443:132] + wire _T_3927 = _T_853 & _T_3926; // @[lsu_bus_buffer.scala 443:63] + wire _T_3928 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] + wire _T_3929 = ibuf_drain_vld & _T_3928; // @[lsu_bus_buffer.scala 443:201] + wire _T_3930 = _T_3927 | _T_3929; // @[lsu_bus_buffer.scala 443:183] + wire _T_3975 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4020 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 468:73] + wire _T_4021 = bus_rsp_write & _T_4020; // @[lsu_bus_buffer.scala 468:52] + wire _T_4022 = io_lsu_axi_r_bits_id == 3'h2; // @[lsu_bus_buffer.scala 469:46] + wire [2:0] _GEN_376 = {{1'd0}, buf_ldfwdtag_2}; // @[lsu_bus_buffer.scala 470:47] + wire _T_4024 = io_lsu_axi_r_bits_id == _GEN_376; // @[lsu_bus_buffer.scala 470:47] + wire _T_4025 = buf_ldfwd[2] & _T_4024; // @[lsu_bus_buffer.scala 470:27] + wire _T_4026 = _T_4022 | _T_4025; // @[lsu_bus_buffer.scala 469:77] + wire _T_4027 = buf_dual_2 & buf_dualhi_2; // @[lsu_bus_buffer.scala 471:26] + wire _T_4029 = ~buf_write[2]; // @[lsu_bus_buffer.scala 471:44] + wire _T_4030 = _T_4027 & _T_4029; // @[lsu_bus_buffer.scala 471:42] + wire _T_4031 = _T_4030 & buf_samedw_2; // @[lsu_bus_buffer.scala 471:58] + reg [1:0] buf_dualtag_2; // @[Reg.scala 27:20] + wire [2:0] _GEN_377 = {{1'd0}, buf_dualtag_2}; // @[lsu_bus_buffer.scala 471:94] + wire _T_4032 = io_lsu_axi_r_bits_id == _GEN_377; // @[lsu_bus_buffer.scala 471:94] + wire _T_4033 = _T_4031 & _T_4032; // @[lsu_bus_buffer.scala 471:74] + wire _T_4034 = _T_4026 | _T_4033; // @[lsu_bus_buffer.scala 470:71] + wire _T_4035 = bus_rsp_read & _T_4034; // @[lsu_bus_buffer.scala 469:25] + wire _T_4036 = _T_4021 | _T_4035; // @[lsu_bus_buffer.scala 468:105] + wire _GEN_194 = _T_3975 & _T_4036; // @[Conditional.scala 39:67] + wire _GEN_213 = _T_3941 ? 1'h0 : _GEN_194; // @[Conditional.scala 39:67] + wire _GEN_225 = _T_3937 ? 1'h0 : _GEN_213; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_2 = _T_3914 ? 1'h0 : _GEN_225; // @[Conditional.scala 40:58] + wire _T_4062 = 3'h4 == buf_state_2; // @[Conditional.scala 37:30] + wire [3:0] _T_4072 = buf_ldfwd >> buf_dualtag_2; // @[lsu_bus_buffer.scala 483:21] + wire [1:0] _GEN_175 = 2'h1 == buf_dualtag_2 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_176 = 2'h2 == buf_dualtag_2 ? buf_ldfwdtag_2 : _GEN_175; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_177 = 2'h3 == buf_dualtag_2 ? buf_ldfwdtag_3 : _GEN_176; // @[lsu_bus_buffer.scala 483:58] + wire [2:0] _GEN_379 = {{1'd0}, _GEN_177}; // @[lsu_bus_buffer.scala 483:58] + wire _T_4074 = io_lsu_axi_r_bits_id == _GEN_379; // @[lsu_bus_buffer.scala 483:58] + wire _T_4075 = _T_4072[0] & _T_4074; // @[lsu_bus_buffer.scala 483:38] + wire _T_4076 = _T_4032 | _T_4075; // @[lsu_bus_buffer.scala 482:95] + wire _T_4077 = bus_rsp_read & _T_4076; // @[lsu_bus_buffer.scala 482:45] + wire _GEN_188 = _T_4062 & _T_4077; // @[Conditional.scala 39:67] + wire _GEN_195 = _T_3975 ? buf_resp_state_bus_en_2 : _GEN_188; // @[Conditional.scala 39:67] + wire _GEN_205 = _T_3941 ? buf_cmd_state_bus_en_2 : _GEN_195; // @[Conditional.scala 39:67] + wire _GEN_218 = _T_3937 ? 1'h0 : _GEN_205; // @[Conditional.scala 39:67] + wire buf_state_bus_en_2 = _T_3914 ? 1'h0 : _GEN_218; // @[Conditional.scala 40:58] + wire _T_3954 = buf_state_bus_en_2 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 456:49] + wire _T_3955 = _T_3954 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 456:70] + wire _T_4080 = 3'h5 == buf_state_2; // @[Conditional.scala 37:30] + wire _T_4083 = RspPtr == 2'h2; // @[lsu_bus_buffer.scala 488:37] + wire _T_4084 = buf_dualtag_2 == RspPtr; // @[lsu_bus_buffer.scala 488:98] + wire _T_4085 = buf_dual_2 & _T_4084; // @[lsu_bus_buffer.scala 488:80] + wire _T_4086 = _T_4083 | _T_4085; // @[lsu_bus_buffer.scala 488:65] + wire _T_4087 = _T_4086 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 488:112] + wire _T_4088 = 3'h6 == buf_state_2; // @[Conditional.scala 37:30] + wire _GEN_183 = _T_4080 ? _T_4087 : _T_4088; // @[Conditional.scala 39:67] + wire _GEN_189 = _T_4062 ? _T_3955 : _GEN_183; // @[Conditional.scala 39:67] + wire _GEN_196 = _T_3975 ? _T_3955 : _GEN_189; // @[Conditional.scala 39:67] + wire _GEN_206 = _T_3941 ? _T_3955 : _GEN_196; // @[Conditional.scala 39:67] + wire _GEN_216 = _T_3937 ? _T_3554 : _GEN_206; // @[Conditional.scala 39:67] + wire buf_state_en_2 = _T_3914 ? _T_3930 : _GEN_216; // @[Conditional.scala 40:58] + wire _T_2333 = _T_1875 & buf_state_en_2; // @[lsu_bus_buffer.scala 405:94] + wire _T_2343 = _T_2137 & _T_1878; // @[lsu_bus_buffer.scala 407:71] + wire _T_2345 = _T_2343 & _T_1854; // @[lsu_bus_buffer.scala 407:92] + wire _T_2346 = _T_4471 | _T_2345; // @[lsu_bus_buffer.scala 406:86] + wire _T_2350 = _T_2144 & _T_1879; // @[lsu_bus_buffer.scala 408:52] + wire _T_2352 = _T_2350 & _T_1856; // @[lsu_bus_buffer.scala 408:73] + wire _T_2353 = _T_2346 | _T_2352; // @[lsu_bus_buffer.scala 407:114] + wire _T_2354 = _T_2333 & _T_2353; // @[lsu_bus_buffer.scala 405:113] + wire _T_2356 = _T_2354 | buf_age_2[0]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2370 = _T_2343 & _T_1865; // @[lsu_bus_buffer.scala 407:92] + wire _T_2371 = _T_4476 | _T_2370; // @[lsu_bus_buffer.scala 406:86] + wire _T_2377 = _T_2350 & _T_1867; // @[lsu_bus_buffer.scala 408:73] + wire _T_2378 = _T_2371 | _T_2377; // @[lsu_bus_buffer.scala 407:114] + wire _T_2379 = _T_2333 & _T_2378; // @[lsu_bus_buffer.scala 405:113] + wire _T_2381 = _T_2379 | buf_age_2[1]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2395 = _T_2343 & _T_1876; // @[lsu_bus_buffer.scala 407:92] + wire _T_2396 = _T_4481 | _T_2395; // @[lsu_bus_buffer.scala 406:86] + wire _T_2402 = _T_2350 & _T_1878; // @[lsu_bus_buffer.scala 408:73] + wire _T_2403 = _T_2396 | _T_2402; // @[lsu_bus_buffer.scala 407:114] + wire _T_2404 = _T_2333 & _T_2403; // @[lsu_bus_buffer.scala 405:113] + wire _T_2406 = _T_2404 | buf_age_2[2]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2420 = _T_2343 & _T_1887; // @[lsu_bus_buffer.scala 407:92] + wire _T_2421 = _T_4486 | _T_2420; // @[lsu_bus_buffer.scala 406:86] + wire _T_2427 = _T_2350 & _T_1889; // @[lsu_bus_buffer.scala 408:73] + wire _T_2428 = _T_2421 | _T_2427; // @[lsu_bus_buffer.scala 407:114] + wire _T_2429 = _T_2333 & _T_2428; // @[lsu_bus_buffer.scala 405:113] + wire _T_2431 = _T_2429 | buf_age_2[3]; // @[lsu_bus_buffer.scala 408:97] + wire [2:0] _T_2433 = {_T_2431,_T_2406,_T_2381}; // @[Cat.scala 29:58] + wire _T_4114 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] + wire _T_4115 = _T_3534 & _T_4114; // @[lsu_bus_buffer.scala 443:112] + wire _T_4117 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] + wire _T_4118 = _T_3537 & _T_4117; // @[lsu_bus_buffer.scala 443:161] + wire _T_4119 = _T_4115 | _T_4118; // @[lsu_bus_buffer.scala 443:132] + wire _T_4120 = _T_853 & _T_4119; // @[lsu_bus_buffer.scala 443:63] + wire _T_4121 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] + wire _T_4122 = ibuf_drain_vld & _T_4121; // @[lsu_bus_buffer.scala 443:201] + wire _T_4123 = _T_4120 | _T_4122; // @[lsu_bus_buffer.scala 443:183] + wire _T_4168 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4213 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 468:73] + wire _T_4214 = bus_rsp_write & _T_4213; // @[lsu_bus_buffer.scala 468:52] + wire _T_4215 = io_lsu_axi_r_bits_id == 3'h3; // @[lsu_bus_buffer.scala 469:46] + wire [2:0] _GEN_380 = {{1'd0}, buf_ldfwdtag_3}; // @[lsu_bus_buffer.scala 470:47] + wire _T_4217 = io_lsu_axi_r_bits_id == _GEN_380; // @[lsu_bus_buffer.scala 470:47] + wire _T_4218 = buf_ldfwd[3] & _T_4217; // @[lsu_bus_buffer.scala 470:27] + wire _T_4219 = _T_4215 | _T_4218; // @[lsu_bus_buffer.scala 469:77] + wire _T_4220 = buf_dual_3 & buf_dualhi_3; // @[lsu_bus_buffer.scala 471:26] + wire _T_4222 = ~buf_write[3]; // @[lsu_bus_buffer.scala 471:44] + wire _T_4223 = _T_4220 & _T_4222; // @[lsu_bus_buffer.scala 471:42] + wire _T_4224 = _T_4223 & buf_samedw_3; // @[lsu_bus_buffer.scala 471:58] + reg [1:0] buf_dualtag_3; // @[Reg.scala 27:20] + wire [2:0] _GEN_381 = {{1'd0}, buf_dualtag_3}; // @[lsu_bus_buffer.scala 471:94] + wire _T_4225 = io_lsu_axi_r_bits_id == _GEN_381; // @[lsu_bus_buffer.scala 471:94] + wire _T_4226 = _T_4224 & _T_4225; // @[lsu_bus_buffer.scala 471:74] + wire _T_4227 = _T_4219 | _T_4226; // @[lsu_bus_buffer.scala 470:71] + wire _T_4228 = bus_rsp_read & _T_4227; // @[lsu_bus_buffer.scala 469:25] + wire _T_4229 = _T_4214 | _T_4228; // @[lsu_bus_buffer.scala 468:105] + wire _GEN_270 = _T_4168 & _T_4229; // @[Conditional.scala 39:67] + wire _GEN_289 = _T_4134 ? 1'h0 : _GEN_270; // @[Conditional.scala 39:67] + wire _GEN_301 = _T_4130 ? 1'h0 : _GEN_289; // @[Conditional.scala 39:67] + wire buf_resp_state_bus_en_3 = _T_4107 ? 1'h0 : _GEN_301; // @[Conditional.scala 40:58] + wire _T_4255 = 3'h4 == buf_state_3; // @[Conditional.scala 37:30] + wire [3:0] _T_4265 = buf_ldfwd >> buf_dualtag_3; // @[lsu_bus_buffer.scala 483:21] + wire [1:0] _GEN_251 = 2'h1 == buf_dualtag_3 ? buf_ldfwdtag_1 : buf_ldfwdtag_0; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_252 = 2'h2 == buf_dualtag_3 ? buf_ldfwdtag_2 : _GEN_251; // @[lsu_bus_buffer.scala 483:58] + wire [1:0] _GEN_253 = 2'h3 == buf_dualtag_3 ? buf_ldfwdtag_3 : _GEN_252; // @[lsu_bus_buffer.scala 483:58] + wire [2:0] _GEN_383 = {{1'd0}, _GEN_253}; // @[lsu_bus_buffer.scala 483:58] + wire _T_4267 = io_lsu_axi_r_bits_id == _GEN_383; // @[lsu_bus_buffer.scala 483:58] + wire _T_4268 = _T_4265[0] & _T_4267; // @[lsu_bus_buffer.scala 483:38] + wire _T_4269 = _T_4225 | _T_4268; // @[lsu_bus_buffer.scala 482:95] + wire _T_4270 = bus_rsp_read & _T_4269; // @[lsu_bus_buffer.scala 482:45] + wire _GEN_264 = _T_4255 & _T_4270; // @[Conditional.scala 39:67] + wire _GEN_271 = _T_4168 ? buf_resp_state_bus_en_3 : _GEN_264; // @[Conditional.scala 39:67] + wire _GEN_281 = _T_4134 ? buf_cmd_state_bus_en_3 : _GEN_271; // @[Conditional.scala 39:67] + wire _GEN_294 = _T_4130 ? 1'h0 : _GEN_281; // @[Conditional.scala 39:67] + wire buf_state_bus_en_3 = _T_4107 ? 1'h0 : _GEN_294; // @[Conditional.scala 40:58] + wire _T_4147 = buf_state_bus_en_3 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 456:49] + wire _T_4148 = _T_4147 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 456:70] + wire _T_4273 = 3'h5 == buf_state_3; // @[Conditional.scala 37:30] + wire _T_4276 = RspPtr == 2'h3; // @[lsu_bus_buffer.scala 488:37] + wire _T_4277 = buf_dualtag_3 == RspPtr; // @[lsu_bus_buffer.scala 488:98] + wire _T_4278 = buf_dual_3 & _T_4277; // @[lsu_bus_buffer.scala 488:80] + wire _T_4279 = _T_4276 | _T_4278; // @[lsu_bus_buffer.scala 488:65] + wire _T_4280 = _T_4279 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 488:112] + wire _T_4281 = 3'h6 == buf_state_3; // @[Conditional.scala 37:30] + wire _GEN_259 = _T_4273 ? _T_4280 : _T_4281; // @[Conditional.scala 39:67] + wire _GEN_265 = _T_4255 ? _T_4148 : _GEN_259; // @[Conditional.scala 39:67] + wire _GEN_272 = _T_4168 ? _T_4148 : _GEN_265; // @[Conditional.scala 39:67] + wire _GEN_282 = _T_4134 ? _T_4148 : _GEN_272; // @[Conditional.scala 39:67] + wire _GEN_292 = _T_4130 ? _T_3554 : _GEN_282; // @[Conditional.scala 39:67] + wire buf_state_en_3 = _T_4107 ? _T_4123 : _GEN_292; // @[Conditional.scala 40:58] + wire _T_2435 = _T_1886 & buf_state_en_3; // @[lsu_bus_buffer.scala 405:94] + wire _T_2445 = _T_2137 & _T_1889; // @[lsu_bus_buffer.scala 407:71] + wire _T_2447 = _T_2445 & _T_1854; // @[lsu_bus_buffer.scala 407:92] + wire _T_2448 = _T_4471 | _T_2447; // @[lsu_bus_buffer.scala 406:86] + wire _T_2452 = _T_2144 & _T_1890; // @[lsu_bus_buffer.scala 408:52] + wire _T_2454 = _T_2452 & _T_1856; // @[lsu_bus_buffer.scala 408:73] + wire _T_2455 = _T_2448 | _T_2454; // @[lsu_bus_buffer.scala 407:114] + wire _T_2456 = _T_2435 & _T_2455; // @[lsu_bus_buffer.scala 405:113] + wire _T_2458 = _T_2456 | buf_age_3[0]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2472 = _T_2445 & _T_1865; // @[lsu_bus_buffer.scala 407:92] + wire _T_2473 = _T_4476 | _T_2472; // @[lsu_bus_buffer.scala 406:86] + wire _T_2479 = _T_2452 & _T_1867; // @[lsu_bus_buffer.scala 408:73] + wire _T_2480 = _T_2473 | _T_2479; // @[lsu_bus_buffer.scala 407:114] + wire _T_2481 = _T_2435 & _T_2480; // @[lsu_bus_buffer.scala 405:113] + wire _T_2483 = _T_2481 | buf_age_3[1]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2497 = _T_2445 & _T_1876; // @[lsu_bus_buffer.scala 407:92] + wire _T_2498 = _T_4481 | _T_2497; // @[lsu_bus_buffer.scala 406:86] + wire _T_2504 = _T_2452 & _T_1878; // @[lsu_bus_buffer.scala 408:73] + wire _T_2505 = _T_2498 | _T_2504; // @[lsu_bus_buffer.scala 407:114] + wire _T_2506 = _T_2435 & _T_2505; // @[lsu_bus_buffer.scala 405:113] + wire _T_2508 = _T_2506 | buf_age_3[2]; // @[lsu_bus_buffer.scala 408:97] + wire _T_2522 = _T_2445 & _T_1887; // @[lsu_bus_buffer.scala 407:92] + wire _T_2523 = _T_4486 | _T_2522; // @[lsu_bus_buffer.scala 406:86] + wire _T_2529 = _T_2452 & _T_1889; // @[lsu_bus_buffer.scala 408:73] + wire _T_2530 = _T_2523 | _T_2529; // @[lsu_bus_buffer.scala 407:114] + wire _T_2531 = _T_2435 & _T_2530; // @[lsu_bus_buffer.scala 405:113] + wire _T_2533 = _T_2531 | buf_age_3[3]; // @[lsu_bus_buffer.scala 408:97] + wire [2:0] _T_2535 = {_T_2533,_T_2508,_T_2483}; // @[Cat.scala 29:58] + wire _T_2799 = buf_state_0 == 3'h6; // @[lsu_bus_buffer.scala 416:47] + wire _T_2800 = _T_1853 | _T_2799; // @[lsu_bus_buffer.scala 416:32] + wire _T_2801 = ~_T_2800; // @[lsu_bus_buffer.scala 416:6] + wire _T_2809 = _T_2801 | _T_2141; // @[lsu_bus_buffer.scala 416:59] + wire _T_2816 = _T_2809 | _T_2148; // @[lsu_bus_buffer.scala 417:110] + wire _T_2817 = _T_2129 & _T_2816; // @[lsu_bus_buffer.scala 415:112] + wire _T_2821 = buf_state_1 == 3'h6; // @[lsu_bus_buffer.scala 416:47] + wire _T_2822 = _T_1864 | _T_2821; // @[lsu_bus_buffer.scala 416:32] + wire _T_2823 = ~_T_2822; // @[lsu_bus_buffer.scala 416:6] + wire _T_2831 = _T_2823 | _T_2166; // @[lsu_bus_buffer.scala 416:59] + wire _T_2838 = _T_2831 | _T_2173; // @[lsu_bus_buffer.scala 417:110] + wire _T_2839 = _T_2129 & _T_2838; // @[lsu_bus_buffer.scala 415:112] + wire _T_2843 = buf_state_2 == 3'h6; // @[lsu_bus_buffer.scala 416:47] + wire _T_2844 = _T_1875 | _T_2843; // @[lsu_bus_buffer.scala 416:32] + wire _T_2845 = ~_T_2844; // @[lsu_bus_buffer.scala 416:6] + wire _T_2853 = _T_2845 | _T_2191; // @[lsu_bus_buffer.scala 416:59] + wire _T_2860 = _T_2853 | _T_2198; // @[lsu_bus_buffer.scala 417:110] + wire _T_2861 = _T_2129 & _T_2860; // @[lsu_bus_buffer.scala 415:112] + wire _T_2865 = buf_state_3 == 3'h6; // @[lsu_bus_buffer.scala 416:47] + wire _T_2866 = _T_1886 | _T_2865; // @[lsu_bus_buffer.scala 416:32] + wire _T_2867 = ~_T_2866; // @[lsu_bus_buffer.scala 416:6] + wire _T_2875 = _T_2867 | _T_2216; // @[lsu_bus_buffer.scala 416:59] + wire _T_2882 = _T_2875 | _T_2223; // @[lsu_bus_buffer.scala 417:110] + wire _T_2883 = _T_2129 & _T_2882; // @[lsu_bus_buffer.scala 415:112] + wire [3:0] buf_rspage_set_0 = {_T_2883,_T_2861,_T_2839,_T_2817}; // @[Cat.scala 29:58] + wire _T_2900 = _T_2801 | _T_2243; // @[lsu_bus_buffer.scala 416:59] + wire _T_2907 = _T_2900 | _T_2250; // @[lsu_bus_buffer.scala 417:110] + wire _T_2908 = _T_2231 & _T_2907; // @[lsu_bus_buffer.scala 415:112] + wire _T_2922 = _T_2823 | _T_2268; // @[lsu_bus_buffer.scala 416:59] + wire _T_2929 = _T_2922 | _T_2275; // @[lsu_bus_buffer.scala 417:110] + wire _T_2930 = _T_2231 & _T_2929; // @[lsu_bus_buffer.scala 415:112] + wire _T_2944 = _T_2845 | _T_2293; // @[lsu_bus_buffer.scala 416:59] + wire _T_2951 = _T_2944 | _T_2300; // @[lsu_bus_buffer.scala 417:110] + wire _T_2952 = _T_2231 & _T_2951; // @[lsu_bus_buffer.scala 415:112] + wire _T_2966 = _T_2867 | _T_2318; // @[lsu_bus_buffer.scala 416:59] + wire _T_2973 = _T_2966 | _T_2325; // @[lsu_bus_buffer.scala 417:110] + wire _T_2974 = _T_2231 & _T_2973; // @[lsu_bus_buffer.scala 415:112] + wire [3:0] buf_rspage_set_1 = {_T_2974,_T_2952,_T_2930,_T_2908}; // @[Cat.scala 29:58] + wire _T_2991 = _T_2801 | _T_2345; // @[lsu_bus_buffer.scala 416:59] + wire _T_2998 = _T_2991 | _T_2352; // @[lsu_bus_buffer.scala 417:110] + wire _T_2999 = _T_2333 & _T_2998; // @[lsu_bus_buffer.scala 415:112] + wire _T_3013 = _T_2823 | _T_2370; // @[lsu_bus_buffer.scala 416:59] + wire _T_3020 = _T_3013 | _T_2377; // @[lsu_bus_buffer.scala 417:110] + wire _T_3021 = _T_2333 & _T_3020; // @[lsu_bus_buffer.scala 415:112] + wire _T_3035 = _T_2845 | _T_2395; // @[lsu_bus_buffer.scala 416:59] + wire _T_3042 = _T_3035 | _T_2402; // @[lsu_bus_buffer.scala 417:110] + wire _T_3043 = _T_2333 & _T_3042; // @[lsu_bus_buffer.scala 415:112] + wire _T_3057 = _T_2867 | _T_2420; // @[lsu_bus_buffer.scala 416:59] + wire _T_3064 = _T_3057 | _T_2427; // @[lsu_bus_buffer.scala 417:110] + wire _T_3065 = _T_2333 & _T_3064; // @[lsu_bus_buffer.scala 415:112] + wire [3:0] buf_rspage_set_2 = {_T_3065,_T_3043,_T_3021,_T_2999}; // @[Cat.scala 29:58] + wire _T_3082 = _T_2801 | _T_2447; // @[lsu_bus_buffer.scala 416:59] + wire _T_3089 = _T_3082 | _T_2454; // @[lsu_bus_buffer.scala 417:110] + wire _T_3090 = _T_2435 & _T_3089; // @[lsu_bus_buffer.scala 415:112] + wire _T_3104 = _T_2823 | _T_2472; // @[lsu_bus_buffer.scala 416:59] + wire _T_3111 = _T_3104 | _T_2479; // @[lsu_bus_buffer.scala 417:110] + wire _T_3112 = _T_2435 & _T_3111; // @[lsu_bus_buffer.scala 415:112] + wire _T_3126 = _T_2845 | _T_2497; // @[lsu_bus_buffer.scala 416:59] + wire _T_3133 = _T_3126 | _T_2504; // @[lsu_bus_buffer.scala 417:110] + wire _T_3134 = _T_2435 & _T_3133; // @[lsu_bus_buffer.scala 415:112] + wire _T_3148 = _T_2867 | _T_2522; // @[lsu_bus_buffer.scala 416:59] + wire _T_3155 = _T_3148 | _T_2529; // @[lsu_bus_buffer.scala 417:110] + wire _T_3156 = _T_2435 & _T_3155; // @[lsu_bus_buffer.scala 415:112] + wire [3:0] buf_rspage_set_3 = {_T_3156,_T_3134,_T_3112,_T_3090}; // @[Cat.scala 29:58] + wire _T_3241 = _T_2865 | _T_1886; // @[lsu_bus_buffer.scala 420:110] + wire _T_3242 = ~_T_3241; // @[lsu_bus_buffer.scala 420:84] + wire _T_3243 = buf_rspageQ_0[3] & _T_3242; // @[lsu_bus_buffer.scala 420:82] + wire _T_3235 = _T_2843 | _T_1875; // @[lsu_bus_buffer.scala 420:110] + wire _T_3236 = ~_T_3235; // @[lsu_bus_buffer.scala 420:84] + wire _T_3237 = buf_rspageQ_0[2] & _T_3236; // @[lsu_bus_buffer.scala 420:82] + wire _T_3229 = _T_2821 | _T_1864; // @[lsu_bus_buffer.scala 420:110] + wire _T_3230 = ~_T_3229; // @[lsu_bus_buffer.scala 420:84] + wire _T_3231 = buf_rspageQ_0[1] & _T_3230; // @[lsu_bus_buffer.scala 420:82] + wire _T_3223 = _T_2799 | _T_1853; // @[lsu_bus_buffer.scala 420:110] + wire _T_3224 = ~_T_3223; // @[lsu_bus_buffer.scala 420:84] + wire _T_3225 = buf_rspageQ_0[0] & _T_3224; // @[lsu_bus_buffer.scala 420:82] + wire [3:0] buf_rspage_0 = {_T_3243,_T_3237,_T_3231,_T_3225}; // @[Cat.scala 29:58] + wire _T_3162 = buf_rspage_set_0[0] | buf_rspage_0[0]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3165 = buf_rspage_set_0[1] | buf_rspage_0[1]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3168 = buf_rspage_set_0[2] | buf_rspage_0[2]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3171 = buf_rspage_set_0[3] | buf_rspage_0[3]; // @[lsu_bus_buffer.scala 419:88] + wire [2:0] _T_3173 = {_T_3171,_T_3168,_T_3165}; // @[Cat.scala 29:58] + wire _T_3270 = buf_rspageQ_1[3] & _T_3242; // @[lsu_bus_buffer.scala 420:82] + wire _T_3264 = buf_rspageQ_1[2] & _T_3236; // @[lsu_bus_buffer.scala 420:82] + wire _T_3258 = buf_rspageQ_1[1] & _T_3230; // @[lsu_bus_buffer.scala 420:82] + wire _T_3252 = buf_rspageQ_1[0] & _T_3224; // @[lsu_bus_buffer.scala 420:82] + wire [3:0] buf_rspage_1 = {_T_3270,_T_3264,_T_3258,_T_3252}; // @[Cat.scala 29:58] + wire _T_3177 = buf_rspage_set_1[0] | buf_rspage_1[0]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3180 = buf_rspage_set_1[1] | buf_rspage_1[1]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3183 = buf_rspage_set_1[2] | buf_rspage_1[2]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3186 = buf_rspage_set_1[3] | buf_rspage_1[3]; // @[lsu_bus_buffer.scala 419:88] + wire [2:0] _T_3188 = {_T_3186,_T_3183,_T_3180}; // @[Cat.scala 29:58] + wire _T_3297 = buf_rspageQ_2[3] & _T_3242; // @[lsu_bus_buffer.scala 420:82] + wire _T_3291 = buf_rspageQ_2[2] & _T_3236; // @[lsu_bus_buffer.scala 420:82] + wire _T_3285 = buf_rspageQ_2[1] & _T_3230; // @[lsu_bus_buffer.scala 420:82] + wire _T_3279 = buf_rspageQ_2[0] & _T_3224; // @[lsu_bus_buffer.scala 420:82] + wire [3:0] buf_rspage_2 = {_T_3297,_T_3291,_T_3285,_T_3279}; // @[Cat.scala 29:58] + wire _T_3192 = buf_rspage_set_2[0] | buf_rspage_2[0]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3195 = buf_rspage_set_2[1] | buf_rspage_2[1]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3198 = buf_rspage_set_2[2] | buf_rspage_2[2]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3201 = buf_rspage_set_2[3] | buf_rspage_2[3]; // @[lsu_bus_buffer.scala 419:88] + wire [2:0] _T_3203 = {_T_3201,_T_3198,_T_3195}; // @[Cat.scala 29:58] + wire _T_3324 = buf_rspageQ_3[3] & _T_3242; // @[lsu_bus_buffer.scala 420:82] + wire _T_3318 = buf_rspageQ_3[2] & _T_3236; // @[lsu_bus_buffer.scala 420:82] + wire _T_3312 = buf_rspageQ_3[1] & _T_3230; // @[lsu_bus_buffer.scala 420:82] + wire _T_3306 = buf_rspageQ_3[0] & _T_3224; // @[lsu_bus_buffer.scala 420:82] + wire [3:0] buf_rspage_3 = {_T_3324,_T_3318,_T_3312,_T_3306}; // @[Cat.scala 29:58] + wire _T_3207 = buf_rspage_set_3[0] | buf_rspage_3[0]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3210 = buf_rspage_set_3[1] | buf_rspage_3[1]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3213 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 419:88] + wire _T_3216 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 419:88] + wire [2:0] _T_3218 = {_T_3216,_T_3213,_T_3210}; // @[Cat.scala 29:58] + wire _T_3329 = ibuf_drain_vld & _T_1854; // @[lsu_bus_buffer.scala 425:63] + wire _T_3331 = ibuf_drain_vld & _T_1865; // @[lsu_bus_buffer.scala 425:63] + wire _T_3333 = ibuf_drain_vld & _T_1876; // @[lsu_bus_buffer.scala 425:63] + wire _T_3335 = ibuf_drain_vld & _T_1887; // @[lsu_bus_buffer.scala 425:63] + wire [3:0] ibuf_drainvec_vld = {_T_3335,_T_3333,_T_3331,_T_3329}; // @[Cat.scala 29:58] + wire _T_3343 = _T_3537 & _T_1857; // @[lsu_bus_buffer.scala 427:35] + wire _T_3352 = _T_3537 & _T_1868; // @[lsu_bus_buffer.scala 427:35] + wire _T_3361 = _T_3537 & _T_1879; // @[lsu_bus_buffer.scala 427:35] + wire _T_3370 = _T_3537 & _T_1890; // @[lsu_bus_buffer.scala 427:35] + wire _T_3400 = ibuf_drainvec_vld[0] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] + wire _T_3402 = ibuf_drainvec_vld[1] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] + wire _T_3404 = ibuf_drainvec_vld[2] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] + wire _T_3406 = ibuf_drainvec_vld[3] ? ibuf_dual : io_ldst_dual_r; // @[lsu_bus_buffer.scala 429:45] + wire [3:0] buf_dual_in = {_T_3406,_T_3404,_T_3402,_T_3400}; // @[Cat.scala 29:58] + wire _T_3411 = ibuf_drainvec_vld[0] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] + wire _T_3413 = ibuf_drainvec_vld[1] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] + wire _T_3415 = ibuf_drainvec_vld[2] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] + wire _T_3417 = ibuf_drainvec_vld[3] ? ibuf_samedw : ldst_samedw_r; // @[lsu_bus_buffer.scala 430:47] + wire [3:0] buf_samedw_in = {_T_3417,_T_3415,_T_3413,_T_3411}; // @[Cat.scala 29:58] + wire _T_3422 = ibuf_nomerge | ibuf_force_drain; // @[lsu_bus_buffer.scala 431:84] + wire _T_3423 = ibuf_drainvec_vld[0] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] + wire _T_3426 = ibuf_drainvec_vld[1] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] + wire _T_3429 = ibuf_drainvec_vld[2] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] + wire _T_3432 = ibuf_drainvec_vld[3] ? _T_3422 : io_no_dword_merge_r; // @[lsu_bus_buffer.scala 431:48] + wire [3:0] buf_nomerge_in = {_T_3432,_T_3429,_T_3426,_T_3423}; // @[Cat.scala 29:58] + wire _T_3440 = ibuf_drainvec_vld[0] ? ibuf_dual : _T_3343; // @[lsu_bus_buffer.scala 432:47] + wire _T_3445 = ibuf_drainvec_vld[1] ? ibuf_dual : _T_3352; // @[lsu_bus_buffer.scala 432:47] + wire _T_3450 = ibuf_drainvec_vld[2] ? ibuf_dual : _T_3361; // @[lsu_bus_buffer.scala 432:47] + wire _T_3455 = ibuf_drainvec_vld[3] ? ibuf_dual : _T_3370; // @[lsu_bus_buffer.scala 432:47] + wire [3:0] buf_dualhi_in = {_T_3455,_T_3450,_T_3445,_T_3440}; // @[Cat.scala 29:58] + wire _T_3484 = ibuf_drainvec_vld[0] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] + wire _T_3486 = ibuf_drainvec_vld[1] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] + wire _T_3488 = ibuf_drainvec_vld[2] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] + wire _T_3490 = ibuf_drainvec_vld[3] ? ibuf_sideeffect : io_is_sideeffects_r; // @[lsu_bus_buffer.scala 434:51] + wire [3:0] buf_sideeffect_in = {_T_3490,_T_3488,_T_3486,_T_3484}; // @[Cat.scala 29:58] + wire _T_3495 = ibuf_drainvec_vld[0] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] + wire _T_3497 = ibuf_drainvec_vld[1] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] + wire _T_3499 = ibuf_drainvec_vld[2] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] + wire _T_3501 = ibuf_drainvec_vld[3] ? ibuf_unsign : io_lsu_pkt_r_bits_unsign; // @[lsu_bus_buffer.scala 435:47] + wire [3:0] buf_unsign_in = {_T_3501,_T_3499,_T_3497,_T_3495}; // @[Cat.scala 29:58] + wire _T_3518 = ibuf_drainvec_vld[0] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] + wire _T_3520 = ibuf_drainvec_vld[1] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] + wire _T_3522 = ibuf_drainvec_vld[2] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] + wire _T_3524 = ibuf_drainvec_vld[3] ? ibuf_write : io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 437:46] + wire [3:0] buf_write_in = {_T_3524,_T_3522,_T_3520,_T_3518}; // @[Cat.scala 29:58] + wire _T_3557 = obuf_nosend & bus_rsp_read; // @[lsu_bus_buffer.scala 453:89] + wire _T_3559 = _T_3557 & _T_1351; // @[lsu_bus_buffer.scala 453:104] + wire _T_3572 = buf_state_en_0 & _T_3643; // @[lsu_bus_buffer.scala 458:44] + wire _T_3573 = _T_3572 & obuf_nosend; // @[lsu_bus_buffer.scala 458:60] + wire _T_3575 = _T_3573 & _T_1333; // @[lsu_bus_buffer.scala 458:74] + wire _T_3578 = _T_3568 & obuf_nosend; // @[lsu_bus_buffer.scala 460:67] + wire _T_3579 = _T_3578 & bus_rsp_read; // @[lsu_bus_buffer.scala 460:81] + wire _T_4872 = io_lsu_axi_r_bits_resp != 2'h0; // @[lsu_bus_buffer.scala 564:64] + wire bus_rsp_read_error = bus_rsp_read & _T_4872; // @[lsu_bus_buffer.scala 564:38] + wire _T_3582 = _T_3578 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 461:82] + wire _T_3657 = bus_rsp_read_error & _T_3636; // @[lsu_bus_buffer.scala 475:91] + wire _T_3659 = bus_rsp_read_error & buf_ldfwd[0]; // @[lsu_bus_buffer.scala 476:31] + wire _T_3661 = _T_3659 & _T_3638; // @[lsu_bus_buffer.scala 476:46] + wire _T_3662 = _T_3657 | _T_3661; // @[lsu_bus_buffer.scala 475:143] + wire _T_3667 = _T_3568 & _T_3662; // @[lsu_bus_buffer.scala 475:68] + wire _GEN_46 = _T_3589 & _T_3667; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_3555 ? _T_3582 : _GEN_46; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_3551 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] + wire buf_error_en_0 = _T_3528 ? 1'h0 : _GEN_71; // @[Conditional.scala 40:58] + wire _T_3594 = io_dec_tlu_force_halt | buf_write[0]; // @[lsu_bus_buffer.scala 465:55] + wire _T_3596 = ~buf_samedw_0; // @[lsu_bus_buffer.scala 466:30] + wire _T_3597 = buf_dual_0 & _T_3596; // @[lsu_bus_buffer.scala 466:28] + wire _T_3600 = _T_3597 & _T_3643; // @[lsu_bus_buffer.scala 466:45] + wire [2:0] _GEN_19 = 2'h1 == buf_dualtag_0 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_20 = 2'h2 == buf_dualtag_0 ? buf_state_2 : _GEN_19; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_21 = 2'h3 == buf_dualtag_0 ? buf_state_3 : _GEN_20; // @[lsu_bus_buffer.scala 466:90] + wire _T_3601 = _GEN_21 != 3'h4; // @[lsu_bus_buffer.scala 466:90] + wire _T_3602 = _T_3600 & _T_3601; // @[lsu_bus_buffer.scala 466:61] + wire _T_4494 = _T_2746 | _T_2743; // @[lsu_bus_buffer.scala 524:93] + wire _T_4495 = _T_4494 | _T_2740; // @[lsu_bus_buffer.scala 524:93] + wire any_done_wait_state = _T_4495 | _T_2737; // @[lsu_bus_buffer.scala 524:93] + wire _T_3604 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] + wire _T_3610 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_3612 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_3614 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_3616 = buf_dualtag_0 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_3618 = _T_3610 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3619 = _T_3612 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3620 = _T_3614 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3621 = _T_3616 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3622 = _T_3618 | _T_3619; // @[Mux.scala 27:72] + wire _T_3623 = _T_3622 | _T_3620; // @[Mux.scala 27:72] + wire _T_3624 = _T_3623 | _T_3621; // @[Mux.scala 27:72] + wire _T_3626 = _T_3600 & _T_3624; // @[lsu_bus_buffer.scala 467:101] + wire _T_3627 = _GEN_21 == 3'h4; // @[lsu_bus_buffer.scala 467:167] + wire _T_3628 = _T_3626 & _T_3627; // @[lsu_bus_buffer.scala 467:138] + wire _T_3629 = _T_3628 & any_done_wait_state; // @[lsu_bus_buffer.scala 467:187] + wire _T_3630 = _T_3604 | _T_3629; // @[lsu_bus_buffer.scala 467:53] + wire _T_3653 = buf_state_bus_en_0 & bus_rsp_read; // @[lsu_bus_buffer.scala 474:47] + wire _T_3654 = _T_3653 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 474:62] + wire _T_3668 = ~buf_error_en_0; // @[lsu_bus_buffer.scala 478:50] + wire _T_3669 = buf_state_en_0 & _T_3668; // @[lsu_bus_buffer.scala 478:48] + wire _T_3681 = buf_ldfwd[0] | _T_3686[0]; // @[lsu_bus_buffer.scala 481:90] + wire _T_3682 = _T_3681 | any_done_wait_state; // @[lsu_bus_buffer.scala 481:118] + wire _GEN_29 = _T_3702 & buf_state_en_0; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_3694 ? 1'h0 : _T_3702; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_3694 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_3676 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_40 = _T_3676 ? 1'h0 : _GEN_34; // @[Conditional.scala 39:67] + wire _GEN_45 = _T_3589 & _T_3654; // @[Conditional.scala 39:67] + wire _GEN_48 = _T_3589 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] + wire _GEN_50 = _T_3589 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] + wire _GEN_56 = _T_3555 ? _T_3575 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_58 = _T_3555 ? _T_3579 : _GEN_45; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_3555 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] + wire _GEN_68 = _T_3551 ? 1'h0 : _GEN_56; // @[Conditional.scala 39:67] + wire _GEN_70 = _T_3551 ? 1'h0 : _GEN_58; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_3551 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire buf_wr_en_0 = _T_3528 & buf_state_en_0; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_0 = _T_3528 ? 1'h0 : _GEN_68; // @[Conditional.scala 40:58] + wire buf_rst_0 = _T_3528 ? 1'h0 : _GEN_74; // @[Conditional.scala 40:58] + wire _T_3765 = buf_state_en_1 & _T_3836; // @[lsu_bus_buffer.scala 458:44] + wire _T_3766 = _T_3765 & obuf_nosend; // @[lsu_bus_buffer.scala 458:60] + wire _T_3768 = _T_3766 & _T_1333; // @[lsu_bus_buffer.scala 458:74] + wire _T_3771 = _T_3761 & obuf_nosend; // @[lsu_bus_buffer.scala 460:67] + wire _T_3772 = _T_3771 & bus_rsp_read; // @[lsu_bus_buffer.scala 460:81] + wire _T_3775 = _T_3771 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 461:82] + wire _T_3850 = bus_rsp_read_error & _T_3829; // @[lsu_bus_buffer.scala 475:91] + wire _T_3852 = bus_rsp_read_error & buf_ldfwd[1]; // @[lsu_bus_buffer.scala 476:31] + wire _T_3854 = _T_3852 & _T_3831; // @[lsu_bus_buffer.scala 476:46] + wire _T_3855 = _T_3850 | _T_3854; // @[lsu_bus_buffer.scala 475:143] + wire _T_3860 = _T_3761 & _T_3855; // @[lsu_bus_buffer.scala 475:68] + wire _GEN_122 = _T_3782 & _T_3860; // @[Conditional.scala 39:67] + wire _GEN_135 = _T_3748 ? _T_3775 : _GEN_122; // @[Conditional.scala 39:67] + wire _GEN_147 = _T_3744 ? 1'h0 : _GEN_135; // @[Conditional.scala 39:67] + wire buf_error_en_1 = _T_3721 ? 1'h0 : _GEN_147; // @[Conditional.scala 40:58] + wire _T_3787 = io_dec_tlu_force_halt | buf_write[1]; // @[lsu_bus_buffer.scala 465:55] + wire _T_3789 = ~buf_samedw_1; // @[lsu_bus_buffer.scala 466:30] + wire _T_3790 = buf_dual_1 & _T_3789; // @[lsu_bus_buffer.scala 466:28] + wire _T_3793 = _T_3790 & _T_3836; // @[lsu_bus_buffer.scala 466:45] + wire [2:0] _GEN_95 = 2'h1 == buf_dualtag_1 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_96 = 2'h2 == buf_dualtag_1 ? buf_state_2 : _GEN_95; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_97 = 2'h3 == buf_dualtag_1 ? buf_state_3 : _GEN_96; // @[lsu_bus_buffer.scala 466:90] + wire _T_3794 = _GEN_97 != 3'h4; // @[lsu_bus_buffer.scala 466:90] + wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 466:61] + wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] + wire _T_3803 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_3805 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_3807 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_3809 = buf_dualtag_1 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_3811 = _T_3803 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_3812 = _T_3805 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_3813 = _T_3807 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_3814 = _T_3809 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_3815 = _T_3811 | _T_3812; // @[Mux.scala 27:72] + wire _T_3816 = _T_3815 | _T_3813; // @[Mux.scala 27:72] + wire _T_3817 = _T_3816 | _T_3814; // @[Mux.scala 27:72] + wire _T_3819 = _T_3793 & _T_3817; // @[lsu_bus_buffer.scala 467:101] + wire _T_3820 = _GEN_97 == 3'h4; // @[lsu_bus_buffer.scala 467:167] + wire _T_3821 = _T_3819 & _T_3820; // @[lsu_bus_buffer.scala 467:138] + wire _T_3822 = _T_3821 & any_done_wait_state; // @[lsu_bus_buffer.scala 467:187] + wire _T_3823 = _T_3797 | _T_3822; // @[lsu_bus_buffer.scala 467:53] + wire _T_3846 = buf_state_bus_en_1 & bus_rsp_read; // @[lsu_bus_buffer.scala 474:47] + wire _T_3847 = _T_3846 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 474:62] + wire _T_3861 = ~buf_error_en_1; // @[lsu_bus_buffer.scala 478:50] + wire _T_3862 = buf_state_en_1 & _T_3861; // @[lsu_bus_buffer.scala 478:48] + wire _T_3874 = buf_ldfwd[1] | _T_3879[0]; // @[lsu_bus_buffer.scala 481:90] + wire _T_3875 = _T_3874 | any_done_wait_state; // @[lsu_bus_buffer.scala 481:118] + wire _GEN_105 = _T_3895 & buf_state_en_1; // @[Conditional.scala 39:67] + wire _GEN_108 = _T_3887 ? 1'h0 : _T_3895; // @[Conditional.scala 39:67] + wire _GEN_110 = _T_3887 ? 1'h0 : _GEN_105; // @[Conditional.scala 39:67] + wire _GEN_114 = _T_3869 ? 1'h0 : _GEN_108; // @[Conditional.scala 39:67] + wire _GEN_116 = _T_3869 ? 1'h0 : _GEN_110; // @[Conditional.scala 39:67] + wire _GEN_121 = _T_3782 & _T_3847; // @[Conditional.scala 39:67] + wire _GEN_124 = _T_3782 ? 1'h0 : _GEN_114; // @[Conditional.scala 39:67] + wire _GEN_126 = _T_3782 ? 1'h0 : _GEN_116; // @[Conditional.scala 39:67] + wire _GEN_132 = _T_3748 ? _T_3768 : _GEN_126; // @[Conditional.scala 39:67] + wire _GEN_134 = _T_3748 ? _T_3772 : _GEN_121; // @[Conditional.scala 39:67] + wire _GEN_138 = _T_3748 ? 1'h0 : _GEN_124; // @[Conditional.scala 39:67] + wire _GEN_144 = _T_3744 ? 1'h0 : _GEN_132; // @[Conditional.scala 39:67] + wire _GEN_146 = _T_3744 ? 1'h0 : _GEN_134; // @[Conditional.scala 39:67] + wire _GEN_150 = _T_3744 ? 1'h0 : _GEN_138; // @[Conditional.scala 39:67] + wire buf_wr_en_1 = _T_3721 & buf_state_en_1; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_1 = _T_3721 ? 1'h0 : _GEN_144; // @[Conditional.scala 40:58] + wire buf_rst_1 = _T_3721 ? 1'h0 : _GEN_150; // @[Conditional.scala 40:58] + wire _T_3958 = buf_state_en_2 & _T_4029; // @[lsu_bus_buffer.scala 458:44] + wire _T_3959 = _T_3958 & obuf_nosend; // @[lsu_bus_buffer.scala 458:60] + wire _T_3961 = _T_3959 & _T_1333; // @[lsu_bus_buffer.scala 458:74] + wire _T_3964 = _T_3954 & obuf_nosend; // @[lsu_bus_buffer.scala 460:67] + wire _T_3965 = _T_3964 & bus_rsp_read; // @[lsu_bus_buffer.scala 460:81] + wire _T_3968 = _T_3964 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 461:82] + wire _T_4043 = bus_rsp_read_error & _T_4022; // @[lsu_bus_buffer.scala 475:91] + wire _T_4045 = bus_rsp_read_error & buf_ldfwd[2]; // @[lsu_bus_buffer.scala 476:31] + wire _T_4047 = _T_4045 & _T_4024; // @[lsu_bus_buffer.scala 476:46] + wire _T_4048 = _T_4043 | _T_4047; // @[lsu_bus_buffer.scala 475:143] + wire _T_4053 = _T_3954 & _T_4048; // @[lsu_bus_buffer.scala 475:68] + wire _GEN_198 = _T_3975 & _T_4053; // @[Conditional.scala 39:67] + wire _GEN_211 = _T_3941 ? _T_3968 : _GEN_198; // @[Conditional.scala 39:67] + wire _GEN_223 = _T_3937 ? 1'h0 : _GEN_211; // @[Conditional.scala 39:67] + wire buf_error_en_2 = _T_3914 ? 1'h0 : _GEN_223; // @[Conditional.scala 40:58] + wire _T_3980 = io_dec_tlu_force_halt | buf_write[2]; // @[lsu_bus_buffer.scala 465:55] + wire _T_3982 = ~buf_samedw_2; // @[lsu_bus_buffer.scala 466:30] + wire _T_3983 = buf_dual_2 & _T_3982; // @[lsu_bus_buffer.scala 466:28] + wire _T_3986 = _T_3983 & _T_4029; // @[lsu_bus_buffer.scala 466:45] + wire [2:0] _GEN_171 = 2'h1 == buf_dualtag_2 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_172 = 2'h2 == buf_dualtag_2 ? buf_state_2 : _GEN_171; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_173 = 2'h3 == buf_dualtag_2 ? buf_state_3 : _GEN_172; // @[lsu_bus_buffer.scala 466:90] + wire _T_3987 = _GEN_173 != 3'h4; // @[lsu_bus_buffer.scala 466:90] + wire _T_3988 = _T_3986 & _T_3987; // @[lsu_bus_buffer.scala 466:61] + wire _T_3990 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] + wire _T_3996 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_3998 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_4000 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_4002 = buf_dualtag_2 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_4004 = _T_3996 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4005 = _T_3998 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4006 = _T_4000 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4007 = _T_4002 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4008 = _T_4004 | _T_4005; // @[Mux.scala 27:72] + wire _T_4009 = _T_4008 | _T_4006; // @[Mux.scala 27:72] + wire _T_4010 = _T_4009 | _T_4007; // @[Mux.scala 27:72] + wire _T_4012 = _T_3986 & _T_4010; // @[lsu_bus_buffer.scala 467:101] + wire _T_4013 = _GEN_173 == 3'h4; // @[lsu_bus_buffer.scala 467:167] + wire _T_4014 = _T_4012 & _T_4013; // @[lsu_bus_buffer.scala 467:138] + wire _T_4015 = _T_4014 & any_done_wait_state; // @[lsu_bus_buffer.scala 467:187] + wire _T_4016 = _T_3990 | _T_4015; // @[lsu_bus_buffer.scala 467:53] + wire _T_4039 = buf_state_bus_en_2 & bus_rsp_read; // @[lsu_bus_buffer.scala 474:47] + wire _T_4040 = _T_4039 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 474:62] + wire _T_4054 = ~buf_error_en_2; // @[lsu_bus_buffer.scala 478:50] + wire _T_4055 = buf_state_en_2 & _T_4054; // @[lsu_bus_buffer.scala 478:48] + wire _T_4067 = buf_ldfwd[2] | _T_4072[0]; // @[lsu_bus_buffer.scala 481:90] + wire _T_4068 = _T_4067 | any_done_wait_state; // @[lsu_bus_buffer.scala 481:118] + wire _GEN_181 = _T_4088 & buf_state_en_2; // @[Conditional.scala 39:67] + wire _GEN_184 = _T_4080 ? 1'h0 : _T_4088; // @[Conditional.scala 39:67] + wire _GEN_186 = _T_4080 ? 1'h0 : _GEN_181; // @[Conditional.scala 39:67] + wire _GEN_190 = _T_4062 ? 1'h0 : _GEN_184; // @[Conditional.scala 39:67] + wire _GEN_192 = _T_4062 ? 1'h0 : _GEN_186; // @[Conditional.scala 39:67] + wire _GEN_197 = _T_3975 & _T_4040; // @[Conditional.scala 39:67] + wire _GEN_200 = _T_3975 ? 1'h0 : _GEN_190; // @[Conditional.scala 39:67] + wire _GEN_202 = _T_3975 ? 1'h0 : _GEN_192; // @[Conditional.scala 39:67] + wire _GEN_208 = _T_3941 ? _T_3961 : _GEN_202; // @[Conditional.scala 39:67] + wire _GEN_210 = _T_3941 ? _T_3965 : _GEN_197; // @[Conditional.scala 39:67] + wire _GEN_214 = _T_3941 ? 1'h0 : _GEN_200; // @[Conditional.scala 39:67] + wire _GEN_220 = _T_3937 ? 1'h0 : _GEN_208; // @[Conditional.scala 39:67] + wire _GEN_222 = _T_3937 ? 1'h0 : _GEN_210; // @[Conditional.scala 39:67] + wire _GEN_226 = _T_3937 ? 1'h0 : _GEN_214; // @[Conditional.scala 39:67] + wire buf_wr_en_2 = _T_3914 & buf_state_en_2; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_2 = _T_3914 ? 1'h0 : _GEN_220; // @[Conditional.scala 40:58] + wire buf_rst_2 = _T_3914 ? 1'h0 : _GEN_226; // @[Conditional.scala 40:58] + wire _T_4151 = buf_state_en_3 & _T_4222; // @[lsu_bus_buffer.scala 458:44] + wire _T_4152 = _T_4151 & obuf_nosend; // @[lsu_bus_buffer.scala 458:60] + wire _T_4154 = _T_4152 & _T_1333; // @[lsu_bus_buffer.scala 458:74] + wire _T_4157 = _T_4147 & obuf_nosend; // @[lsu_bus_buffer.scala 460:67] + wire _T_4158 = _T_4157 & bus_rsp_read; // @[lsu_bus_buffer.scala 460:81] + wire _T_4161 = _T_4157 & bus_rsp_read_error; // @[lsu_bus_buffer.scala 461:82] + wire _T_4236 = bus_rsp_read_error & _T_4215; // @[lsu_bus_buffer.scala 475:91] + wire _T_4238 = bus_rsp_read_error & buf_ldfwd[3]; // @[lsu_bus_buffer.scala 476:31] + wire _T_4240 = _T_4238 & _T_4217; // @[lsu_bus_buffer.scala 476:46] + wire _T_4241 = _T_4236 | _T_4240; // @[lsu_bus_buffer.scala 475:143] + wire _T_4246 = _T_4147 & _T_4241; // @[lsu_bus_buffer.scala 475:68] + wire _GEN_274 = _T_4168 & _T_4246; // @[Conditional.scala 39:67] + wire _GEN_287 = _T_4134 ? _T_4161 : _GEN_274; // @[Conditional.scala 39:67] + wire _GEN_299 = _T_4130 ? 1'h0 : _GEN_287; // @[Conditional.scala 39:67] + wire buf_error_en_3 = _T_4107 ? 1'h0 : _GEN_299; // @[Conditional.scala 40:58] + wire _T_4173 = io_dec_tlu_force_halt | buf_write[3]; // @[lsu_bus_buffer.scala 465:55] + wire _T_4175 = ~buf_samedw_3; // @[lsu_bus_buffer.scala 466:30] + wire _T_4176 = buf_dual_3 & _T_4175; // @[lsu_bus_buffer.scala 466:28] + wire _T_4179 = _T_4176 & _T_4222; // @[lsu_bus_buffer.scala 466:45] + wire [2:0] _GEN_247 = 2'h1 == buf_dualtag_3 ? buf_state_1 : buf_state_0; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_248 = 2'h2 == buf_dualtag_3 ? buf_state_2 : _GEN_247; // @[lsu_bus_buffer.scala 466:90] + wire [2:0] _GEN_249 = 2'h3 == buf_dualtag_3 ? buf_state_3 : _GEN_248; // @[lsu_bus_buffer.scala 466:90] + wire _T_4180 = _GEN_249 != 3'h4; // @[lsu_bus_buffer.scala 466:90] + wire _T_4181 = _T_4179 & _T_4180; // @[lsu_bus_buffer.scala 466:61] + wire _T_4183 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] + wire _T_4189 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_4191 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_4193 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_4195 = buf_dualtag_3 == 2'h3; // @[lsu_bus_buffer.scala 57:118] + wire _T_4197 = _T_4189 & buf_ldfwd[0]; // @[Mux.scala 27:72] + wire _T_4198 = _T_4191 & buf_ldfwd[1]; // @[Mux.scala 27:72] + wire _T_4199 = _T_4193 & buf_ldfwd[2]; // @[Mux.scala 27:72] + wire _T_4200 = _T_4195 & buf_ldfwd[3]; // @[Mux.scala 27:72] + wire _T_4201 = _T_4197 | _T_4198; // @[Mux.scala 27:72] + wire _T_4202 = _T_4201 | _T_4199; // @[Mux.scala 27:72] + wire _T_4203 = _T_4202 | _T_4200; // @[Mux.scala 27:72] + wire _T_4205 = _T_4179 & _T_4203; // @[lsu_bus_buffer.scala 467:101] + wire _T_4206 = _GEN_249 == 3'h4; // @[lsu_bus_buffer.scala 467:167] + wire _T_4207 = _T_4205 & _T_4206; // @[lsu_bus_buffer.scala 467:138] + wire _T_4208 = _T_4207 & any_done_wait_state; // @[lsu_bus_buffer.scala 467:187] + wire _T_4209 = _T_4183 | _T_4208; // @[lsu_bus_buffer.scala 467:53] + wire _T_4232 = buf_state_bus_en_3 & bus_rsp_read; // @[lsu_bus_buffer.scala 474:47] + wire _T_4233 = _T_4232 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 474:62] + wire _T_4247 = ~buf_error_en_3; // @[lsu_bus_buffer.scala 478:50] + wire _T_4248 = buf_state_en_3 & _T_4247; // @[lsu_bus_buffer.scala 478:48] + wire _T_4260 = buf_ldfwd[3] | _T_4265[0]; // @[lsu_bus_buffer.scala 481:90] + wire _T_4261 = _T_4260 | any_done_wait_state; // @[lsu_bus_buffer.scala 481:118] + wire _GEN_257 = _T_4281 & buf_state_en_3; // @[Conditional.scala 39:67] + wire _GEN_260 = _T_4273 ? 1'h0 : _T_4281; // @[Conditional.scala 39:67] + wire _GEN_262 = _T_4273 ? 1'h0 : _GEN_257; // @[Conditional.scala 39:67] + wire _GEN_266 = _T_4255 ? 1'h0 : _GEN_260; // @[Conditional.scala 39:67] + wire _GEN_268 = _T_4255 ? 1'h0 : _GEN_262; // @[Conditional.scala 39:67] + wire _GEN_273 = _T_4168 & _T_4233; // @[Conditional.scala 39:67] + wire _GEN_276 = _T_4168 ? 1'h0 : _GEN_266; // @[Conditional.scala 39:67] + wire _GEN_278 = _T_4168 ? 1'h0 : _GEN_268; // @[Conditional.scala 39:67] + wire _GEN_284 = _T_4134 ? _T_4154 : _GEN_278; // @[Conditional.scala 39:67] + wire _GEN_286 = _T_4134 ? _T_4158 : _GEN_273; // @[Conditional.scala 39:67] + wire _GEN_290 = _T_4134 ? 1'h0 : _GEN_276; // @[Conditional.scala 39:67] + wire _GEN_296 = _T_4130 ? 1'h0 : _GEN_284; // @[Conditional.scala 39:67] + wire _GEN_298 = _T_4130 ? 1'h0 : _GEN_286; // @[Conditional.scala 39:67] + wire _GEN_302 = _T_4130 ? 1'h0 : _GEN_290; // @[Conditional.scala 39:67] + wire buf_wr_en_3 = _T_4107 & buf_state_en_3; // @[Conditional.scala 40:58] + wire buf_ldfwd_en_3 = _T_4107 ? 1'h0 : _GEN_296; // @[Conditional.scala 40:58] + wire buf_rst_3 = _T_4107 ? 1'h0 : _GEN_302; // @[Conditional.scala 40:58] + reg _T_4336; // @[Reg.scala 27:20] + reg _T_4339; // @[Reg.scala 27:20] + reg _T_4342; // @[Reg.scala 27:20] + reg _T_4345; // @[Reg.scala 27:20] + wire [3:0] buf_unsign = {_T_4345,_T_4342,_T_4339,_T_4336}; // @[Cat.scala 29:58] + reg _T_4411; // @[lsu_bus_buffer.scala 517:80] + reg _T_4406; // @[lsu_bus_buffer.scala 517:80] + reg _T_4401; // @[lsu_bus_buffer.scala 517:80] + reg _T_4396; // @[lsu_bus_buffer.scala 517:80] + wire [3:0] buf_error = {_T_4411,_T_4406,_T_4401,_T_4396}; // @[Cat.scala 29:58] + wire _T_4393 = buf_error_en_0 | buf_error[0]; // @[lsu_bus_buffer.scala 517:84] + wire _T_4394 = ~buf_rst_0; // @[lsu_bus_buffer.scala 517:126] + wire _T_4398 = buf_error_en_1 | buf_error[1]; // @[lsu_bus_buffer.scala 517:84] + wire _T_4399 = ~buf_rst_1; // @[lsu_bus_buffer.scala 517:126] + wire _T_4403 = buf_error_en_2 | buf_error[2]; // @[lsu_bus_buffer.scala 517:84] + wire _T_4404 = ~buf_rst_2; // @[lsu_bus_buffer.scala 517:126] + wire _T_4408 = buf_error_en_3 | buf_error[3]; // @[lsu_bus_buffer.scala 517:84] + wire _T_4409 = ~buf_rst_3; // @[lsu_bus_buffer.scala 517:126] + wire [1:0] _T_4415 = {io_lsu_busreq_m,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4416 = io_ldst_dual_m ? _T_4415 : {{1'd0}, io_lsu_busreq_m}; // @[lsu_bus_buffer.scala 520:28] + wire [1:0] _T_4417 = {io_lsu_busreq_r,1'h0}; // @[Cat.scala 29:58] + wire [1:0] _T_4418 = io_ldst_dual_r ? _T_4417 : {{1'd0}, io_lsu_busreq_r}; // @[lsu_bus_buffer.scala 520:94] + wire [2:0] _T_4419 = _T_4416 + _T_4418; // @[lsu_bus_buffer.scala 520:88] + wire [2:0] _GEN_388 = {{2'd0}, ibuf_valid}; // @[lsu_bus_buffer.scala 520:154] + wire [3:0] _T_4420 = _T_4419 + _GEN_388; // @[lsu_bus_buffer.scala 520:154] + wire [1:0] _T_4425 = _T_5 + _T_12; // @[lsu_bus_buffer.scala 520:217] + wire [1:0] _GEN_389 = {{1'd0}, _T_19}; // @[lsu_bus_buffer.scala 520:217] + wire [2:0] _T_4426 = _T_4425 + _GEN_389; // @[lsu_bus_buffer.scala 520:217] + wire [2:0] _GEN_390 = {{2'd0}, _T_26}; // @[lsu_bus_buffer.scala 520:217] + wire [3:0] _T_4427 = _T_4426 + _GEN_390; // @[lsu_bus_buffer.scala 520:217] + wire [3:0] buf_numvld_any = _T_4420 + _T_4427; // @[lsu_bus_buffer.scala 520:169] + wire _T_4498 = io_ldst_dual_d & io_dec_lsu_valid_raw_d; // @[lsu_bus_buffer.scala 526:52] + wire _T_4499 = buf_numvld_any >= 4'h3; // @[lsu_bus_buffer.scala 526:92] + wire _T_4500 = buf_numvld_any == 4'h4; // @[lsu_bus_buffer.scala 526:121] + wire _T_4502 = |buf_state_0; // @[lsu_bus_buffer.scala 527:52] + wire _T_4503 = |buf_state_1; // @[lsu_bus_buffer.scala 527:52] + wire _T_4504 = |buf_state_2; // @[lsu_bus_buffer.scala 527:52] + wire _T_4505 = |buf_state_3; // @[lsu_bus_buffer.scala 527:52] + wire _T_4506 = _T_4502 | _T_4503; // @[lsu_bus_buffer.scala 527:65] + wire _T_4507 = _T_4506 | _T_4504; // @[lsu_bus_buffer.scala 527:65] + wire _T_4508 = _T_4507 | _T_4505; // @[lsu_bus_buffer.scala 527:65] + wire _T_4509 = ~_T_4508; // @[lsu_bus_buffer.scala 527:34] + wire _T_4511 = _T_4509 & _T_852; // @[lsu_bus_buffer.scala 527:70] + wire _T_4514 = io_lsu_busreq_m & io_lsu_pkt_m_valid; // @[lsu_bus_buffer.scala 529:64] + wire _T_4515 = _T_4514 & io_lsu_pkt_m_bits_load; // @[lsu_bus_buffer.scala 529:85] + wire _T_4516 = ~io_flush_m_up; // @[lsu_bus_buffer.scala 529:112] + wire _T_4517 = _T_4515 & _T_4516; // @[lsu_bus_buffer.scala 529:110] + wire _T_4518 = ~io_ld_full_hit_m; // @[lsu_bus_buffer.scala 529:129] + wire _T_4520 = ~io_lsu_commit_r; // @[lsu_bus_buffer.scala 532:74] + reg lsu_nonblock_load_valid_r; // @[lsu_bus_buffer.scala 617:66] + wire _T_4542 = _T_2799 | _T_2821; // @[Mux.scala 27:72] + wire _T_4543 = _T_4542 | _T_2843; // @[Mux.scala 27:72] + wire lsu_nonblock_load_data_ready = _T_4543 | _T_2865; // @[Mux.scala 27:72] + wire _T_4549 = buf_error[0] & _T_3643; // @[lsu_bus_buffer.scala 535:121] + wire _T_4554 = buf_error[1] & _T_3836; // @[lsu_bus_buffer.scala 535:121] + wire _T_4559 = buf_error[2] & _T_4029; // @[lsu_bus_buffer.scala 535:121] + wire _T_4564 = buf_error[3] & _T_4222; // @[lsu_bus_buffer.scala 535:121] + wire _T_4565 = _T_2799 & _T_4549; // @[Mux.scala 27:72] + wire _T_4566 = _T_2821 & _T_4554; // @[Mux.scala 27:72] + wire _T_4567 = _T_2843 & _T_4559; // @[Mux.scala 27:72] + wire _T_4568 = _T_2865 & _T_4564; // @[Mux.scala 27:72] + wire _T_4569 = _T_4565 | _T_4566; // @[Mux.scala 27:72] + wire _T_4570 = _T_4569 | _T_4567; // @[Mux.scala 27:72] + wire _T_4576 = _T_2799 & _T_3643; // @[lsu_bus_buffer.scala 536:103] + wire _T_4577 = ~buf_dual_0; // @[lsu_bus_buffer.scala 536:122] + wire _T_4578 = ~buf_dualhi_0; // @[lsu_bus_buffer.scala 536:137] + wire _T_4579 = _T_4577 | _T_4578; // @[lsu_bus_buffer.scala 536:135] + wire _T_4580 = _T_4576 & _T_4579; // @[lsu_bus_buffer.scala 536:119] + wire _T_4584 = _T_2821 & _T_3836; // @[lsu_bus_buffer.scala 536:103] + wire _T_4585 = ~buf_dual_1; // @[lsu_bus_buffer.scala 536:122] + wire _T_4586 = ~buf_dualhi_1; // @[lsu_bus_buffer.scala 536:137] + wire _T_4587 = _T_4585 | _T_4586; // @[lsu_bus_buffer.scala 536:135] + wire _T_4588 = _T_4584 & _T_4587; // @[lsu_bus_buffer.scala 536:119] + wire _T_4592 = _T_2843 & _T_4029; // @[lsu_bus_buffer.scala 536:103] + wire _T_4593 = ~buf_dual_2; // @[lsu_bus_buffer.scala 536:122] + wire _T_4594 = ~buf_dualhi_2; // @[lsu_bus_buffer.scala 536:137] + wire _T_4595 = _T_4593 | _T_4594; // @[lsu_bus_buffer.scala 536:135] + wire _T_4596 = _T_4592 & _T_4595; // @[lsu_bus_buffer.scala 536:119] + wire _T_4600 = _T_2865 & _T_4222; // @[lsu_bus_buffer.scala 536:103] + wire _T_4601 = ~buf_dual_3; // @[lsu_bus_buffer.scala 536:122] + wire _T_4602 = ~buf_dualhi_3; // @[lsu_bus_buffer.scala 536:137] + wire _T_4603 = _T_4601 | _T_4602; // @[lsu_bus_buffer.scala 536:135] + wire _T_4604 = _T_4600 & _T_4603; // @[lsu_bus_buffer.scala 536:119] + wire [1:0] _T_4607 = _T_4596 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4608 = _T_4604 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_391 = {{1'd0}, _T_4588}; // @[Mux.scala 27:72] + wire [1:0] _T_4610 = _GEN_391 | _T_4607; // @[Mux.scala 27:72] + wire [31:0] _T_4645 = _T_4580 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4646 = _T_4588 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4647 = _T_4596 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4648 = _T_4604 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4649 = _T_4645 | _T_4646; // @[Mux.scala 27:72] + wire [31:0] _T_4650 = _T_4649 | _T_4647; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_lo = _T_4650 | _T_4648; // @[Mux.scala 27:72] + wire _T_4657 = _T_4576 & _T_3641; // @[lsu_bus_buffer.scala 538:105] + wire _T_4663 = _T_4584 & _T_3834; // @[lsu_bus_buffer.scala 538:105] + wire _T_4669 = _T_4592 & _T_4027; // @[lsu_bus_buffer.scala 538:105] + wire _T_4675 = _T_4600 & _T_4220; // @[lsu_bus_buffer.scala 538:105] + wire [31:0] _T_4676 = _T_4657 ? buf_data_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4677 = _T_4663 ? buf_data_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4678 = _T_4669 ? buf_data_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4679 = _T_4675 ? buf_data_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4680 = _T_4676 | _T_4677; // @[Mux.scala 27:72] + wire [31:0] _T_4681 = _T_4680 | _T_4678; // @[Mux.scala 27:72] + wire [31:0] lsu_nonblock_load_data_hi = _T_4681 | _T_4679; // @[Mux.scala 27:72] + wire _T_4683 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 58:123] + wire _T_4684 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 58:123] + wire _T_4685 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 58:123] + wire _T_4686 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 58:123] + wire [31:0] _T_4687 = _T_4683 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4688 = _T_4684 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4689 = _T_4685 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4690 = _T_4686 ? buf_addr_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4691 = _T_4687 | _T_4688; // @[Mux.scala 27:72] + wire [31:0] _T_4692 = _T_4691 | _T_4689; // @[Mux.scala 27:72] + wire [31:0] _T_4693 = _T_4692 | _T_4690; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_addr_offset = _T_4693[1:0]; // @[lsu_bus_buffer.scala 539:96] + wire [1:0] _T_4699 = _T_4683 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4700 = _T_4684 ? buf_sz_1 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4701 = _T_4685 ? buf_sz_2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4702 = _T_4686 ? buf_sz_3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4703 = _T_4699 | _T_4700; // @[Mux.scala 27:72] + wire [1:0] _T_4704 = _T_4703 | _T_4701; // @[Mux.scala 27:72] + wire [1:0] lsu_nonblock_sz = _T_4704 | _T_4702; // @[Mux.scala 27:72] + wire _T_4714 = _T_4683 & buf_unsign[0]; // @[Mux.scala 27:72] + wire _T_4715 = _T_4684 & buf_unsign[1]; // @[Mux.scala 27:72] + wire _T_4716 = _T_4685 & buf_unsign[2]; // @[Mux.scala 27:72] + wire _T_4717 = _T_4686 & buf_unsign[3]; // @[Mux.scala 27:72] + wire _T_4718 = _T_4714 | _T_4715; // @[Mux.scala 27:72] + wire _T_4719 = _T_4718 | _T_4716; // @[Mux.scala 27:72] + wire lsu_nonblock_unsign = _T_4719 | _T_4717; // @[Mux.scala 27:72] + wire [63:0] _T_4739 = {lsu_nonblock_load_data_hi,lsu_nonblock_load_data_lo}; // @[Cat.scala 29:58] + wire [3:0] _GEN_392 = {{2'd0}, lsu_nonblock_addr_offset}; // @[lsu_bus_buffer.scala 543:121] + wire [5:0] _T_4740 = _GEN_392 * 4'h8; // @[lsu_bus_buffer.scala 543:121] + wire [63:0] lsu_nonblock_data_unalgn = _T_4739 >> _T_4740; // @[lsu_bus_buffer.scala 543:92] + wire _T_4741 = ~io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_buffer.scala 545:82] + wire _T_4743 = lsu_nonblock_sz == 2'h0; // @[lsu_bus_buffer.scala 546:94] + wire _T_4744 = lsu_nonblock_unsign & _T_4743; // @[lsu_bus_buffer.scala 546:76] + wire [31:0] _T_4746 = {24'h0,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4747 = lsu_nonblock_sz == 2'h1; // @[lsu_bus_buffer.scala 547:45] + wire _T_4748 = lsu_nonblock_unsign & _T_4747; // @[lsu_bus_buffer.scala 547:26] + wire [31:0] _T_4750 = {16'h0,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4751 = ~lsu_nonblock_unsign; // @[lsu_bus_buffer.scala 548:6] + wire _T_4753 = _T_4751 & _T_4743; // @[lsu_bus_buffer.scala 548:27] + wire [23:0] _T_4756 = lsu_nonblock_data_unalgn[7] ? 24'hffffff : 24'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4758 = {_T_4756,lsu_nonblock_data_unalgn[7:0]}; // @[Cat.scala 29:58] + wire _T_4761 = _T_4751 & _T_4747; // @[lsu_bus_buffer.scala 549:27] + wire [15:0] _T_4764 = lsu_nonblock_data_unalgn[15] ? 16'hffff : 16'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_4766 = {_T_4764,lsu_nonblock_data_unalgn[15:0]}; // @[Cat.scala 29:58] + wire _T_4767 = lsu_nonblock_sz == 2'h2; // @[lsu_bus_buffer.scala 550:21] + wire [31:0] _T_4768 = _T_4744 ? _T_4746 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4769 = _T_4748 ? _T_4750 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4770 = _T_4753 ? _T_4758 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4771 = _T_4761 ? _T_4766 : 32'h0; // @[Mux.scala 27:72] + wire [63:0] _T_4772 = _T_4767 ? lsu_nonblock_data_unalgn : 64'h0; // @[Mux.scala 27:72] + wire [31:0] _T_4773 = _T_4768 | _T_4769; // @[Mux.scala 27:72] + wire [31:0] _T_4774 = _T_4773 | _T_4770; // @[Mux.scala 27:72] + wire [31:0] _T_4775 = _T_4774 | _T_4771; // @[Mux.scala 27:72] + wire [63:0] _GEN_393 = {{32'd0}, _T_4775}; // @[Mux.scala 27:72] + wire [63:0] _T_4776 = _GEN_393 | _T_4772; // @[Mux.scala 27:72] + wire _T_4874 = obuf_valid & obuf_write; // @[lsu_bus_buffer.scala 568:37] + wire _T_4875 = ~obuf_cmd_done; // @[lsu_bus_buffer.scala 568:52] + wire [31:0] _T_4880 = {obuf_addr[31:3],3'h0}; // @[Cat.scala 29:58] + wire [2:0] _T_4882 = {1'h0,obuf_sz}; // @[Cat.scala 29:58] + wire _T_4887 = ~obuf_data_done; // @[lsu_bus_buffer.scala 580:51] + wire [7:0] _T_4892 = obuf_write ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire _T_4895 = obuf_valid & _T_1343; // @[lsu_bus_buffer.scala 585:37] + wire _T_4909 = io_lsu_bus_clk_en_q & buf_error[0]; // @[lsu_bus_buffer.scala 598:126] + wire _T_4911 = _T_4909 & buf_write[0]; // @[lsu_bus_buffer.scala 598:141] + wire _T_4914 = io_lsu_bus_clk_en_q & buf_error[1]; // @[lsu_bus_buffer.scala 598:126] + wire _T_4916 = _T_4914 & buf_write[1]; // @[lsu_bus_buffer.scala 598:141] + wire _T_4919 = io_lsu_bus_clk_en_q & buf_error[2]; // @[lsu_bus_buffer.scala 598:126] + wire _T_4921 = _T_4919 & buf_write[2]; // @[lsu_bus_buffer.scala 598:141] + wire _T_4924 = io_lsu_bus_clk_en_q & buf_error[3]; // @[lsu_bus_buffer.scala 598:126] + wire _T_4926 = _T_4924 & buf_write[3]; // @[lsu_bus_buffer.scala 598:141] + wire _T_4927 = _T_2799 & _T_4911; // @[Mux.scala 27:72] + wire _T_4928 = _T_2821 & _T_4916; // @[Mux.scala 27:72] + wire _T_4929 = _T_2843 & _T_4921; // @[Mux.scala 27:72] + wire _T_4930 = _T_2865 & _T_4926; // @[Mux.scala 27:72] + wire _T_4931 = _T_4927 | _T_4928; // @[Mux.scala 27:72] + wire _T_4932 = _T_4931 | _T_4929; // @[Mux.scala 27:72] + wire _T_4942 = _T_2821 & buf_error[1]; // @[lsu_bus_buffer.scala 599:93] + wire _T_4944 = _T_4942 & buf_write[1]; // @[lsu_bus_buffer.scala 599:108] + wire _T_4947 = _T_2843 & buf_error[2]; // @[lsu_bus_buffer.scala 599:93] + wire _T_4949 = _T_4947 & buf_write[2]; // @[lsu_bus_buffer.scala 599:108] + wire _T_4952 = _T_2865 & buf_error[3]; // @[lsu_bus_buffer.scala 599:93] + wire _T_4954 = _T_4952 & buf_write[3]; // @[lsu_bus_buffer.scala 599:108] + wire [1:0] _T_4957 = _T_4949 ? 2'h2 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _T_4958 = _T_4954 ? 2'h3 : 2'h0; // @[Mux.scala 27:72] + wire [1:0] _GEN_394 = {{1'd0}, _T_4944}; // @[Mux.scala 27:72] + wire [1:0] _T_4960 = _GEN_394 | _T_4957; // @[Mux.scala 27:72] + wire [1:0] lsu_imprecise_error_store_tag = _T_4960 | _T_4958; // @[Mux.scala 27:72] + wire _T_4962 = ~io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 601:97] + wire [31:0] _GEN_351 = 2'h1 == lsu_imprecise_error_store_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 602:53] + wire [31:0] _GEN_352 = 2'h2 == lsu_imprecise_error_store_tag ? buf_addr_2 : _GEN_351; // @[lsu_bus_buffer.scala 602:53] + wire [31:0] _GEN_353 = 2'h3 == lsu_imprecise_error_store_tag ? buf_addr_3 : _GEN_352; // @[lsu_bus_buffer.scala 602:53] + wire [31:0] _GEN_355 = 2'h1 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_1 : buf_addr_0; // @[lsu_bus_buffer.scala 602:53] + wire [31:0] _GEN_356 = 2'h2 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_2 : _GEN_355; // @[lsu_bus_buffer.scala 602:53] + wire [31:0] _GEN_357 = 2'h3 == io_dctl_busbuff_lsu_nonblock_load_data_tag ? buf_addr_3 : _GEN_356; // @[lsu_bus_buffer.scala 602:53] + wire _T_4967 = bus_wcmd_sent | bus_wdata_sent; // @[lsu_bus_buffer.scala 608:82] + wire _T_4970 = io_lsu_busreq_r & io_ldst_dual_r; // @[lsu_bus_buffer.scala 609:60] + wire _T_4973 = ~io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 612:61] + wire _T_4974 = io_lsu_axi_aw_valid & _T_4973; // @[lsu_bus_buffer.scala 612:59] + wire _T_4975 = ~io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 612:107] + wire _T_4976 = io_lsu_axi_w_valid & _T_4975; // @[lsu_bus_buffer.scala 612:105] + wire _T_4977 = _T_4974 | _T_4976; // @[lsu_bus_buffer.scala 612:83] + wire _T_4978 = ~io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 612:153] + wire _T_4979 = io_lsu_axi_ar_valid & _T_4978; // @[lsu_bus_buffer.scala 612:151] + wire _T_4983 = ~io_flush_r; // @[lsu_bus_buffer.scala 616:75] + wire _T_4984 = io_lsu_busreq_m & _T_4983; // @[lsu_bus_buffer.scala 616:73] + reg _T_4987; // @[lsu_bus_buffer.scala 616:56] + rvclkhdr rvclkhdr ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_io_l1clk), + .io_clk(rvclkhdr_io_clk), + .io_en(rvclkhdr_io_en), + .io_scan_mode(rvclkhdr_io_scan_mode) + ); + rvclkhdr rvclkhdr_1 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_1_io_l1clk), + .io_clk(rvclkhdr_1_io_clk), + .io_en(rvclkhdr_1_io_en), + .io_scan_mode(rvclkhdr_1_io_scan_mode) + ); + rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_2_io_l1clk), + .io_clk(rvclkhdr_2_io_clk), + .io_en(rvclkhdr_2_io_en), + .io_scan_mode(rvclkhdr_2_io_scan_mode) + ); + rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_3_io_l1clk), + .io_clk(rvclkhdr_3_io_clk), + .io_en(rvclkhdr_3_io_en), + .io_scan_mode(rvclkhdr_3_io_scan_mode) + ); + rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_4_io_l1clk), + .io_clk(rvclkhdr_4_io_clk), + .io_en(rvclkhdr_4_io_en), + .io_scan_mode(rvclkhdr_4_io_scan_mode) + ); + rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_5_io_l1clk), + .io_clk(rvclkhdr_5_io_clk), + .io_en(rvclkhdr_5_io_en), + .io_scan_mode(rvclkhdr_5_io_scan_mode) + ); + rvclkhdr rvclkhdr_6 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_6_io_l1clk), + .io_clk(rvclkhdr_6_io_clk), + .io_en(rvclkhdr_6_io_en), + .io_scan_mode(rvclkhdr_6_io_scan_mode) + ); + rvclkhdr rvclkhdr_7 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_7_io_l1clk), + .io_clk(rvclkhdr_7_io_clk), + .io_en(rvclkhdr_7_io_en), + .io_scan_mode(rvclkhdr_7_io_scan_mode) + ); + rvclkhdr rvclkhdr_8 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_8_io_l1clk), + .io_clk(rvclkhdr_8_io_clk), + .io_en(rvclkhdr_8_io_en), + .io_scan_mode(rvclkhdr_8_io_scan_mode) + ); + rvclkhdr rvclkhdr_9 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_9_io_l1clk), + .io_clk(rvclkhdr_9_io_clk), + .io_en(rvclkhdr_9_io_en), + .io_scan_mode(rvclkhdr_9_io_scan_mode) + ); + rvclkhdr rvclkhdr_10 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_10_io_l1clk), + .io_clk(rvclkhdr_10_io_clk), + .io_en(rvclkhdr_10_io_en), + .io_scan_mode(rvclkhdr_10_io_scan_mode) + ); + rvclkhdr rvclkhdr_11 ( // @[lib.scala 368:23] + .io_l1clk(rvclkhdr_11_io_l1clk), + .io_clk(rvclkhdr_11_io_clk), + .io_en(rvclkhdr_11_io_en), + .io_scan_mode(rvclkhdr_11_io_scan_mode) + ); + assign io_tlu_busbuff_lsu_pmu_bus_trxn = _T_4967 | _T_4866; // @[lsu_bus_buffer.scala 608:35] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = _T_4970 & io_lsu_commit_r; // @[lsu_bus_buffer.scala 609:41] + assign io_tlu_busbuff_lsu_pmu_bus_error = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_buffer.scala 610:36] + assign io_tlu_busbuff_lsu_pmu_bus_busy = _T_4977 | _T_4979; // @[lsu_bus_buffer.scala 612:35] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = io_dctl_busbuff_lsu_nonblock_load_data_error & _T_4962; // @[lsu_bus_buffer.scala 601:47] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = _T_4932 | _T_4930; // @[lsu_bus_buffer.scala 598:48] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_store_any ? _GEN_353 : _GEN_357; // @[lsu_bus_buffer.scala 602:47] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = _T_4517 & _T_4518; // @[lsu_bus_buffer.scala 529:45] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = _T_1863 ? 2'h0 : _T_1899; // @[lsu_bus_buffer.scala 530:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_nonblock_load_valid_r & _T_4520; // @[lsu_bus_buffer.scala 532:43] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = WrPtr0_r; // @[lsu_bus_buffer.scala 533:47] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_nonblock_load_data_ready & _T_4741; // @[lsu_bus_buffer.scala 545:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = _T_4570 | _T_4568; // @[lsu_bus_buffer.scala 535:48] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = _T_4610 | _T_4608; // @[lsu_bus_buffer.scala 536:46] + assign io_dctl_busbuff_lsu_nonblock_load_data = _T_4776[31:0]; // @[lsu_bus_buffer.scala 546:42] + assign io_lsu_axi_aw_valid = _T_4874 & _T_4875; // @[lsu_bus_buffer.scala 568:23] + assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 569:25] + assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4880; // @[lsu_bus_buffer.scala 570:27] + assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 574:29] + assign io_lsu_axi_aw_bits_len = 8'h0; // @[lsu_bus_buffer.scala 575:26] + assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4882 : 3'h3; // @[lsu_bus_buffer.scala 571:27] + assign io_lsu_axi_aw_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 576:28] + assign io_lsu_axi_aw_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 578:27] + assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 573:28] + assign io_lsu_axi_aw_bits_prot = 3'h0; // @[lsu_bus_buffer.scala 572:27] + assign io_lsu_axi_aw_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 577:26] + assign io_lsu_axi_w_valid = _T_4874 & _T_4887; // @[lsu_bus_buffer.scala 580:22] + assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 582:26] + assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4892; // @[lsu_bus_buffer.scala 581:26] + assign io_lsu_axi_w_bits_last = 1'h1; // @[lsu_bus_buffer.scala 583:26] + assign io_lsu_axi_b_ready = 1'h1; // @[lsu_bus_buffer.scala 596:22] + assign io_lsu_axi_ar_valid = _T_4895 & _T_1349; // @[lsu_bus_buffer.scala 585:23] + assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 586:25] + assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4880; // @[lsu_bus_buffer.scala 587:27] + assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 591:29] + assign io_lsu_axi_ar_bits_len = 8'h0; // @[lsu_bus_buffer.scala 592:26] + assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4882 : 3'h3; // @[lsu_bus_buffer.scala 588:27] + assign io_lsu_axi_ar_bits_burst = 2'h1; // @[lsu_bus_buffer.scala 593:28] + assign io_lsu_axi_ar_bits_lock = 1'h0; // @[lsu_bus_buffer.scala 595:27] + assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 590:28] + assign io_lsu_axi_ar_bits_prot = 3'h0; // @[lsu_bus_buffer.scala 589:27] + assign io_lsu_axi_ar_bits_qos = 4'h0; // @[lsu_bus_buffer.scala 594:26] + assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 597:22] + assign io_lsu_busreq_r = _T_4987; // @[lsu_bus_buffer.scala 616:19] + assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 525:30] + assign io_lsu_bus_buffer_full_any = _T_4498 ? _T_4499 : _T_4500; // @[lsu_bus_buffer.scala 526:30] + assign io_lsu_bus_buffer_empty_any = _T_4511 & _T_1231; // @[lsu_bus_buffer.scala 527:31] + assign io_lsu_bus_idle_any = 1'h1; // @[lsu_bus_buffer.scala 605:23] + assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 138:25] + assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 139:25] + assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 165:24] + assign io_ld_fwddata_buf_hi = _T_747 | _T_748; // @[lsu_bus_buffer.scala 171:24] + assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_io_en = _T_853 & _T_854; // @[lib.scala 371:17] + assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_1_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_1_io_en = _T_853 & _T_854; // @[lib.scala 371:17] + assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_2_io_en = _T_1236 & io_lsu_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_3_io_en = _T_1236 & io_lsu_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_4_io_en = _T_3528 & buf_state_en_0; // @[lib.scala 371:17] + assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_5_io_en = _T_3721 & buf_state_en_1; // @[lib.scala 371:17] + assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_6_io_en = _T_3914 & buf_state_en_2; // @[lib.scala 371:17] + assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_7_io_en = _T_4107 & buf_state_en_3; // @[lib.scala 371:17] + assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_8_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_8_io_en = _T_3528 ? buf_state_en_0 : _GEN_70; // @[lib.scala 371:17] + assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_9_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_9_io_en = _T_3721 ? buf_state_en_1 : _GEN_146; // @[lib.scala 371:17] + assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_10_io_en = _T_3914 ? buf_state_en_2 : _GEN_222; // @[lib.scala 371:17] + assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] + assign rvclkhdr_11_io_clk = clock; // @[lib.scala 370:18] + assign rvclkhdr_11_io_en = _T_4107 ? buf_state_en_3 : _GEN_298; // @[lib.scala 371:17] + assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] +`ifdef RANDOMIZE_GARBAGE_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_INVALID_ASSIGN +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_REG_INIT +`define RANDOMIZE +`endif +`ifdef RANDOMIZE_MEM_INIT +`define RANDOMIZE +`endif +`ifndef RANDOM +`define RANDOM $random +`endif +`ifdef RANDOMIZE_MEM_INIT + integer initvar; +`endif +`ifndef SYNTHESIS +`ifdef FIRRTL_BEFORE_INITIAL +`FIRRTL_BEFORE_INITIAL +`endif +initial begin + `ifdef RANDOMIZE + `ifdef INIT_RANDOM + `INIT_RANDOM + `endif + `ifndef VERILATOR + `ifdef RANDOMIZE_DELAY + #`RANDOMIZE_DELAY begin end + `else + #0.002 begin end + `endif + `endif +`ifdef RANDOMIZE_REG_INIT + _RAND_0 = {1{`RANDOM}}; + buf_addr_0 = _RAND_0[31:0]; + _RAND_1 = {1{`RANDOM}}; + _T_4360 = _RAND_1[0:0]; + _RAND_2 = {1{`RANDOM}}; + _T_4357 = _RAND_2[0:0]; + _RAND_3 = {1{`RANDOM}}; + _T_4354 = _RAND_3[0:0]; + _RAND_4 = {1{`RANDOM}}; + _T_4351 = _RAND_4[0:0]; + _RAND_5 = {1{`RANDOM}}; + buf_state_0 = _RAND_5[2:0]; + _RAND_6 = {1{`RANDOM}}; + buf_addr_1 = _RAND_6[31:0]; + _RAND_7 = {1{`RANDOM}}; + buf_state_1 = _RAND_7[2:0]; + _RAND_8 = {1{`RANDOM}}; + buf_addr_2 = _RAND_8[31:0]; + _RAND_9 = {1{`RANDOM}}; + buf_state_2 = _RAND_9[2:0]; + _RAND_10 = {1{`RANDOM}}; + buf_addr_3 = _RAND_10[31:0]; + _RAND_11 = {1{`RANDOM}}; + buf_state_3 = _RAND_11[2:0]; + _RAND_12 = {1{`RANDOM}}; + buf_byteen_3 = _RAND_12[3:0]; + _RAND_13 = {1{`RANDOM}}; + buf_byteen_2 = _RAND_13[3:0]; + _RAND_14 = {1{`RANDOM}}; + buf_byteen_1 = _RAND_14[3:0]; + _RAND_15 = {1{`RANDOM}}; + buf_byteen_0 = _RAND_15[3:0]; + _RAND_16 = {1{`RANDOM}}; + buf_ageQ_3 = _RAND_16[3:0]; + _RAND_17 = {1{`RANDOM}}; + _T_1848 = _RAND_17[1:0]; + _RAND_18 = {1{`RANDOM}}; + obuf_merge = _RAND_18[0:0]; + _RAND_19 = {1{`RANDOM}}; + obuf_tag1 = _RAND_19[1:0]; + _RAND_20 = {1{`RANDOM}}; + obuf_valid = _RAND_20[0:0]; + _RAND_21 = {1{`RANDOM}}; + obuf_wr_enQ = _RAND_21[0:0]; + _RAND_22 = {1{`RANDOM}}; + ibuf_addr = _RAND_22[31:0]; + _RAND_23 = {1{`RANDOM}}; + ibuf_write = _RAND_23[0:0]; + _RAND_24 = {1{`RANDOM}}; + ibuf_valid = _RAND_24[0:0]; + _RAND_25 = {1{`RANDOM}}; + ibuf_byteen = _RAND_25[3:0]; + _RAND_26 = {1{`RANDOM}}; + buf_ageQ_2 = _RAND_26[3:0]; + _RAND_27 = {1{`RANDOM}}; + buf_ageQ_1 = _RAND_27[3:0]; + _RAND_28 = {1{`RANDOM}}; + buf_ageQ_0 = _RAND_28[3:0]; + _RAND_29 = {1{`RANDOM}}; + buf_data_0 = _RAND_29[31:0]; + _RAND_30 = {1{`RANDOM}}; + buf_data_1 = _RAND_30[31:0]; + _RAND_31 = {1{`RANDOM}}; + buf_data_2 = _RAND_31[31:0]; + _RAND_32 = {1{`RANDOM}}; + buf_data_3 = _RAND_32[31:0]; + _RAND_33 = {1{`RANDOM}}; + ibuf_data = _RAND_33[31:0]; + _RAND_34 = {1{`RANDOM}}; + ibuf_timer = _RAND_34[2:0]; + _RAND_35 = {1{`RANDOM}}; + ibuf_sideeffect = _RAND_35[0:0]; + _RAND_36 = {1{`RANDOM}}; + WrPtr1_r = _RAND_36[1:0]; + _RAND_37 = {1{`RANDOM}}; + WrPtr0_r = _RAND_37[1:0]; + _RAND_38 = {1{`RANDOM}}; + ibuf_tag = _RAND_38[1:0]; + _RAND_39 = {1{`RANDOM}}; + ibuf_dualtag = _RAND_39[1:0]; + _RAND_40 = {1{`RANDOM}}; + ibuf_dual = _RAND_40[0:0]; + _RAND_41 = {1{`RANDOM}}; + ibuf_samedw = _RAND_41[0:0]; + _RAND_42 = {1{`RANDOM}}; + ibuf_nomerge = _RAND_42[0:0]; + _RAND_43 = {1{`RANDOM}}; + ibuf_unsign = _RAND_43[0:0]; + _RAND_44 = {1{`RANDOM}}; + ibuf_sz = _RAND_44[1:0]; + _RAND_45 = {1{`RANDOM}}; + obuf_wr_timer = _RAND_45[2:0]; + _RAND_46 = {1{`RANDOM}}; + buf_nomerge_0 = _RAND_46[0:0]; + _RAND_47 = {1{`RANDOM}}; + buf_nomerge_1 = _RAND_47[0:0]; + _RAND_48 = {1{`RANDOM}}; + buf_nomerge_2 = _RAND_48[0:0]; + _RAND_49 = {1{`RANDOM}}; + buf_nomerge_3 = _RAND_49[0:0]; + _RAND_50 = {1{`RANDOM}}; + _T_4330 = _RAND_50[0:0]; + _RAND_51 = {1{`RANDOM}}; + _T_4327 = _RAND_51[0:0]; + _RAND_52 = {1{`RANDOM}}; + _T_4324 = _RAND_52[0:0]; + _RAND_53 = {1{`RANDOM}}; + _T_4321 = _RAND_53[0:0]; + _RAND_54 = {1{`RANDOM}}; + obuf_sideeffect = _RAND_54[0:0]; + _RAND_55 = {1{`RANDOM}}; + buf_dual_3 = _RAND_55[0:0]; + _RAND_56 = {1{`RANDOM}}; + buf_dual_2 = _RAND_56[0:0]; + _RAND_57 = {1{`RANDOM}}; + buf_dual_1 = _RAND_57[0:0]; + _RAND_58 = {1{`RANDOM}}; + buf_dual_0 = _RAND_58[0:0]; + _RAND_59 = {1{`RANDOM}}; + buf_samedw_3 = _RAND_59[0:0]; + _RAND_60 = {1{`RANDOM}}; + buf_samedw_2 = _RAND_60[0:0]; + _RAND_61 = {1{`RANDOM}}; + buf_samedw_1 = _RAND_61[0:0]; + _RAND_62 = {1{`RANDOM}}; + buf_samedw_0 = _RAND_62[0:0]; + _RAND_63 = {1{`RANDOM}}; + obuf_write = _RAND_63[0:0]; + _RAND_64 = {1{`RANDOM}}; + obuf_cmd_done = _RAND_64[0:0]; + _RAND_65 = {1{`RANDOM}}; + obuf_data_done = _RAND_65[0:0]; + _RAND_66 = {1{`RANDOM}}; + obuf_nosend = _RAND_66[0:0]; + _RAND_67 = {1{`RANDOM}}; + obuf_addr = _RAND_67[31:0]; + _RAND_68 = {1{`RANDOM}}; + buf_sz_0 = _RAND_68[1:0]; + _RAND_69 = {1{`RANDOM}}; + buf_sz_1 = _RAND_69[1:0]; + _RAND_70 = {1{`RANDOM}}; + buf_sz_2 = _RAND_70[1:0]; + _RAND_71 = {1{`RANDOM}}; + buf_sz_3 = _RAND_71[1:0]; + _RAND_72 = {1{`RANDOM}}; + obuf_rdrsp_pend = _RAND_72[0:0]; + _RAND_73 = {1{`RANDOM}}; + obuf_rdrsp_tag = _RAND_73[2:0]; + _RAND_74 = {1{`RANDOM}}; + buf_dualhi_3 = _RAND_74[0:0]; + _RAND_75 = {1{`RANDOM}}; + buf_dualhi_2 = _RAND_75[0:0]; + _RAND_76 = {1{`RANDOM}}; + buf_dualhi_1 = _RAND_76[0:0]; + _RAND_77 = {1{`RANDOM}}; + buf_dualhi_0 = _RAND_77[0:0]; + _RAND_78 = {1{`RANDOM}}; + obuf_sz = _RAND_78[1:0]; + _RAND_79 = {1{`RANDOM}}; + obuf_byteen = _RAND_79[7:0]; + _RAND_80 = {2{`RANDOM}}; + obuf_data = _RAND_80[63:0]; + _RAND_81 = {1{`RANDOM}}; + buf_rspageQ_0 = _RAND_81[3:0]; + _RAND_82 = {1{`RANDOM}}; + buf_rspageQ_1 = _RAND_82[3:0]; + _RAND_83 = {1{`RANDOM}}; + buf_rspageQ_2 = _RAND_83[3:0]; + _RAND_84 = {1{`RANDOM}}; + buf_rspageQ_3 = _RAND_84[3:0]; + _RAND_85 = {1{`RANDOM}}; + _T_4307 = _RAND_85[0:0]; + _RAND_86 = {1{`RANDOM}}; + _T_4305 = _RAND_86[0:0]; + _RAND_87 = {1{`RANDOM}}; + _T_4303 = _RAND_87[0:0]; + _RAND_88 = {1{`RANDOM}}; + _T_4301 = _RAND_88[0:0]; + _RAND_89 = {1{`RANDOM}}; + buf_ldfwdtag_0 = _RAND_89[1:0]; + _RAND_90 = {1{`RANDOM}}; + buf_dualtag_0 = _RAND_90[1:0]; + _RAND_91 = {1{`RANDOM}}; + buf_ldfwdtag_3 = _RAND_91[1:0]; + _RAND_92 = {1{`RANDOM}}; + buf_ldfwdtag_2 = _RAND_92[1:0]; + _RAND_93 = {1{`RANDOM}}; + buf_ldfwdtag_1 = _RAND_93[1:0]; + _RAND_94 = {1{`RANDOM}}; + buf_dualtag_1 = _RAND_94[1:0]; + _RAND_95 = {1{`RANDOM}}; + buf_dualtag_2 = _RAND_95[1:0]; + _RAND_96 = {1{`RANDOM}}; + buf_dualtag_3 = _RAND_96[1:0]; + _RAND_97 = {1{`RANDOM}}; + _T_4336 = _RAND_97[0:0]; + _RAND_98 = {1{`RANDOM}}; + _T_4339 = _RAND_98[0:0]; + _RAND_99 = {1{`RANDOM}}; + _T_4342 = _RAND_99[0:0]; + _RAND_100 = {1{`RANDOM}}; + _T_4345 = _RAND_100[0:0]; + _RAND_101 = {1{`RANDOM}}; + _T_4411 = _RAND_101[0:0]; + _RAND_102 = {1{`RANDOM}}; + _T_4406 = _RAND_102[0:0]; + _RAND_103 = {1{`RANDOM}}; + _T_4401 = _RAND_103[0:0]; + _RAND_104 = {1{`RANDOM}}; + _T_4396 = _RAND_104[0:0]; + _RAND_105 = {1{`RANDOM}}; + lsu_nonblock_load_valid_r = _RAND_105[0:0]; + _RAND_106 = {1{`RANDOM}}; + _T_4987 = _RAND_106[0:0]; +`endif // RANDOMIZE_REG_INIT + if (reset) begin + buf_addr_0 = 32'h0; + end + if (reset) begin + _T_4360 = 1'h0; + end + if (reset) begin + _T_4357 = 1'h0; + end + if (reset) begin + _T_4354 = 1'h0; + end + if (reset) begin + _T_4351 = 1'h0; + end + if (reset) begin + buf_state_0 = 3'h0; + end + if (reset) begin + buf_addr_1 = 32'h0; + end + if (reset) begin + buf_state_1 = 3'h0; + end + if (reset) begin + buf_addr_2 = 32'h0; + end + if (reset) begin + buf_state_2 = 3'h0; + end + if (reset) begin + buf_addr_3 = 32'h0; + end + if (reset) begin + buf_state_3 = 3'h0; + end + if (reset) begin + buf_byteen_3 = 4'h0; + end + if (reset) begin + buf_byteen_2 = 4'h0; + end + if (reset) begin + buf_byteen_1 = 4'h0; + end + if (reset) begin + buf_byteen_0 = 4'h0; + end + if (reset) begin + buf_ageQ_3 = 4'h0; + end + if (reset) begin + _T_1848 = 2'h0; + end + if (reset) begin + obuf_merge = 1'h0; + end + if (reset) begin + obuf_tag1 = 2'h0; + end + if (reset) begin + obuf_valid = 1'h0; + end + if (reset) begin + obuf_wr_enQ = 1'h0; + end + if (reset) begin + ibuf_addr = 32'h0; + end + if (reset) begin + ibuf_write = 1'h0; + end + if (reset) begin + ibuf_valid = 1'h0; + end + if (reset) begin + ibuf_byteen = 4'h0; + end + if (reset) begin + buf_ageQ_2 = 4'h0; + end + if (reset) begin + buf_ageQ_1 = 4'h0; + end + if (reset) begin + buf_ageQ_0 = 4'h0; + end + if (reset) begin + buf_data_0 = 32'h0; + end + if (reset) begin + buf_data_1 = 32'h0; + end + if (reset) begin + buf_data_2 = 32'h0; + end + if (reset) begin + buf_data_3 = 32'h0; + end + if (reset) begin + ibuf_data = 32'h0; + end + if (reset) begin + ibuf_timer = 3'h0; + end + if (reset) begin + ibuf_sideeffect = 1'h0; + end + if (reset) begin + WrPtr1_r = 2'h0; + end + if (reset) begin + WrPtr0_r = 2'h0; + end + if (reset) begin + ibuf_tag = 2'h0; + end + if (reset) begin + ibuf_dualtag = 2'h0; + end + if (reset) begin + ibuf_dual = 1'h0; + end + if (reset) begin + ibuf_samedw = 1'h0; + end + if (reset) begin + ibuf_nomerge = 1'h0; + end + if (reset) begin + ibuf_unsign = 1'h0; + end + if (reset) begin + ibuf_sz = 2'h0; + end + if (reset) begin + obuf_wr_timer = 3'h0; + end + if (reset) begin + buf_nomerge_0 = 1'h0; + end + if (reset) begin + buf_nomerge_1 = 1'h0; + end + if (reset) begin + buf_nomerge_2 = 1'h0; + end + if (reset) begin + buf_nomerge_3 = 1'h0; + end + if (reset) begin + _T_4330 = 1'h0; + end + if (reset) begin + _T_4327 = 1'h0; + end + if (reset) begin + _T_4324 = 1'h0; + end + if (reset) begin + _T_4321 = 1'h0; + end + if (reset) begin + obuf_sideeffect = 1'h0; + end + if (reset) begin + buf_dual_3 = 1'h0; + end + if (reset) begin + buf_dual_2 = 1'h0; + end + if (reset) begin + buf_dual_1 = 1'h0; + end + if (reset) begin + buf_dual_0 = 1'h0; + end + if (reset) begin + buf_samedw_3 = 1'h0; + end + if (reset) begin + buf_samedw_2 = 1'h0; + end + if (reset) begin + buf_samedw_1 = 1'h0; + end + if (reset) begin + buf_samedw_0 = 1'h0; + end + if (reset) begin + obuf_write = 1'h0; + end + if (reset) begin + obuf_cmd_done = 1'h0; + end + if (reset) begin + obuf_data_done = 1'h0; + end + if (reset) begin + obuf_nosend = 1'h0; + end + if (reset) begin + obuf_addr = 32'h0; + end + if (reset) begin + buf_sz_0 = 2'h0; + end + if (reset) begin + buf_sz_1 = 2'h0; + end + if (reset) begin + buf_sz_2 = 2'h0; + end + if (reset) begin + buf_sz_3 = 2'h0; + end + if (reset) begin + obuf_rdrsp_pend = 1'h0; + end + if (reset) begin + obuf_rdrsp_tag = 3'h0; + end + if (reset) begin + buf_dualhi_3 = 1'h0; + end + if (reset) begin + buf_dualhi_2 = 1'h0; + end + if (reset) begin + buf_dualhi_1 = 1'h0; + end + if (reset) begin + buf_dualhi_0 = 1'h0; + end + if (reset) begin + obuf_sz = 2'h0; + end + if (reset) begin + obuf_byteen = 8'h0; + end + if (reset) begin + obuf_data = 64'h0; + end + if (reset) begin + buf_rspageQ_0 = 4'h0; + end + if (reset) begin + buf_rspageQ_1 = 4'h0; + end + if (reset) begin + buf_rspageQ_2 = 4'h0; + end + if (reset) begin + buf_rspageQ_3 = 4'h0; + end + if (reset) begin + _T_4307 = 1'h0; + end + if (reset) begin + _T_4305 = 1'h0; + end + if (reset) begin + _T_4303 = 1'h0; + end + if (reset) begin + _T_4301 = 1'h0; + end + if (reset) begin + buf_ldfwdtag_0 = 2'h0; + end + if (reset) begin + buf_dualtag_0 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_3 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_2 = 2'h0; + end + if (reset) begin + buf_ldfwdtag_1 = 2'h0; + end + if (reset) begin + buf_dualtag_1 = 2'h0; + end + if (reset) begin + buf_dualtag_2 = 2'h0; + end + if (reset) begin + buf_dualtag_3 = 2'h0; + end + if (reset) begin + _T_4336 = 1'h0; + end + if (reset) begin + _T_4339 = 1'h0; + end + if (reset) begin + _T_4342 = 1'h0; + end + if (reset) begin + _T_4345 = 1'h0; + end + if (reset) begin + _T_4411 = 1'h0; + end + if (reset) begin + _T_4406 = 1'h0; + end + if (reset) begin + _T_4401 = 1'h0; + end + if (reset) begin + _T_4396 = 1'h0; + end + if (reset) begin + lsu_nonblock_load_valid_r = 1'h0; + end + if (reset) begin + _T_4987 = 1'h0; + end + `endif // RANDOMIZE +end // initial +`ifdef FIRRTL_AFTER_INITIAL +`FIRRTL_AFTER_INITIAL +`endif +`endif // SYNTHESIS + always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_0 <= 32'h0; + end else if (ibuf_drainvec_vld[0]) begin + buf_addr_0 <= ibuf_addr; + end else if (_T_3343) begin + buf_addr_0 <= io_end_addr_r; + end else begin + buf_addr_0 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4360 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4360 <= buf_write_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4357 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4357 <= buf_write_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4354 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4354 <= buf_write_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4351 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4351 <= buf_write_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_0 <= 3'h0; + end else if (buf_state_en_0) begin + if (_T_3528) begin + if (io_lsu_bus_clk_en) begin + buf_state_0 <= 3'h2; + end else begin + buf_state_0 <= 3'h1; + end + end else if (_T_3551) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else begin + buf_state_0 <= 3'h2; + end + end else if (_T_3555) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else if (_T_3559) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h3; + end + end else if (_T_3589) begin + if (_T_3594) begin + buf_state_0 <= 3'h0; + end else if (_T_3602) begin + buf_state_0 <= 3'h4; + end else if (_T_3630) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h6; + end + end else if (_T_3676) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else if (_T_3682) begin + buf_state_0 <= 3'h5; + end else begin + buf_state_0 <= 3'h6; + end + end else if (_T_3694) begin + if (io_dec_tlu_force_halt) begin + buf_state_0 <= 3'h0; + end else begin + buf_state_0 <= 3'h6; + end + end else begin + buf_state_0 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_1 <= 32'h0; + end else if (ibuf_drainvec_vld[1]) begin + buf_addr_1 <= ibuf_addr; + end else if (_T_3352) begin + buf_addr_1 <= io_end_addr_r; + end else begin + buf_addr_1 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_1 <= 3'h0; + end else if (buf_state_en_1) begin + if (_T_3721) begin + if (io_lsu_bus_clk_en) begin + buf_state_1 <= 3'h2; + end else begin + buf_state_1 <= 3'h1; + end + end else if (_T_3744) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else begin + buf_state_1 <= 3'h2; + end + end else if (_T_3748) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else if (_T_3559) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h3; + end + end else if (_T_3782) begin + if (_T_3787) begin + buf_state_1 <= 3'h0; + end else if (_T_3795) begin + buf_state_1 <= 3'h4; + end else if (_T_3823) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h6; + end + end else if (_T_3869) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else if (_T_3875) begin + buf_state_1 <= 3'h5; + end else begin + buf_state_1 <= 3'h6; + end + end else if (_T_3887) begin + if (io_dec_tlu_force_halt) begin + buf_state_1 <= 3'h0; + end else begin + buf_state_1 <= 3'h6; + end + end else begin + buf_state_1 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_6_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_2 <= 32'h0; + end else if (ibuf_drainvec_vld[2]) begin + buf_addr_2 <= ibuf_addr; + end else if (_T_3361) begin + buf_addr_2 <= io_end_addr_r; + end else begin + buf_addr_2 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_2 <= 3'h0; + end else if (buf_state_en_2) begin + if (_T_3914) begin + if (io_lsu_bus_clk_en) begin + buf_state_2 <= 3'h2; + end else begin + buf_state_2 <= 3'h1; + end + end else if (_T_3937) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else begin + buf_state_2 <= 3'h2; + end + end else if (_T_3941) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else if (_T_3559) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h3; + end + end else if (_T_3975) begin + if (_T_3980) begin + buf_state_2 <= 3'h0; + end else if (_T_3988) begin + buf_state_2 <= 3'h4; + end else if (_T_4016) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h6; + end + end else if (_T_4062) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else if (_T_4068) begin + buf_state_2 <= 3'h5; + end else begin + buf_state_2 <= 3'h6; + end + end else if (_T_4080) begin + if (io_dec_tlu_force_halt) begin + buf_state_2 <= 3'h0; + end else begin + buf_state_2 <= 3'h6; + end + end else begin + buf_state_2 <= 3'h0; + end + end + end + always @(posedge rvclkhdr_7_io_l1clk or posedge reset) begin + if (reset) begin + buf_addr_3 <= 32'h0; + end else if (ibuf_drainvec_vld[3]) begin + buf_addr_3 <= ibuf_addr; + end else if (_T_3370) begin + buf_addr_3 <= io_end_addr_r; + end else begin + buf_addr_3 <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_state_3 <= 3'h0; + end else if (buf_state_en_3) begin + if (_T_4107) begin + if (io_lsu_bus_clk_en) begin + buf_state_3 <= 3'h2; + end else begin + buf_state_3 <= 3'h1; + end + end else if (_T_4130) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else begin + buf_state_3 <= 3'h2; + end + end else if (_T_4134) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else if (_T_3559) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h3; + end + end else if (_T_4168) begin + if (_T_4173) begin + buf_state_3 <= 3'h0; + end else if (_T_4181) begin + buf_state_3 <= 3'h4; + end else if (_T_4209) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h6; + end + end else if (_T_4255) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else if (_T_4261) begin + buf_state_3 <= 3'h5; + end else begin + buf_state_3 <= 3'h6; + end + end else if (_T_4273) begin + if (io_dec_tlu_force_halt) begin + buf_state_3 <= 3'h0; + end else begin + buf_state_3 <= 3'h6; + end + end else begin + buf_state_3 <= 3'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_3 <= 4'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_byteen_3 <= ibuf_byteen_out; + end else if (_T_3370) begin + buf_byteen_3 <= ldst_byteen_hi_r; + end else begin + buf_byteen_3 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_2 <= 4'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_byteen_2 <= ibuf_byteen_out; + end else if (_T_3361) begin + buf_byteen_2 <= ldst_byteen_hi_r; + end else begin + buf_byteen_2 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_1 <= 4'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_byteen_1 <= ibuf_byteen_out; + end else if (_T_3352) begin + buf_byteen_1 <= ldst_byteen_hi_r; + end else begin + buf_byteen_1 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_byteen_0 <= 4'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_byteen_0 <= ibuf_byteen_out; + end else if (_T_3343) begin + buf_byteen_0 <= ldst_byteen_hi_r; + end else begin + buf_byteen_0 <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_3 <= 4'h0; + end else begin + buf_ageQ_3 <= {_T_2535,_T_2458}; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + _T_1848 <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + _T_1848 <= WrPtr0_r; + end else begin + _T_1848 <= CmdPtr0; + end + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_merge <= 1'h0; + end else if (obuf_wr_en) begin + obuf_merge <= obuf_merge_en; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_tag1 <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_tag1 <= WrPtr1_r; + end else begin + obuf_tag1 <= CmdPtr1; + end + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + obuf_valid <= 1'h0; + end else begin + obuf_valid <= _T_1839 & _T_1840; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_wr_enQ <= 1'h0; + end else begin + obuf_wr_enQ <= _T_1236 & io_lsu_bus_clk_en; + end + end + always @(posedge rvclkhdr_io_l1clk or posedge reset) begin + if (reset) begin + ibuf_addr <= 32'h0; + end else if (io_ldst_dual_r) begin + ibuf_addr <= io_end_addr_r; + end else begin + ibuf_addr <= io_lsu_addr_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_write <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_write <= io_lsu_pkt_r_bits_store; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ibuf_valid <= 1'h0; + end else begin + ibuf_valid <= _T_1005 & _T_1006; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_byteen <= 4'h0; + end else if (ibuf_wr_en) begin + if (_T_866) begin + ibuf_byteen <= _T_881; + end else if (io_ldst_dual_r) begin + ibuf_byteen <= ldst_byteen_hi_r; + end else begin + ibuf_byteen <= ldst_byteen_lo_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_2 <= 4'h0; + end else begin + buf_ageQ_2 <= {_T_2433,_T_2356}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_1 <= 4'h0; + end else begin + buf_ageQ_1 <= {_T_2331,_T_2254}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ageQ_0 <= 4'h0; + end else begin + buf_ageQ_0 <= {_T_2229,_T_2152}; + end + end + always @(posedge rvclkhdr_8_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_0 <= 32'h0; + end else if (_T_3528) begin + if (_T_3543) begin + buf_data_0 <= ibuf_data_out; + end else begin + buf_data_0 <= store_data_lo_r; + end + end else if (_T_3551) begin + buf_data_0 <= 32'h0; + end else if (_T_3555) begin + if (buf_error_en_0) begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_0[2]) begin + buf_data_0 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3589) begin + if (_T_3669) begin + if (buf_addr_0[2]) begin + buf_data_0 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_0 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_0 <= 32'h0; + end + end + always @(posedge rvclkhdr_9_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_1 <= 32'h0; + end else if (_T_3721) begin + if (_T_3736) begin + buf_data_1 <= ibuf_data_out; + end else begin + buf_data_1 <= store_data_lo_r; + end + end else if (_T_3744) begin + buf_data_1 <= 32'h0; + end else if (_T_3748) begin + if (buf_error_en_1) begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_1[2]) begin + buf_data_1 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3782) begin + if (_T_3862) begin + if (buf_addr_1[2]) begin + buf_data_1 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_1 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_1 <= 32'h0; + end + end + always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_2 <= 32'h0; + end else if (_T_3914) begin + if (_T_3929) begin + buf_data_2 <= ibuf_data_out; + end else begin + buf_data_2 <= store_data_lo_r; + end + end else if (_T_3937) begin + buf_data_2 <= 32'h0; + end else if (_T_3941) begin + if (buf_error_en_2) begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_2[2]) begin + buf_data_2 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_3975) begin + if (_T_4055) begin + if (buf_addr_2[2]) begin + buf_data_2 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_2 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_2 <= 32'h0; + end + end + always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin + if (reset) begin + buf_data_3 <= 32'h0; + end else if (_T_4107) begin + if (_T_4122) begin + buf_data_3 <= ibuf_data_out; + end else begin + buf_data_3 <= store_data_lo_r; + end + end else if (_T_4130) begin + buf_data_3 <= 32'h0; + end else if (_T_4134) begin + if (buf_error_en_3) begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end else if (buf_addr_3[2]) begin + buf_data_3 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else if (_T_4168) begin + if (_T_4248) begin + if (buf_addr_3[2]) begin + buf_data_3 <= io_lsu_axi_r_bits_data[63:32]; + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_3 <= io_lsu_axi_r_bits_data[31:0]; + end + end else begin + buf_data_3 <= 32'h0; + end + end + always @(posedge rvclkhdr_1_io_l1clk or posedge reset) begin + if (reset) begin + ibuf_data <= 32'h0; + end else begin + ibuf_data <= {_T_922,_T_893}; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ibuf_timer <= 3'h0; + end else if (ibuf_wr_en) begin + ibuf_timer <= 3'h0; + end else if (_T_923) begin + ibuf_timer <= _T_926; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_sideeffect <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_sideeffect <= io_is_sideeffects_r; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + WrPtr1_r <= 2'h0; + end else if (_T_1914) begin + WrPtr1_r <= 2'h0; + end else if (_T_1928) begin + WrPtr1_r <= 2'h1; + end else if (_T_1942) begin + WrPtr1_r <= 2'h2; + end else begin + WrPtr1_r <= 2'h3; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + WrPtr0_r <= 2'h0; + end else if (_T_1863) begin + WrPtr0_r <= 2'h0; + end else if (_T_1874) begin + WrPtr0_r <= 2'h1; + end else if (_T_1885) begin + WrPtr0_r <= 2'h2; + end else begin + WrPtr0_r <= 2'h3; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_tag <= 2'h0; + end else if (ibuf_wr_en) begin + if (!(_T_866)) begin + if (io_ldst_dual_r) begin + ibuf_tag <= WrPtr1_r; + end else begin + ibuf_tag <= WrPtr0_r; + end + end + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_dualtag <= 2'h0; + end else if (ibuf_wr_en) begin + ibuf_dualtag <= WrPtr0_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_dual <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_dual <= io_ldst_dual_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_samedw <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_samedw <= ldst_samedw_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_nomerge <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_nomerge <= io_no_dword_merge_r; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_unsign <= 1'h0; + end else if (ibuf_wr_en) begin + ibuf_unsign <= io_lsu_pkt_r_bits_unsign; + end + end + always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin + if (reset) begin + ibuf_sz <= 2'h0; + end else if (ibuf_wr_en) begin + ibuf_sz <= ibuf_sz_in; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_wr_timer <= 3'h0; + end else if (obuf_wr_en) begin + obuf_wr_timer <= 3'h0; + end else if (_T_1058) begin + obuf_wr_timer <= _T_1060; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_nomerge_0 <= buf_nomerge_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_nomerge_1 <= buf_nomerge_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_nomerge_2 <= buf_nomerge_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_nomerge_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_nomerge_3 <= buf_nomerge_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4330 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4330 <= buf_sideeffect_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4327 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4327 <= buf_sideeffect_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4324 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4324 <= buf_sideeffect_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4321 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4321 <= buf_sideeffect_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_sideeffect <= 1'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_sideeffect <= io_is_sideeffects_r; + end else begin + obuf_sideeffect <= _T_1051; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_dual_3 <= buf_dual_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_dual_2 <= buf_dual_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_dual_1 <= buf_dual_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dual_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_dual_0 <= buf_dual_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_samedw_3 <= buf_samedw_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_samedw_2 <= buf_samedw_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_samedw_1 <= buf_samedw_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_samedw_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_samedw_0 <= buf_samedw_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_write <= 1'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_write <= io_lsu_pkt_r_bits_store; + end else begin + obuf_write <= _T_1202; + end + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_cmd_done <= 1'h0; + end else begin + obuf_cmd_done <= _T_1305 & _T_4863; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_data_done <= 1'h0; + end else begin + obuf_data_done <= _T_1305 & _T_4864; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + obuf_nosend <= 1'h0; + end else if (obuf_wr_en) begin + obuf_nosend <= obuf_nosend_in; + end + end + always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin + if (reset) begin + obuf_addr <= 32'h0; + end else if (ibuf_buf_byp) begin + obuf_addr <= io_lsu_addr_r; + end else begin + obuf_addr <= _T_1289; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_0 <= 2'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_sz_0 <= ibuf_sz; + end else begin + buf_sz_0 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_1 <= 2'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_sz_1 <= ibuf_sz; + end else begin + buf_sz_1 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_2 <= 2'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_sz_2 <= ibuf_sz; + end else begin + buf_sz_2 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_sz_3 <= 2'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_sz_3 <= ibuf_sz; + end else begin + buf_sz_3 <= ibuf_sz_in; + end + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_rdrsp_pend <= 1'h0; + end else begin + obuf_rdrsp_pend <= _T_1330 | _T_1334; + end + end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_rdrsp_tag <= 3'h0; + end else if (_T_1332) begin + obuf_rdrsp_tag <= obuf_tag0; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_3 <= 1'h0; + end else if (buf_wr_en_3) begin + buf_dualhi_3 <= buf_dualhi_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_2 <= 1'h0; + end else if (buf_wr_en_2) begin + buf_dualhi_2 <= buf_dualhi_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_1 <= 1'h0; + end else if (buf_wr_en_1) begin + buf_dualhi_1 <= buf_dualhi_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualhi_0 <= 1'h0; + end else if (buf_wr_en_0) begin + buf_dualhi_0 <= buf_dualhi_in[0]; + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_sz <= 2'h0; + end else if (obuf_wr_en) begin + if (ibuf_buf_byp) begin + obuf_sz <= ibuf_sz_in; + end else begin + obuf_sz <= _T_1302; + end + end + end + always @(posedge io_lsu_bus_obuf_c1_clk or posedge reset) begin + if (reset) begin + obuf_byteen <= 8'h0; + end else if (obuf_wr_en) begin + obuf_byteen <= obuf_byteen_in; + end + end + always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin + if (reset) begin + obuf_data <= 64'h0; + end else begin + obuf_data <= {_T_1620,_T_1579}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_0 <= 4'h0; + end else begin + buf_rspageQ_0 <= {_T_3173,_T_3162}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_1 <= 4'h0; + end else begin + buf_rspageQ_1 <= {_T_3188,_T_3177}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_2 <= 4'h0; + end else begin + buf_rspageQ_2 <= {_T_3203,_T_3192}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_rspageQ_3 <= 4'h0; + end else begin + buf_rspageQ_3 <= {_T_3218,_T_3207}; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4307 <= 1'h0; + end else if (buf_ldfwd_en_3) begin + if (_T_4107) begin + _T_4307 <= 1'h0; + end else if (_T_4130) begin + _T_4307 <= 1'h0; + end else begin + _T_4307 <= _T_4134; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4305 <= 1'h0; + end else if (buf_ldfwd_en_2) begin + if (_T_3914) begin + _T_4305 <= 1'h0; + end else if (_T_3937) begin + _T_4305 <= 1'h0; + end else begin + _T_4305 <= _T_3941; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4303 <= 1'h0; + end else if (buf_ldfwd_en_1) begin + if (_T_3721) begin + _T_4303 <= 1'h0; + end else if (_T_3744) begin + _T_4303 <= 1'h0; + end else begin + _T_4303 <= _T_3748; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4301 <= 1'h0; + end else if (buf_ldfwd_en_0) begin + if (_T_3528) begin + _T_4301 <= 1'h0; + end else if (_T_3551) begin + _T_4301 <= 1'h0; + end else begin + _T_4301 <= _T_3555; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (buf_ldfwd_en_0) begin + if (_T_3528) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (_T_3551) begin + buf_ldfwdtag_0 <= 2'h0; + end else if (_T_3555) begin + buf_ldfwdtag_0 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_0 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_0 <= 2'h0; + end else if (buf_wr_en_0) begin + if (ibuf_drainvec_vld[0]) begin + buf_dualtag_0 <= ibuf_dualtag; + end else if (_T_3343) begin + buf_dualtag_0 <= WrPtr0_r; + end else begin + buf_dualtag_0 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (buf_ldfwd_en_3) begin + if (_T_4107) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (_T_4130) begin + buf_ldfwdtag_3 <= 2'h0; + end else if (_T_4134) begin + buf_ldfwdtag_3 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_3 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (buf_ldfwd_en_2) begin + if (_T_3914) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (_T_3937) begin + buf_ldfwdtag_2 <= 2'h0; + end else if (_T_3941) begin + buf_ldfwdtag_2 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_2 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (buf_ldfwd_en_1) begin + if (_T_3721) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (_T_3744) begin + buf_ldfwdtag_1 <= 2'h0; + end else if (_T_3748) begin + buf_ldfwdtag_1 <= obuf_rdrsp_tag[1:0]; + end else begin + buf_ldfwdtag_1 <= 2'h0; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_1 <= 2'h0; + end else if (buf_wr_en_1) begin + if (ibuf_drainvec_vld[1]) begin + buf_dualtag_1 <= ibuf_dualtag; + end else if (_T_3352) begin + buf_dualtag_1 <= WrPtr0_r; + end else begin + buf_dualtag_1 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_2 <= 2'h0; + end else if (buf_wr_en_2) begin + if (ibuf_drainvec_vld[2]) begin + buf_dualtag_2 <= ibuf_dualtag; + end else if (_T_3361) begin + buf_dualtag_2 <= WrPtr0_r; + end else begin + buf_dualtag_2 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + buf_dualtag_3 <= 2'h0; + end else if (buf_wr_en_3) begin + if (ibuf_drainvec_vld[3]) begin + buf_dualtag_3 <= ibuf_dualtag; + end else if (_T_3370) begin + buf_dualtag_3 <= WrPtr0_r; + end else begin + buf_dualtag_3 <= WrPtr1_r; + end + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4336 <= 1'h0; + end else if (buf_wr_en_0) begin + _T_4336 <= buf_unsign_in[0]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4339 <= 1'h0; + end else if (buf_wr_en_1) begin + _T_4339 <= buf_unsign_in[1]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4342 <= 1'h0; + end else if (buf_wr_en_2) begin + _T_4342 <= buf_unsign_in[2]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4345 <= 1'h0; + end else if (buf_wr_en_3) begin + _T_4345 <= buf_unsign_in[3]; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4411 <= 1'h0; + end else begin + _T_4411 <= _T_4408 & _T_4409; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4406 <= 1'h0; + end else begin + _T_4406 <= _T_4403 & _T_4404; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4401 <= 1'h0; + end else begin + _T_4401 <= _T_4398 & _T_4399; + end + end + always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin + if (reset) begin + _T_4396 <= 1'h0; + end else begin + _T_4396 <= _T_4393 & _T_4394; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + lsu_nonblock_load_valid_r <= 1'h0; + end else begin + lsu_nonblock_load_valid_r <= io_dctl_busbuff_lsu_nonblock_load_valid_m; + end + end + always @(posedge io_lsu_c2_r_clk or posedge reset) begin + if (reset) begin + _T_4987 <= 1'h0; + end else begin + _T_4987 <= _T_4984 & _T_4518; + end + end +endmodule diff --git a/project/project/target/config-classes/$8f8b1ccfdfa3315fc811.class b/project/project/target/config-classes/$8f8b1ccfdfa3315fc811.class deleted file mode 100644 index 32c45951539de56e9cf5bd25689537987d576d0b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 650 zcmZuv&2HL282r}8F}5M)FHk2CiIl3TT4I?P1rqh(06~qgkVDg6+QZtcjT2*oe_D~J zs(R?5hdw|bqYqMbsnlCmTFp1RvvZi)Uw?l51ON?c2=-b%r)R0Q?aUo~da8CEyQiu= zAOwXw{241g4qRnw-4Q$CfkkkpEW#yGzF?n_x#b095#vA^lK4IeSBjb97)Qz~3bzlG zm7ls^5TC?Wg5Yox+t|lSL>zy6Ioq`P)U_AJfjhRu%6Ncywt?TZ>-{u-*hf??vR%Q{ zb?Nz99=6&>XKs|V+S1tX+{<$PB_cK_Je;G_uK+=Tjozq(R~>$$KyMET8B> z5GFYB!hrUX{ofR*z(9t69RNiAs3XNPWo3%n#eajscPM@RM_8y(WPpP`iV~IV(9Oq? Kg(^K@Ie@?Yh>r;X diff --git a/project/project/target/config-classes/$8f8b1ccfdfa3315fc811$.class b/project/project/target/config-classes/$c0f8b8257add6b2061c5$.class similarity index 84% rename from project/project/target/config-classes/$8f8b1ccfdfa3315fc811$.class rename to project/project/target/config-classes/$c0f8b8257add6b2061c5$.class index d8fd9e24916d147ed11e785f1f9a6a85f77d6836..69f8b4563fb13c86564a18bb2d85a607cdafac62 100644 GIT binary patch delta 108 zcmew_+$Lgw>ff$?3=9k=3>}ONVk*f7X%ff$?3=9k=3>}ONVk#DC7D)1|8{+g1%N-04CLF(ExZPE%P=cj3_M3Eaxg+veQWaGGX?9^+6 z(x*ZkIB?(rcnlr{u|eY2l~(i3?(7_9_Sc^uKLOwXwh?UACi}Cd)zlkDcsf0_^!-C^ z(hvb5NZsPkSaq>yt0U`{OhOTO1n<=H7y9FNQ?_S7z+ccH&j%?MK*)EAhB zBv6-uzka7K-N<%4x<{=L!OnnAu#44z%-r?mc+JL+?cp#A$O!_$T7`rn_`QKvEfckQ ziK}X+(P3COOrwVcZfKb3l;M?R@)x=`v@+t^u+;2iYIeU+)E2$sb-#l|zJlM^%dLn$ z6cJO)d_yt~LwVkB>*86V^5*VF?k9`o2{H7X{jro21dKX{m@WzT)m)u3EM&39LYa~og2IcJ12(IU$D;GFt9Gf|^TJ@oBFR76 zQcVPfISfbLt3j`Z;OXFT*+~MDuqi)6jIc(B0CO?%mS8cl-4H|2tV{e0Jr>C*617|Bd&Xci(^K?gel$ z{v)s|+q-#7K^Dy3QgPcQ#o|S>w{PpVtN|gAK4?yvIm@&sawFphWx+SlBG5MAN&ixT zbDB@f_LOr-=5|Ye(kWhL+C@ux*ydx{H zdN>}Meu0jGf>owDM4_d7!+sh+pl?$+6|0h1fz=e0rQV_}39RYfFucHqLC@M@`|dO) zE%c)Cfbg$X2E?Aq_NUN=bqSn-GX+lZ3Z`Y|3XWwlKxN0y<>mD}f0n?h!?Dlw@kbX)2GME6^Dp3u&6YJAw1CK_HHURKf$>P0PxceMzTx;i@EdVu(&(&GI&@Rcl(HYaX1P zHCty^FL{TtJ3~D72((sft`ykP9YWaTdRVr{RWK!u;?YS)?b_G^9WL8))bUvGj%{FX z2ijOFQM^JRhBoY1HFqG1eRvEpR;koXGXsxhfqK#xn4p>eudVdQu;iVZTbMVce@WLu zrS$7@czhDq;R&n)Z&F&;sOwa!ehN3OOO1kR79%XURq(Tg>TdY*}AC8_7x z3aMBg12-{9F|}pj7MgO{HLKUk(iN_2(y@W=7{-S7NUN^YeAP#C2Y9+Fi~2N;c^;mh zWWRlZz}R9ZmhvH_N2GHQEbsD*l*=#Xpiudw%arI|?K$=Vmz}|7kY38^rSmH0sgBOA z3A_w1=R_>ApNyM@L(OrgkL+=p@_68tcvX@IUabqV**F)swMKm}?QN{dVSzTQfI5fq zT9uQpQz^tlRT^ndsL}EUHVo}tgQPurQvz?qn>o^VS$cg3mNoWh4x`K~~nl4sL!GiXtgw>2905}6Yr?~?Xj*PN2B zr?OT9R>}N;RhJX%yzOm-y zHM79E(VC1Iu=8ujmr}S7_bbT1Ldd_G#{DW8*~DA%b?&Hv3o7aczRA9m^DEUH!@SXJ z^yv$aRICnsi(Z%5;karNMdz?> zIcD*K^{(_BYf9=ySuT|s;|}~dNmEy=CVX;&Ui^${$SL848;}h494?Vtql&`)qOm8* zV!*Zl<sSE?^yOsxa_NcJ*<`@d=7q6}UAw3~vQw=%duo+&>F!n4 z#6%r-nAH1C?w7m|iRr+(QPVXml0BKZpBl1l>FPj9-X?<;Ma@8VgDV?Q1?Tv@Gp|Zz z$mR=+9I{KC_idVjK8Cba?Y2m$2=iBhf2Uv-DSzf&7r)iVIEodsSaYYo5jYK}2MnSI zor*oH7Wd^xAHqGFYIg9{x;Y)GIxQXA2 zHPJJRpZ45^pR24|0y`#SfL#*5z(b(`m6S?=(KClX1|#Qn z%6Q?07e0WG;e!}YO}y(QC%^N}d^s22nO}c?`~-j|6bR%hwbsd8%z16O=saC48lqNj zcN8E5QkVP-H$Cn<=6H4~=8*z20s1Ty(F+2H!*I?$Zmz}B!#8tsqb9E7^Judcr)ZpQ zBM}n#y+gJgoAhK=D%Wk+M^>55EJCFbv&k89s@k$y?A>^&_WBV$Ubt;mdJKm(sMuM5(bk&o|%SBZ)Nq#4nCWQm4Y+ zC;e97=Chw48*N=)sdbLNjOt1QLM^9pEOrmO*O--331`tlED0D79uBcLT|W|QpL^yY z^ejJGZ!wbmtzlOb&~d_OJeUrx3V}Pr+ij-_NW!iP3D`@33Yvypnn0`{ECc~<5^UCU zaprm`jEjvQxhwI`4c(b1nC}M>kKDk=eZ>E73hE$13_k(@!Ff0lnL(97-W=r{q`t%c b*MCBWEK&jrIi!x39V{h;IOOp{rT~8dG@W|@ literal 0 HcmV?d00001 diff --git a/project/target/config-classes/$f5f8055b89665c483685$.class b/project/target/config-classes/$091aef6aa10bd84b425e$.class similarity index 89% rename from project/target/config-classes/$f5f8055b89665c483685$.class rename to project/target/config-classes/$091aef6aa10bd84b425e$.class index 486f1c8b01bfd6f3d6d857dbac209595dc6ac03f..ff5c7395221b69d504d733987938bbdf1f5f7fcf 100644 GIT binary patch delta 108 zcmZ20xkb|c)W2Q(7#J8#7&b66h^ZJ@8YZTunI$F~8YHDym?W7PnWn0+GcYnT2xKLe uCFb^g*iLRO&7xjlO$EM`v;F{QC3bCjiu7oxoc8pkXpTdBvD{;EZ3_oLaTc z6(9uCH|#SrT;@%Ufpf!0p#n02nlba|-1x#=&c}}M_$*+a(dNO&(EnuE5ewL&GG{@^ z7lsoFcf21sA%UG1}D(N=SNtYd!Ve)M)3nWSx}! z;QZyZLSk=*&0cqq)};(>SbV!0ofT^D9+PB-eqfioB&AbsXGyE>i$Z?3Up&&~xoR@> zz5>}I-f~Mh=ArNc%V@^OD`q5&ry-OpqXk{|10y9ws7lsB*~rqkMz(cgTMICuGPYC7`f|)X@^hs|1jM J0zSwT;4f@ajI{s& literal 0 HcmV?d00001 diff --git a/project/target/config-classes/$86aa801677e14f81b53f$.class b/project/target/config-classes/$0a3c9e6c56b14134a5a9$.class similarity index 86% rename from project/target/config-classes/$86aa801677e14f81b53f$.class rename to project/target/config-classes/$0a3c9e6c56b14134a5a9$.class index 3ff8811c12b88de60fdc81245736c26f9e9b0e22..e0cc00991ea1e1ffd2154d6cf7fccb33054df8a6 100644 GIT binary patch delta 108 zcmX>idRo-})W2Q(7#J8#7$z_>h^ZJP8Yf$(nkAc>B^jC+8k;1VCR(bnGcYnT2xKLe uCFtdPLOz)W2Q(7#J8#7$z_>h^bhZB_>)J7@C=zry821Sr{gn8mFnSGcYnT2xKLe nCFuT$4>yzp-5n}_JXyhUEZ0tJ9a~Fv~<>H zT?q(*%r*PWG?#gEZDe2bX(WM2K-U)jl51a>%lXW9JiZ8-r}cUGG4elYR?I>c)R!!b zc%a#_Jdq-u=9Gk8;7@B1l@|* zmMz0jA2V9k>!a4e>7-e!r?;DPOS#;8SIJY#NY9Y6+w`WoYa|7AST3gDHxxR!sT#*s zKYa6SUMGo{<3sawlu?B&?Hat&j9(Y@%SR-Yr61VYE=jADTN|X;_MJk0v0pk+#iiU} z=*!8D&?j^-Z$9ViJBPPetyIHOX8ejk>B&l@&HHUfDM-V*3@Lb!0vQwqr!0X)&!2Gu%Gi&CDL-*s6s5D+i<~8&IH6;^ z-0(acqJrZps6Tpsg;WHBrCdfDq5|L$XY8s18Z8N~2Gzo#6z>s+0MF zcak_Mg2Qp*;sC2LnFlmOo!+adzX)-f#pE0T=WJ4vAo#t7HhmjiumaQ2Y-2##Hf+O0 z9AgDl;dQk0ky*Eh&PCwo)T-#EQamz8P(6M&rnBmYDTzZIsKX>M!!+K|l~f)qrOAUt6RgQ_Ha43G z9*-ZkofklcZ2=1Kr~m?pBArSIa)Zbt2*hcW#V)z@11hT5S(y53^3G3uCm==`Mk!AH zD5QPl|2G91Fp#4k1_04Knn+ous!Vx*_-|1B4m)4}2^JJe1~}NIl&EEkUOs_5ROkcC G0sIBYv5EHp diff --git a/project/target/config-classes/$54e96d97406533007cc4$.class b/project/target/config-classes/$74011ad20772b97aa9cf$.class similarity index 94% rename from project/target/config-classes/$54e96d97406533007cc4$.class rename to project/target/config-classes/$74011ad20772b97aa9cf$.class index f74e69ae57102ca4814730b250be26e3c878a9f8..673f741572521e241528581cb6a2697ca6bd0cc7 100644 GIT binary patch delta 67 zcmcaBbyrI1)W2Q(7#J8#7^X8ah^d&H7#JERrWhHRn;RurnkOb&CZ|nQDi*;ezH#Li F9ss+A6kh-U delta 67 zcmcaBbyrI1)W2Q(7#J8#7^X8ah^d&Gq*|J#Sely{n3)Q%@Y$XlhY% zO!D+%DoN*)N-mD&64&)NN}qT}TB4YpPtquvRYjfMSH>ch)2Bo|($LCXN@n4ICTncQ esjxHfFfy?F`n!bsxT-KRNcdp2b+Z-oM@9fxk0}8F delta 162 zcmZ3@wVF%m)W2Q(7#J8#7?c?qL{&^pQZ3C=EX_>}%uJ1q4GhealT9WnO%@MT3en}4 zNiB|5VsZDAHc5A6DoKwtGM#uvS|XU;&)6zg(5Hl*PtrWwSBiz*S0=?hNNVAKCTncQ esjxHfFfy?F`n!bsxT-KRNcdp2b+Z-oM@9fLMkoCM diff --git a/project/target/config-classes/$ed2c8e632e990429875d$.class b/project/target/config-classes/$78e71ddb0182f8a2115c$.class similarity index 68% rename from project/target/config-classes/$ed2c8e632e990429875d$.class rename to project/target/config-classes/$78e71ddb0182f8a2115c$.class index 927dc4401b0d4b970b2c7e5ba017684136f3574e..faa2c7334cc88e76c800898dbdbb728ed1e95c11 100644 GIT binary patch delta 108 zcmeC+@8`Ea^>5cc1_lNbhFC@hF%@%*RCB|Wlq3T~3!^lPL?c5((_|HP21Z5(fvm)` uME#t^ymWp4q^#8B5_Se=Mh26K_R4I!xrxOksT&iU7)7w@-~63%A}atmq#f7* delta 101 zcmeC@@8GvT^>5cc1_lNbhFC@hF_qL5qhyOzGh?GvOG^V2BTEZ&(-akU21Z5(fvm)` nME#t^ymWp4q^#8B5_Se=Mh4x9_R1SG8yQ8gY25seaUv@KX^$IX diff --git a/project/target/config-classes/$b98a2ce1a9f5919aa315.cache b/project/target/config-classes/$78e71ddb0182f8a2115c.cache similarity index 100% rename from project/target/config-classes/$b98a2ce1a9f5919aa315.cache rename to project/target/config-classes/$78e71ddb0182f8a2115c.cache diff --git a/project/target/config-classes/$78e71ddb0182f8a2115c.class b/project/target/config-classes/$78e71ddb0182f8a2115c.class new file mode 100644 index 0000000000000000000000000000000000000000..14c0d8296a169ee886b730da7468cc8a5b4f87b6 GIT binary patch literal 647 zcmZvaUvJV-7{=ez(v`M!@^4J$wuFh9PFXF309(8$(5VQL4yQM2a@roCv$T%3F6>ho zFTC)=58%h}gBahLc(;?B{NCr}$#e0%=hvSfKLMZtn*=thtu|}vu4_Nl+x2Oi)^)w< zh(HKrFX$Jl`81emWBY$B)n9DdfUghq5(ThJ(G zOU+I^-#v`%n85BZa;Q(WC7b#frA=MiAMAusc-7?>l zEW=P9g!JHQUp-=GS5Cc98=c-jF7Cgs7X^Wmo+0M$373^UBh4wJ?M?N)E(_*m#pqVT zXz+MeBdKSThmVFhYhHkb1=QF2k#7d^a)p(YZrx2)1O*dD z3tP;38yxn$^0TtFI$iSTpNFd8N2q!}Bf8XBpvGcYnT2xKLe zCFMA( z@xlu)`~ZFoKZx<2iFZ56$?ttmo;(-Ndw%`-@e=@AutlI)@7P_(X*;hOJ($m4%?}LL zG-MzIGB@-y)jjGj^of1LW|0gcftJ1uR!sjwJ;of{_1ThBU+**iF$zBER!lh!8!O5q z7V38Fdd@z#BLcf4KBFGhLpJv?N}t}Vsk`uL6o>4HfMl;D#tHo1AnUG0jzvYNH7&D; zY?zigAd)aPEpmdqR4nndI^)^;m0YRLduK*R(^@T7OxK4!B8xlpqEYR}{H{XKof6xM zWt!^KKpFM5>ut6wraQxHqd7jyT{N^xL6RuxnR0epx~=V*NkJV~x3u@olr*@lna8z& zpT1Z$NaD@(a5S7`R3R&MO}1miZ%eJKCnTMfKG5?$qNx(Ia-`P|+)`n=U+$>lN@-H` zF3)J8?Y}<@4B&+!cH0a@Y2l z>H9%MBRBAIAIbllf+h%%z<&ckFb@-vc~p7i{gJ;x<~!uR{u3e;kOD|hM5<`nz$Ggs=$s2kIyMc7UYycHIkU8mwl3^b z885u>!Vlob@Pio7OuXAkPJZum^5nUApY!X_kDmb0f)ax5T4!z((r^x1twXzWXxj%b zJ5&OMAag~&5ZxobtB>s~I*TL_5!CfXu%!A8@hEj{=F>$;e0@N}Pf_q$w_*~Km2St( zbM`|!La;XsXT&4=iq1Wrp-=AAgtJ#=?@JBo7WZNxDteC&)hmn zyqffeug4ix$l|U+cboB>V(a}Ql*;0d@kGu%S9#96BYlI+cgTJHCqyW43V>moQ{|Qoex(qSP~;CH G2KWor^NPIy diff --git a/project/target/config-classes/$86aa801677e14f81b53f.class b/project/target/config-classes/$86aa801677e14f81b53f.class deleted file mode 100644 index 4a43270b96c72eb0335223191c3ab6c31355c2a2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 640 zcmZva%Wl&^7={0_<2bhCHusP;sgx1~2vXyaG?$8n>$nvtQRJoSCfJo~`4HR&5Z6cU45)BHI;AO_QE% z-iu{XKWhUNy87{y|7y- z@n$w0pG`9oljQ~)skh^|rOx$Zl+JQD`1}CL5=YD&(!0J>Dy$AGJ&9clDyF`?;4mY^ z8Fu?6+1Vf7(Vg;5x|9W3BB(rjKBlwcco7La>?)(sHM}U;(v_4RETzeVL=z^{(dF1^ zB6u`@*mj%-8FmCn!)_V`5Jfs=5hQefK@f;DKMv;P)N!e(oX1||tjU!VI+jZ`&+{W3 zIlf2xNd0dLRA3-MKMVk(c{GtSPgS1s{_x)*^BrhIG diff --git a/project/target/config-classes/$b98a2ce1a9f5919aa315.class b/project/target/config-classes/$b98a2ce1a9f5919aa315.class deleted file mode 100644 index 3fb37b8fd0c097aff840ae2751cb8f1707305a4f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 640 zcmZva!EVz)6h+_InL4(Uk~C>bXj3UAh$8A*Hi?Q;v2YW&qKX^2X+c7;7$=j&#j%OU z2BlwxSg>Hh2k;qu2x5xFt|N`kyQ8bScz1sN`SB9~+OUOSv*lYai8-bQv8El%un2i! zbR<9sN;l*)(L)l=^r3%4$EgG&0!^RC3#xx1A*B;Pi0GV=NbghjF^xazUPc&6bUzEi z$szMo1iJ$^CLz%iIt_V-KDtw*U>1=yOXx8I?5|SF5d2<4E6YPCqAWBG&+c(*wr4vC z3$E>a2$(u|cl19V=tEj}Uh&Vn_CDTYch8 zPpYnKPRDpK(JmS$!-b=#?sgSpf_k=8-p0%3o}Cv|cW0~k-cYc!Y}zNyn4LeLX(;z< z)E%4+OR7-DmQ5RG_PW-7`xq6<_yf7zLq!!+Zv*u@aZszy59>#&xR4FPeHA$n`UpES z^MJ1Jc5nGkr50bxgiaCE_n!^;tOrp_lZb?RpM_48CM&*@+P$T;Bv5&RJM3Q%oECzI zgZpjA1t`M03l02vg8Ph|wTUOb@)lu?`|V|p5dT+}bKC=C|$O~3*_q;?d=DM^Dk z;(g@*Hw6X=kmDZ)0P#GY$XVg4!g+V3Z&3OU8(;qk5vrU5VA$kTxn+%Ci9sG}{6WM3 Fe*t&=ie>-+ diff --git a/project/target/config-classes/$bfe52013477a08a8c2c7.class b/project/target/config-classes/$bfe52013477a08a8c2c7.class deleted file mode 100644 index c669d5e3fc7e2e47e0fda5e00ffaa186cc3b7755..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 640 zcmZva&2HL26ov2DOpI+v`3tFO5{Z_s?;pTFc_!CZv4}T zJXO_27hUuL`WStXs*_6HWu(z}&*&^BfJ4UcGjHbjRYDniE%TOl|YT`ORiIb2XA;9)3rV)bQYiQN6&@nG^Rn0O?#)e@T zeT2D@VWAVo3(?{w-FbIeNYzJ`R&Xr|!dUAJ&MJ2U6AAn_dEeOTB+-2ZF}K9GM9VPb z7yZiK)gY_rj@6CpiqbLdO5OReRl=Ac(-88{@NIS1NOSUNYcu;%lW_mGY8+RC=9$y^?H47#l+r{(6=bCk*BYjVDevNEPt0hz79EteMiN?YcaqDGjnD7st^ z;l88q(e<6~J=>{JV@sLQIRfS7t09|J*Nov`fhT)W2Q(7#J8#7|IzL#8lFZEiDYwOf1YylhRTRQ;ZEQ6O&Zf85kKE1hNv# n67_Qu^V0SGld@8iOV}Bh85wjZ+AD9&G-edRrg8HI#)<3zq?;S~ diff --git a/project/target/config-classes/$ed2c8e632e990429875d.cache b/project/target/config-classes/$e24278014d853ada8cdf.cache similarity index 100% rename from project/target/config-classes/$ed2c8e632e990429875d.cache rename to project/target/config-classes/$e24278014d853ada8cdf.cache diff --git a/project/target/config-classes/$e24278014d853ada8cdf.class b/project/target/config-classes/$e24278014d853ada8cdf.class new file mode 100644 index 0000000000000000000000000000000000000000..cda3f053e7a368b33e6f404f0e2d9cc5cc7e1f66 GIT binary patch literal 647 zcmZvaUvJV-7{=ezQc5XI7>o&yB_PK6ht)#KfW?ar*aCv2!|9D)oYDhymR9;l!#WC$Lvz4Xts~exYhsyVax?ZO^TR z2!uf9ntrB=L*1n^HLuw`6oDt8DJyTylrPj_%rb44tpe&QeHMHSy-$h}(SZ8(H4Q@M zD`sRn)^T8l1P;f+oH|tT*}}mnWp=A(_R^(cl>MpZm|T{4I9zLqX&c|oA0r;FJK!lqKy6P!Fb*iXM#lfqzA(a$Pg zFnYGEli15ycYHC;$Xr(F=&am`h6U~2Lz2o0ALwP3q-B8_yQJ6h>_UEZTs)EawWLz? zUJ1j!^$Spu=1XE6fFnHTwUc5XW;ikFca+H3aK4s6q5 zy6bu&4Q+tSbEtC2+arF1%y-!R`cLqXM{*#*9#Te29IqHa L0t)!R3jlusN9v55 literal 0 HcmV?d00001 diff --git a/project/target/config-classes/$7fbaa1d0448b78bb067e$.class b/project/target/config-classes/$e32731c07a436da1ba9c$.class similarity index 84% rename from project/target/config-classes/$7fbaa1d0448b78bb067e$.class rename to project/target/config-classes/$e32731c07a436da1ba9c$.class index 71413807a00f09c0bc9aa42b7081c27be17a33df..f99d677aaea7f87de99d9f1fe52e174b8a7cd1e8 100644 GIT binary patch delta 108 zcmdliv`fhT)W2Q(7#J8#7|IzL#8gs^jm(V=lMT!hO^nS_5)G3QEt6H)85kKE1hNv# u67_Qu^V0SGld@8iOV}Bh85v9_+AFi^<|Y=Gq;5QUcOr`9D~#v}zGxZDg7_%+cXRC<%>X1br#Blbj&WC6hnv_Sm9cc51cf#;k6O@3qb-J?>2mBJw-*O}jBjqU$7~Sr8~Obg^(xxN7d{8BUors<{uEER3$2`f)Re z&K^5$l6o;e9G_0}3Re&YI@{?aFDt!w_er)OEa+^HM`pCv0o?@EWTXTUd(CE(p{fJ{se!x;_@>Q%@a6-pG%`4OUXQbvG>$6ik>* zhv(x_i@@FS?Y5&V;^vWBlCY#paBOd{5Jpu^Dq%vLRCWE9Pu0EzeDlsKfyy8$$8b)W2Q(7#J8#7?~Lv#8lE!O%03;&C?9b%~H*hQ%%e*6O&Zf85kKE1hNv# z67_Qu^V0SGld@8iOV}BJI&>%6D{st9WD>!qaq~T;8 X5YI&Hnn+C9BZ zMIZzU*Yq=0eHysx%(`ZFECNrUqb|ZFQ@>E3F~{-(wuoq;4q5au4nL`8LL<6rEol_9 zm1-rP@9albOkj5s+0>`%ie32_rOxlv+;amOCo6VHK(N*^iwOMQAnU$Kj(CY{YNjzj zwhYr45rLZ;COJl4N+y5WcHD8v5p%j@n|0;MdAqY5*DKQj5&0eZrq$>t(QTceJHvM+ z(=g=6XZeP5l51$Ae0J#O>b)2K zbo$(Fk<{z?!Q^CCkh!AJH`tDzjH{h@k4UyCe4rP5Bqs~ZERjJs^s1G`e(jaaFC~ql zuPk}okO(7Jf5Gy*2e(+Qs9-4$yCP6~`fP%;>IGO>Kz(%>`J*6St+A5oy}MCFkTGF8 z8(vOE3V{cc`)!9=$U$C$ENo^$f=mXdB7xK(bQpomJWN(LJNA4OwTmQ(y(N3=MV{p| zBM8En#$FiUKGOd;1sZUW!hZumFb@-vWmIM4-4VY*;X9PR{u4Y@kQ@lGg_O~obVA7f)W2Q(7#J8#7|IzL#8gs~)65Obk`2<5jFK%)Oj8XFEKF6{85kKE1hNv# u67_Qu^V0SGld@8iOV}Bh85v9_+AFi^<|Y=Gq;5%gl delta 101 zcmX>hbWq6t)W2Q(7#J8#7|IzL#8iw;4U-JglFf`wEmKXBl8j7~jS^MZ85kKE1hNv# n67_Qu^V0SGld@8iOV}Bh85wjZ+AD9&G-edRrg8HI#u@AYqJ|se diff --git a/project/target/config-classes/$fe50217f076e6ce479ab.cache b/project/target/config-classes/$ecf706c0fb2c945e1085.cache similarity index 100% rename from project/target/config-classes/$fe50217f076e6ce479ab.cache rename to project/target/config-classes/$ecf706c0fb2c945e1085.cache diff --git a/project/target/config-classes/$ecf706c0fb2c945e1085.class b/project/target/config-classes/$ecf706c0fb2c945e1085.class new file mode 100644 index 0000000000000000000000000000000000000000..7989bbb6e1cda7e48a564db94c9afb6aaf148886 GIT binary patch literal 647 zcmZvaUvJV-7{=ez(v?z}F#g#AOPCln$_fZhws=wgY#D;2W7C+Z$!U8i-Ae0d>%u;j z@xlu)`~ZFoKZx<2iFZ56$?ttmo;(-Ndw%`-@e=^rP$ZDAF>}7(cwsi?M$7EBJFMAw z*%5&dNMFz|RCTFmt5f5GnV|?gfwsEvmrT8;E@PJAcx(|+PaUw}GW0*IdPD=dsxN5} zvXyE?j%)1&Mo3_19GKLl>Wa->j8bQ}YUbD;4Wku1Bp?{;kOc&OZ;*9YCp})`s!d(% zBkP*34T-=_G@TqF&n2B7J+~&GILlTPdpO!I#^03Yrq(+NC_WMfbGM|iz(^5_lDCuirrX*ZdceOYtPs+vAhh{<;URAYT z)elBb?K+9QnjMUfr)inX2wja;TG2_N{q6xtW`vLQbeE)Lf$3YM-|?M7Zn3BA%luMm zQuJjdhZ_)KXt$oR&7FfAtX8aHDHfX(P#!-Sx|8EMKz(EZE4FJJBOhjf;Ws$c>{08aou=Vwy;30?PK!7|_M#~0X NF@QJ}@PQWq{sJ9SjQ;=t literal 0 HcmV?d00001 diff --git a/project/target/config-classes/$ed2c8e632e990429875d.class b/project/target/config-classes/$ed2c8e632e990429875d.class deleted file mode 100644 index 044170af87debc88a9d36b7ef24f70d08746916c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 640 zcmZva%Wl&^6o${(aUI7=np+bR+Da)wltOB9BXuek&ZSk;gvd<`8@d?BCy9$=6JJ2> zQy~^CSnvQm1`mRmBC+d8qwoBqU;pC#^Xt!#p8(K=0)edxn)TTczHZb}RbMsh>QTF8 zia-dYZs=#K*wk4l6XOPFfe1W-stB$>oHa}T^kUA z8*4f_VZ4%be%L@v^e@M6OO5mSFd`;Po%QuWf7~M?ze6vp+iK|Fl?Zdk_?Dz=n*8)U zlWQg>jrQW~ps>GLY$0}oXURrR5Gd(sV*0VLF7IhkP9AR;5+7RD% z7%b{9F}d5hV>=ZqY$+zr2^9CAkJzkOPJo_6ZKdzq11Ioq*-G*cmQoQxW(ng-|7tX- z5O_3t*mfL;1SBPh!)6>Lh{f2HCJ^blCK8BE-O!uiiDffUya=7ZTH!m(w+tIK$8iH1 zSgynRi2iR1YQRB+eHZ}3@>n8cmZ>b`{Sm)G>N{k<{u4ap7&#DNi&18l4R%EzqL60~ Hya4bQX{CvO diff --git a/project/target/config-classes/$f3981f4865bfe1d319ab.class b/project/target/config-classes/$f3981f4865bfe1d319ab.class deleted file mode 100644 index 552bc87893c7d3ee01d1008fe38d4756110459eb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 640 zcmZvaUvJV-7{=eza#q?>gu$5TSi;1Je^^r}Zfx@-!^%=Y5lvzqec9A@Oqe2g*@(9w9-sYO6KJwl*!|db4`lRGjUW_f&B%8-)7`vM=-y z?oXR9Xm-=!fxg#aB|gvy_?yDo?P7y^BG= zhT#6-Zrfo7G|0-3fqNN{K~eaWN09199z~#xqGUOy$9}*?`8)|@e@@@}%y$E7g<%wv z*pEWqNBVzLV1NKA{$T(R&*O=l1+EI5w@3O0x$m(4^`8)-$SDAZ4NjF?*7%heq@lzg HL=5m3c#VnA diff --git a/project/target/config-classes/$f5f8055b89665c483685.class b/project/target/config-classes/$f5f8055b89665c483685.class deleted file mode 100644 index 730966125b43b3256b37f2d93f8670d43ba6fb6f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 640 zcmZvaUvJV-7{=ez(v`M=Fc_1uEn#BRD61evy2Xp~XJiYNbg-EvdT~k*rL(lIr`51e zWxVjh3qOD#!w+ISGx2UGIr+WM$&=^eea^2xKYjv08@3T_)fd{L`%=^FuHNZr^LF=D zr>lv85ah4%XRP`-aMg)@P3Ex(Jc5S03|B<`f_*|9+Y88&;y@h``Y{easaAq1j#NAG zeCLqbF@pUuons%X5n1>wL!I8KspkebP9k!QK(N;_p$L9&ptWwH9xrjVre*XQ8-`^J zk-(XTg-#eRB#W2Z9nnKOD@bv(S|h?8nb5HRW+VxcPB)DDa^r0et(3X#fBK diff --git a/project/target/config-classes/$b98a2ce1a9f5919aa315$.class b/project/target/config-classes/$fb6933303f24ca339dcd$.class similarity index 86% rename from project/target/config-classes/$b98a2ce1a9f5919aa315$.class rename to project/target/config-classes/$fb6933303f24ca339dcd$.class index ac7f35af6f2b405fd57ef021ce14395f930e5e0e..5562f5fc7039dcc8f979a60616ec8eddf65d17be 100644 GIT binary patch delta 163 zcmbOwzEIr$)W2Q(7#J8#80IlDh^eF{nOPbe8ygs>8JQ#}8XH@tB&VpbGcYnT2xKLe zCF1xJK`~XGuJdF}RWN&=#y8*MJ zC{AeN#}V!$`+rl=0Rb}jZvY79VIs1Gs)W2b@;4}ahpn&wga~D%01|8?HMDHtl{sXg Jf)Anu@E5~xjgSBU literal 0 HcmV?d00001 diff --git a/project/target/config-classes/$fe50217f076e6ce479ab.class b/project/target/config-classes/$fe50217f076e6ce479ab.class deleted file mode 100644 index d87836d2a2875d8281562e65b2ca35dc6191e2ad..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 640 zcmZva&2G~`6ov2DnL4(UlE0>;q?J;FTA-=xkTfI}i^)%`LafLM1yzE@IG#9e9h=5B zD19o#f&~j6fXCoL5K|;}9clF4Gdenpd*;`lA3p)00hT7)#UPr+v&5UVCmzov3 zo_!cv5rUmzIHexdmTczn3~h3!Ca&YtC|WZ>Z(M{6htPzt8 z5Ecw#qEpTb*%Xz=?3&~X&U!-+E}uEh<%?#(ls%(|BypR*t(Dtxc)NwTTM?VGNr?Ky zC>|uvjM7V^+p+hy%J!Rar?6kD7ci!%N2Kf{d{fyaNkKKro9Pd_f(JJh(yavH>*r1l zC0smOHtLGe diff --git a/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs b/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs index 65fd739d..023ab674 100644 --- a/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs +++ b/project/target/scala-2.12/sbt-1.0/update/update_cache_2.12/inputs @@ -1 +1 @@ -38779017 \ No newline at end of file +-1895719532 \ No newline at end of file diff --git a/project/target/streams/_global/update/_global/streams/out b/project/target/streams/_global/update/_global/streams/out index 973e5828..1ba188cd 100644 --- a/project/target/streams/_global/update/_global/streams/out +++ b/project/target/streams/_global/update/_global/streams/out @@ -1,3 +1,3 @@ [debug] "not up to date. inChanged = true, force = false -[debug] Updating ProjectRef(uri("file:/home/waleedbinehsan/Desktop/Quasar/project/"), "quasar-build")... -[debug] Done updating ProjectRef(uri("file:/home/waleedbinehsan/Desktop/Quasar/project/"), "quasar-build") +[debug] Updating ProjectRef(uri("file:/home/waleedbinehsan/Desktop/Quasar-master/project/"), "quasar-master-build")... +[debug] Done updating ProjectRef(uri("file:/home/waleedbinehsan/Desktop/Quasar-master/project/"), "quasar-master-build") diff --git a/project/target/streams/compile/_global/_global/compileOutputs/previous b/project/target/streams/compile/_global/_global/compileOutputs/previous index e2319964..8ad8608d 100644 --- a/project/target/streams/compile/_global/_global/compileOutputs/previous +++ b/project/target/streams/compile/_global/_global/compileOutputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/waleedbinehsan/Desktop/Quasar/project/target/streams/compile/compileOutputs/_global/streams/inc_compile_2.12.zip"]] \ No newline at end of file +["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/waleedbinehsan/Desktop/Quasar-master/project/target/streams/compile/compileOutputs/_global/streams/inc_compile_2.12.zip"]] \ No newline at end of file diff --git a/project/target/streams/compile/compileIncremental/_global/streams/out b/project/target/streams/compile/compileIncremental/_global/streams/out index 6db8e09d..a24bed6f 100644 --- a/project/target/streams/compile/compileIncremental/_global/streams/out +++ b/project/target/streams/compile/compileIncremental/_global/streams/out @@ -1 +1 @@ -[debug] Full compilation, no sources in previous analysis. +[debug] Full compilation, no sources in previous analysis. diff --git a/project/target/streams/compile/copyResources/_global/streams/out b/project/target/streams/compile/copyResources/_global/streams/out index f25042f2..49995276 100644 --- a/project/target/streams/compile/copyResources/_global/streams/out +++ b/project/target/streams/compile/copyResources/_global/streams/out @@ -1,2 +1,2 @@ -[debug] Copy resource mappings:  -[debug]   +[debug] Copy resource mappings: +[debug] diff --git a/project/target/streams/compile/exportedProducts/_global/streams/export b/project/target/streams/compile/exportedProducts/_global/streams/export index 21b0f701..5f8a3c9f 100644 --- a/project/target/streams/compile/exportedProducts/_global/streams/export +++ b/project/target/streams/compile/exportedProducts/_global/streams/export @@ -1 +1 @@ -/home/waleedbinehsan/Desktop/Quasar/project/target/scala-2.12/sbt-1.0/classes +/home/waleedbinehsan/Desktop/Quasar-master/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/target/streams/runtime/dependencyClasspath/_global/streams/export b/project/target/streams/runtime/dependencyClasspath/_global/streams/export index 098804aa..701f5489 100644 --- a/project/target/streams/runtime/dependencyClasspath/_global/streams/export +++ b/project/target/streams/runtime/dependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/waleedbinehsan/Desktop/Quasar/project/target/scala-2.12/sbt-1.0/classes:/home/waleedbinehsan/.sbt/1.0/plugins/target/scala-2.12/sbt-1.0/classes +/home/waleedbinehsan/Desktop/Quasar-master/project/target/scala-2.12/sbt-1.0/classes:/home/waleedbinehsan/.sbt/1.0/plugins/target/scala-2.12/sbt-1.0/classes diff --git a/project/target/streams/runtime/exportedProducts/_global/streams/export b/project/target/streams/runtime/exportedProducts/_global/streams/export index 21b0f701..5f8a3c9f 100644 --- a/project/target/streams/runtime/exportedProducts/_global/streams/export +++ b/project/target/streams/runtime/exportedProducts/_global/streams/export @@ -1 +1 @@ -/home/waleedbinehsan/Desktop/Quasar/project/target/scala-2.12/sbt-1.0/classes +/home/waleedbinehsan/Desktop/Quasar-master/project/target/scala-2.12/sbt-1.0/classes diff --git a/project/target/streams/runtime/fullClasspath/_global/streams/export b/project/target/streams/runtime/fullClasspath/_global/streams/export index 098804aa..701f5489 100644 --- a/project/target/streams/runtime/fullClasspath/_global/streams/export +++ b/project/target/streams/runtime/fullClasspath/_global/streams/export @@ -1 +1 @@ -/home/waleedbinehsan/Desktop/Quasar/project/target/scala-2.12/sbt-1.0/classes:/home/waleedbinehsan/.sbt/1.0/plugins/target/scala-2.12/sbt-1.0/classes +/home/waleedbinehsan/Desktop/Quasar-master/project/target/scala-2.12/sbt-1.0/classes:/home/waleedbinehsan/.sbt/1.0/plugins/target/scala-2.12/sbt-1.0/classes diff --git a/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export b/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export index 21b0f701..5f8a3c9f 100644 --- a/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export +++ b/project/target/streams/runtime/internalDependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/waleedbinehsan/Desktop/Quasar/project/target/scala-2.12/sbt-1.0/classes +/home/waleedbinehsan/Desktop/Quasar-master/project/target/scala-2.12/sbt-1.0/classes diff --git a/quasar_wrapper.anno.json b/quasar_wrapper.anno.json index 7c6bb0c8..50a67c3d 100644 --- a/quasar_wrapper.anno.json +++ b/quasar_wrapper.anno.json @@ -968,10 +968,6 @@ "class":"firrtl.transforms.DontTouchAnnotation", "target":"~quasar_wrapper|exu>i0_rs2_d" }, - { - "class":"firrtl.transforms.DontTouchAnnotation", - "target":"~quasar_wrapper|dbg>rst_not" - }, { "class":"firrtl.transforms.DontTouchAnnotation", "target":"~quasar_wrapper|dbg>rst_temp" diff --git a/quasar_wrapper.fir b/quasar_wrapper.fir index 04602610..128ec272 100644 --- a/quasar_wrapper.fir +++ b/quasar_wrapper.fir @@ -71689,32 +71689,32 @@ circuit quasar_wrapper : mitcnt1 <= UInt<1>("h00") wire mitcnt0 : UInt<32> mitcnt0 <= UInt<1>("h00") - node mit0_match_ns = geq(mitcnt0, mitb0) @[dec_tlu_ctl.scala 2674:36] - node mit1_match_ns = geq(mitcnt1, mitb1) @[dec_tlu_ctl.scala 2675:36] - io.dec_timer_t0_pulse <= mit0_match_ns @[dec_tlu_ctl.scala 2677:31] - io.dec_timer_t1_pulse <= mit1_match_ns @[dec_tlu_ctl.scala 2678:31] - node _T = eq(io.dec_csr_wraddr_r, UInt<12>("h07d2")) @[dec_tlu_ctl.scala 2685:72] - node wr_mitcnt0_r = and(io.dec_csr_wen_r_mod, _T) @[dec_tlu_ctl.scala 2685:49] - node _T_1 = bits(mitctl0, 0, 0) @[dec_tlu_ctl.scala 2687:37] - node _T_2 = not(io.dec_pause_state) @[dec_tlu_ctl.scala 2687:56] - node _T_3 = bits(mitctl0, 2, 2) @[dec_tlu_ctl.scala 2687:85] - node _T_4 = or(_T_2, _T_3) @[dec_tlu_ctl.scala 2687:76] - node _T_5 = and(_T_1, _T_4) @[dec_tlu_ctl.scala 2687:53] - node _T_6 = not(io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 2687:112] - node _T_7 = bits(mitctl0, 1, 1) @[dec_tlu_ctl.scala 2687:147] - node _T_8 = or(_T_6, _T_7) @[dec_tlu_ctl.scala 2687:138] - node _T_9 = and(_T_5, _T_8) @[dec_tlu_ctl.scala 2687:109] - node _T_10 = not(io.internal_dbg_halt_timers) @[dec_tlu_ctl.scala 2687:173] - node mitcnt0_inc_ok = and(_T_9, _T_10) @[dec_tlu_ctl.scala 2687:171] - node _T_11 = add(mitcnt0, UInt<32>("h01")) @[dec_tlu_ctl.scala 2688:35] - node mitcnt0_inc = tail(_T_11, 1) @[dec_tlu_ctl.scala 2688:35] - node _T_12 = bits(mit0_match_ns, 0, 0) @[dec_tlu_ctl.scala 2689:44] - node _T_13 = bits(wr_mitcnt0_r, 0, 0) @[dec_tlu_ctl.scala 2689:74] - node _T_14 = mux(_T_13, io.dec_csr_wrdata_r, mitcnt0_inc) @[dec_tlu_ctl.scala 2689:60] - node mitcnt0_ns = mux(_T_12, UInt<1>("h00"), _T_14) @[dec_tlu_ctl.scala 2689:29] - node _T_15 = or(wr_mitcnt0_r, mitcnt0_inc_ok) @[dec_tlu_ctl.scala 2690:59] - node _T_16 = or(_T_15, mit0_match_ns) @[dec_tlu_ctl.scala 2690:76] - node _T_17 = bits(_T_16, 0, 0) @[dec_tlu_ctl.scala 2690:93] + node mit0_match_ns = geq(mitcnt0, mitb0) @[dec_tlu_ctl.scala 2672:36] + node mit1_match_ns = geq(mitcnt1, mitb1) @[dec_tlu_ctl.scala 2673:36] + io.dec_timer_t0_pulse <= mit0_match_ns @[dec_tlu_ctl.scala 2675:31] + io.dec_timer_t1_pulse <= mit1_match_ns @[dec_tlu_ctl.scala 2676:31] + node _T = eq(io.dec_csr_wraddr_r, UInt<12>("h07d2")) @[dec_tlu_ctl.scala 2683:72] + node wr_mitcnt0_r = and(io.dec_csr_wen_r_mod, _T) @[dec_tlu_ctl.scala 2683:49] + node _T_1 = bits(mitctl0, 0, 0) @[dec_tlu_ctl.scala 2685:37] + node _T_2 = not(io.dec_pause_state) @[dec_tlu_ctl.scala 2685:56] + node _T_3 = bits(mitctl0, 2, 2) @[dec_tlu_ctl.scala 2685:85] + node _T_4 = or(_T_2, _T_3) @[dec_tlu_ctl.scala 2685:76] + node _T_5 = and(_T_1, _T_4) @[dec_tlu_ctl.scala 2685:53] + node _T_6 = not(io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 2685:112] + node _T_7 = bits(mitctl0, 1, 1) @[dec_tlu_ctl.scala 2685:147] + node _T_8 = or(_T_6, _T_7) @[dec_tlu_ctl.scala 2685:138] + node _T_9 = and(_T_5, _T_8) @[dec_tlu_ctl.scala 2685:109] + node _T_10 = not(io.internal_dbg_halt_timers) @[dec_tlu_ctl.scala 2685:173] + node mitcnt0_inc_ok = and(_T_9, _T_10) @[dec_tlu_ctl.scala 2685:171] + node _T_11 = add(mitcnt0, UInt<32>("h01")) @[dec_tlu_ctl.scala 2686:35] + node mitcnt0_inc = tail(_T_11, 1) @[dec_tlu_ctl.scala 2686:35] + node _T_12 = bits(mit0_match_ns, 0, 0) @[dec_tlu_ctl.scala 2687:44] + node _T_13 = bits(wr_mitcnt0_r, 0, 0) @[dec_tlu_ctl.scala 2687:74] + node _T_14 = mux(_T_13, io.dec_csr_wrdata_r, mitcnt0_inc) @[dec_tlu_ctl.scala 2687:60] + node mitcnt0_ns = mux(_T_12, UInt<1>("h00"), _T_14) @[dec_tlu_ctl.scala 2687:29] + node _T_15 = or(wr_mitcnt0_r, mitcnt0_inc_ok) @[dec_tlu_ctl.scala 2688:59] + node _T_16 = or(_T_15, mit0_match_ns) @[dec_tlu_ctl.scala 2688:76] + node _T_17 = bits(_T_16, 0, 0) @[dec_tlu_ctl.scala 2688:93] inst rvclkhdr of rvclkhdr_712 @[lib.scala 368:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset @@ -71723,34 +71723,34 @@ circuit quasar_wrapper : rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_18 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_18 <= mitcnt0_ns @[lib.scala 374:16] - mitcnt0 <= _T_18 @[dec_tlu_ctl.scala 2690:25] - node _T_19 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d5")) @[dec_tlu_ctl.scala 2697:72] - node wr_mitcnt1_r = and(io.dec_csr_wen_r_mod, _T_19) @[dec_tlu_ctl.scala 2697:49] - node _T_20 = bits(mitctl1, 0, 0) @[dec_tlu_ctl.scala 2699:37] - node _T_21 = not(io.dec_pause_state) @[dec_tlu_ctl.scala 2699:56] - node _T_22 = bits(mitctl1, 2, 2) @[dec_tlu_ctl.scala 2699:85] - node _T_23 = or(_T_21, _T_22) @[dec_tlu_ctl.scala 2699:76] - node _T_24 = and(_T_20, _T_23) @[dec_tlu_ctl.scala 2699:53] - node _T_25 = not(io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 2699:112] - node _T_26 = bits(mitctl1, 1, 1) @[dec_tlu_ctl.scala 2699:147] - node _T_27 = or(_T_25, _T_26) @[dec_tlu_ctl.scala 2699:138] - node _T_28 = and(_T_24, _T_27) @[dec_tlu_ctl.scala 2699:109] - node _T_29 = not(io.internal_dbg_halt_timers) @[dec_tlu_ctl.scala 2699:173] - node mitcnt1_inc_ok = and(_T_28, _T_29) @[dec_tlu_ctl.scala 2699:171] + mitcnt0 <= _T_18 @[dec_tlu_ctl.scala 2688:25] + node _T_19 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d5")) @[dec_tlu_ctl.scala 2695:72] + node wr_mitcnt1_r = and(io.dec_csr_wen_r_mod, _T_19) @[dec_tlu_ctl.scala 2695:49] + node _T_20 = bits(mitctl1, 0, 0) @[dec_tlu_ctl.scala 2697:37] + node _T_21 = not(io.dec_pause_state) @[dec_tlu_ctl.scala 2697:56] + node _T_22 = bits(mitctl1, 2, 2) @[dec_tlu_ctl.scala 2697:85] + node _T_23 = or(_T_21, _T_22) @[dec_tlu_ctl.scala 2697:76] + node _T_24 = and(_T_20, _T_23) @[dec_tlu_ctl.scala 2697:53] + node _T_25 = not(io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 2697:112] + node _T_26 = bits(mitctl1, 1, 1) @[dec_tlu_ctl.scala 2697:147] + node _T_27 = or(_T_25, _T_26) @[dec_tlu_ctl.scala 2697:138] + node _T_28 = and(_T_24, _T_27) @[dec_tlu_ctl.scala 2697:109] + node _T_29 = not(io.internal_dbg_halt_timers) @[dec_tlu_ctl.scala 2697:173] + node mitcnt1_inc_ok = and(_T_28, _T_29) @[dec_tlu_ctl.scala 2697:171] node _T_30 = mux(UInt<1>("h00"), UInt<31>("h07fffffff"), UInt<31>("h00")) @[Bitwise.scala 72:12] - node _T_31 = bits(mitctl1, 3, 3) @[dec_tlu_ctl.scala 2702:68] - node _T_32 = not(_T_31) @[dec_tlu_ctl.scala 2702:60] - node _T_33 = or(_T_32, mit0_match_ns) @[dec_tlu_ctl.scala 2702:72] + node _T_31 = bits(mitctl1, 3, 3) @[dec_tlu_ctl.scala 2700:68] + node _T_32 = not(_T_31) @[dec_tlu_ctl.scala 2700:60] + node _T_33 = or(_T_32, mit0_match_ns) @[dec_tlu_ctl.scala 2700:72] node _T_34 = cat(_T_30, _T_33) @[Cat.scala 29:58] - node _T_35 = add(mitcnt1, _T_34) @[dec_tlu_ctl.scala 2702:35] - node mitcnt1_inc = tail(_T_35, 1) @[dec_tlu_ctl.scala 2702:35] - node _T_36 = bits(mit1_match_ns, 0, 0) @[dec_tlu_ctl.scala 2703:45] - node _T_37 = bits(wr_mitcnt1_r, 0, 0) @[dec_tlu_ctl.scala 2703:75] - node _T_38 = mux(_T_37, io.dec_csr_wrdata_r, mitcnt1_inc) @[dec_tlu_ctl.scala 2703:61] - node mitcnt1_ns = mux(_T_36, UInt<1>("h00"), _T_38) @[dec_tlu_ctl.scala 2703:30] - node _T_39 = or(wr_mitcnt1_r, mitcnt1_inc_ok) @[dec_tlu_ctl.scala 2704:60] - node _T_40 = or(_T_39, mit1_match_ns) @[dec_tlu_ctl.scala 2704:77] - node _T_41 = bits(_T_40, 0, 0) @[dec_tlu_ctl.scala 2704:94] + node _T_35 = add(mitcnt1, _T_34) @[dec_tlu_ctl.scala 2700:35] + node mitcnt1_inc = tail(_T_35, 1) @[dec_tlu_ctl.scala 2700:35] + node _T_36 = bits(mit1_match_ns, 0, 0) @[dec_tlu_ctl.scala 2701:45] + node _T_37 = bits(wr_mitcnt1_r, 0, 0) @[dec_tlu_ctl.scala 2701:75] + node _T_38 = mux(_T_37, io.dec_csr_wrdata_r, mitcnt1_inc) @[dec_tlu_ctl.scala 2701:61] + node mitcnt1_ns = mux(_T_36, UInt<1>("h00"), _T_38) @[dec_tlu_ctl.scala 2701:30] + node _T_39 = or(wr_mitcnt1_r, mitcnt1_inc_ok) @[dec_tlu_ctl.scala 2702:60] + node _T_40 = or(_T_39, mit1_match_ns) @[dec_tlu_ctl.scala 2702:77] + node _T_41 = bits(_T_40, 0, 0) @[dec_tlu_ctl.scala 2702:94] inst rvclkhdr_1 of rvclkhdr_713 @[lib.scala 368:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -71759,11 +71759,11 @@ circuit quasar_wrapper : rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_42 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_42 <= mitcnt1_ns @[lib.scala 374:16] - mitcnt1 <= _T_42 @[dec_tlu_ctl.scala 2704:25] - node _T_43 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d3")) @[dec_tlu_ctl.scala 2711:70] - node wr_mitb0_r = and(io.dec_csr_wen_r_mod, _T_43) @[dec_tlu_ctl.scala 2711:47] - node _T_44 = not(io.dec_csr_wrdata_r) @[dec_tlu_ctl.scala 2712:38] - node _T_45 = bits(wr_mitb0_r, 0, 0) @[dec_tlu_ctl.scala 2712:71] + mitcnt1 <= _T_42 @[dec_tlu_ctl.scala 2702:25] + node _T_43 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d3")) @[dec_tlu_ctl.scala 2709:70] + node wr_mitb0_r = and(io.dec_csr_wen_r_mod, _T_43) @[dec_tlu_ctl.scala 2709:47] + node _T_44 = not(io.dec_csr_wrdata_r) @[dec_tlu_ctl.scala 2710:38] + node _T_45 = bits(wr_mitb0_r, 0, 0) @[dec_tlu_ctl.scala 2710:71] inst rvclkhdr_2 of rvclkhdr_714 @[lib.scala 368:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset @@ -71772,12 +71772,12 @@ circuit quasar_wrapper : rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg mitb0_b : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] mitb0_b <= _T_44 @[lib.scala 374:16] - node _T_46 = not(mitb0_b) @[dec_tlu_ctl.scala 2713:22] - mitb0 <= _T_46 @[dec_tlu_ctl.scala 2713:19] - node _T_47 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d6")) @[dec_tlu_ctl.scala 2720:69] - node wr_mitb1_r = and(io.dec_csr_wen_r_mod, _T_47) @[dec_tlu_ctl.scala 2720:47] - node _T_48 = not(io.dec_csr_wrdata_r) @[dec_tlu_ctl.scala 2721:29] - node _T_49 = bits(wr_mitb1_r, 0, 0) @[dec_tlu_ctl.scala 2721:62] + node _T_46 = not(mitb0_b) @[dec_tlu_ctl.scala 2711:22] + mitb0 <= _T_46 @[dec_tlu_ctl.scala 2711:19] + node _T_47 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d6")) @[dec_tlu_ctl.scala 2718:69] + node wr_mitb1_r = and(io.dec_csr_wen_r_mod, _T_47) @[dec_tlu_ctl.scala 2718:47] + node _T_48 = not(io.dec_csr_wrdata_r) @[dec_tlu_ctl.scala 2719:29] + node _T_49 = bits(wr_mitb1_r, 0, 0) @[dec_tlu_ctl.scala 2719:62] inst rvclkhdr_3 of rvclkhdr_715 @[lib.scala 368:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset @@ -71786,55 +71786,55 @@ circuit quasar_wrapper : rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg mitb1_b : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] mitb1_b <= _T_48 @[lib.scala 374:16] - node _T_50 = not(mitb1_b) @[dec_tlu_ctl.scala 2722:18] - mitb1 <= _T_50 @[dec_tlu_ctl.scala 2722:15] - node _T_51 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d4")) @[dec_tlu_ctl.scala 2733:72] - node wr_mitctl0_r = and(io.dec_csr_wen_r_mod, _T_51) @[dec_tlu_ctl.scala 2733:49] - node _T_52 = bits(wr_mitctl0_r, 0, 0) @[dec_tlu_ctl.scala 2734:45] - node _T_53 = bits(io.dec_csr_wrdata_r, 2, 0) @[dec_tlu_ctl.scala 2734:72] - node _T_54 = bits(mitctl0, 2, 0) @[dec_tlu_ctl.scala 2734:86] - node mitctl0_ns = mux(_T_52, _T_53, _T_54) @[dec_tlu_ctl.scala 2734:31] - node _T_55 = bits(mitctl0_ns, 0, 0) @[dec_tlu_ctl.scala 2736:41] - node mitctl0_0_b_ns = not(_T_55) @[dec_tlu_ctl.scala 2736:30] - reg mitctl0_0_b : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2737:60] - mitctl0_0_b <= mitctl0_0_b_ns @[dec_tlu_ctl.scala 2737:60] - node _T_56 = bits(mitctl0_ns, 2, 1) @[dec_tlu_ctl.scala 2738:78] - reg _T_57 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2738:67] - _T_57 <= _T_56 @[dec_tlu_ctl.scala 2738:67] - node _T_58 = not(mitctl0_0_b) @[dec_tlu_ctl.scala 2738:90] + node _T_50 = not(mitb1_b) @[dec_tlu_ctl.scala 2720:18] + mitb1 <= _T_50 @[dec_tlu_ctl.scala 2720:15] + node _T_51 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d4")) @[dec_tlu_ctl.scala 2731:72] + node wr_mitctl0_r = and(io.dec_csr_wen_r_mod, _T_51) @[dec_tlu_ctl.scala 2731:49] + node _T_52 = bits(wr_mitctl0_r, 0, 0) @[dec_tlu_ctl.scala 2732:45] + node _T_53 = bits(io.dec_csr_wrdata_r, 2, 0) @[dec_tlu_ctl.scala 2732:72] + node _T_54 = bits(mitctl0, 2, 0) @[dec_tlu_ctl.scala 2732:86] + node mitctl0_ns = mux(_T_52, _T_53, _T_54) @[dec_tlu_ctl.scala 2732:31] + node _T_55 = bits(mitctl0_ns, 0, 0) @[dec_tlu_ctl.scala 2734:41] + node mitctl0_0_b_ns = not(_T_55) @[dec_tlu_ctl.scala 2734:30] + reg mitctl0_0_b : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2735:60] + mitctl0_0_b <= mitctl0_0_b_ns @[dec_tlu_ctl.scala 2735:60] + node _T_56 = bits(mitctl0_ns, 2, 1) @[dec_tlu_ctl.scala 2736:78] + reg _T_57 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2736:67] + _T_57 <= _T_56 @[dec_tlu_ctl.scala 2736:67] + node _T_58 = not(mitctl0_0_b) @[dec_tlu_ctl.scala 2736:90] node _T_59 = cat(_T_57, _T_58) @[Cat.scala 29:58] - mitctl0 <= _T_59 @[dec_tlu_ctl.scala 2738:31] - node _T_60 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d7")) @[dec_tlu_ctl.scala 2748:71] - node wr_mitctl1_r = and(io.dec_csr_wen_r_mod, _T_60) @[dec_tlu_ctl.scala 2748:49] - node _T_61 = bits(wr_mitctl1_r, 0, 0) @[dec_tlu_ctl.scala 2749:45] - node _T_62 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 2749:71] - node _T_63 = bits(mitctl1, 3, 0) @[dec_tlu_ctl.scala 2749:85] - node mitctl1_ns = mux(_T_61, _T_62, _T_63) @[dec_tlu_ctl.scala 2749:31] - node _T_64 = bits(mitctl1_ns, 0, 0) @[dec_tlu_ctl.scala 2750:40] - node mitctl1_0_b_ns = not(_T_64) @[dec_tlu_ctl.scala 2750:29] - reg mitctl1_0_b : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2751:55] - mitctl1_0_b <= mitctl1_0_b_ns @[dec_tlu_ctl.scala 2751:55] - node _T_65 = bits(mitctl1_ns, 3, 1) @[dec_tlu_ctl.scala 2752:63] - reg _T_66 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2752:52] - _T_66 <= _T_65 @[dec_tlu_ctl.scala 2752:52] - node _T_67 = not(mitctl1_0_b) @[dec_tlu_ctl.scala 2752:75] + mitctl0 <= _T_59 @[dec_tlu_ctl.scala 2736:31] + node _T_60 = eq(io.dec_csr_wraddr_r, UInt<12>("h07d7")) @[dec_tlu_ctl.scala 2746:71] + node wr_mitctl1_r = and(io.dec_csr_wen_r_mod, _T_60) @[dec_tlu_ctl.scala 2746:49] + node _T_61 = bits(wr_mitctl1_r, 0, 0) @[dec_tlu_ctl.scala 2747:45] + node _T_62 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 2747:71] + node _T_63 = bits(mitctl1, 3, 0) @[dec_tlu_ctl.scala 2747:85] + node mitctl1_ns = mux(_T_61, _T_62, _T_63) @[dec_tlu_ctl.scala 2747:31] + node _T_64 = bits(mitctl1_ns, 0, 0) @[dec_tlu_ctl.scala 2748:40] + node mitctl1_0_b_ns = not(_T_64) @[dec_tlu_ctl.scala 2748:29] + reg mitctl1_0_b : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2749:55] + mitctl1_0_b <= mitctl1_0_b_ns @[dec_tlu_ctl.scala 2749:55] + node _T_65 = bits(mitctl1_ns, 3, 1) @[dec_tlu_ctl.scala 2750:63] + reg _T_66 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2750:52] + _T_66 <= _T_65 @[dec_tlu_ctl.scala 2750:52] + node _T_67 = not(mitctl1_0_b) @[dec_tlu_ctl.scala 2750:75] node _T_68 = cat(_T_66, _T_67) @[Cat.scala 29:58] - mitctl1 <= _T_68 @[dec_tlu_ctl.scala 2752:16] - node _T_69 = or(io.csr_mitcnt1, io.csr_mitcnt0) @[dec_tlu_ctl.scala 2754:51] - node _T_70 = or(_T_69, io.csr_mitb1) @[dec_tlu_ctl.scala 2754:68] - node _T_71 = or(_T_70, io.csr_mitb0) @[dec_tlu_ctl.scala 2754:83] - node _T_72 = or(_T_71, io.csr_mitctl0) @[dec_tlu_ctl.scala 2754:98] - node _T_73 = or(_T_72, io.csr_mitctl1) @[dec_tlu_ctl.scala 2754:115] - io.dec_timer_read_d <= _T_73 @[dec_tlu_ctl.scala 2754:33] - node _T_74 = bits(io.csr_mitcnt0, 0, 0) @[dec_tlu_ctl.scala 2756:25] - node _T_75 = bits(mitcnt0, 31, 0) @[dec_tlu_ctl.scala 2756:44] - node _T_76 = bits(io.csr_mitcnt1, 0, 0) @[dec_tlu_ctl.scala 2757:32] - node _T_77 = bits(io.csr_mitb0, 0, 0) @[dec_tlu_ctl.scala 2758:30] - node _T_78 = bits(io.csr_mitb1, 0, 0) @[dec_tlu_ctl.scala 2759:30] - node _T_79 = bits(io.csr_mitctl0, 0, 0) @[dec_tlu_ctl.scala 2760:32] + mitctl1 <= _T_68 @[dec_tlu_ctl.scala 2750:16] + node _T_69 = or(io.csr_mitcnt1, io.csr_mitcnt0) @[dec_tlu_ctl.scala 2752:51] + node _T_70 = or(_T_69, io.csr_mitb1) @[dec_tlu_ctl.scala 2752:68] + node _T_71 = or(_T_70, io.csr_mitb0) @[dec_tlu_ctl.scala 2752:83] + node _T_72 = or(_T_71, io.csr_mitctl0) @[dec_tlu_ctl.scala 2752:98] + node _T_73 = or(_T_72, io.csr_mitctl1) @[dec_tlu_ctl.scala 2752:115] + io.dec_timer_read_d <= _T_73 @[dec_tlu_ctl.scala 2752:33] + node _T_74 = bits(io.csr_mitcnt0, 0, 0) @[dec_tlu_ctl.scala 2754:25] + node _T_75 = bits(mitcnt0, 31, 0) @[dec_tlu_ctl.scala 2754:44] + node _T_76 = bits(io.csr_mitcnt1, 0, 0) @[dec_tlu_ctl.scala 2755:32] + node _T_77 = bits(io.csr_mitb0, 0, 0) @[dec_tlu_ctl.scala 2756:30] + node _T_78 = bits(io.csr_mitb1, 0, 0) @[dec_tlu_ctl.scala 2757:30] + node _T_79 = bits(io.csr_mitctl0, 0, 0) @[dec_tlu_ctl.scala 2758:32] node _T_80 = mux(UInt<1>("h00"), UInt<29>("h01fffffff"), UInt<29>("h00")) @[Bitwise.scala 72:12] node _T_81 = cat(_T_80, mitctl0) @[Cat.scala 29:58] - node _T_82 = bits(io.csr_mitctl1, 0, 0) @[dec_tlu_ctl.scala 2761:32] + node _T_82 = bits(io.csr_mitctl1, 0, 0) @[dec_tlu_ctl.scala 2759:32] node _T_83 = mux(UInt<1>("h00"), UInt<28>("h0fffffff"), UInt<28>("h00")) @[Bitwise.scala 72:12] node _T_84 = cat(_T_83, mitctl1) @[Cat.scala 29:58] node _T_85 = mux(_T_74, _T_75, UInt<1>("h00")) @[Mux.scala 27:72] @@ -71850,7 +71850,7 @@ circuit quasar_wrapper : node _T_95 = or(_T_94, _T_90) @[Mux.scala 27:72] wire _T_96 : UInt<32> @[Mux.scala 27:72] _T_96 <= _T_95 @[Mux.scala 27:72] - io.dec_timer_rddata_d <= _T_96 @[dec_tlu_ctl.scala 2755:33] + io.dec_timer_rddata_d <= _T_96 @[dec_tlu_ctl.scala 2753:33] extmodule gated_latch_716 : output Q : Clock @@ -72833,8 +72833,8 @@ circuit quasar_wrapper : perfcnt_halted <= UInt<1>("h00") wire mhpmc3_incr : UInt<64> mhpmc3_incr <= UInt<1>("h00") - wire mhpme_vec : UInt<10>[4] @[dec_tlu_ctl.scala 1395:41] - wire mtdata2_t : UInt<32>[4] @[dec_tlu_ctl.scala 1396:65] + wire mhpme_vec : UInt<10>[4] @[dec_tlu_ctl.scala 1393:41] + wire mtdata2_t : UInt<32>[4] @[dec_tlu_ctl.scala 1394:65] wire wr_meicpct_r : UInt<1> wr_meicpct_r <= UInt<1>("h00") wire force_halt_ctr_f : UInt<32> @@ -72909,48 +72909,48 @@ circuit quasar_wrapper : mpmc <= UInt<1>("h00") wire dicad1 : UInt<32> dicad1 <= UInt<1>("h00") - node _T = eq(io.i0_trigger_hit_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1451:45] - node _T_1 = and(io.dec_csr_wen_r, _T) @[dec_tlu_ctl.scala 1451:43] - node _T_2 = eq(io.rfpc_i0_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1451:68] - node _T_3 = and(_T_1, _T_2) @[dec_tlu_ctl.scala 1451:66] - io.dec_csr_wen_r_mod <= _T_3 @[dec_tlu_ctl.scala 1451:23] - node _T_4 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1452:64] - node _T_5 = eq(_T_4, UInt<12>("h0300")) @[dec_tlu_ctl.scala 1452:71] - node wr_mstatus_r = and(io.dec_csr_wen_r_mod, _T_5) @[dec_tlu_ctl.scala 1452:42] - node _T_6 = eq(mpmc_b_ns, UInt<1>("h00")) @[dec_tlu_ctl.scala 1455:28] - node set_mie_pmu_fw_halt = and(_T_6, io.fw_halt_req) @[dec_tlu_ctl.scala 1455:39] - node _T_7 = eq(wr_mstatus_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1458:5] - node _T_8 = and(_T_7, io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1458:19] - node _T_9 = bits(_T_8, 0, 0) @[dec_tlu_ctl.scala 1458:44] - node _T_10 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 1458:68] - node _T_11 = bits(_T_10, 0, 0) @[dec_tlu_ctl.scala 1458:68] + node _T = eq(io.i0_trigger_hit_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1449:45] + node _T_1 = and(io.dec_csr_wen_r, _T) @[dec_tlu_ctl.scala 1449:43] + node _T_2 = eq(io.rfpc_i0_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1449:68] + node _T_3 = and(_T_1, _T_2) @[dec_tlu_ctl.scala 1449:66] + io.dec_csr_wen_r_mod <= _T_3 @[dec_tlu_ctl.scala 1449:23] + node _T_4 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1450:64] + node _T_5 = eq(_T_4, UInt<12>("h0300")) @[dec_tlu_ctl.scala 1450:71] + node wr_mstatus_r = and(io.dec_csr_wen_r_mod, _T_5) @[dec_tlu_ctl.scala 1450:42] + node _T_6 = eq(mpmc_b_ns, UInt<1>("h00")) @[dec_tlu_ctl.scala 1453:28] + node set_mie_pmu_fw_halt = and(_T_6, io.fw_halt_req) @[dec_tlu_ctl.scala 1453:39] + node _T_7 = eq(wr_mstatus_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1456:5] + node _T_8 = and(_T_7, io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1456:19] + node _T_9 = bits(_T_8, 0, 0) @[dec_tlu_ctl.scala 1456:44] + node _T_10 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 1456:68] + node _T_11 = bits(_T_10, 0, 0) @[dec_tlu_ctl.scala 1456:68] node _T_12 = cat(_T_11, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_13 = and(wr_mstatus_r, io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1459:18] - node _T_14 = bits(_T_13, 0, 0) @[dec_tlu_ctl.scala 1459:43] - node _T_15 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1459:76] + node _T_13 = and(wr_mstatus_r, io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1457:18] + node _T_14 = bits(_T_13, 0, 0) @[dec_tlu_ctl.scala 1457:43] + node _T_15 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1457:76] node _T_16 = cat(_T_15, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_17 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1460:17] - node _T_18 = and(io.mret_r, _T_17) @[dec_tlu_ctl.scala 1460:15] - node _T_19 = bits(_T_18, 0, 0) @[dec_tlu_ctl.scala 1460:41] - node _T_20 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 1460:70] + node _T_17 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1458:17] + node _T_18 = and(io.mret_r, _T_17) @[dec_tlu_ctl.scala 1458:15] + node _T_19 = bits(_T_18, 0, 0) @[dec_tlu_ctl.scala 1458:41] + node _T_20 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 1458:70] node _T_21 = cat(UInt<1>("h01"), _T_20) @[Cat.scala 29:58] - node _T_22 = bits(set_mie_pmu_fw_halt, 0, 0) @[dec_tlu_ctl.scala 1461:26] - node _T_23 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 1461:50] + node _T_22 = bits(set_mie_pmu_fw_halt, 0, 0) @[dec_tlu_ctl.scala 1459:26] + node _T_23 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 1459:50] node _T_24 = cat(_T_23, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_25 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1462:20] - node _T_26 = and(wr_mstatus_r, _T_25) @[dec_tlu_ctl.scala 1462:18] - node _T_27 = bits(_T_26, 0, 0) @[dec_tlu_ctl.scala 1462:44] - node _T_28 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1462:77] - node _T_29 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1462:101] + node _T_25 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1460:20] + node _T_26 = and(wr_mstatus_r, _T_25) @[dec_tlu_ctl.scala 1460:18] + node _T_27 = bits(_T_26, 0, 0) @[dec_tlu_ctl.scala 1460:44] + node _T_28 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1460:77] + node _T_29 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1460:101] node _T_30 = cat(_T_28, _T_29) @[Cat.scala 29:58] - node _T_31 = eq(wr_mstatus_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1463:5] - node _T_32 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1463:21] - node _T_33 = and(_T_31, _T_32) @[dec_tlu_ctl.scala 1463:19] - node _T_34 = eq(io.mret_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1463:46] - node _T_35 = and(_T_33, _T_34) @[dec_tlu_ctl.scala 1463:44] - node _T_36 = eq(set_mie_pmu_fw_halt, UInt<1>("h00")) @[dec_tlu_ctl.scala 1463:59] - node _T_37 = and(_T_35, _T_36) @[dec_tlu_ctl.scala 1463:57] - node _T_38 = bits(_T_37, 0, 0) @[dec_tlu_ctl.scala 1463:81] + node _T_31 = eq(wr_mstatus_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1461:5] + node _T_32 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1461:21] + node _T_33 = and(_T_31, _T_32) @[dec_tlu_ctl.scala 1461:19] + node _T_34 = eq(io.mret_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1461:46] + node _T_35 = and(_T_33, _T_34) @[dec_tlu_ctl.scala 1461:44] + node _T_36 = eq(set_mie_pmu_fw_halt, UInt<1>("h00")) @[dec_tlu_ctl.scala 1461:59] + node _T_37 = and(_T_35, _T_36) @[dec_tlu_ctl.scala 1461:57] + node _T_38 = bits(_T_37, 0, 0) @[dec_tlu_ctl.scala 1461:81] node _T_39 = mux(_T_9, _T_12, UInt<1>("h00")) @[Mux.scala 27:72] node _T_40 = mux(_T_14, _T_16, UInt<1>("h00")) @[Mux.scala 27:72] node _T_41 = mux(_T_19, _T_21, UInt<1>("h00")) @[Mux.scala 27:72] @@ -72964,23 +72964,23 @@ circuit quasar_wrapper : node _T_49 = or(_T_48, _T_44) @[Mux.scala 27:72] wire mstatus_ns : UInt<2> @[Mux.scala 27:72] mstatus_ns <= _T_49 @[Mux.scala 27:72] - node _T_50 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 1466:33] - node _T_51 = bits(_T_50, 0, 0) @[dec_tlu_ctl.scala 1466:33] - node _T_52 = not(io.dcsr_single_step_running_f) @[dec_tlu_ctl.scala 1466:50] - node _T_53 = bits(io.dcsr, 11, 11) @[dec_tlu_ctl.scala 1466:90] - node _T_54 = or(_T_52, _T_53) @[dec_tlu_ctl.scala 1466:81] - node _T_55 = and(_T_51, _T_54) @[dec_tlu_ctl.scala 1466:47] - io.mstatus_mie_ns <= _T_55 @[dec_tlu_ctl.scala 1466:20] - reg _T_56 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1468:11] - _T_56 <= mstatus_ns @[dec_tlu_ctl.scala 1468:11] - io.mstatus <= _T_56 @[dec_tlu_ctl.scala 1467:13] - node _T_57 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1477:62] - node _T_58 = eq(_T_57, UInt<12>("h0305")) @[dec_tlu_ctl.scala 1477:69] - node wr_mtvec_r = and(io.dec_csr_wen_r_mod, _T_58) @[dec_tlu_ctl.scala 1477:40] - node _T_59 = bits(io.dec_csr_wrdata_r, 31, 2) @[dec_tlu_ctl.scala 1478:40] - node _T_60 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1478:68] + node _T_50 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 1464:33] + node _T_51 = bits(_T_50, 0, 0) @[dec_tlu_ctl.scala 1464:33] + node _T_52 = not(io.dcsr_single_step_running_f) @[dec_tlu_ctl.scala 1464:50] + node _T_53 = bits(io.dcsr, 11, 11) @[dec_tlu_ctl.scala 1464:90] + node _T_54 = or(_T_52, _T_53) @[dec_tlu_ctl.scala 1464:81] + node _T_55 = and(_T_51, _T_54) @[dec_tlu_ctl.scala 1464:47] + io.mstatus_mie_ns <= _T_55 @[dec_tlu_ctl.scala 1464:20] + reg _T_56 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1466:11] + _T_56 <= mstatus_ns @[dec_tlu_ctl.scala 1466:11] + io.mstatus <= _T_56 @[dec_tlu_ctl.scala 1465:13] + node _T_57 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1475:62] + node _T_58 = eq(_T_57, UInt<12>("h0305")) @[dec_tlu_ctl.scala 1475:69] + node wr_mtvec_r = and(io.dec_csr_wen_r_mod, _T_58) @[dec_tlu_ctl.scala 1475:40] + node _T_59 = bits(io.dec_csr_wrdata_r, 31, 2) @[dec_tlu_ctl.scala 1476:40] + node _T_60 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1476:68] node mtvec_ns = cat(_T_59, _T_60) @[Cat.scala 29:58] - node _T_61 = bits(wr_mtvec_r, 0, 0) @[dec_tlu_ctl.scala 1479:42] + node _T_61 = bits(wr_mtvec_r, 0, 0) @[dec_tlu_ctl.scala 1477:42] inst rvclkhdr of rvclkhdr_720 @[lib.scala 368:23] rvclkhdr.clock <= clock rvclkhdr.reset <= reset @@ -72989,57 +72989,57 @@ circuit quasar_wrapper : rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_62 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_62 <= mtvec_ns @[lib.scala 374:16] - io.mtvec <= _T_62 @[dec_tlu_ctl.scala 1479:11] - node _T_63 = or(mdccme_ce_req, miccme_ce_req) @[dec_tlu_ctl.scala 1491:30] - node ce_int = or(_T_63, mice_ce_req) @[dec_tlu_ctl.scala 1491:46] + io.mtvec <= _T_62 @[dec_tlu_ctl.scala 1477:11] + node _T_63 = or(mdccme_ce_req, miccme_ce_req) @[dec_tlu_ctl.scala 1489:30] + node ce_int = or(_T_63, mice_ce_req) @[dec_tlu_ctl.scala 1489:46] node _T_64 = cat(io.mexintpend, io.timer_int_sync) @[Cat.scala 29:58] node _T_65 = cat(_T_64, io.soft_int_sync) @[Cat.scala 29:58] node _T_66 = cat(ce_int, io.dec_timer_t0_pulse) @[Cat.scala 29:58] node _T_67 = cat(_T_66, io.dec_timer_t1_pulse) @[Cat.scala 29:58] node mip_ns = cat(_T_67, _T_65) @[Cat.scala 29:58] - reg _T_68 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1495:11] - _T_68 <= mip_ns @[dec_tlu_ctl.scala 1495:11] - io.mip <= _T_68 @[dec_tlu_ctl.scala 1494:9] - node _T_69 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1507:60] - node _T_70 = eq(_T_69, UInt<12>("h0304")) @[dec_tlu_ctl.scala 1507:67] - node wr_mie_r = and(io.dec_csr_wen_r_mod, _T_70) @[dec_tlu_ctl.scala 1507:38] - node _T_71 = bits(wr_mie_r, 0, 0) @[dec_tlu_ctl.scala 1508:28] - node _T_72 = bits(io.dec_csr_wrdata_r, 30, 28) @[dec_tlu_ctl.scala 1508:59] - node _T_73 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1508:88] - node _T_74 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1508:113] - node _T_75 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1508:137] + reg _T_68 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1493:11] + _T_68 <= mip_ns @[dec_tlu_ctl.scala 1493:11] + io.mip <= _T_68 @[dec_tlu_ctl.scala 1492:9] + node _T_69 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1505:60] + node _T_70 = eq(_T_69, UInt<12>("h0304")) @[dec_tlu_ctl.scala 1505:67] + node wr_mie_r = and(io.dec_csr_wen_r_mod, _T_70) @[dec_tlu_ctl.scala 1505:38] + node _T_71 = bits(wr_mie_r, 0, 0) @[dec_tlu_ctl.scala 1506:28] + node _T_72 = bits(io.dec_csr_wrdata_r, 30, 28) @[dec_tlu_ctl.scala 1506:59] + node _T_73 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1506:88] + node _T_74 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1506:113] + node _T_75 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1506:137] node _T_76 = cat(_T_74, _T_75) @[Cat.scala 29:58] node _T_77 = cat(_T_72, _T_73) @[Cat.scala 29:58] node _T_78 = cat(_T_77, _T_76) @[Cat.scala 29:58] - node _T_79 = mux(_T_71, _T_78, mie) @[dec_tlu_ctl.scala 1508:18] - io.mie_ns <= _T_79 @[dec_tlu_ctl.scala 1508:12] - reg _T_80 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1510:11] - _T_80 <= io.mie_ns @[dec_tlu_ctl.scala 1510:11] - mie <= _T_80 @[dec_tlu_ctl.scala 1509:6] - node _T_81 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 1517:63] - node kill_ebreak_count_r = and(io.ebreak_to_debug_mode_r, _T_81) @[dec_tlu_ctl.scala 1517:54] - node _T_82 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1519:64] - node _T_83 = eq(_T_82, UInt<12>("h0b00")) @[dec_tlu_ctl.scala 1519:71] - node wr_mcyclel_r = and(io.dec_csr_wen_r_mod, _T_83) @[dec_tlu_ctl.scala 1519:42] - node _T_84 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 1521:80] - node _T_85 = and(io.dec_tlu_dbg_halted, _T_84) @[dec_tlu_ctl.scala 1521:71] - node _T_86 = or(kill_ebreak_count_r, _T_85) @[dec_tlu_ctl.scala 1521:46] - node _T_87 = or(_T_86, io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 1521:94] - node _T_88 = bits(mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 1521:136] - node _T_89 = or(_T_87, _T_88) @[dec_tlu_ctl.scala 1521:121] - node mcyclel_cout_in = not(_T_89) @[dec_tlu_ctl.scala 1521:24] + node _T_79 = mux(_T_71, _T_78, mie) @[dec_tlu_ctl.scala 1506:18] + io.mie_ns <= _T_79 @[dec_tlu_ctl.scala 1506:12] + reg _T_80 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1508:11] + _T_80 <= io.mie_ns @[dec_tlu_ctl.scala 1508:11] + mie <= _T_80 @[dec_tlu_ctl.scala 1507:6] + node _T_81 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 1515:63] + node kill_ebreak_count_r = and(io.ebreak_to_debug_mode_r, _T_81) @[dec_tlu_ctl.scala 1515:54] + node _T_82 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1517:64] + node _T_83 = eq(_T_82, UInt<12>("h0b00")) @[dec_tlu_ctl.scala 1517:71] + node wr_mcyclel_r = and(io.dec_csr_wen_r_mod, _T_83) @[dec_tlu_ctl.scala 1517:42] + node _T_84 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 1519:80] + node _T_85 = and(io.dec_tlu_dbg_halted, _T_84) @[dec_tlu_ctl.scala 1519:71] + node _T_86 = or(kill_ebreak_count_r, _T_85) @[dec_tlu_ctl.scala 1519:46] + node _T_87 = or(_T_86, io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 1519:94] + node _T_88 = bits(mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 1519:136] + node _T_89 = or(_T_87, _T_88) @[dec_tlu_ctl.scala 1519:121] + node mcyclel_cout_in = not(_T_89) @[dec_tlu_ctl.scala 1519:24] wire mcyclel_inc : UInt<33> mcyclel_inc <= UInt<1>("h00") node _T_90 = cat(UInt<31>("h00"), mcyclel_cout_in) @[Cat.scala 29:58] - node _T_91 = add(mcyclel, _T_90) @[dec_tlu_ctl.scala 1525:25] - mcyclel_inc <= _T_91 @[dec_tlu_ctl.scala 1525:14] - node _T_92 = bits(wr_mcyclel_r, 0, 0) @[dec_tlu_ctl.scala 1526:36] - node _T_93 = bits(mcyclel_inc, 31, 0) @[dec_tlu_ctl.scala 1526:76] - node mcyclel_ns = mux(_T_92, io.dec_csr_wrdata_r, _T_93) @[dec_tlu_ctl.scala 1526:22] - node _T_94 = bits(mcyclel_inc, 32, 32) @[dec_tlu_ctl.scala 1527:32] - node mcyclel_cout = bits(_T_94, 0, 0) @[dec_tlu_ctl.scala 1527:37] - node _T_95 = or(wr_mcyclel_r, mcyclel_cout_in) @[dec_tlu_ctl.scala 1528:46] - node _T_96 = bits(_T_95, 0, 0) @[dec_tlu_ctl.scala 1528:72] + node _T_91 = add(mcyclel, _T_90) @[dec_tlu_ctl.scala 1523:25] + mcyclel_inc <= _T_91 @[dec_tlu_ctl.scala 1523:14] + node _T_92 = bits(wr_mcyclel_r, 0, 0) @[dec_tlu_ctl.scala 1524:36] + node _T_93 = bits(mcyclel_inc, 31, 0) @[dec_tlu_ctl.scala 1524:76] + node mcyclel_ns = mux(_T_92, io.dec_csr_wrdata_r, _T_93) @[dec_tlu_ctl.scala 1524:22] + node _T_94 = bits(mcyclel_inc, 32, 32) @[dec_tlu_ctl.scala 1525:32] + node mcyclel_cout = bits(_T_94, 0, 0) @[dec_tlu_ctl.scala 1525:37] + node _T_95 = or(wr_mcyclel_r, mcyclel_cout_in) @[dec_tlu_ctl.scala 1526:46] + node _T_96 = bits(_T_95, 0, 0) @[dec_tlu_ctl.scala 1526:72] inst rvclkhdr_1 of rvclkhdr_721 @[lib.scala 368:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -73048,22 +73048,22 @@ circuit quasar_wrapper : rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_97 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_97 <= mcyclel_ns @[lib.scala 374:16] - mcyclel <= _T_97 @[dec_tlu_ctl.scala 1528:10] - node _T_98 = eq(wr_mcycleh_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1529:71] - node _T_99 = and(mcyclel_cout, _T_98) @[dec_tlu_ctl.scala 1529:69] - reg mcyclel_cout_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1529:54] - mcyclel_cout_f <= _T_99 @[dec_tlu_ctl.scala 1529:54] - node _T_100 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1535:61] - node _T_101 = eq(_T_100, UInt<12>("h0b80")) @[dec_tlu_ctl.scala 1535:68] - node _T_102 = and(io.dec_csr_wen_r_mod, _T_101) @[dec_tlu_ctl.scala 1535:39] - wr_mcycleh_r <= _T_102 @[dec_tlu_ctl.scala 1535:15] + mcyclel <= _T_97 @[dec_tlu_ctl.scala 1526:10] + node _T_98 = eq(wr_mcycleh_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1527:71] + node _T_99 = and(mcyclel_cout, _T_98) @[dec_tlu_ctl.scala 1527:69] + reg mcyclel_cout_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1527:54] + mcyclel_cout_f <= _T_99 @[dec_tlu_ctl.scala 1527:54] + node _T_100 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1533:61] + node _T_101 = eq(_T_100, UInt<12>("h0b80")) @[dec_tlu_ctl.scala 1533:68] + node _T_102 = and(io.dec_csr_wen_r_mod, _T_101) @[dec_tlu_ctl.scala 1533:39] + wr_mcycleh_r <= _T_102 @[dec_tlu_ctl.scala 1533:15] node _T_103 = cat(UInt<31>("h00"), mcyclel_cout_f) @[Cat.scala 29:58] - node _T_104 = add(mcycleh, _T_103) @[dec_tlu_ctl.scala 1537:28] - node mcycleh_inc = tail(_T_104, 1) @[dec_tlu_ctl.scala 1537:28] - node _T_105 = bits(wr_mcycleh_r, 0, 0) @[dec_tlu_ctl.scala 1538:36] - node mcycleh_ns = mux(_T_105, io.dec_csr_wrdata_r, mcycleh_inc) @[dec_tlu_ctl.scala 1538:22] - node _T_106 = or(wr_mcycleh_r, mcyclel_cout_f) @[dec_tlu_ctl.scala 1540:46] - node _T_107 = bits(_T_106, 0, 0) @[dec_tlu_ctl.scala 1540:64] + node _T_104 = add(mcycleh, _T_103) @[dec_tlu_ctl.scala 1535:28] + node mcycleh_inc = tail(_T_104, 1) @[dec_tlu_ctl.scala 1535:28] + node _T_105 = bits(wr_mcycleh_r, 0, 0) @[dec_tlu_ctl.scala 1536:36] + node mcycleh_ns = mux(_T_105, io.dec_csr_wrdata_r, mcycleh_inc) @[dec_tlu_ctl.scala 1536:22] + node _T_106 = or(wr_mcycleh_r, mcyclel_cout_f) @[dec_tlu_ctl.scala 1538:46] + node _T_107 = bits(_T_106, 0, 0) @[dec_tlu_ctl.scala 1538:64] inst rvclkhdr_2 of rvclkhdr_722 @[lib.scala 368:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= reset @@ -73072,28 +73072,28 @@ circuit quasar_wrapper : rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_108 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_108 <= mcycleh_ns @[lib.scala 374:16] - mcycleh <= _T_108 @[dec_tlu_ctl.scala 1540:10] - node _T_109 = or(io.ebreak_r, io.ecall_r) @[dec_tlu_ctl.scala 1554:72] - node _T_110 = or(_T_109, io.ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 1554:85] - node _T_111 = or(_T_110, io.illegal_r) @[dec_tlu_ctl.scala 1554:113] - node _T_112 = bits(mcountinhibit, 2, 2) @[dec_tlu_ctl.scala 1554:143] - node _T_113 = or(_T_111, _T_112) @[dec_tlu_ctl.scala 1554:128] - node _T_114 = bits(_T_113, 0, 0) @[dec_tlu_ctl.scala 1554:148] - node _T_115 = not(_T_114) @[dec_tlu_ctl.scala 1554:58] - node i0_valid_no_ebreak_ecall_r = and(io.tlu_i0_commit_cmt, _T_115) @[dec_tlu_ctl.scala 1554:56] - node _T_116 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1556:66] - node _T_117 = eq(_T_116, UInt<12>("h0b02")) @[dec_tlu_ctl.scala 1556:73] - node wr_minstretl_r = and(io.dec_csr_wen_r_mod, _T_117) @[dec_tlu_ctl.scala 1556:44] + mcycleh <= _T_108 @[dec_tlu_ctl.scala 1538:10] + node _T_109 = or(io.ebreak_r, io.ecall_r) @[dec_tlu_ctl.scala 1552:72] + node _T_110 = or(_T_109, io.ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 1552:85] + node _T_111 = or(_T_110, io.illegal_r) @[dec_tlu_ctl.scala 1552:113] + node _T_112 = bits(mcountinhibit, 2, 2) @[dec_tlu_ctl.scala 1552:143] + node _T_113 = or(_T_111, _T_112) @[dec_tlu_ctl.scala 1552:128] + node _T_114 = bits(_T_113, 0, 0) @[dec_tlu_ctl.scala 1552:148] + node _T_115 = not(_T_114) @[dec_tlu_ctl.scala 1552:58] + node i0_valid_no_ebreak_ecall_r = and(io.tlu_i0_commit_cmt, _T_115) @[dec_tlu_ctl.scala 1552:56] + node _T_116 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1554:66] + node _T_117 = eq(_T_116, UInt<12>("h0b02")) @[dec_tlu_ctl.scala 1554:73] + node wr_minstretl_r = and(io.dec_csr_wen_r_mod, _T_117) @[dec_tlu_ctl.scala 1554:44] node _T_118 = cat(UInt<31>("h00"), i0_valid_no_ebreak_ecall_r) @[Cat.scala 29:58] - node _T_119 = add(minstretl, _T_118) @[dec_tlu_ctl.scala 1558:29] - minstretl_inc <= _T_119 @[dec_tlu_ctl.scala 1558:16] - node minstretl_cout = bits(minstretl_inc, 32, 32) @[dec_tlu_ctl.scala 1559:36] - node _T_120 = or(i0_valid_no_ebreak_ecall_r, wr_minstretl_r) @[dec_tlu_ctl.scala 1560:52] - node minstret_enable = bits(_T_120, 0, 0) @[dec_tlu_ctl.scala 1560:70] - node _T_121 = bits(wr_minstretl_r, 0, 0) @[dec_tlu_ctl.scala 1562:40] - node _T_122 = bits(minstretl_inc, 31, 0) @[dec_tlu_ctl.scala 1562:83] - node minstretl_ns = mux(_T_121, io.dec_csr_wrdata_r, _T_122) @[dec_tlu_ctl.scala 1562:24] - node _T_123 = bits(minstret_enable, 0, 0) @[dec_tlu_ctl.scala 1563:51] + node _T_119 = add(minstretl, _T_118) @[dec_tlu_ctl.scala 1556:29] + minstretl_inc <= _T_119 @[dec_tlu_ctl.scala 1556:16] + node minstretl_cout = bits(minstretl_inc, 32, 32) @[dec_tlu_ctl.scala 1557:36] + node _T_120 = or(i0_valid_no_ebreak_ecall_r, wr_minstretl_r) @[dec_tlu_ctl.scala 1558:52] + node minstret_enable = bits(_T_120, 0, 0) @[dec_tlu_ctl.scala 1558:70] + node _T_121 = bits(wr_minstretl_r, 0, 0) @[dec_tlu_ctl.scala 1560:40] + node _T_122 = bits(minstretl_inc, 31, 0) @[dec_tlu_ctl.scala 1560:83] + node minstretl_ns = mux(_T_121, io.dec_csr_wrdata_r, _T_122) @[dec_tlu_ctl.scala 1560:24] + node _T_123 = bits(minstret_enable, 0, 0) @[dec_tlu_ctl.scala 1561:51] inst rvclkhdr_3 of rvclkhdr_723 @[lib.scala 368:23] rvclkhdr_3.clock <= clock rvclkhdr_3.reset <= reset @@ -73102,26 +73102,26 @@ circuit quasar_wrapper : rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_124 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_124 <= minstretl_ns @[lib.scala 374:16] - minstretl <= _T_124 @[dec_tlu_ctl.scala 1563:12] - reg minstret_enable_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1564:56] - minstret_enable_f <= minstret_enable @[dec_tlu_ctl.scala 1564:56] - node _T_125 = not(wr_minstreth_r) @[dec_tlu_ctl.scala 1565:75] - node _T_126 = and(minstretl_cout, _T_125) @[dec_tlu_ctl.scala 1565:73] - reg minstretl_cout_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1565:56] - minstretl_cout_f <= _T_126 @[dec_tlu_ctl.scala 1565:56] - node _T_127 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1573:64] - node _T_128 = eq(_T_127, UInt<12>("h0b82")) @[dec_tlu_ctl.scala 1573:71] - node _T_129 = and(io.dec_csr_wen_r_mod, _T_128) @[dec_tlu_ctl.scala 1573:42] - node _T_130 = bits(_T_129, 0, 0) @[dec_tlu_ctl.scala 1573:87] - wr_minstreth_r <= _T_130 @[dec_tlu_ctl.scala 1573:17] + minstretl <= _T_124 @[dec_tlu_ctl.scala 1561:12] + reg minstret_enable_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1562:56] + minstret_enable_f <= minstret_enable @[dec_tlu_ctl.scala 1562:56] + node _T_125 = not(wr_minstreth_r) @[dec_tlu_ctl.scala 1563:75] + node _T_126 = and(minstretl_cout, _T_125) @[dec_tlu_ctl.scala 1563:73] + reg minstretl_cout_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1563:56] + minstretl_cout_f <= _T_126 @[dec_tlu_ctl.scala 1563:56] + node _T_127 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1571:64] + node _T_128 = eq(_T_127, UInt<12>("h0b82")) @[dec_tlu_ctl.scala 1571:71] + node _T_129 = and(io.dec_csr_wen_r_mod, _T_128) @[dec_tlu_ctl.scala 1571:42] + node _T_130 = bits(_T_129, 0, 0) @[dec_tlu_ctl.scala 1571:87] + wr_minstreth_r <= _T_130 @[dec_tlu_ctl.scala 1571:17] node _T_131 = cat(UInt<31>("h00"), minstretl_cout_f) @[Cat.scala 29:58] - node _T_132 = add(minstreth, _T_131) @[dec_tlu_ctl.scala 1576:29] - node _T_133 = tail(_T_132, 1) @[dec_tlu_ctl.scala 1576:29] - minstreth_inc <= _T_133 @[dec_tlu_ctl.scala 1576:16] - node _T_134 = bits(wr_minstreth_r, 0, 0) @[dec_tlu_ctl.scala 1577:41] - node minstreth_ns = mux(_T_134, io.dec_csr_wrdata_r, minstreth_inc) @[dec_tlu_ctl.scala 1577:25] - node _T_135 = or(minstret_enable_f, wr_minstreth_r) @[dec_tlu_ctl.scala 1579:55] - node _T_136 = bits(_T_135, 0, 0) @[dec_tlu_ctl.scala 1579:73] + node _T_132 = add(minstreth, _T_131) @[dec_tlu_ctl.scala 1574:29] + node _T_133 = tail(_T_132, 1) @[dec_tlu_ctl.scala 1574:29] + minstreth_inc <= _T_133 @[dec_tlu_ctl.scala 1574:16] + node _T_134 = bits(wr_minstreth_r, 0, 0) @[dec_tlu_ctl.scala 1575:41] + node minstreth_ns = mux(_T_134, io.dec_csr_wrdata_r, minstreth_inc) @[dec_tlu_ctl.scala 1575:25] + node _T_135 = or(minstret_enable_f, wr_minstreth_r) @[dec_tlu_ctl.scala 1577:55] + node _T_136 = bits(_T_135, 0, 0) @[dec_tlu_ctl.scala 1577:73] inst rvclkhdr_4 of rvclkhdr_724 @[lib.scala 368:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= reset @@ -73130,11 +73130,11 @@ circuit quasar_wrapper : rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_137 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_137 <= minstreth_ns @[lib.scala 374:16] - minstreth <= _T_137 @[dec_tlu_ctl.scala 1579:12] - node _T_138 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1587:65] - node _T_139 = eq(_T_138, UInt<12>("h0340")) @[dec_tlu_ctl.scala 1587:72] - node wr_mscratch_r = and(io.dec_csr_wen_r_mod, _T_139) @[dec_tlu_ctl.scala 1587:43] - node _T_140 = bits(wr_mscratch_r, 0, 0) @[dec_tlu_ctl.scala 1589:55] + minstreth <= _T_137 @[dec_tlu_ctl.scala 1577:12] + node _T_138 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1585:65] + node _T_139 = eq(_T_138, UInt<12>("h0340")) @[dec_tlu_ctl.scala 1585:72] + node wr_mscratch_r = and(io.dec_csr_wen_r_mod, _T_139) @[dec_tlu_ctl.scala 1585:43] + node _T_140 = bits(wr_mscratch_r, 0, 0) @[dec_tlu_ctl.scala 1587:55] inst rvclkhdr_5 of rvclkhdr_725 @[lib.scala 368:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= reset @@ -73143,24 +73143,24 @@ circuit quasar_wrapper : rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_141 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_141 <= io.dec_csr_wrdata_r @[lib.scala 374:16] - mscratch <= _T_141 @[dec_tlu_ctl.scala 1589:11] - node _T_142 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1598:22] - node _T_143 = eq(io.tlu_flush_lower_r_d1, UInt<1>("h00")) @[dec_tlu_ctl.scala 1598:47] - node _T_144 = and(_T_142, _T_143) @[dec_tlu_ctl.scala 1598:45] - node sel_exu_npc_r = and(_T_144, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 1598:72] - node _T_145 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1599:24] - node _T_146 = and(_T_145, io.tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 1599:47] - node _T_147 = eq(io.dec_tlu_flush_noredir_r_d1, UInt<1>("h00")) @[dec_tlu_ctl.scala 1599:75] - node sel_flush_npc_r = and(_T_146, _T_147) @[dec_tlu_ctl.scala 1599:73] - node _T_148 = eq(sel_exu_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1600:23] - node _T_149 = eq(sel_flush_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1600:40] - node sel_hold_npc_r = and(_T_148, _T_149) @[dec_tlu_ctl.scala 1600:38] - node _T_150 = bits(sel_exu_npc_r, 0, 0) @[dec_tlu_ctl.scala 1603:26] - node _T_151 = eq(io.mpc_reset_run_req, UInt<1>("h00")) @[dec_tlu_ctl.scala 1604:13] - node _T_152 = and(_T_151, io.reset_delayed) @[dec_tlu_ctl.scala 1604:35] - node _T_153 = bits(_T_152, 0, 0) @[dec_tlu_ctl.scala 1604:55] - node _T_154 = bits(sel_flush_npc_r, 0, 0) @[dec_tlu_ctl.scala 1605:28] - node _T_155 = bits(sel_hold_npc_r, 0, 0) @[dec_tlu_ctl.scala 1606:27] + mscratch <= _T_141 @[dec_tlu_ctl.scala 1587:11] + node _T_142 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1596:22] + node _T_143 = eq(io.tlu_flush_lower_r_d1, UInt<1>("h00")) @[dec_tlu_ctl.scala 1596:47] + node _T_144 = and(_T_142, _T_143) @[dec_tlu_ctl.scala 1596:45] + node sel_exu_npc_r = and(_T_144, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 1596:72] + node _T_145 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1597:24] + node _T_146 = and(_T_145, io.tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 1597:47] + node _T_147 = eq(io.dec_tlu_flush_noredir_r_d1, UInt<1>("h00")) @[dec_tlu_ctl.scala 1597:75] + node sel_flush_npc_r = and(_T_146, _T_147) @[dec_tlu_ctl.scala 1597:73] + node _T_148 = eq(sel_exu_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1598:23] + node _T_149 = eq(sel_flush_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1598:40] + node sel_hold_npc_r = and(_T_148, _T_149) @[dec_tlu_ctl.scala 1598:38] + node _T_150 = bits(sel_exu_npc_r, 0, 0) @[dec_tlu_ctl.scala 1601:26] + node _T_151 = eq(io.mpc_reset_run_req, UInt<1>("h00")) @[dec_tlu_ctl.scala 1602:13] + node _T_152 = and(_T_151, io.reset_delayed) @[dec_tlu_ctl.scala 1602:35] + node _T_153 = bits(_T_152, 0, 0) @[dec_tlu_ctl.scala 1602:55] + node _T_154 = bits(sel_flush_npc_r, 0, 0) @[dec_tlu_ctl.scala 1603:28] + node _T_155 = bits(sel_hold_npc_r, 0, 0) @[dec_tlu_ctl.scala 1604:27] node _T_156 = mux(_T_150, io.exu_npc_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_157 = mux(_T_153, io.rst_vec, UInt<1>("h00")) @[Mux.scala 27:72] node _T_158 = mux(_T_154, io.tlu_flush_path_r_d1, UInt<1>("h00")) @[Mux.scala 27:72] @@ -73170,10 +73170,10 @@ circuit quasar_wrapper : node _T_162 = or(_T_161, _T_159) @[Mux.scala 27:72] wire _T_163 : UInt<31> @[Mux.scala 27:72] _T_163 <= _T_162 @[Mux.scala 27:72] - io.npc_r <= _T_163 @[dec_tlu_ctl.scala 1602:11] - node _T_164 = or(sel_exu_npc_r, sel_flush_npc_r) @[dec_tlu_ctl.scala 1608:48] - node _T_165 = or(_T_164, io.reset_delayed) @[dec_tlu_ctl.scala 1608:66] - node _T_166 = bits(_T_165, 0, 0) @[dec_tlu_ctl.scala 1608:86] + io.npc_r <= _T_163 @[dec_tlu_ctl.scala 1600:11] + node _T_164 = or(sel_exu_npc_r, sel_flush_npc_r) @[dec_tlu_ctl.scala 1606:48] + node _T_165 = or(_T_164, io.reset_delayed) @[dec_tlu_ctl.scala 1606:66] + node _T_166 = bits(_T_165, 0, 0) @[dec_tlu_ctl.scala 1606:86] inst rvclkhdr_6 of rvclkhdr_726 @[lib.scala 368:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= reset @@ -73182,11 +73182,11 @@ circuit quasar_wrapper : rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_167 : UInt, rvclkhdr_6.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_167 <= io.npc_r @[lib.scala 374:16] - io.npc_r_d1 <= _T_167 @[dec_tlu_ctl.scala 1608:14] - node _T_168 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1611:21] - node _T_169 = and(_T_168, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 1611:44] - node pc0_valid_r = bits(_T_169, 0, 0) @[dec_tlu_ctl.scala 1611:69] - node _T_170 = not(pc0_valid_r) @[dec_tlu_ctl.scala 1615:22] + io.npc_r_d1 <= _T_167 @[dec_tlu_ctl.scala 1606:14] + node _T_168 = eq(io.dec_tlu_dbg_halted, UInt<1>("h00")) @[dec_tlu_ctl.scala 1609:21] + node _T_169 = and(_T_168, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 1609:44] + node pc0_valid_r = bits(_T_169, 0, 0) @[dec_tlu_ctl.scala 1609:69] + node _T_170 = not(pc0_valid_r) @[dec_tlu_ctl.scala 1613:22] node _T_171 = mux(pc0_valid_r, io.dec_tlu_i0_pc_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_172 = mux(_T_170, pc_r_d1, UInt<1>("h00")) @[Mux.scala 27:72] node _T_173 = or(_T_171, _T_172) @[Mux.scala 27:72] @@ -73200,22 +73200,22 @@ circuit quasar_wrapper : rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_174 : UInt, rvclkhdr_7.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_174 <= pc_r @[lib.scala 374:16] - pc_r_d1 <= _T_174 @[dec_tlu_ctl.scala 1617:10] - node _T_175 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1619:61] - node _T_176 = eq(_T_175, UInt<12>("h0341")) @[dec_tlu_ctl.scala 1619:68] - node wr_mepc_r = and(io.dec_csr_wen_r_mod, _T_176) @[dec_tlu_ctl.scala 1619:39] - node _T_177 = or(io.i0_exception_valid_r, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 1622:27] - node _T_178 = or(_T_177, io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1622:48] - node _T_179 = bits(_T_178, 0, 0) @[dec_tlu_ctl.scala 1622:80] - node _T_180 = bits(io.interrupt_valid_r, 0, 0) @[dec_tlu_ctl.scala 1623:25] - node _T_181 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1624:15] - node _T_182 = and(wr_mepc_r, _T_181) @[dec_tlu_ctl.scala 1624:13] - node _T_183 = bits(_T_182, 0, 0) @[dec_tlu_ctl.scala 1624:39] - node _T_184 = bits(io.dec_csr_wrdata_r, 31, 1) @[dec_tlu_ctl.scala 1624:104] - node _T_185 = eq(wr_mepc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1625:3] - node _T_186 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1625:16] - node _T_187 = and(_T_185, _T_186) @[dec_tlu_ctl.scala 1625:14] - node _T_188 = bits(_T_187, 0, 0) @[dec_tlu_ctl.scala 1625:40] + pc_r_d1 <= _T_174 @[dec_tlu_ctl.scala 1615:10] + node _T_175 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1617:61] + node _T_176 = eq(_T_175, UInt<12>("h0341")) @[dec_tlu_ctl.scala 1617:68] + node wr_mepc_r = and(io.dec_csr_wen_r_mod, _T_176) @[dec_tlu_ctl.scala 1617:39] + node _T_177 = or(io.i0_exception_valid_r, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 1620:27] + node _T_178 = or(_T_177, io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1620:48] + node _T_179 = bits(_T_178, 0, 0) @[dec_tlu_ctl.scala 1620:80] + node _T_180 = bits(io.interrupt_valid_r, 0, 0) @[dec_tlu_ctl.scala 1621:25] + node _T_181 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1622:15] + node _T_182 = and(wr_mepc_r, _T_181) @[dec_tlu_ctl.scala 1622:13] + node _T_183 = bits(_T_182, 0, 0) @[dec_tlu_ctl.scala 1622:39] + node _T_184 = bits(io.dec_csr_wrdata_r, 31, 1) @[dec_tlu_ctl.scala 1622:104] + node _T_185 = eq(wr_mepc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1623:3] + node _T_186 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1623:16] + node _T_187 = and(_T_185, _T_186) @[dec_tlu_ctl.scala 1623:14] + node _T_188 = bits(_T_187, 0, 0) @[dec_tlu_ctl.scala 1623:40] node _T_189 = mux(_T_179, pc_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_190 = mux(_T_180, io.npc_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_191 = mux(_T_183, _T_184, UInt<1>("h00")) @[Mux.scala 27:72] @@ -73225,42 +73225,42 @@ circuit quasar_wrapper : node _T_195 = or(_T_194, _T_192) @[Mux.scala 27:72] wire mepc_ns : UInt<31> @[Mux.scala 27:72] mepc_ns <= _T_195 @[Mux.scala 27:72] - reg _T_196 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1627:47] - _T_196 <= mepc_ns @[dec_tlu_ctl.scala 1627:47] - io.mepc <= _T_196 @[dec_tlu_ctl.scala 1627:10] - node _T_197 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1634:65] - node _T_198 = eq(_T_197, UInt<12>("h0342")) @[dec_tlu_ctl.scala 1634:72] - node wr_mcause_r = and(io.dec_csr_wen_r_mod, _T_198) @[dec_tlu_ctl.scala 1634:43] - node _T_199 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1635:53] - node mcause_sel_nmi_store = and(_T_199, io.nmi_lsu_store_type) @[dec_tlu_ctl.scala 1635:67] - node _T_200 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1636:52] - node mcause_sel_nmi_load = and(_T_200, io.nmi_lsu_load_type) @[dec_tlu_ctl.scala 1636:66] - node _T_201 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1637:51] - node _T_202 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 1637:84] - node mcause_sel_nmi_ext = and(_T_201, _T_202) @[dec_tlu_ctl.scala 1637:65] - node _T_203 = andr(io.lsu_fir_error) @[dec_tlu_ctl.scala 1643:53] - node _T_204 = bits(io.lsu_fir_error, 1, 1) @[dec_tlu_ctl.scala 1643:76] - node _T_205 = bits(io.lsu_fir_error, 0, 0) @[dec_tlu_ctl.scala 1643:99] - node _T_206 = not(_T_205) @[dec_tlu_ctl.scala 1643:82] - node _T_207 = and(_T_204, _T_206) @[dec_tlu_ctl.scala 1643:80] + reg _T_196 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1625:47] + _T_196 <= mepc_ns @[dec_tlu_ctl.scala 1625:47] + io.mepc <= _T_196 @[dec_tlu_ctl.scala 1625:10] + node _T_197 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1632:65] + node _T_198 = eq(_T_197, UInt<12>("h0342")) @[dec_tlu_ctl.scala 1632:72] + node wr_mcause_r = and(io.dec_csr_wen_r_mod, _T_198) @[dec_tlu_ctl.scala 1632:43] + node _T_199 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1633:53] + node mcause_sel_nmi_store = and(_T_199, io.nmi_lsu_store_type) @[dec_tlu_ctl.scala 1633:67] + node _T_200 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1634:52] + node mcause_sel_nmi_load = and(_T_200, io.nmi_lsu_load_type) @[dec_tlu_ctl.scala 1634:66] + node _T_201 = and(io.exc_or_int_valid_r, io.take_nmi) @[dec_tlu_ctl.scala 1635:51] + node _T_202 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 1635:84] + node mcause_sel_nmi_ext = and(_T_201, _T_202) @[dec_tlu_ctl.scala 1635:65] + node _T_203 = andr(io.lsu_fir_error) @[dec_tlu_ctl.scala 1641:53] + node _T_204 = bits(io.lsu_fir_error, 1, 1) @[dec_tlu_ctl.scala 1641:76] + node _T_205 = bits(io.lsu_fir_error, 0, 0) @[dec_tlu_ctl.scala 1641:99] + node _T_206 = not(_T_205) @[dec_tlu_ctl.scala 1641:82] + node _T_207 = and(_T_204, _T_206) @[dec_tlu_ctl.scala 1641:80] node mcause_fir_error_type = cat(_T_203, _T_207) @[Cat.scala 29:58] - node _T_208 = bits(mcause_sel_nmi_store, 0, 0) @[dec_tlu_ctl.scala 1646:52] - node _T_209 = bits(mcause_sel_nmi_load, 0, 0) @[dec_tlu_ctl.scala 1647:51] - node _T_210 = bits(mcause_sel_nmi_ext, 0, 0) @[dec_tlu_ctl.scala 1648:50] + node _T_208 = bits(mcause_sel_nmi_store, 0, 0) @[dec_tlu_ctl.scala 1644:52] + node _T_209 = bits(mcause_sel_nmi_load, 0, 0) @[dec_tlu_ctl.scala 1645:51] + node _T_210 = bits(mcause_sel_nmi_ext, 0, 0) @[dec_tlu_ctl.scala 1646:50] node _T_211 = cat(UInt<28>("h0f000100"), UInt<2>("h00")) @[Cat.scala 29:58] node _T_212 = cat(_T_211, mcause_fir_error_type) @[Cat.scala 29:58] - node _T_213 = not(io.take_nmi) @[dec_tlu_ctl.scala 1649:56] - node _T_214 = and(io.exc_or_int_valid_r, _T_213) @[dec_tlu_ctl.scala 1649:54] - node _T_215 = bits(_T_214, 0, 0) @[dec_tlu_ctl.scala 1649:70] + node _T_213 = not(io.take_nmi) @[dec_tlu_ctl.scala 1647:56] + node _T_214 = and(io.exc_or_int_valid_r, _T_213) @[dec_tlu_ctl.scala 1647:54] + node _T_215 = bits(_T_214, 0, 0) @[dec_tlu_ctl.scala 1647:70] node _T_216 = cat(io.interrupt_valid_r, UInt<26>("h00")) @[Cat.scala 29:58] node _T_217 = cat(_T_216, io.exc_cause_r) @[Cat.scala 29:58] - node _T_218 = not(io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1650:46] - node _T_219 = and(wr_mcause_r, _T_218) @[dec_tlu_ctl.scala 1650:44] - node _T_220 = bits(_T_219, 0, 0) @[dec_tlu_ctl.scala 1650:70] - node _T_221 = not(wr_mcause_r) @[dec_tlu_ctl.scala 1651:32] - node _T_222 = not(io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1651:47] - node _T_223 = and(_T_221, _T_222) @[dec_tlu_ctl.scala 1651:45] - node _T_224 = bits(_T_223, 0, 0) @[dec_tlu_ctl.scala 1651:71] + node _T_218 = not(io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1648:46] + node _T_219 = and(wr_mcause_r, _T_218) @[dec_tlu_ctl.scala 1648:44] + node _T_220 = bits(_T_219, 0, 0) @[dec_tlu_ctl.scala 1648:70] + node _T_221 = not(wr_mcause_r) @[dec_tlu_ctl.scala 1649:32] + node _T_222 = not(io.exc_or_int_valid_r) @[dec_tlu_ctl.scala 1649:47] + node _T_223 = and(_T_221, _T_222) @[dec_tlu_ctl.scala 1649:45] + node _T_224 = bits(_T_223, 0, 0) @[dec_tlu_ctl.scala 1649:71] node _T_225 = mux(_T_208, UInt<32>("h0f0000000"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_226 = mux(_T_209, UInt<32>("h0f0000001"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_227 = mux(_T_210, _T_212, UInt<1>("h00")) @[Mux.scala 27:72] @@ -73274,19 +73274,19 @@ circuit quasar_wrapper : node _T_235 = or(_T_234, _T_230) @[Mux.scala 27:72] wire mcause_ns : UInt<32> @[Mux.scala 27:72] mcause_ns <= _T_235 @[Mux.scala 27:72] - reg _T_236 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1653:49] - _T_236 <= mcause_ns @[dec_tlu_ctl.scala 1653:49] - mcause <= _T_236 @[dec_tlu_ctl.scala 1653:12] - node _T_237 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1660:64] - node _T_238 = eq(_T_237, UInt<12>("h07ff")) @[dec_tlu_ctl.scala 1660:71] - node wr_mscause_r = and(io.dec_csr_wen_r_mod, _T_238) @[dec_tlu_ctl.scala 1660:42] - node _T_239 = eq(io.dec_tlu_packet_r.icaf_type, UInt<2>("h00")) @[dec_tlu_ctl.scala 1662:56] + reg _T_236 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1651:49] + _T_236 <= mcause_ns @[dec_tlu_ctl.scala 1651:49] + mcause <= _T_236 @[dec_tlu_ctl.scala 1651:12] + node _T_237 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1658:64] + node _T_238 = eq(_T_237, UInt<12>("h07ff")) @[dec_tlu_ctl.scala 1658:71] + node wr_mscause_r = and(io.dec_csr_wen_r_mod, _T_238) @[dec_tlu_ctl.scala 1658:42] + node _T_239 = eq(io.dec_tlu_packet_r.icaf_type, UInt<2>("h00")) @[dec_tlu_ctl.scala 1660:56] node _T_240 = cat(UInt<2>("h00"), io.dec_tlu_packet_r.icaf_type) @[Cat.scala 29:58] - node ifu_mscause = mux(_T_239, UInt<4>("h09"), _T_240) @[dec_tlu_ctl.scala 1662:24] - node _T_241 = bits(io.lsu_i0_exc_r, 0, 0) @[dec_tlu_ctl.scala 1665:36] - node _T_242 = bits(io.i0_trigger_hit_r, 0, 0) @[dec_tlu_ctl.scala 1666:40] - node _T_243 = bits(io.ebreak_r, 0, 0) @[dec_tlu_ctl.scala 1667:32] - node _T_244 = bits(io.inst_acc_r, 0, 0) @[dec_tlu_ctl.scala 1668:34] + node ifu_mscause = mux(_T_239, UInt<4>("h09"), _T_240) @[dec_tlu_ctl.scala 1660:24] + node _T_241 = bits(io.lsu_i0_exc_r, 0, 0) @[dec_tlu_ctl.scala 1663:36] + node _T_242 = bits(io.i0_trigger_hit_r, 0, 0) @[dec_tlu_ctl.scala 1664:40] + node _T_243 = bits(io.ebreak_r, 0, 0) @[dec_tlu_ctl.scala 1665:32] + node _T_244 = bits(io.inst_acc_r, 0, 0) @[dec_tlu_ctl.scala 1666:34] node _T_245 = mux(_T_241, io.lsu_error_pkt_r.bits.mscause, UInt<1>("h00")) @[Mux.scala 27:72] node _T_246 = mux(_T_242, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_247 = mux(_T_243, UInt<2>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -73296,15 +73296,15 @@ circuit quasar_wrapper : node _T_251 = or(_T_250, _T_248) @[Mux.scala 27:72] wire mscause_type : UInt<4> @[Mux.scala 27:72] mscause_type <= _T_251 @[Mux.scala 27:72] - node _T_252 = bits(io.exc_or_int_valid_r, 0, 0) @[dec_tlu_ctl.scala 1672:48] - node _T_253 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1673:40] - node _T_254 = and(wr_mscause_r, _T_253) @[dec_tlu_ctl.scala 1673:38] - node _T_255 = bits(_T_254, 0, 0) @[dec_tlu_ctl.scala 1673:64] - node _T_256 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1673:103] - node _T_257 = eq(wr_mscause_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1674:25] - node _T_258 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1674:41] - node _T_259 = and(_T_257, _T_258) @[dec_tlu_ctl.scala 1674:39] - node _T_260 = bits(_T_259, 0, 0) @[dec_tlu_ctl.scala 1674:65] + node _T_252 = bits(io.exc_or_int_valid_r, 0, 0) @[dec_tlu_ctl.scala 1670:48] + node _T_253 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1671:40] + node _T_254 = and(wr_mscause_r, _T_253) @[dec_tlu_ctl.scala 1671:38] + node _T_255 = bits(_T_254, 0, 0) @[dec_tlu_ctl.scala 1671:64] + node _T_256 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1671:103] + node _T_257 = eq(wr_mscause_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1672:25] + node _T_258 = eq(io.exc_or_int_valid_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 1672:41] + node _T_259 = and(_T_257, _T_258) @[dec_tlu_ctl.scala 1672:39] + node _T_260 = bits(_T_259, 0, 0) @[dec_tlu_ctl.scala 1672:65] node _T_261 = mux(_T_252, mscause_type, UInt<1>("h00")) @[Mux.scala 27:72] node _T_262 = mux(_T_255, _T_256, UInt<1>("h00")) @[Mux.scala 27:72] node _T_263 = mux(_T_260, mscause, UInt<1>("h00")) @[Mux.scala 27:72] @@ -73312,60 +73312,60 @@ circuit quasar_wrapper : node _T_265 = or(_T_264, _T_263) @[Mux.scala 27:72] wire mscause_ns : UInt<4> @[Mux.scala 27:72] mscause_ns <= _T_265 @[Mux.scala 27:72] - reg _T_266 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1676:47] - _T_266 <= mscause_ns @[dec_tlu_ctl.scala 1676:47] - mscause <= _T_266 @[dec_tlu_ctl.scala 1676:10] - node _T_267 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1683:62] - node _T_268 = eq(_T_267, UInt<12>("h0343")) @[dec_tlu_ctl.scala 1683:69] - node wr_mtval_r = and(io.dec_csr_wen_r_mod, _T_268) @[dec_tlu_ctl.scala 1683:40] - node _T_269 = not(io.inst_acc_second_r) @[dec_tlu_ctl.scala 1684:83] - node _T_270 = and(io.inst_acc_r, _T_269) @[dec_tlu_ctl.scala 1684:81] - node _T_271 = or(io.ebreak_r, _T_270) @[dec_tlu_ctl.scala 1684:64] - node _T_272 = or(_T_271, io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1684:106] - node _T_273 = and(io.exc_or_int_valid_r, _T_272) @[dec_tlu_ctl.scala 1684:49] - node _T_274 = not(io.take_nmi) @[dec_tlu_ctl.scala 1684:140] - node mtval_capture_pc_r = and(_T_273, _T_274) @[dec_tlu_ctl.scala 1684:138] - node _T_275 = and(io.inst_acc_r, io.inst_acc_second_r) @[dec_tlu_ctl.scala 1685:72] - node _T_276 = and(io.exc_or_int_valid_r, _T_275) @[dec_tlu_ctl.scala 1685:55] - node _T_277 = not(io.take_nmi) @[dec_tlu_ctl.scala 1685:98] - node mtval_capture_pc_plus2_r = and(_T_276, _T_277) @[dec_tlu_ctl.scala 1685:96] - node _T_278 = and(io.exc_or_int_valid_r, io.illegal_r) @[dec_tlu_ctl.scala 1686:51] - node _T_279 = not(io.take_nmi) @[dec_tlu_ctl.scala 1686:68] - node mtval_capture_inst_r = and(_T_278, _T_279) @[dec_tlu_ctl.scala 1686:66] - node _T_280 = and(io.exc_or_int_valid_r, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 1687:50] - node _T_281 = not(io.take_nmi) @[dec_tlu_ctl.scala 1687:73] - node mtval_capture_lsu_r = and(_T_280, _T_281) @[dec_tlu_ctl.scala 1687:71] - node _T_282 = not(mtval_capture_pc_r) @[dec_tlu_ctl.scala 1688:46] - node _T_283 = and(io.exc_or_int_valid_r, _T_282) @[dec_tlu_ctl.scala 1688:44] - node _T_284 = not(mtval_capture_inst_r) @[dec_tlu_ctl.scala 1688:68] - node _T_285 = and(_T_283, _T_284) @[dec_tlu_ctl.scala 1688:66] - node _T_286 = not(mtval_capture_lsu_r) @[dec_tlu_ctl.scala 1688:92] - node _T_287 = and(_T_285, _T_286) @[dec_tlu_ctl.scala 1688:90] - node _T_288 = not(io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1688:115] - node mtval_clear_r = and(_T_287, _T_288) @[dec_tlu_ctl.scala 1688:113] - node _T_289 = bits(mtval_capture_pc_r, 0, 0) @[dec_tlu_ctl.scala 1692:25] + reg _T_266 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1674:47] + _T_266 <= mscause_ns @[dec_tlu_ctl.scala 1674:47] + mscause <= _T_266 @[dec_tlu_ctl.scala 1674:10] + node _T_267 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1681:62] + node _T_268 = eq(_T_267, UInt<12>("h0343")) @[dec_tlu_ctl.scala 1681:69] + node wr_mtval_r = and(io.dec_csr_wen_r_mod, _T_268) @[dec_tlu_ctl.scala 1681:40] + node _T_269 = not(io.inst_acc_second_r) @[dec_tlu_ctl.scala 1682:83] + node _T_270 = and(io.inst_acc_r, _T_269) @[dec_tlu_ctl.scala 1682:81] + node _T_271 = or(io.ebreak_r, _T_270) @[dec_tlu_ctl.scala 1682:64] + node _T_272 = or(_T_271, io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1682:106] + node _T_273 = and(io.exc_or_int_valid_r, _T_272) @[dec_tlu_ctl.scala 1682:49] + node _T_274 = not(io.take_nmi) @[dec_tlu_ctl.scala 1682:140] + node mtval_capture_pc_r = and(_T_273, _T_274) @[dec_tlu_ctl.scala 1682:138] + node _T_275 = and(io.inst_acc_r, io.inst_acc_second_r) @[dec_tlu_ctl.scala 1683:72] + node _T_276 = and(io.exc_or_int_valid_r, _T_275) @[dec_tlu_ctl.scala 1683:55] + node _T_277 = not(io.take_nmi) @[dec_tlu_ctl.scala 1683:98] + node mtval_capture_pc_plus2_r = and(_T_276, _T_277) @[dec_tlu_ctl.scala 1683:96] + node _T_278 = and(io.exc_or_int_valid_r, io.illegal_r) @[dec_tlu_ctl.scala 1684:51] + node _T_279 = not(io.take_nmi) @[dec_tlu_ctl.scala 1684:68] + node mtval_capture_inst_r = and(_T_278, _T_279) @[dec_tlu_ctl.scala 1684:66] + node _T_280 = and(io.exc_or_int_valid_r, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 1685:50] + node _T_281 = not(io.take_nmi) @[dec_tlu_ctl.scala 1685:73] + node mtval_capture_lsu_r = and(_T_280, _T_281) @[dec_tlu_ctl.scala 1685:71] + node _T_282 = not(mtval_capture_pc_r) @[dec_tlu_ctl.scala 1686:46] + node _T_283 = and(io.exc_or_int_valid_r, _T_282) @[dec_tlu_ctl.scala 1686:44] + node _T_284 = not(mtval_capture_inst_r) @[dec_tlu_ctl.scala 1686:68] + node _T_285 = and(_T_283, _T_284) @[dec_tlu_ctl.scala 1686:66] + node _T_286 = not(mtval_capture_lsu_r) @[dec_tlu_ctl.scala 1686:92] + node _T_287 = and(_T_285, _T_286) @[dec_tlu_ctl.scala 1686:90] + node _T_288 = not(io.mepc_trigger_hit_sel_pc_r) @[dec_tlu_ctl.scala 1686:115] + node mtval_clear_r = and(_T_287, _T_288) @[dec_tlu_ctl.scala 1686:113] + node _T_289 = bits(mtval_capture_pc_r, 0, 0) @[dec_tlu_ctl.scala 1690:25] node _T_290 = cat(pc_r, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_291 = bits(mtval_capture_pc_plus2_r, 0, 0) @[dec_tlu_ctl.scala 1693:31] - node _T_292 = add(pc_r, UInt<31>("h01")) @[dec_tlu_ctl.scala 1693:83] - node _T_293 = tail(_T_292, 1) @[dec_tlu_ctl.scala 1693:83] + node _T_291 = bits(mtval_capture_pc_plus2_r, 0, 0) @[dec_tlu_ctl.scala 1691:31] + node _T_292 = add(pc_r, UInt<31>("h01")) @[dec_tlu_ctl.scala 1691:83] + node _T_293 = tail(_T_292, 1) @[dec_tlu_ctl.scala 1691:83] node _T_294 = cat(_T_293, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_295 = bits(mtval_capture_inst_r, 0, 0) @[dec_tlu_ctl.scala 1694:27] - node _T_296 = bits(mtval_capture_lsu_r, 0, 0) @[dec_tlu_ctl.scala 1695:26] - node _T_297 = not(io.interrupt_valid_r) @[dec_tlu_ctl.scala 1696:18] - node _T_298 = and(wr_mtval_r, _T_297) @[dec_tlu_ctl.scala 1696:16] - node _T_299 = bits(_T_298, 0, 0) @[dec_tlu_ctl.scala 1696:48] - node _T_300 = not(io.take_nmi) @[dec_tlu_ctl.scala 1697:5] - node _T_301 = not(wr_mtval_r) @[dec_tlu_ctl.scala 1697:20] - node _T_302 = and(_T_300, _T_301) @[dec_tlu_ctl.scala 1697:18] - node _T_303 = not(mtval_capture_pc_r) @[dec_tlu_ctl.scala 1697:34] - node _T_304 = and(_T_302, _T_303) @[dec_tlu_ctl.scala 1697:32] - node _T_305 = not(mtval_capture_inst_r) @[dec_tlu_ctl.scala 1697:56] - node _T_306 = and(_T_304, _T_305) @[dec_tlu_ctl.scala 1697:54] - node _T_307 = not(mtval_clear_r) @[dec_tlu_ctl.scala 1697:80] - node _T_308 = and(_T_306, _T_307) @[dec_tlu_ctl.scala 1697:78] - node _T_309 = not(mtval_capture_lsu_r) @[dec_tlu_ctl.scala 1697:97] - node _T_310 = and(_T_308, _T_309) @[dec_tlu_ctl.scala 1697:95] - node _T_311 = bits(_T_310, 0, 0) @[dec_tlu_ctl.scala 1697:119] + node _T_295 = bits(mtval_capture_inst_r, 0, 0) @[dec_tlu_ctl.scala 1692:27] + node _T_296 = bits(mtval_capture_lsu_r, 0, 0) @[dec_tlu_ctl.scala 1693:26] + node _T_297 = not(io.interrupt_valid_r) @[dec_tlu_ctl.scala 1694:18] + node _T_298 = and(wr_mtval_r, _T_297) @[dec_tlu_ctl.scala 1694:16] + node _T_299 = bits(_T_298, 0, 0) @[dec_tlu_ctl.scala 1694:48] + node _T_300 = not(io.take_nmi) @[dec_tlu_ctl.scala 1695:5] + node _T_301 = not(wr_mtval_r) @[dec_tlu_ctl.scala 1695:20] + node _T_302 = and(_T_300, _T_301) @[dec_tlu_ctl.scala 1695:18] + node _T_303 = not(mtval_capture_pc_r) @[dec_tlu_ctl.scala 1695:34] + node _T_304 = and(_T_302, _T_303) @[dec_tlu_ctl.scala 1695:32] + node _T_305 = not(mtval_capture_inst_r) @[dec_tlu_ctl.scala 1695:56] + node _T_306 = and(_T_304, _T_305) @[dec_tlu_ctl.scala 1695:54] + node _T_307 = not(mtval_clear_r) @[dec_tlu_ctl.scala 1695:80] + node _T_308 = and(_T_306, _T_307) @[dec_tlu_ctl.scala 1695:78] + node _T_309 = not(mtval_capture_lsu_r) @[dec_tlu_ctl.scala 1695:97] + node _T_310 = and(_T_308, _T_309) @[dec_tlu_ctl.scala 1695:95] + node _T_311 = bits(_T_310, 0, 0) @[dec_tlu_ctl.scala 1695:119] node _T_312 = mux(_T_289, _T_290, UInt<1>("h00")) @[Mux.scala 27:72] node _T_313 = mux(_T_291, _T_294, UInt<1>("h00")) @[Mux.scala 27:72] node _T_314 = mux(_T_295, io.dec_illegal_inst, UInt<1>("h00")) @[Mux.scala 27:72] @@ -73379,14 +73379,14 @@ circuit quasar_wrapper : node _T_322 = or(_T_321, _T_317) @[Mux.scala 27:72] wire mtval_ns : UInt<32> @[Mux.scala 27:72] mtval_ns <= _T_322 @[Mux.scala 27:72] - reg _T_323 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1699:46] - _T_323 <= mtval_ns @[dec_tlu_ctl.scala 1699:46] - mtval <= _T_323 @[dec_tlu_ctl.scala 1699:8] - node _T_324 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1714:61] - node _T_325 = eq(_T_324, UInt<12>("h07f8")) @[dec_tlu_ctl.scala 1714:68] - node wr_mcgc_r = and(io.dec_csr_wen_r_mod, _T_325) @[dec_tlu_ctl.scala 1714:39] - node _T_326 = bits(io.dec_csr_wrdata_r, 8, 0) @[dec_tlu_ctl.scala 1716:39] - node _T_327 = bits(wr_mcgc_r, 0, 0) @[dec_tlu_ctl.scala 1716:55] + reg _T_323 : UInt, io.e4e5_int_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1697:46] + _T_323 <= mtval_ns @[dec_tlu_ctl.scala 1697:46] + mtval <= _T_323 @[dec_tlu_ctl.scala 1697:8] + node _T_324 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1712:61] + node _T_325 = eq(_T_324, UInt<12>("h07f8")) @[dec_tlu_ctl.scala 1712:68] + node wr_mcgc_r = and(io.dec_csr_wen_r_mod, _T_325) @[dec_tlu_ctl.scala 1712:39] + node _T_326 = bits(io.dec_csr_wrdata_r, 8, 0) @[dec_tlu_ctl.scala 1714:39] + node _T_327 = bits(wr_mcgc_r, 0, 0) @[dec_tlu_ctl.scala 1714:55] inst rvclkhdr_8 of rvclkhdr_728 @[lib.scala 368:23] rvclkhdr_8.clock <= clock rvclkhdr_8.reset <= reset @@ -73395,26 +73395,26 @@ circuit quasar_wrapper : rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg mcgc : UInt, rvclkhdr_8.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] mcgc <= _T_326 @[lib.scala 374:16] - node _T_328 = bits(mcgc, 8, 8) @[dec_tlu_ctl.scala 1718:38] - io.dec_tlu_misc_clk_override <= _T_328 @[dec_tlu_ctl.scala 1718:31] - node _T_329 = bits(mcgc, 7, 7) @[dec_tlu_ctl.scala 1719:38] - io.dec_tlu_dec_clk_override <= _T_329 @[dec_tlu_ctl.scala 1719:31] - node _T_330 = bits(mcgc, 5, 5) @[dec_tlu_ctl.scala 1720:38] - io.dec_tlu_ifu_clk_override <= _T_330 @[dec_tlu_ctl.scala 1720:31] - node _T_331 = bits(mcgc, 4, 4) @[dec_tlu_ctl.scala 1721:38] - io.dec_tlu_lsu_clk_override <= _T_331 @[dec_tlu_ctl.scala 1721:31] - node _T_332 = bits(mcgc, 3, 3) @[dec_tlu_ctl.scala 1722:38] - io.dec_tlu_bus_clk_override <= _T_332 @[dec_tlu_ctl.scala 1722:31] - node _T_333 = bits(mcgc, 2, 2) @[dec_tlu_ctl.scala 1723:38] - io.dec_tlu_pic_clk_override <= _T_333 @[dec_tlu_ctl.scala 1723:31] - node _T_334 = bits(mcgc, 1, 1) @[dec_tlu_ctl.scala 1724:38] - io.dec_tlu_dccm_clk_override <= _T_334 @[dec_tlu_ctl.scala 1724:31] - node _T_335 = bits(mcgc, 0, 0) @[dec_tlu_ctl.scala 1725:38] - io.dec_tlu_icm_clk_override <= _T_335 @[dec_tlu_ctl.scala 1725:31] - node _T_336 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1744:61] - node _T_337 = eq(_T_336, UInt<12>("h07f9")) @[dec_tlu_ctl.scala 1744:68] - node wr_mfdc_r = and(io.dec_csr_wen_r_mod, _T_337) @[dec_tlu_ctl.scala 1744:39] - node _T_338 = bits(wr_mfdc_r, 0, 0) @[dec_tlu_ctl.scala 1748:39] + node _T_328 = bits(mcgc, 8, 8) @[dec_tlu_ctl.scala 1716:38] + io.dec_tlu_misc_clk_override <= _T_328 @[dec_tlu_ctl.scala 1716:31] + node _T_329 = bits(mcgc, 7, 7) @[dec_tlu_ctl.scala 1717:38] + io.dec_tlu_dec_clk_override <= _T_329 @[dec_tlu_ctl.scala 1717:31] + node _T_330 = bits(mcgc, 5, 5) @[dec_tlu_ctl.scala 1718:38] + io.dec_tlu_ifu_clk_override <= _T_330 @[dec_tlu_ctl.scala 1718:31] + node _T_331 = bits(mcgc, 4, 4) @[dec_tlu_ctl.scala 1719:38] + io.dec_tlu_lsu_clk_override <= _T_331 @[dec_tlu_ctl.scala 1719:31] + node _T_332 = bits(mcgc, 3, 3) @[dec_tlu_ctl.scala 1720:38] + io.dec_tlu_bus_clk_override <= _T_332 @[dec_tlu_ctl.scala 1720:31] + node _T_333 = bits(mcgc, 2, 2) @[dec_tlu_ctl.scala 1721:38] + io.dec_tlu_pic_clk_override <= _T_333 @[dec_tlu_ctl.scala 1721:31] + node _T_334 = bits(mcgc, 1, 1) @[dec_tlu_ctl.scala 1722:38] + io.dec_tlu_dccm_clk_override <= _T_334 @[dec_tlu_ctl.scala 1722:31] + node _T_335 = bits(mcgc, 0, 0) @[dec_tlu_ctl.scala 1723:38] + io.dec_tlu_icm_clk_override <= _T_335 @[dec_tlu_ctl.scala 1723:31] + node _T_336 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1742:61] + node _T_337 = eq(_T_336, UInt<12>("h07f9")) @[dec_tlu_ctl.scala 1742:68] + node wr_mfdc_r = and(io.dec_csr_wen_r_mod, _T_337) @[dec_tlu_ctl.scala 1742:39] + node _T_338 = bits(wr_mfdc_r, 0, 0) @[dec_tlu_ctl.scala 1746:39] inst rvclkhdr_9 of rvclkhdr_729 @[lib.scala 368:23] rvclkhdr_9.clock <= clock rvclkhdr_9.reset <= reset @@ -73423,1224 +73423,1224 @@ circuit quasar_wrapper : rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_339 : UInt, rvclkhdr_9.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_339 <= mfdc_ns @[lib.scala 374:16] - mfdc_int <= _T_339 @[dec_tlu_ctl.scala 1748:11] - node _T_340 = bits(io.dec_csr_wrdata_r, 18, 16) @[dec_tlu_ctl.scala 1757:39] - node _T_341 = not(_T_340) @[dec_tlu_ctl.scala 1757:19] - node _T_342 = bits(io.dec_csr_wrdata_r, 11, 0) @[dec_tlu_ctl.scala 1757:66] - node _T_343 = cat(_T_341, _T_342) @[Cat.scala 29:58] - mfdc_ns <= _T_343 @[dec_tlu_ctl.scala 1757:12] - node _T_344 = bits(mfdc_int, 14, 12) @[dec_tlu_ctl.scala 1758:28] - node _T_345 = not(_T_344) @[dec_tlu_ctl.scala 1758:19] - node _T_346 = bits(mfdc_int, 11, 0) @[dec_tlu_ctl.scala 1758:54] - node _T_347 = cat(_T_345, UInt<4>("h00")) @[Cat.scala 29:58] + mfdc_int <= _T_339 @[dec_tlu_ctl.scala 1746:11] + node _T_340 = bits(io.dec_csr_wrdata_r, 18, 16) @[dec_tlu_ctl.scala 1751:40] + node _T_341 = not(_T_340) @[dec_tlu_ctl.scala 1751:20] + node _T_342 = bits(io.dec_csr_wrdata_r, 11, 7) @[dec_tlu_ctl.scala 1751:67] + node _T_343 = bits(io.dec_csr_wrdata_r, 6, 6) @[dec_tlu_ctl.scala 1751:95] + node _T_344 = not(_T_343) @[dec_tlu_ctl.scala 1751:75] + node _T_345 = bits(io.dec_csr_wrdata_r, 5, 0) @[dec_tlu_ctl.scala 1751:119] + node _T_346 = cat(_T_344, _T_345) @[Cat.scala 29:58] + node _T_347 = cat(_T_341, _T_342) @[Cat.scala 29:58] node _T_348 = cat(_T_347, _T_346) @[Cat.scala 29:58] - mfdc <= _T_348 @[dec_tlu_ctl.scala 1758:12] - node _T_349 = bits(mfdc, 18, 16) @[dec_tlu_ctl.scala 1762:46] - io.dec_tlu_dma_qos_prty <= _T_349 @[dec_tlu_ctl.scala 1762:39] - node _T_350 = bits(mfdc, 11, 11) @[dec_tlu_ctl.scala 1763:46] - io.dec_tlu_external_ldfwd_disable <= _T_350 @[dec_tlu_ctl.scala 1763:39] - node _T_351 = bits(mfdc, 8, 8) @[dec_tlu_ctl.scala 1764:46] - io.dec_tlu_core_ecc_disable <= _T_351 @[dec_tlu_ctl.scala 1764:39] - node _T_352 = bits(mfdc, 6, 6) @[dec_tlu_ctl.scala 1765:46] - io.dec_tlu_sideeffect_posted_disable <= _T_352 @[dec_tlu_ctl.scala 1765:39] - node _T_353 = bits(mfdc, 3, 3) @[dec_tlu_ctl.scala 1766:46] - io.dec_tlu_bpred_disable <= _T_353 @[dec_tlu_ctl.scala 1766:39] - node _T_354 = bits(mfdc, 2, 2) @[dec_tlu_ctl.scala 1767:46] - io.dec_tlu_wb_coalescing_disable <= _T_354 @[dec_tlu_ctl.scala 1767:39] - node _T_355 = bits(mfdc, 0, 0) @[dec_tlu_ctl.scala 1768:46] - io.dec_tlu_pipelining_disable <= _T_355 @[dec_tlu_ctl.scala 1768:39] - node _T_356 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1777:70] - node _T_357 = eq(_T_356, UInt<12>("h07c2")) @[dec_tlu_ctl.scala 1777:77] - node _T_358 = and(io.dec_csr_wen_r_mod, _T_357) @[dec_tlu_ctl.scala 1777:48] - node _T_359 = not(io.interrupt_valid_r) @[dec_tlu_ctl.scala 1777:89] - node _T_360 = and(_T_358, _T_359) @[dec_tlu_ctl.scala 1777:87] - node _T_361 = not(io.take_ext_int_start) @[dec_tlu_ctl.scala 1777:113] - node _T_362 = and(_T_360, _T_361) @[dec_tlu_ctl.scala 1777:111] - io.dec_tlu_wr_pause_r <= _T_362 @[dec_tlu_ctl.scala 1777:24] - node _T_363 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1784:61] - node _T_364 = eq(_T_363, UInt<12>("h07c0")) @[dec_tlu_ctl.scala 1784:68] - node wr_mrac_r = and(io.dec_csr_wen_r_mod, _T_364) @[dec_tlu_ctl.scala 1784:39] - node _T_365 = bits(io.dec_csr_wrdata_r, 31, 31) @[dec_tlu_ctl.scala 1787:39] - node _T_366 = bits(io.dec_csr_wrdata_r, 30, 30) @[dec_tlu_ctl.scala 1787:64] - node _T_367 = bits(io.dec_csr_wrdata_r, 31, 31) @[dec_tlu_ctl.scala 1787:91] - node _T_368 = not(_T_367) @[dec_tlu_ctl.scala 1787:71] - node _T_369 = and(_T_366, _T_368) @[dec_tlu_ctl.scala 1787:69] - node _T_370 = bits(io.dec_csr_wrdata_r, 29, 29) @[dec_tlu_ctl.scala 1788:41] - node _T_371 = bits(io.dec_csr_wrdata_r, 28, 28) @[dec_tlu_ctl.scala 1788:66] - node _T_372 = bits(io.dec_csr_wrdata_r, 29, 29) @[dec_tlu_ctl.scala 1788:93] - node _T_373 = not(_T_372) @[dec_tlu_ctl.scala 1788:73] - node _T_374 = and(_T_371, _T_373) @[dec_tlu_ctl.scala 1788:71] - node _T_375 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 1789:41] - node _T_376 = bits(io.dec_csr_wrdata_r, 26, 26) @[dec_tlu_ctl.scala 1789:66] - node _T_377 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 1789:93] - node _T_378 = not(_T_377) @[dec_tlu_ctl.scala 1789:73] - node _T_379 = and(_T_376, _T_378) @[dec_tlu_ctl.scala 1789:71] - node _T_380 = bits(io.dec_csr_wrdata_r, 25, 25) @[dec_tlu_ctl.scala 1790:41] - node _T_381 = bits(io.dec_csr_wrdata_r, 24, 24) @[dec_tlu_ctl.scala 1790:66] - node _T_382 = bits(io.dec_csr_wrdata_r, 25, 25) @[dec_tlu_ctl.scala 1790:93] - node _T_383 = not(_T_382) @[dec_tlu_ctl.scala 1790:73] - node _T_384 = and(_T_381, _T_383) @[dec_tlu_ctl.scala 1790:71] - node _T_385 = bits(io.dec_csr_wrdata_r, 23, 23) @[dec_tlu_ctl.scala 1791:41] - node _T_386 = bits(io.dec_csr_wrdata_r, 22, 22) @[dec_tlu_ctl.scala 1791:66] - node _T_387 = bits(io.dec_csr_wrdata_r, 23, 23) @[dec_tlu_ctl.scala 1791:93] - node _T_388 = not(_T_387) @[dec_tlu_ctl.scala 1791:73] - node _T_389 = and(_T_386, _T_388) @[dec_tlu_ctl.scala 1791:71] - node _T_390 = bits(io.dec_csr_wrdata_r, 21, 21) @[dec_tlu_ctl.scala 1792:41] - node _T_391 = bits(io.dec_csr_wrdata_r, 20, 20) @[dec_tlu_ctl.scala 1792:66] - node _T_392 = bits(io.dec_csr_wrdata_r, 21, 21) @[dec_tlu_ctl.scala 1792:93] - node _T_393 = not(_T_392) @[dec_tlu_ctl.scala 1792:73] - node _T_394 = and(_T_391, _T_393) @[dec_tlu_ctl.scala 1792:71] - node _T_395 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 1793:41] - node _T_396 = bits(io.dec_csr_wrdata_r, 18, 18) @[dec_tlu_ctl.scala 1793:66] - node _T_397 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 1793:93] - node _T_398 = not(_T_397) @[dec_tlu_ctl.scala 1793:73] - node _T_399 = and(_T_396, _T_398) @[dec_tlu_ctl.scala 1793:71] - node _T_400 = bits(io.dec_csr_wrdata_r, 17, 17) @[dec_tlu_ctl.scala 1794:41] - node _T_401 = bits(io.dec_csr_wrdata_r, 16, 16) @[dec_tlu_ctl.scala 1794:66] - node _T_402 = bits(io.dec_csr_wrdata_r, 17, 17) @[dec_tlu_ctl.scala 1794:93] - node _T_403 = not(_T_402) @[dec_tlu_ctl.scala 1794:73] - node _T_404 = and(_T_401, _T_403) @[dec_tlu_ctl.scala 1794:71] - node _T_405 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 1795:41] - node _T_406 = bits(io.dec_csr_wrdata_r, 14, 14) @[dec_tlu_ctl.scala 1795:66] - node _T_407 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 1795:93] - node _T_408 = not(_T_407) @[dec_tlu_ctl.scala 1795:73] - node _T_409 = and(_T_406, _T_408) @[dec_tlu_ctl.scala 1795:71] - node _T_410 = bits(io.dec_csr_wrdata_r, 13, 13) @[dec_tlu_ctl.scala 1796:41] - node _T_411 = bits(io.dec_csr_wrdata_r, 12, 12) @[dec_tlu_ctl.scala 1796:66] - node _T_412 = bits(io.dec_csr_wrdata_r, 13, 13) @[dec_tlu_ctl.scala 1796:93] - node _T_413 = not(_T_412) @[dec_tlu_ctl.scala 1796:73] - node _T_414 = and(_T_411, _T_413) @[dec_tlu_ctl.scala 1796:71] - node _T_415 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1797:41] - node _T_416 = bits(io.dec_csr_wrdata_r, 10, 10) @[dec_tlu_ctl.scala 1797:66] - node _T_417 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1797:93] - node _T_418 = not(_T_417) @[dec_tlu_ctl.scala 1797:73] - node _T_419 = and(_T_416, _T_418) @[dec_tlu_ctl.scala 1797:71] - node _T_420 = bits(io.dec_csr_wrdata_r, 9, 9) @[dec_tlu_ctl.scala 1798:41] - node _T_421 = bits(io.dec_csr_wrdata_r, 8, 8) @[dec_tlu_ctl.scala 1798:66] - node _T_422 = bits(io.dec_csr_wrdata_r, 9, 9) @[dec_tlu_ctl.scala 1798:93] - node _T_423 = not(_T_422) @[dec_tlu_ctl.scala 1798:73] - node _T_424 = and(_T_421, _T_423) @[dec_tlu_ctl.scala 1798:70] - node _T_425 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1799:41] - node _T_426 = bits(io.dec_csr_wrdata_r, 6, 6) @[dec_tlu_ctl.scala 1799:66] - node _T_427 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1799:93] - node _T_428 = not(_T_427) @[dec_tlu_ctl.scala 1799:73] - node _T_429 = and(_T_426, _T_428) @[dec_tlu_ctl.scala 1799:70] - node _T_430 = bits(io.dec_csr_wrdata_r, 5, 5) @[dec_tlu_ctl.scala 1800:41] - node _T_431 = bits(io.dec_csr_wrdata_r, 4, 4) @[dec_tlu_ctl.scala 1800:66] - node _T_432 = bits(io.dec_csr_wrdata_r, 5, 5) @[dec_tlu_ctl.scala 1800:93] - node _T_433 = not(_T_432) @[dec_tlu_ctl.scala 1800:73] - node _T_434 = and(_T_431, _T_433) @[dec_tlu_ctl.scala 1800:70] - node _T_435 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1801:41] - node _T_436 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 1801:66] - node _T_437 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1801:93] - node _T_438 = not(_T_437) @[dec_tlu_ctl.scala 1801:73] - node _T_439 = and(_T_436, _T_438) @[dec_tlu_ctl.scala 1801:70] - node _T_440 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1802:41] - node _T_441 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1802:66] - node _T_442 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1802:93] - node _T_443 = not(_T_442) @[dec_tlu_ctl.scala 1802:73] - node _T_444 = and(_T_441, _T_443) @[dec_tlu_ctl.scala 1802:70] - node _T_445 = cat(_T_440, _T_444) @[Cat.scala 29:58] - node _T_446 = cat(_T_435, _T_439) @[Cat.scala 29:58] - node _T_447 = cat(_T_446, _T_445) @[Cat.scala 29:58] - node _T_448 = cat(_T_430, _T_434) @[Cat.scala 29:58] - node _T_449 = cat(_T_425, _T_429) @[Cat.scala 29:58] - node _T_450 = cat(_T_449, _T_448) @[Cat.scala 29:58] - node _T_451 = cat(_T_450, _T_447) @[Cat.scala 29:58] - node _T_452 = cat(_T_420, _T_424) @[Cat.scala 29:58] - node _T_453 = cat(_T_415, _T_419) @[Cat.scala 29:58] - node _T_454 = cat(_T_453, _T_452) @[Cat.scala 29:58] - node _T_455 = cat(_T_410, _T_414) @[Cat.scala 29:58] - node _T_456 = cat(_T_405, _T_409) @[Cat.scala 29:58] + mfdc_ns <= _T_348 @[dec_tlu_ctl.scala 1751:13] + node _T_349 = bits(mfdc_int, 14, 12) @[dec_tlu_ctl.scala 1752:29] + node _T_350 = not(_T_349) @[dec_tlu_ctl.scala 1752:20] + node _T_351 = bits(mfdc_int, 11, 7) @[dec_tlu_ctl.scala 1752:55] + node _T_352 = bits(mfdc_int, 6, 6) @[dec_tlu_ctl.scala 1752:72] + node _T_353 = not(_T_352) @[dec_tlu_ctl.scala 1752:63] + node _T_354 = bits(mfdc_int, 5, 0) @[dec_tlu_ctl.scala 1752:85] + node _T_355 = cat(_T_353, _T_354) @[Cat.scala 29:58] + node _T_356 = cat(_T_350, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_357 = cat(_T_356, _T_351) @[Cat.scala 29:58] + node _T_358 = cat(_T_357, _T_355) @[Cat.scala 29:58] + mfdc <= _T_358 @[dec_tlu_ctl.scala 1752:13] + node _T_359 = bits(mfdc, 18, 16) @[dec_tlu_ctl.scala 1760:46] + io.dec_tlu_dma_qos_prty <= _T_359 @[dec_tlu_ctl.scala 1760:39] + node _T_360 = bits(mfdc, 11, 11) @[dec_tlu_ctl.scala 1761:46] + io.dec_tlu_external_ldfwd_disable <= _T_360 @[dec_tlu_ctl.scala 1761:39] + node _T_361 = bits(mfdc, 8, 8) @[dec_tlu_ctl.scala 1762:46] + io.dec_tlu_core_ecc_disable <= _T_361 @[dec_tlu_ctl.scala 1762:39] + node _T_362 = bits(mfdc, 6, 6) @[dec_tlu_ctl.scala 1763:46] + io.dec_tlu_sideeffect_posted_disable <= _T_362 @[dec_tlu_ctl.scala 1763:39] + node _T_363 = bits(mfdc, 3, 3) @[dec_tlu_ctl.scala 1764:46] + io.dec_tlu_bpred_disable <= _T_363 @[dec_tlu_ctl.scala 1764:39] + node _T_364 = bits(mfdc, 2, 2) @[dec_tlu_ctl.scala 1765:46] + io.dec_tlu_wb_coalescing_disable <= _T_364 @[dec_tlu_ctl.scala 1765:39] + node _T_365 = bits(mfdc, 0, 0) @[dec_tlu_ctl.scala 1766:46] + io.dec_tlu_pipelining_disable <= _T_365 @[dec_tlu_ctl.scala 1766:39] + node _T_366 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1775:70] + node _T_367 = eq(_T_366, UInt<12>("h07c2")) @[dec_tlu_ctl.scala 1775:77] + node _T_368 = and(io.dec_csr_wen_r_mod, _T_367) @[dec_tlu_ctl.scala 1775:48] + node _T_369 = not(io.interrupt_valid_r) @[dec_tlu_ctl.scala 1775:89] + node _T_370 = and(_T_368, _T_369) @[dec_tlu_ctl.scala 1775:87] + node _T_371 = not(io.take_ext_int_start) @[dec_tlu_ctl.scala 1775:113] + node _T_372 = and(_T_370, _T_371) @[dec_tlu_ctl.scala 1775:111] + io.dec_tlu_wr_pause_r <= _T_372 @[dec_tlu_ctl.scala 1775:24] + node _T_373 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1782:61] + node _T_374 = eq(_T_373, UInt<12>("h07c0")) @[dec_tlu_ctl.scala 1782:68] + node wr_mrac_r = and(io.dec_csr_wen_r_mod, _T_374) @[dec_tlu_ctl.scala 1782:39] + node _T_375 = bits(io.dec_csr_wrdata_r, 31, 31) @[dec_tlu_ctl.scala 1785:39] + node _T_376 = bits(io.dec_csr_wrdata_r, 30, 30) @[dec_tlu_ctl.scala 1785:64] + node _T_377 = bits(io.dec_csr_wrdata_r, 31, 31) @[dec_tlu_ctl.scala 1785:91] + node _T_378 = not(_T_377) @[dec_tlu_ctl.scala 1785:71] + node _T_379 = and(_T_376, _T_378) @[dec_tlu_ctl.scala 1785:69] + node _T_380 = bits(io.dec_csr_wrdata_r, 29, 29) @[dec_tlu_ctl.scala 1786:41] + node _T_381 = bits(io.dec_csr_wrdata_r, 28, 28) @[dec_tlu_ctl.scala 1786:66] + node _T_382 = bits(io.dec_csr_wrdata_r, 29, 29) @[dec_tlu_ctl.scala 1786:93] + node _T_383 = not(_T_382) @[dec_tlu_ctl.scala 1786:73] + node _T_384 = and(_T_381, _T_383) @[dec_tlu_ctl.scala 1786:71] + node _T_385 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 1787:41] + node _T_386 = bits(io.dec_csr_wrdata_r, 26, 26) @[dec_tlu_ctl.scala 1787:66] + node _T_387 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 1787:93] + node _T_388 = not(_T_387) @[dec_tlu_ctl.scala 1787:73] + node _T_389 = and(_T_386, _T_388) @[dec_tlu_ctl.scala 1787:71] + node _T_390 = bits(io.dec_csr_wrdata_r, 25, 25) @[dec_tlu_ctl.scala 1788:41] + node _T_391 = bits(io.dec_csr_wrdata_r, 24, 24) @[dec_tlu_ctl.scala 1788:66] + node _T_392 = bits(io.dec_csr_wrdata_r, 25, 25) @[dec_tlu_ctl.scala 1788:93] + node _T_393 = not(_T_392) @[dec_tlu_ctl.scala 1788:73] + node _T_394 = and(_T_391, _T_393) @[dec_tlu_ctl.scala 1788:71] + node _T_395 = bits(io.dec_csr_wrdata_r, 23, 23) @[dec_tlu_ctl.scala 1789:41] + node _T_396 = bits(io.dec_csr_wrdata_r, 22, 22) @[dec_tlu_ctl.scala 1789:66] + node _T_397 = bits(io.dec_csr_wrdata_r, 23, 23) @[dec_tlu_ctl.scala 1789:93] + node _T_398 = not(_T_397) @[dec_tlu_ctl.scala 1789:73] + node _T_399 = and(_T_396, _T_398) @[dec_tlu_ctl.scala 1789:71] + node _T_400 = bits(io.dec_csr_wrdata_r, 21, 21) @[dec_tlu_ctl.scala 1790:41] + node _T_401 = bits(io.dec_csr_wrdata_r, 20, 20) @[dec_tlu_ctl.scala 1790:66] + node _T_402 = bits(io.dec_csr_wrdata_r, 21, 21) @[dec_tlu_ctl.scala 1790:93] + node _T_403 = not(_T_402) @[dec_tlu_ctl.scala 1790:73] + node _T_404 = and(_T_401, _T_403) @[dec_tlu_ctl.scala 1790:71] + node _T_405 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 1791:41] + node _T_406 = bits(io.dec_csr_wrdata_r, 18, 18) @[dec_tlu_ctl.scala 1791:66] + node _T_407 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 1791:93] + node _T_408 = not(_T_407) @[dec_tlu_ctl.scala 1791:73] + node _T_409 = and(_T_406, _T_408) @[dec_tlu_ctl.scala 1791:71] + node _T_410 = bits(io.dec_csr_wrdata_r, 17, 17) @[dec_tlu_ctl.scala 1792:41] + node _T_411 = bits(io.dec_csr_wrdata_r, 16, 16) @[dec_tlu_ctl.scala 1792:66] + node _T_412 = bits(io.dec_csr_wrdata_r, 17, 17) @[dec_tlu_ctl.scala 1792:93] + node _T_413 = not(_T_412) @[dec_tlu_ctl.scala 1792:73] + node _T_414 = and(_T_411, _T_413) @[dec_tlu_ctl.scala 1792:71] + node _T_415 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 1793:41] + node _T_416 = bits(io.dec_csr_wrdata_r, 14, 14) @[dec_tlu_ctl.scala 1793:66] + node _T_417 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 1793:93] + node _T_418 = not(_T_417) @[dec_tlu_ctl.scala 1793:73] + node _T_419 = and(_T_416, _T_418) @[dec_tlu_ctl.scala 1793:71] + node _T_420 = bits(io.dec_csr_wrdata_r, 13, 13) @[dec_tlu_ctl.scala 1794:41] + node _T_421 = bits(io.dec_csr_wrdata_r, 12, 12) @[dec_tlu_ctl.scala 1794:66] + node _T_422 = bits(io.dec_csr_wrdata_r, 13, 13) @[dec_tlu_ctl.scala 1794:93] + node _T_423 = not(_T_422) @[dec_tlu_ctl.scala 1794:73] + node _T_424 = and(_T_421, _T_423) @[dec_tlu_ctl.scala 1794:71] + node _T_425 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1795:41] + node _T_426 = bits(io.dec_csr_wrdata_r, 10, 10) @[dec_tlu_ctl.scala 1795:66] + node _T_427 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 1795:93] + node _T_428 = not(_T_427) @[dec_tlu_ctl.scala 1795:73] + node _T_429 = and(_T_426, _T_428) @[dec_tlu_ctl.scala 1795:71] + node _T_430 = bits(io.dec_csr_wrdata_r, 9, 9) @[dec_tlu_ctl.scala 1796:41] + node _T_431 = bits(io.dec_csr_wrdata_r, 8, 8) @[dec_tlu_ctl.scala 1796:66] + node _T_432 = bits(io.dec_csr_wrdata_r, 9, 9) @[dec_tlu_ctl.scala 1796:93] + node _T_433 = not(_T_432) @[dec_tlu_ctl.scala 1796:73] + node _T_434 = and(_T_431, _T_433) @[dec_tlu_ctl.scala 1796:70] + node _T_435 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1797:41] + node _T_436 = bits(io.dec_csr_wrdata_r, 6, 6) @[dec_tlu_ctl.scala 1797:66] + node _T_437 = bits(io.dec_csr_wrdata_r, 7, 7) @[dec_tlu_ctl.scala 1797:93] + node _T_438 = not(_T_437) @[dec_tlu_ctl.scala 1797:73] + node _T_439 = and(_T_436, _T_438) @[dec_tlu_ctl.scala 1797:70] + node _T_440 = bits(io.dec_csr_wrdata_r, 5, 5) @[dec_tlu_ctl.scala 1798:41] + node _T_441 = bits(io.dec_csr_wrdata_r, 4, 4) @[dec_tlu_ctl.scala 1798:66] + node _T_442 = bits(io.dec_csr_wrdata_r, 5, 5) @[dec_tlu_ctl.scala 1798:93] + node _T_443 = not(_T_442) @[dec_tlu_ctl.scala 1798:73] + node _T_444 = and(_T_441, _T_443) @[dec_tlu_ctl.scala 1798:70] + node _T_445 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1799:41] + node _T_446 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 1799:66] + node _T_447 = bits(io.dec_csr_wrdata_r, 3, 3) @[dec_tlu_ctl.scala 1799:93] + node _T_448 = not(_T_447) @[dec_tlu_ctl.scala 1799:73] + node _T_449 = and(_T_446, _T_448) @[dec_tlu_ctl.scala 1799:70] + node _T_450 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1800:41] + node _T_451 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1800:66] + node _T_452 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1800:93] + node _T_453 = not(_T_452) @[dec_tlu_ctl.scala 1800:73] + node _T_454 = and(_T_451, _T_453) @[dec_tlu_ctl.scala 1800:70] + node _T_455 = cat(_T_450, _T_454) @[Cat.scala 29:58] + node _T_456 = cat(_T_445, _T_449) @[Cat.scala 29:58] node _T_457 = cat(_T_456, _T_455) @[Cat.scala 29:58] - node _T_458 = cat(_T_457, _T_454) @[Cat.scala 29:58] - node _T_459 = cat(_T_458, _T_451) @[Cat.scala 29:58] - node _T_460 = cat(_T_400, _T_404) @[Cat.scala 29:58] - node _T_461 = cat(_T_395, _T_399) @[Cat.scala 29:58] - node _T_462 = cat(_T_461, _T_460) @[Cat.scala 29:58] - node _T_463 = cat(_T_390, _T_394) @[Cat.scala 29:58] - node _T_464 = cat(_T_385, _T_389) @[Cat.scala 29:58] - node _T_465 = cat(_T_464, _T_463) @[Cat.scala 29:58] - node _T_466 = cat(_T_465, _T_462) @[Cat.scala 29:58] - node _T_467 = cat(_T_380, _T_384) @[Cat.scala 29:58] - node _T_468 = cat(_T_375, _T_379) @[Cat.scala 29:58] - node _T_469 = cat(_T_468, _T_467) @[Cat.scala 29:58] - node _T_470 = cat(_T_370, _T_374) @[Cat.scala 29:58] - node _T_471 = cat(_T_365, _T_369) @[Cat.scala 29:58] + node _T_458 = cat(_T_440, _T_444) @[Cat.scala 29:58] + node _T_459 = cat(_T_435, _T_439) @[Cat.scala 29:58] + node _T_460 = cat(_T_459, _T_458) @[Cat.scala 29:58] + node _T_461 = cat(_T_460, _T_457) @[Cat.scala 29:58] + node _T_462 = cat(_T_430, _T_434) @[Cat.scala 29:58] + node _T_463 = cat(_T_425, _T_429) @[Cat.scala 29:58] + node _T_464 = cat(_T_463, _T_462) @[Cat.scala 29:58] + node _T_465 = cat(_T_420, _T_424) @[Cat.scala 29:58] + node _T_466 = cat(_T_415, _T_419) @[Cat.scala 29:58] + node _T_467 = cat(_T_466, _T_465) @[Cat.scala 29:58] + node _T_468 = cat(_T_467, _T_464) @[Cat.scala 29:58] + node _T_469 = cat(_T_468, _T_461) @[Cat.scala 29:58] + node _T_470 = cat(_T_410, _T_414) @[Cat.scala 29:58] + node _T_471 = cat(_T_405, _T_409) @[Cat.scala 29:58] node _T_472 = cat(_T_471, _T_470) @[Cat.scala 29:58] - node _T_473 = cat(_T_472, _T_469) @[Cat.scala 29:58] - node _T_474 = cat(_T_473, _T_466) @[Cat.scala 29:58] - node mrac_in = cat(_T_474, _T_459) @[Cat.scala 29:58] - node _T_475 = bits(wr_mrac_r, 0, 0) @[dec_tlu_ctl.scala 1805:38] + node _T_473 = cat(_T_400, _T_404) @[Cat.scala 29:58] + node _T_474 = cat(_T_395, _T_399) @[Cat.scala 29:58] + node _T_475 = cat(_T_474, _T_473) @[Cat.scala 29:58] + node _T_476 = cat(_T_475, _T_472) @[Cat.scala 29:58] + node _T_477 = cat(_T_390, _T_394) @[Cat.scala 29:58] + node _T_478 = cat(_T_385, _T_389) @[Cat.scala 29:58] + node _T_479 = cat(_T_478, _T_477) @[Cat.scala 29:58] + node _T_480 = cat(_T_380, _T_384) @[Cat.scala 29:58] + node _T_481 = cat(_T_375, _T_379) @[Cat.scala 29:58] + node _T_482 = cat(_T_481, _T_480) @[Cat.scala 29:58] + node _T_483 = cat(_T_482, _T_479) @[Cat.scala 29:58] + node _T_484 = cat(_T_483, _T_476) @[Cat.scala 29:58] + node mrac_in = cat(_T_484, _T_469) @[Cat.scala 29:58] + node _T_485 = bits(wr_mrac_r, 0, 0) @[dec_tlu_ctl.scala 1803:38] inst rvclkhdr_10 of rvclkhdr_730 @[lib.scala 368:23] rvclkhdr_10.clock <= clock rvclkhdr_10.reset <= reset rvclkhdr_10.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_10.io.en <= _T_475 @[lib.scala 371:17] + rvclkhdr_10.io.en <= _T_485 @[lib.scala 371:17] rvclkhdr_10.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg mrac : UInt, rvclkhdr_10.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] mrac <= mrac_in @[lib.scala 374:16] - io.dec_tlu_mrac_ff <= mrac @[dec_tlu_ctl.scala 1807:21] - node _T_476 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1815:62] - node _T_477 = eq(_T_476, UInt<12>("h0bc0")) @[dec_tlu_ctl.scala 1815:69] - node wr_mdeau_r = and(io.dec_csr_wen_r_mod, _T_477) @[dec_tlu_ctl.scala 1815:40] - node _T_478 = not(wr_mdeau_r) @[dec_tlu_ctl.scala 1825:59] - node _T_479 = and(io.mdseac_locked_f, _T_478) @[dec_tlu_ctl.scala 1825:57] - node _T_480 = or(mdseac_en, _T_479) @[dec_tlu_ctl.scala 1825:35] - io.mdseac_locked_ns <= _T_480 @[dec_tlu_ctl.scala 1825:22] - node _T_481 = or(io.lsu_imprecise_error_store_any, io.lsu_imprecise_error_load_any) @[dec_tlu_ctl.scala 1827:49] - node _T_482 = not(io.nmi_int_detected_f) @[dec_tlu_ctl.scala 1827:86] - node _T_483 = and(_T_481, _T_482) @[dec_tlu_ctl.scala 1827:84] - node _T_484 = not(io.mdseac_locked_f) @[dec_tlu_ctl.scala 1827:111] - node _T_485 = and(_T_483, _T_484) @[dec_tlu_ctl.scala 1827:109] - mdseac_en <= _T_485 @[dec_tlu_ctl.scala 1827:12] - node _T_486 = bits(mdseac_en, 0, 0) @[dec_tlu_ctl.scala 1829:64] + io.dec_tlu_mrac_ff <= mrac @[dec_tlu_ctl.scala 1805:21] + node _T_486 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1813:62] + node _T_487 = eq(_T_486, UInt<12>("h0bc0")) @[dec_tlu_ctl.scala 1813:69] + node wr_mdeau_r = and(io.dec_csr_wen_r_mod, _T_487) @[dec_tlu_ctl.scala 1813:40] + node _T_488 = not(wr_mdeau_r) @[dec_tlu_ctl.scala 1823:59] + node _T_489 = and(io.mdseac_locked_f, _T_488) @[dec_tlu_ctl.scala 1823:57] + node _T_490 = or(mdseac_en, _T_489) @[dec_tlu_ctl.scala 1823:35] + io.mdseac_locked_ns <= _T_490 @[dec_tlu_ctl.scala 1823:22] + node _T_491 = or(io.lsu_imprecise_error_store_any, io.lsu_imprecise_error_load_any) @[dec_tlu_ctl.scala 1825:49] + node _T_492 = not(io.nmi_int_detected_f) @[dec_tlu_ctl.scala 1825:86] + node _T_493 = and(_T_491, _T_492) @[dec_tlu_ctl.scala 1825:84] + node _T_494 = not(io.mdseac_locked_f) @[dec_tlu_ctl.scala 1825:111] + node _T_495 = and(_T_493, _T_494) @[dec_tlu_ctl.scala 1825:109] + mdseac_en <= _T_495 @[dec_tlu_ctl.scala 1825:12] + node _T_496 = bits(mdseac_en, 0, 0) @[dec_tlu_ctl.scala 1827:64] inst rvclkhdr_11 of rvclkhdr_731 @[lib.scala 368:23] rvclkhdr_11.clock <= clock rvclkhdr_11.reset <= reset rvclkhdr_11.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_11.io.en <= _T_486 @[lib.scala 371:17] + rvclkhdr_11.io.en <= _T_496 @[lib.scala 371:17] rvclkhdr_11.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg mdseac : UInt, rvclkhdr_11.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] mdseac <= io.lsu_imprecise_error_addr_any @[lib.scala 374:16] - node _T_487 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1838:61] - node _T_488 = eq(_T_487, UInt<12>("h07c6")) @[dec_tlu_ctl.scala 1838:68] - node wr_mpmc_r = and(io.dec_csr_wen_r_mod, _T_488) @[dec_tlu_ctl.scala 1838:39] - node _T_489 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1842:51] - node _T_490 = and(wr_mpmc_r, _T_489) @[dec_tlu_ctl.scala 1842:30] - node _T_491 = not(io.internal_dbg_halt_mode_f2) @[dec_tlu_ctl.scala 1842:57] - node _T_492 = and(_T_490, _T_491) @[dec_tlu_ctl.scala 1842:55] - node _T_493 = not(io.ext_int_freeze_d1) @[dec_tlu_ctl.scala 1842:89] - node _T_494 = and(_T_492, _T_493) @[dec_tlu_ctl.scala 1842:87] - io.fw_halt_req <= _T_494 @[dec_tlu_ctl.scala 1842:17] + node _T_497 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1836:61] + node _T_498 = eq(_T_497, UInt<12>("h07c6")) @[dec_tlu_ctl.scala 1836:68] + node wr_mpmc_r = and(io.dec_csr_wen_r_mod, _T_498) @[dec_tlu_ctl.scala 1836:39] + node _T_499 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 1840:51] + node _T_500 = and(wr_mpmc_r, _T_499) @[dec_tlu_ctl.scala 1840:30] + node _T_501 = not(io.internal_dbg_halt_mode_f2) @[dec_tlu_ctl.scala 1840:57] + node _T_502 = and(_T_500, _T_501) @[dec_tlu_ctl.scala 1840:55] + node _T_503 = not(io.ext_int_freeze_d1) @[dec_tlu_ctl.scala 1840:89] + node _T_504 = and(_T_502, _T_503) @[dec_tlu_ctl.scala 1840:87] + io.fw_halt_req <= _T_504 @[dec_tlu_ctl.scala 1840:17] wire fw_halted_ns : UInt<1> fw_halted_ns <= UInt<1>("h00") - reg fw_halted : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1844:48] - fw_halted <= fw_halted_ns @[dec_tlu_ctl.scala 1844:48] - node _T_495 = or(io.fw_halt_req, fw_halted) @[dec_tlu_ctl.scala 1845:34] - node _T_496 = not(set_mie_pmu_fw_halt) @[dec_tlu_ctl.scala 1845:49] - node _T_497 = and(_T_495, _T_496) @[dec_tlu_ctl.scala 1845:47] - fw_halted_ns <= _T_497 @[dec_tlu_ctl.scala 1845:15] - node _T_498 = bits(wr_mpmc_r, 0, 0) @[dec_tlu_ctl.scala 1846:29] - node _T_499 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1846:57] - node _T_500 = not(_T_499) @[dec_tlu_ctl.scala 1846:37] - node _T_501 = not(mpmc) @[dec_tlu_ctl.scala 1846:62] - node _T_502 = mux(_T_498, _T_500, _T_501) @[dec_tlu_ctl.scala 1846:18] - mpmc_b_ns <= _T_502 @[dec_tlu_ctl.scala 1846:12] - reg _T_503 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1848:44] - _T_503 <= mpmc_b_ns @[dec_tlu_ctl.scala 1848:44] - mpmc_b <= _T_503 @[dec_tlu_ctl.scala 1848:9] - node _T_504 = not(mpmc_b) @[dec_tlu_ctl.scala 1851:10] - mpmc <= _T_504 @[dec_tlu_ctl.scala 1851:7] - node _T_505 = bits(io.dec_csr_wrdata_r, 31, 27) @[dec_tlu_ctl.scala 1860:40] - node _T_506 = gt(_T_505, UInt<5>("h01a")) @[dec_tlu_ctl.scala 1860:48] - node _T_507 = bits(io.dec_csr_wrdata_r, 31, 27) @[dec_tlu_ctl.scala 1860:92] - node csr_sat = mux(_T_506, UInt<5>("h01a"), _T_507) @[dec_tlu_ctl.scala 1860:19] - node _T_508 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1862:63] - node _T_509 = eq(_T_508, UInt<12>("h07f0")) @[dec_tlu_ctl.scala 1862:70] - node wr_micect_r = and(io.dec_csr_wen_r_mod, _T_509) @[dec_tlu_ctl.scala 1862:41] - node _T_510 = cat(UInt<26>("h00"), io.ic_perr_r_d1) @[Cat.scala 29:58] - node _T_511 = add(micect, _T_510) @[dec_tlu_ctl.scala 1863:23] - node _T_512 = tail(_T_511, 1) @[dec_tlu_ctl.scala 1863:23] - micect_inc <= _T_512 @[dec_tlu_ctl.scala 1863:13] - node _T_513 = bits(wr_micect_r, 0, 0) @[dec_tlu_ctl.scala 1864:35] - node _T_514 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1864:75] - node _T_515 = cat(csr_sat, _T_514) @[Cat.scala 29:58] - node _T_516 = bits(micect, 31, 27) @[dec_tlu_ctl.scala 1864:95] - node _T_517 = cat(_T_516, micect_inc) @[Cat.scala 29:58] - node micect_ns = mux(_T_513, _T_515, _T_517) @[dec_tlu_ctl.scala 1864:22] - node _T_518 = or(wr_micect_r, io.ic_perr_r_d1) @[dec_tlu_ctl.scala 1866:42] - node _T_519 = bits(_T_518, 0, 0) @[dec_tlu_ctl.scala 1866:61] + reg fw_halted : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1842:48] + fw_halted <= fw_halted_ns @[dec_tlu_ctl.scala 1842:48] + node _T_505 = or(io.fw_halt_req, fw_halted) @[dec_tlu_ctl.scala 1843:34] + node _T_506 = not(set_mie_pmu_fw_halt) @[dec_tlu_ctl.scala 1843:49] + node _T_507 = and(_T_505, _T_506) @[dec_tlu_ctl.scala 1843:47] + fw_halted_ns <= _T_507 @[dec_tlu_ctl.scala 1843:15] + node _T_508 = bits(wr_mpmc_r, 0, 0) @[dec_tlu_ctl.scala 1844:29] + node _T_509 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 1844:57] + node _T_510 = not(_T_509) @[dec_tlu_ctl.scala 1844:37] + node _T_511 = not(mpmc) @[dec_tlu_ctl.scala 1844:62] + node _T_512 = mux(_T_508, _T_510, _T_511) @[dec_tlu_ctl.scala 1844:18] + mpmc_b_ns <= _T_512 @[dec_tlu_ctl.scala 1844:12] + reg _T_513 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1846:44] + _T_513 <= mpmc_b_ns @[dec_tlu_ctl.scala 1846:44] + mpmc_b <= _T_513 @[dec_tlu_ctl.scala 1846:9] + node _T_514 = not(mpmc_b) @[dec_tlu_ctl.scala 1849:10] + mpmc <= _T_514 @[dec_tlu_ctl.scala 1849:7] + node _T_515 = bits(io.dec_csr_wrdata_r, 31, 27) @[dec_tlu_ctl.scala 1858:40] + node _T_516 = gt(_T_515, UInt<5>("h01a")) @[dec_tlu_ctl.scala 1858:48] + node _T_517 = bits(io.dec_csr_wrdata_r, 31, 27) @[dec_tlu_ctl.scala 1858:92] + node csr_sat = mux(_T_516, UInt<5>("h01a"), _T_517) @[dec_tlu_ctl.scala 1858:19] + node _T_518 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1860:63] + node _T_519 = eq(_T_518, UInt<12>("h07f0")) @[dec_tlu_ctl.scala 1860:70] + node wr_micect_r = and(io.dec_csr_wen_r_mod, _T_519) @[dec_tlu_ctl.scala 1860:41] + node _T_520 = cat(UInt<26>("h00"), io.ic_perr_r_d1) @[Cat.scala 29:58] + node _T_521 = add(micect, _T_520) @[dec_tlu_ctl.scala 1861:23] + node _T_522 = tail(_T_521, 1) @[dec_tlu_ctl.scala 1861:23] + micect_inc <= _T_522 @[dec_tlu_ctl.scala 1861:13] + node _T_523 = bits(wr_micect_r, 0, 0) @[dec_tlu_ctl.scala 1862:35] + node _T_524 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1862:75] + node _T_525 = cat(csr_sat, _T_524) @[Cat.scala 29:58] + node _T_526 = bits(micect, 31, 27) @[dec_tlu_ctl.scala 1862:95] + node _T_527 = cat(_T_526, micect_inc) @[Cat.scala 29:58] + node micect_ns = mux(_T_523, _T_525, _T_527) @[dec_tlu_ctl.scala 1862:22] + node _T_528 = or(wr_micect_r, io.ic_perr_r_d1) @[dec_tlu_ctl.scala 1864:42] + node _T_529 = bits(_T_528, 0, 0) @[dec_tlu_ctl.scala 1864:61] inst rvclkhdr_12 of rvclkhdr_732 @[lib.scala 368:23] rvclkhdr_12.clock <= clock rvclkhdr_12.reset <= reset rvclkhdr_12.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_12.io.en <= _T_519 @[lib.scala 371:17] + rvclkhdr_12.io.en <= _T_529 @[lib.scala 371:17] rvclkhdr_12.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_520 : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_520 <= micect_ns @[lib.scala 374:16] - micect <= _T_520 @[dec_tlu_ctl.scala 1866:9] - node _T_521 = bits(micect, 31, 27) @[dec_tlu_ctl.scala 1868:48] - node _T_522 = dshl(UInt<32>("h0ffffffff"), _T_521) @[dec_tlu_ctl.scala 1868:39] - node _T_523 = bits(micect, 26, 0) @[dec_tlu_ctl.scala 1868:79] - node _T_524 = cat(UInt<5>("h00"), _T_523) @[Cat.scala 29:58] - node _T_525 = and(_T_522, _T_524) @[dec_tlu_ctl.scala 1868:57] - node _T_526 = orr(_T_525) @[dec_tlu_ctl.scala 1868:88] - mice_ce_req <= _T_526 @[dec_tlu_ctl.scala 1868:14] - node _T_527 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1877:69] - node _T_528 = eq(_T_527, UInt<12>("h07f1")) @[dec_tlu_ctl.scala 1877:76] - node wr_miccmect_r = and(io.dec_csr_wen_r_mod, _T_528) @[dec_tlu_ctl.scala 1877:47] - node _T_529 = bits(miccmect, 26, 0) @[dec_tlu_ctl.scala 1878:26] - node _T_530 = or(io.iccm_sbecc_r_d1, io.iccm_dma_sb_error) @[dec_tlu_ctl.scala 1878:70] - node _T_531 = cat(UInt<26>("h00"), _T_530) @[Cat.scala 29:58] - node _T_532 = add(_T_529, _T_531) @[dec_tlu_ctl.scala 1878:33] - node _T_533 = tail(_T_532, 1) @[dec_tlu_ctl.scala 1878:33] - miccmect_inc <= _T_533 @[dec_tlu_ctl.scala 1878:15] - node _T_534 = bits(wr_miccmect_r, 0, 0) @[dec_tlu_ctl.scala 1879:45] - node _T_535 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1879:85] - node _T_536 = cat(csr_sat, _T_535) @[Cat.scala 29:58] - node _T_537 = bits(miccmect, 31, 27) @[dec_tlu_ctl.scala 1879:107] - node _T_538 = cat(_T_537, miccmect_inc) @[Cat.scala 29:58] - node miccmect_ns = mux(_T_534, _T_536, _T_538) @[dec_tlu_ctl.scala 1879:30] - node _T_539 = or(wr_miccmect_r, io.iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 1881:48] - node _T_540 = or(_T_539, io.iccm_dma_sb_error) @[dec_tlu_ctl.scala 1881:69] - node _T_541 = bits(_T_540, 0, 0) @[dec_tlu_ctl.scala 1881:93] + reg _T_530 : UInt, rvclkhdr_12.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_530 <= micect_ns @[lib.scala 374:16] + micect <= _T_530 @[dec_tlu_ctl.scala 1864:9] + node _T_531 = bits(micect, 31, 27) @[dec_tlu_ctl.scala 1866:48] + node _T_532 = dshl(UInt<32>("h0ffffffff"), _T_531) @[dec_tlu_ctl.scala 1866:39] + node _T_533 = bits(micect, 26, 0) @[dec_tlu_ctl.scala 1866:79] + node _T_534 = cat(UInt<5>("h00"), _T_533) @[Cat.scala 29:58] + node _T_535 = and(_T_532, _T_534) @[dec_tlu_ctl.scala 1866:57] + node _T_536 = orr(_T_535) @[dec_tlu_ctl.scala 1866:88] + mice_ce_req <= _T_536 @[dec_tlu_ctl.scala 1866:14] + node _T_537 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1875:69] + node _T_538 = eq(_T_537, UInt<12>("h07f1")) @[dec_tlu_ctl.scala 1875:76] + node wr_miccmect_r = and(io.dec_csr_wen_r_mod, _T_538) @[dec_tlu_ctl.scala 1875:47] + node _T_539 = bits(miccmect, 26, 0) @[dec_tlu_ctl.scala 1876:26] + node _T_540 = or(io.iccm_sbecc_r_d1, io.iccm_dma_sb_error) @[dec_tlu_ctl.scala 1876:70] + node _T_541 = cat(UInt<26>("h00"), _T_540) @[Cat.scala 29:58] + node _T_542 = add(_T_539, _T_541) @[dec_tlu_ctl.scala 1876:33] + node _T_543 = tail(_T_542, 1) @[dec_tlu_ctl.scala 1876:33] + miccmect_inc <= _T_543 @[dec_tlu_ctl.scala 1876:15] + node _T_544 = bits(wr_miccmect_r, 0, 0) @[dec_tlu_ctl.scala 1877:45] + node _T_545 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1877:85] + node _T_546 = cat(csr_sat, _T_545) @[Cat.scala 29:58] + node _T_547 = bits(miccmect, 31, 27) @[dec_tlu_ctl.scala 1877:107] + node _T_548 = cat(_T_547, miccmect_inc) @[Cat.scala 29:58] + node miccmect_ns = mux(_T_544, _T_546, _T_548) @[dec_tlu_ctl.scala 1877:30] + node _T_549 = or(wr_miccmect_r, io.iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 1879:48] + node _T_550 = or(_T_549, io.iccm_dma_sb_error) @[dec_tlu_ctl.scala 1879:69] + node _T_551 = bits(_T_550, 0, 0) @[dec_tlu_ctl.scala 1879:93] inst rvclkhdr_13 of rvclkhdr_733 @[lib.scala 368:23] rvclkhdr_13.clock <= clock rvclkhdr_13.reset <= reset rvclkhdr_13.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_13.io.en <= _T_541 @[lib.scala 371:17] + rvclkhdr_13.io.en <= _T_551 @[lib.scala 371:17] rvclkhdr_13.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_542 : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_542 <= miccmect_ns @[lib.scala 374:16] - miccmect <= _T_542 @[dec_tlu_ctl.scala 1881:11] - node _T_543 = bits(miccmect, 31, 27) @[dec_tlu_ctl.scala 1883:51] - node _T_544 = dshl(UInt<32>("h0ffffffff"), _T_543) @[dec_tlu_ctl.scala 1883:40] - node _T_545 = bits(miccmect, 26, 0) @[dec_tlu_ctl.scala 1883:84] - node _T_546 = cat(UInt<5>("h00"), _T_545) @[Cat.scala 29:58] - node _T_547 = and(_T_544, _T_546) @[dec_tlu_ctl.scala 1883:60] - node _T_548 = orr(_T_547) @[dec_tlu_ctl.scala 1883:93] - miccme_ce_req <= _T_548 @[dec_tlu_ctl.scala 1883:15] - node _T_549 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1892:69] - node _T_550 = eq(_T_549, UInt<12>("h07f2")) @[dec_tlu_ctl.scala 1892:76] - node wr_mdccmect_r = and(io.dec_csr_wen_r_mod, _T_550) @[dec_tlu_ctl.scala 1892:47] - node _T_551 = bits(mdccmect, 26, 0) @[dec_tlu_ctl.scala 1893:26] - node _T_552 = cat(UInt<26>("h00"), io.lsu_single_ecc_error_r_d1) @[Cat.scala 29:58] - node _T_553 = add(_T_551, _T_552) @[dec_tlu_ctl.scala 1893:33] - node _T_554 = tail(_T_553, 1) @[dec_tlu_ctl.scala 1893:33] - mdccmect_inc <= _T_554 @[dec_tlu_ctl.scala 1893:15] - node _T_555 = bits(wr_mdccmect_r, 0, 0) @[dec_tlu_ctl.scala 1894:45] - node _T_556 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1894:85] - node _T_557 = cat(csr_sat, _T_556) @[Cat.scala 29:58] - node _T_558 = bits(mdccmect, 31, 27) @[dec_tlu_ctl.scala 1894:107] - node _T_559 = cat(_T_558, mdccmect_inc) @[Cat.scala 29:58] - node mdccmect_ns = mux(_T_555, _T_557, _T_559) @[dec_tlu_ctl.scala 1894:30] - node _T_560 = or(wr_mdccmect_r, io.lsu_single_ecc_error_r_d1) @[dec_tlu_ctl.scala 1896:49] - node _T_561 = bits(_T_560, 0, 0) @[dec_tlu_ctl.scala 1896:81] + reg _T_552 : UInt, rvclkhdr_13.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_552 <= miccmect_ns @[lib.scala 374:16] + miccmect <= _T_552 @[dec_tlu_ctl.scala 1879:11] + node _T_553 = bits(miccmect, 31, 27) @[dec_tlu_ctl.scala 1881:51] + node _T_554 = dshl(UInt<32>("h0ffffffff"), _T_553) @[dec_tlu_ctl.scala 1881:40] + node _T_555 = bits(miccmect, 26, 0) @[dec_tlu_ctl.scala 1881:84] + node _T_556 = cat(UInt<5>("h00"), _T_555) @[Cat.scala 29:58] + node _T_557 = and(_T_554, _T_556) @[dec_tlu_ctl.scala 1881:60] + node _T_558 = orr(_T_557) @[dec_tlu_ctl.scala 1881:93] + miccme_ce_req <= _T_558 @[dec_tlu_ctl.scala 1881:15] + node _T_559 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1890:69] + node _T_560 = eq(_T_559, UInt<12>("h07f2")) @[dec_tlu_ctl.scala 1890:76] + node wr_mdccmect_r = and(io.dec_csr_wen_r_mod, _T_560) @[dec_tlu_ctl.scala 1890:47] + node _T_561 = bits(mdccmect, 26, 0) @[dec_tlu_ctl.scala 1891:26] + node _T_562 = cat(UInt<26>("h00"), io.lsu_single_ecc_error_r_d1) @[Cat.scala 29:58] + node _T_563 = add(_T_561, _T_562) @[dec_tlu_ctl.scala 1891:33] + node _T_564 = tail(_T_563, 1) @[dec_tlu_ctl.scala 1891:33] + mdccmect_inc <= _T_564 @[dec_tlu_ctl.scala 1891:15] + node _T_565 = bits(wr_mdccmect_r, 0, 0) @[dec_tlu_ctl.scala 1892:45] + node _T_566 = bits(io.dec_csr_wrdata_r, 26, 0) @[dec_tlu_ctl.scala 1892:85] + node _T_567 = cat(csr_sat, _T_566) @[Cat.scala 29:58] + node _T_568 = bits(mdccmect, 31, 27) @[dec_tlu_ctl.scala 1892:107] + node _T_569 = cat(_T_568, mdccmect_inc) @[Cat.scala 29:58] + node mdccmect_ns = mux(_T_565, _T_567, _T_569) @[dec_tlu_ctl.scala 1892:30] + node _T_570 = or(wr_mdccmect_r, io.lsu_single_ecc_error_r_d1) @[dec_tlu_ctl.scala 1894:49] + node _T_571 = bits(_T_570, 0, 0) @[dec_tlu_ctl.scala 1894:81] inst rvclkhdr_14 of rvclkhdr_734 @[lib.scala 368:23] rvclkhdr_14.clock <= clock rvclkhdr_14.reset <= reset rvclkhdr_14.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_14.io.en <= _T_561 @[lib.scala 371:17] + rvclkhdr_14.io.en <= _T_571 @[lib.scala 371:17] rvclkhdr_14.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_562 : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_562 <= mdccmect_ns @[lib.scala 374:16] - mdccmect <= _T_562 @[dec_tlu_ctl.scala 1896:11] - node _T_563 = bits(mdccmect, 31, 27) @[dec_tlu_ctl.scala 1898:52] - node _T_564 = dshl(UInt<32>("h0ffffffff"), _T_563) @[dec_tlu_ctl.scala 1898:41] - node _T_565 = bits(mdccmect, 26, 0) @[dec_tlu_ctl.scala 1898:85] - node _T_566 = cat(UInt<5>("h00"), _T_565) @[Cat.scala 29:58] - node _T_567 = and(_T_564, _T_566) @[dec_tlu_ctl.scala 1898:61] - node _T_568 = orr(_T_567) @[dec_tlu_ctl.scala 1898:94] - mdccme_ce_req <= _T_568 @[dec_tlu_ctl.scala 1898:16] - node _T_569 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1908:62] - node _T_570 = eq(_T_569, UInt<12>("h07ce")) @[dec_tlu_ctl.scala 1908:69] - node wr_mfdht_r = and(io.dec_csr_wen_r_mod, _T_570) @[dec_tlu_ctl.scala 1908:40] - node _T_571 = bits(wr_mfdht_r, 0, 0) @[dec_tlu_ctl.scala 1910:32] - node _T_572 = bits(io.dec_csr_wrdata_r, 5, 0) @[dec_tlu_ctl.scala 1910:59] - node mfdht_ns = mux(_T_571, _T_572, mfdht) @[dec_tlu_ctl.scala 1910:20] - reg _T_573 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1912:43] - _T_573 <= mfdht_ns @[dec_tlu_ctl.scala 1912:43] - mfdht <= _T_573 @[dec_tlu_ctl.scala 1912:8] - node _T_574 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1921:62] - node _T_575 = eq(_T_574, UInt<12>("h07cf")) @[dec_tlu_ctl.scala 1921:69] - node wr_mfdhs_r = and(io.dec_csr_wen_r_mod, _T_575) @[dec_tlu_ctl.scala 1921:40] - node _T_576 = bits(wr_mfdhs_r, 0, 0) @[dec_tlu_ctl.scala 1923:32] - node _T_577 = bits(io.dec_csr_wrdata_r, 1, 0) @[dec_tlu_ctl.scala 1923:60] - node _T_578 = not(io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 1924:43] - node _T_579 = and(io.dbg_tlu_halted, _T_578) @[dec_tlu_ctl.scala 1924:41] - node _T_580 = bits(_T_579, 0, 0) @[dec_tlu_ctl.scala 1924:65] - node _T_581 = not(io.lsu_idle_any_f) @[dec_tlu_ctl.scala 1924:78] - node _T_582 = not(io.ifu_miss_state_idle_f) @[dec_tlu_ctl.scala 1924:98] - node _T_583 = cat(_T_581, _T_582) @[Cat.scala 29:58] - node _T_584 = mux(_T_580, _T_583, mfdhs) @[dec_tlu_ctl.scala 1924:21] - node mfdhs_ns = mux(_T_576, _T_577, _T_584) @[dec_tlu_ctl.scala 1923:20] - node _T_585 = or(wr_mfdhs_r, io.dbg_tlu_halted) @[dec_tlu_ctl.scala 1926:71] - node _T_586 = bits(_T_585, 0, 0) @[dec_tlu_ctl.scala 1926:92] - reg _T_587 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_586 : @[Reg.scala 28:19] - _T_587 <= mfdhs_ns @[Reg.scala 28:23] + reg _T_572 : UInt, rvclkhdr_14.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_572 <= mdccmect_ns @[lib.scala 374:16] + mdccmect <= _T_572 @[dec_tlu_ctl.scala 1894:11] + node _T_573 = bits(mdccmect, 31, 27) @[dec_tlu_ctl.scala 1896:52] + node _T_574 = dshl(UInt<32>("h0ffffffff"), _T_573) @[dec_tlu_ctl.scala 1896:41] + node _T_575 = bits(mdccmect, 26, 0) @[dec_tlu_ctl.scala 1896:85] + node _T_576 = cat(UInt<5>("h00"), _T_575) @[Cat.scala 29:58] + node _T_577 = and(_T_574, _T_576) @[dec_tlu_ctl.scala 1896:61] + node _T_578 = orr(_T_577) @[dec_tlu_ctl.scala 1896:94] + mdccme_ce_req <= _T_578 @[dec_tlu_ctl.scala 1896:16] + node _T_579 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1906:62] + node _T_580 = eq(_T_579, UInt<12>("h07ce")) @[dec_tlu_ctl.scala 1906:69] + node wr_mfdht_r = and(io.dec_csr_wen_r_mod, _T_580) @[dec_tlu_ctl.scala 1906:40] + node _T_581 = bits(wr_mfdht_r, 0, 0) @[dec_tlu_ctl.scala 1908:32] + node _T_582 = bits(io.dec_csr_wrdata_r, 5, 0) @[dec_tlu_ctl.scala 1908:59] + node mfdht_ns = mux(_T_581, _T_582, mfdht) @[dec_tlu_ctl.scala 1908:20] + reg _T_583 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1910:43] + _T_583 <= mfdht_ns @[dec_tlu_ctl.scala 1910:43] + mfdht <= _T_583 @[dec_tlu_ctl.scala 1910:8] + node _T_584 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1919:62] + node _T_585 = eq(_T_584, UInt<12>("h07cf")) @[dec_tlu_ctl.scala 1919:69] + node wr_mfdhs_r = and(io.dec_csr_wen_r_mod, _T_585) @[dec_tlu_ctl.scala 1919:40] + node _T_586 = bits(wr_mfdhs_r, 0, 0) @[dec_tlu_ctl.scala 1921:32] + node _T_587 = bits(io.dec_csr_wrdata_r, 1, 0) @[dec_tlu_ctl.scala 1921:60] + node _T_588 = not(io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 1922:43] + node _T_589 = and(io.dbg_tlu_halted, _T_588) @[dec_tlu_ctl.scala 1922:41] + node _T_590 = bits(_T_589, 0, 0) @[dec_tlu_ctl.scala 1922:65] + node _T_591 = not(io.lsu_idle_any_f) @[dec_tlu_ctl.scala 1922:78] + node _T_592 = not(io.ifu_miss_state_idle_f) @[dec_tlu_ctl.scala 1922:98] + node _T_593 = cat(_T_591, _T_592) @[Cat.scala 29:58] + node _T_594 = mux(_T_590, _T_593, mfdhs) @[dec_tlu_ctl.scala 1922:21] + node mfdhs_ns = mux(_T_586, _T_587, _T_594) @[dec_tlu_ctl.scala 1921:20] + node _T_595 = or(wr_mfdhs_r, io.dbg_tlu_halted) @[dec_tlu_ctl.scala 1924:71] + node _T_596 = bits(_T_595, 0, 0) @[dec_tlu_ctl.scala 1924:92] + reg _T_597 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_596 : @[Reg.scala 28:19] + _T_597 <= mfdhs_ns @[Reg.scala 28:23] skip @[Reg.scala 28:19] - mfdhs <= _T_587 @[dec_tlu_ctl.scala 1926:8] - node _T_588 = bits(io.debug_halt_req_f, 0, 0) @[dec_tlu_ctl.scala 1928:47] - node _T_589 = add(force_halt_ctr_f, UInt<32>("h01")) @[dec_tlu_ctl.scala 1928:74] - node _T_590 = tail(_T_589, 1) @[dec_tlu_ctl.scala 1928:74] - node _T_591 = bits(io.dbg_tlu_halted_f, 0, 0) @[dec_tlu_ctl.scala 1929:48] - node _T_592 = mux(_T_591, UInt<32>("h00"), force_halt_ctr_f) @[dec_tlu_ctl.scala 1929:27] - node force_halt_ctr = mux(_T_588, _T_590, _T_592) @[dec_tlu_ctl.scala 1928:26] - node _T_593 = bits(mfdht, 0, 0) @[dec_tlu_ctl.scala 1931:81] - reg _T_594 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_593 : @[Reg.scala 28:19] - _T_594 <= force_halt_ctr @[Reg.scala 28:23] + mfdhs <= _T_597 @[dec_tlu_ctl.scala 1924:8] + node _T_598 = bits(io.debug_halt_req_f, 0, 0) @[dec_tlu_ctl.scala 1926:47] + node _T_599 = add(force_halt_ctr_f, UInt<32>("h01")) @[dec_tlu_ctl.scala 1926:74] + node _T_600 = tail(_T_599, 1) @[dec_tlu_ctl.scala 1926:74] + node _T_601 = bits(io.dbg_tlu_halted_f, 0, 0) @[dec_tlu_ctl.scala 1927:48] + node _T_602 = mux(_T_601, UInt<32>("h00"), force_halt_ctr_f) @[dec_tlu_ctl.scala 1927:27] + node force_halt_ctr = mux(_T_598, _T_600, _T_602) @[dec_tlu_ctl.scala 1926:26] + node _T_603 = bits(mfdht, 0, 0) @[dec_tlu_ctl.scala 1929:81] + reg _T_604 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_603 : @[Reg.scala 28:19] + _T_604 <= force_halt_ctr @[Reg.scala 28:23] skip @[Reg.scala 28:19] - force_halt_ctr_f <= _T_594 @[dec_tlu_ctl.scala 1931:19] - node _T_595 = bits(mfdht, 0, 0) @[dec_tlu_ctl.scala 1933:24] - node _T_596 = bits(mfdht, 5, 1) @[dec_tlu_ctl.scala 1933:79] - node _T_597 = dshl(UInt<32>("h0ffffffff"), _T_596) @[dec_tlu_ctl.scala 1933:71] - node _T_598 = and(force_halt_ctr_f, _T_597) @[dec_tlu_ctl.scala 1933:48] - node _T_599 = orr(_T_598) @[dec_tlu_ctl.scala 1933:87] - node _T_600 = and(_T_595, _T_599) @[dec_tlu_ctl.scala 1933:28] - io.force_halt <= _T_600 @[dec_tlu_ctl.scala 1933:16] - node _T_601 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1941:62] - node _T_602 = eq(_T_601, UInt<12>("h0bc8")) @[dec_tlu_ctl.scala 1941:69] - node wr_meivt_r = and(io.dec_csr_wen_r_mod, _T_602) @[dec_tlu_ctl.scala 1941:40] - node _T_603 = bits(io.dec_csr_wrdata_r, 31, 10) @[dec_tlu_ctl.scala 1943:40] - node _T_604 = bits(wr_meivt_r, 0, 0) @[dec_tlu_ctl.scala 1943:59] + force_halt_ctr_f <= _T_604 @[dec_tlu_ctl.scala 1929:19] + node _T_605 = bits(mfdht, 0, 0) @[dec_tlu_ctl.scala 1931:24] + node _T_606 = bits(mfdht, 5, 1) @[dec_tlu_ctl.scala 1931:79] + node _T_607 = dshl(UInt<32>("h0ffffffff"), _T_606) @[dec_tlu_ctl.scala 1931:71] + node _T_608 = and(force_halt_ctr_f, _T_607) @[dec_tlu_ctl.scala 1931:48] + node _T_609 = orr(_T_608) @[dec_tlu_ctl.scala 1931:87] + node _T_610 = and(_T_605, _T_609) @[dec_tlu_ctl.scala 1931:28] + io.force_halt <= _T_610 @[dec_tlu_ctl.scala 1931:16] + node _T_611 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1939:62] + node _T_612 = eq(_T_611, UInt<12>("h0bc8")) @[dec_tlu_ctl.scala 1939:69] + node wr_meivt_r = and(io.dec_csr_wen_r_mod, _T_612) @[dec_tlu_ctl.scala 1939:40] + node _T_613 = bits(io.dec_csr_wrdata_r, 31, 10) @[dec_tlu_ctl.scala 1941:40] + node _T_614 = bits(wr_meivt_r, 0, 0) @[dec_tlu_ctl.scala 1941:59] inst rvclkhdr_15 of rvclkhdr_735 @[lib.scala 368:23] rvclkhdr_15.clock <= clock rvclkhdr_15.reset <= reset rvclkhdr_15.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_15.io.en <= _T_604 @[lib.scala 371:17] + rvclkhdr_15.io.en <= _T_614 @[lib.scala 371:17] rvclkhdr_15.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg meivt : UInt, rvclkhdr_15.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - meivt <= _T_603 @[lib.scala 374:16] - node _T_605 = bits(wr_meicpct_r, 0, 0) @[dec_tlu_ctl.scala 1955:49] + meivt <= _T_613 @[lib.scala 374:16] + node _T_615 = bits(wr_meicpct_r, 0, 0) @[dec_tlu_ctl.scala 1953:49] inst rvclkhdr_16 of rvclkhdr_736 @[lib.scala 368:23] rvclkhdr_16.clock <= clock rvclkhdr_16.reset <= reset rvclkhdr_16.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_16.io.en <= _T_605 @[lib.scala 371:17] + rvclkhdr_16.io.en <= _T_615 @[lib.scala 371:17] rvclkhdr_16.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg meihap : UInt, rvclkhdr_16.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] meihap <= io.pic_claimid @[lib.scala 374:16] - node _T_606 = cat(meivt, meihap) @[Cat.scala 29:58] - io.dec_tlu_meihap <= _T_606 @[dec_tlu_ctl.scala 1956:20] - node _T_607 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1965:65] - node _T_608 = eq(_T_607, UInt<12>("h0bcc")) @[dec_tlu_ctl.scala 1965:72] - node wr_meicurpl_r = and(io.dec_csr_wen_r_mod, _T_608) @[dec_tlu_ctl.scala 1965:43] - node _T_609 = bits(wr_meicurpl_r, 0, 0) @[dec_tlu_ctl.scala 1966:38] - node _T_610 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1966:65] - node meicurpl_ns = mux(_T_609, _T_610, meicurpl) @[dec_tlu_ctl.scala 1966:23] - reg _T_611 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1968:46] - _T_611 <= meicurpl_ns @[dec_tlu_ctl.scala 1968:46] - meicurpl <= _T_611 @[dec_tlu_ctl.scala 1968:11] - io.dec_tlu_meicurpl <= meicurpl @[dec_tlu_ctl.scala 1970:22] - node _T_612 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1980:66] - node _T_613 = eq(_T_612, UInt<12>("h0bcb")) @[dec_tlu_ctl.scala 1980:73] - node _T_614 = and(io.dec_csr_wen_r_mod, _T_613) @[dec_tlu_ctl.scala 1980:44] - node wr_meicidpl_r = or(_T_614, io.take_ext_int_start) @[dec_tlu_ctl.scala 1980:88] - node _T_615 = bits(wr_meicpct_r, 0, 0) @[dec_tlu_ctl.scala 1982:37] - node _T_616 = bits(wr_meicidpl_r, 0, 0) @[dec_tlu_ctl.scala 1983:38] - node _T_617 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1983:65] - node _T_618 = mux(_T_616, _T_617, meicidpl) @[dec_tlu_ctl.scala 1983:23] - node meicidpl_ns = mux(_T_615, io.pic_pl, _T_618) @[dec_tlu_ctl.scala 1982:23] - reg _T_619 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1985:44] - _T_619 <= meicidpl_ns @[dec_tlu_ctl.scala 1985:44] - meicidpl <= _T_619 @[dec_tlu_ctl.scala 1985:11] - node _T_620 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1992:62] - node _T_621 = eq(_T_620, UInt<12>("h0bca")) @[dec_tlu_ctl.scala 1992:69] - node _T_622 = and(io.dec_csr_wen_r_mod, _T_621) @[dec_tlu_ctl.scala 1992:40] - node _T_623 = or(_T_622, io.take_ext_int_start) @[dec_tlu_ctl.scala 1992:83] - wr_meicpct_r <= _T_623 @[dec_tlu_ctl.scala 1992:15] - node _T_624 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2001:62] - node _T_625 = eq(_T_624, UInt<12>("h0bc9")) @[dec_tlu_ctl.scala 2001:69] - node wr_meipt_r = and(io.dec_csr_wen_r_mod, _T_625) @[dec_tlu_ctl.scala 2001:40] - node _T_626 = bits(wr_meipt_r, 0, 0) @[dec_tlu_ctl.scala 2002:32] - node _T_627 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 2002:59] - node meipt_ns = mux(_T_626, _T_627, meipt) @[dec_tlu_ctl.scala 2002:20] - reg _T_628 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2004:43] - _T_628 <= meipt_ns @[dec_tlu_ctl.scala 2004:43] - meipt <= _T_628 @[dec_tlu_ctl.scala 2004:8] - io.dec_tlu_meipt <= meipt @[dec_tlu_ctl.scala 2006:19] - node _T_629 = and(io.trigger_hit_r_d1, io.dcsr_single_step_done_f) @[dec_tlu_ctl.scala 2032:89] - node trigger_hit_for_dscr_cause_r_d1 = or(io.trigger_hit_dmode_r_d1, _T_629) @[dec_tlu_ctl.scala 2032:66] - node _T_630 = not(io.ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 2035:31] - node _T_631 = and(io.dcsr_single_step_done_f, _T_630) @[dec_tlu_ctl.scala 2035:29] - node _T_632 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2035:63] - node _T_633 = and(_T_631, _T_632) @[dec_tlu_ctl.scala 2035:61] - node _T_634 = not(io.debug_halt_req) @[dec_tlu_ctl.scala 2035:98] - node _T_635 = and(_T_633, _T_634) @[dec_tlu_ctl.scala 2035:96] - node _T_636 = bits(_T_635, 0, 0) @[dec_tlu_ctl.scala 2035:118] - node _T_637 = not(io.ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 2036:48] - node _T_638 = and(io.debug_halt_req, _T_637) @[dec_tlu_ctl.scala 2036:46] - node _T_639 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2036:80] - node _T_640 = and(_T_638, _T_639) @[dec_tlu_ctl.scala 2036:78] - node _T_641 = bits(_T_640, 0, 0) @[dec_tlu_ctl.scala 2036:114] - node _T_642 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2037:77] - node _T_643 = and(io.ebreak_to_debug_mode_r_d1, _T_642) @[dec_tlu_ctl.scala 2037:75] - node _T_644 = bits(_T_643, 0, 0) @[dec_tlu_ctl.scala 2037:111] - node _T_645 = bits(trigger_hit_for_dscr_cause_r_d1, 0, 0) @[dec_tlu_ctl.scala 2038:108] - node _T_646 = mux(_T_636, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_647 = mux(_T_641, UInt<3>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_648 = mux(_T_644, UInt<3>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_649 = mux(_T_645, UInt<3>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_650 = or(_T_646, _T_647) @[Mux.scala 27:72] - node _T_651 = or(_T_650, _T_648) @[Mux.scala 27:72] - node _T_652 = or(_T_651, _T_649) @[Mux.scala 27:72] + node _T_616 = cat(meivt, meihap) @[Cat.scala 29:58] + io.dec_tlu_meihap <= _T_616 @[dec_tlu_ctl.scala 1954:20] + node _T_617 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1963:65] + node _T_618 = eq(_T_617, UInt<12>("h0bcc")) @[dec_tlu_ctl.scala 1963:72] + node wr_meicurpl_r = and(io.dec_csr_wen_r_mod, _T_618) @[dec_tlu_ctl.scala 1963:43] + node _T_619 = bits(wr_meicurpl_r, 0, 0) @[dec_tlu_ctl.scala 1964:38] + node _T_620 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1964:65] + node meicurpl_ns = mux(_T_619, _T_620, meicurpl) @[dec_tlu_ctl.scala 1964:23] + reg _T_621 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1966:46] + _T_621 <= meicurpl_ns @[dec_tlu_ctl.scala 1966:46] + meicurpl <= _T_621 @[dec_tlu_ctl.scala 1966:11] + io.dec_tlu_meicurpl <= meicurpl @[dec_tlu_ctl.scala 1968:22] + node _T_622 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1978:66] + node _T_623 = eq(_T_622, UInt<12>("h0bcb")) @[dec_tlu_ctl.scala 1978:73] + node _T_624 = and(io.dec_csr_wen_r_mod, _T_623) @[dec_tlu_ctl.scala 1978:44] + node wr_meicidpl_r = or(_T_624, io.take_ext_int_start) @[dec_tlu_ctl.scala 1978:88] + node _T_625 = bits(wr_meicpct_r, 0, 0) @[dec_tlu_ctl.scala 1980:37] + node _T_626 = bits(wr_meicidpl_r, 0, 0) @[dec_tlu_ctl.scala 1981:38] + node _T_627 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 1981:65] + node _T_628 = mux(_T_626, _T_627, meicidpl) @[dec_tlu_ctl.scala 1981:23] + node meicidpl_ns = mux(_T_625, io.pic_pl, _T_628) @[dec_tlu_ctl.scala 1980:23] + reg _T_629 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 1983:44] + _T_629 <= meicidpl_ns @[dec_tlu_ctl.scala 1983:44] + meicidpl <= _T_629 @[dec_tlu_ctl.scala 1983:11] + node _T_630 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1990:62] + node _T_631 = eq(_T_630, UInt<12>("h0bca")) @[dec_tlu_ctl.scala 1990:69] + node _T_632 = and(io.dec_csr_wen_r_mod, _T_631) @[dec_tlu_ctl.scala 1990:40] + node _T_633 = or(_T_632, io.take_ext_int_start) @[dec_tlu_ctl.scala 1990:83] + wr_meicpct_r <= _T_633 @[dec_tlu_ctl.scala 1990:15] + node _T_634 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 1999:62] + node _T_635 = eq(_T_634, UInt<12>("h0bc9")) @[dec_tlu_ctl.scala 1999:69] + node wr_meipt_r = and(io.dec_csr_wen_r_mod, _T_635) @[dec_tlu_ctl.scala 1999:40] + node _T_636 = bits(wr_meipt_r, 0, 0) @[dec_tlu_ctl.scala 2000:32] + node _T_637 = bits(io.dec_csr_wrdata_r, 3, 0) @[dec_tlu_ctl.scala 2000:59] + node meipt_ns = mux(_T_636, _T_637, meipt) @[dec_tlu_ctl.scala 2000:20] + reg _T_638 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2002:43] + _T_638 <= meipt_ns @[dec_tlu_ctl.scala 2002:43] + meipt <= _T_638 @[dec_tlu_ctl.scala 2002:8] + io.dec_tlu_meipt <= meipt @[dec_tlu_ctl.scala 2004:19] + node _T_639 = and(io.trigger_hit_r_d1, io.dcsr_single_step_done_f) @[dec_tlu_ctl.scala 2030:89] + node trigger_hit_for_dscr_cause_r_d1 = or(io.trigger_hit_dmode_r_d1, _T_639) @[dec_tlu_ctl.scala 2030:66] + node _T_640 = not(io.ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 2033:31] + node _T_641 = and(io.dcsr_single_step_done_f, _T_640) @[dec_tlu_ctl.scala 2033:29] + node _T_642 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2033:63] + node _T_643 = and(_T_641, _T_642) @[dec_tlu_ctl.scala 2033:61] + node _T_644 = not(io.debug_halt_req) @[dec_tlu_ctl.scala 2033:98] + node _T_645 = and(_T_643, _T_644) @[dec_tlu_ctl.scala 2033:96] + node _T_646 = bits(_T_645, 0, 0) @[dec_tlu_ctl.scala 2033:118] + node _T_647 = not(io.ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 2034:48] + node _T_648 = and(io.debug_halt_req, _T_647) @[dec_tlu_ctl.scala 2034:46] + node _T_649 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2034:80] + node _T_650 = and(_T_648, _T_649) @[dec_tlu_ctl.scala 2034:78] + node _T_651 = bits(_T_650, 0, 0) @[dec_tlu_ctl.scala 2034:114] + node _T_652 = not(trigger_hit_for_dscr_cause_r_d1) @[dec_tlu_ctl.scala 2035:77] + node _T_653 = and(io.ebreak_to_debug_mode_r_d1, _T_652) @[dec_tlu_ctl.scala 2035:75] + node _T_654 = bits(_T_653, 0, 0) @[dec_tlu_ctl.scala 2035:111] + node _T_655 = bits(trigger_hit_for_dscr_cause_r_d1, 0, 0) @[dec_tlu_ctl.scala 2036:108] + node _T_656 = mux(_T_646, UInt<3>("h04"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_657 = mux(_T_651, UInt<3>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_658 = mux(_T_654, UInt<3>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_659 = mux(_T_655, UInt<3>("h02"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_660 = or(_T_656, _T_657) @[Mux.scala 27:72] + node _T_661 = or(_T_660, _T_658) @[Mux.scala 27:72] + node _T_662 = or(_T_661, _T_659) @[Mux.scala 27:72] wire dcsr_cause : UInt<3> @[Mux.scala 27:72] - dcsr_cause <= _T_652 @[Mux.scala 27:72] - node _T_653 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2040:46] - node _T_654 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2040:91] - node _T_655 = eq(_T_654, UInt<12>("h07b0")) @[dec_tlu_ctl.scala 2040:98] - node wr_dcsr_r = and(_T_653, _T_655) @[dec_tlu_ctl.scala 2040:69] - node _T_656 = bits(io.dcsr, 8, 6) @[dec_tlu_ctl.scala 2046:69] - node _T_657 = eq(_T_656, UInt<3>("h03")) @[dec_tlu_ctl.scala 2046:75] - node dcsr_cause_upgradeable = and(io.internal_dbg_halt_mode_f, _T_657) @[dec_tlu_ctl.scala 2046:59] - node _T_658 = not(io.dbg_tlu_halted) @[dec_tlu_ctl.scala 2047:59] - node _T_659 = or(_T_658, dcsr_cause_upgradeable) @[dec_tlu_ctl.scala 2047:78] - node enter_debug_halt_req_le = and(io.enter_debug_halt_req, _T_659) @[dec_tlu_ctl.scala 2047:56] - node nmi_in_debug_mode = and(io.nmi_int_detected_f, io.internal_dbg_halt_mode_f) @[dec_tlu_ctl.scala 2049:48] - node _T_660 = bits(enter_debug_halt_req_le, 0, 0) @[dec_tlu_ctl.scala 2050:44] - node _T_661 = bits(io.dcsr, 15, 9) @[dec_tlu_ctl.scala 2050:64] - node _T_662 = bits(io.dcsr, 5, 2) @[dec_tlu_ctl.scala 2050:91] - node _T_663 = cat(_T_662, UInt<2>("h03")) @[Cat.scala 29:58] - node _T_664 = cat(_T_661, dcsr_cause) @[Cat.scala 29:58] - node _T_665 = cat(_T_664, _T_663) @[Cat.scala 29:58] - node _T_666 = bits(wr_dcsr_r, 0, 0) @[dec_tlu_ctl.scala 2051:18] - node _T_667 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 2051:49] - node _T_668 = bits(io.dec_csr_wrdata_r, 11, 10) @[dec_tlu_ctl.scala 2051:84] - node _T_669 = bits(io.dcsr, 8, 6) @[dec_tlu_ctl.scala 2051:110] - node _T_670 = bits(io.dcsr, 3, 3) @[dec_tlu_ctl.scala 2051:154] - node _T_671 = or(nmi_in_debug_mode, _T_670) @[dec_tlu_ctl.scala 2051:145] - node _T_672 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 2051:178] + dcsr_cause <= _T_662 @[Mux.scala 27:72] + node _T_663 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2038:46] + node _T_664 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2038:91] + node _T_665 = eq(_T_664, UInt<12>("h07b0")) @[dec_tlu_ctl.scala 2038:98] + node wr_dcsr_r = and(_T_663, _T_665) @[dec_tlu_ctl.scala 2038:69] + node _T_666 = bits(io.dcsr, 8, 6) @[dec_tlu_ctl.scala 2044:69] + node _T_667 = eq(_T_666, UInt<3>("h03")) @[dec_tlu_ctl.scala 2044:75] + node dcsr_cause_upgradeable = and(io.internal_dbg_halt_mode_f, _T_667) @[dec_tlu_ctl.scala 2044:59] + node _T_668 = not(io.dbg_tlu_halted) @[dec_tlu_ctl.scala 2045:59] + node _T_669 = or(_T_668, dcsr_cause_upgradeable) @[dec_tlu_ctl.scala 2045:78] + node enter_debug_halt_req_le = and(io.enter_debug_halt_req, _T_669) @[dec_tlu_ctl.scala 2045:56] + node nmi_in_debug_mode = and(io.nmi_int_detected_f, io.internal_dbg_halt_mode_f) @[dec_tlu_ctl.scala 2047:48] + node _T_670 = bits(enter_debug_halt_req_le, 0, 0) @[dec_tlu_ctl.scala 2048:44] + node _T_671 = bits(io.dcsr, 15, 9) @[dec_tlu_ctl.scala 2048:64] + node _T_672 = bits(io.dcsr, 5, 2) @[dec_tlu_ctl.scala 2048:91] node _T_673 = cat(_T_672, UInt<2>("h03")) @[Cat.scala 29:58] - node _T_674 = cat(UInt<2>("h00"), _T_671) @[Cat.scala 29:58] + node _T_674 = cat(_T_671, dcsr_cause) @[Cat.scala 29:58] node _T_675 = cat(_T_674, _T_673) @[Cat.scala 29:58] - node _T_676 = cat(UInt<1>("h00"), _T_669) @[Cat.scala 29:58] - node _T_677 = cat(_T_667, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_678 = cat(_T_677, _T_668) @[Cat.scala 29:58] - node _T_679 = cat(_T_678, _T_676) @[Cat.scala 29:58] - node _T_680 = cat(_T_679, _T_675) @[Cat.scala 29:58] - node _T_681 = bits(io.dcsr, 15, 4) @[dec_tlu_ctl.scala 2051:211] - node _T_682 = bits(io.dcsr, 2, 2) @[dec_tlu_ctl.scala 2051:245] + node _T_676 = bits(wr_dcsr_r, 0, 0) @[dec_tlu_ctl.scala 2049:18] + node _T_677 = bits(io.dec_csr_wrdata_r, 15, 15) @[dec_tlu_ctl.scala 2049:49] + node _T_678 = bits(io.dec_csr_wrdata_r, 11, 10) @[dec_tlu_ctl.scala 2049:84] + node _T_679 = bits(io.dcsr, 8, 6) @[dec_tlu_ctl.scala 2049:110] + node _T_680 = bits(io.dcsr, 3, 3) @[dec_tlu_ctl.scala 2049:154] + node _T_681 = or(nmi_in_debug_mode, _T_680) @[dec_tlu_ctl.scala 2049:145] + node _T_682 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 2049:178] node _T_683 = cat(_T_682, UInt<2>("h03")) @[Cat.scala 29:58] - node _T_684 = cat(_T_681, nmi_in_debug_mode) @[Cat.scala 29:58] + node _T_684 = cat(UInt<2>("h00"), _T_681) @[Cat.scala 29:58] node _T_685 = cat(_T_684, _T_683) @[Cat.scala 29:58] - node _T_686 = mux(_T_666, _T_680, _T_685) @[dec_tlu_ctl.scala 2051:7] - node dcsr_ns = mux(_T_660, _T_665, _T_686) @[dec_tlu_ctl.scala 2050:19] - node _T_687 = or(enter_debug_halt_req_le, wr_dcsr_r) @[dec_tlu_ctl.scala 2053:54] - node _T_688 = or(_T_687, io.internal_dbg_halt_mode) @[dec_tlu_ctl.scala 2053:66] - node _T_689 = or(_T_688, io.take_nmi) @[dec_tlu_ctl.scala 2053:94] - node _T_690 = bits(_T_689, 0, 0) @[dec_tlu_ctl.scala 2053:109] + node _T_686 = cat(UInt<1>("h00"), _T_679) @[Cat.scala 29:58] + node _T_687 = cat(_T_677, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_688 = cat(_T_687, _T_678) @[Cat.scala 29:58] + node _T_689 = cat(_T_688, _T_686) @[Cat.scala 29:58] + node _T_690 = cat(_T_689, _T_685) @[Cat.scala 29:58] + node _T_691 = bits(io.dcsr, 15, 4) @[dec_tlu_ctl.scala 2049:211] + node _T_692 = bits(io.dcsr, 2, 2) @[dec_tlu_ctl.scala 2049:245] + node _T_693 = cat(_T_692, UInt<2>("h03")) @[Cat.scala 29:58] + node _T_694 = cat(_T_691, nmi_in_debug_mode) @[Cat.scala 29:58] + node _T_695 = cat(_T_694, _T_693) @[Cat.scala 29:58] + node _T_696 = mux(_T_676, _T_690, _T_695) @[dec_tlu_ctl.scala 2049:7] + node dcsr_ns = mux(_T_670, _T_675, _T_696) @[dec_tlu_ctl.scala 2048:19] + node _T_697 = or(enter_debug_halt_req_le, wr_dcsr_r) @[dec_tlu_ctl.scala 2051:54] + node _T_698 = or(_T_697, io.internal_dbg_halt_mode) @[dec_tlu_ctl.scala 2051:66] + node _T_699 = or(_T_698, io.take_nmi) @[dec_tlu_ctl.scala 2051:94] + node _T_700 = bits(_T_699, 0, 0) @[dec_tlu_ctl.scala 2051:109] inst rvclkhdr_17 of rvclkhdr_737 @[lib.scala 368:23] rvclkhdr_17.clock <= clock rvclkhdr_17.reset <= reset rvclkhdr_17.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_17.io.en <= _T_690 @[lib.scala 371:17] + rvclkhdr_17.io.en <= _T_700 @[lib.scala 371:17] rvclkhdr_17.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_691 : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_691 <= dcsr_ns @[lib.scala 374:16] - io.dcsr <= _T_691 @[dec_tlu_ctl.scala 2053:10] - node _T_692 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2061:45] - node _T_693 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2061:90] - node _T_694 = eq(_T_693, UInt<12>("h07b1")) @[dec_tlu_ctl.scala 2061:97] - node wr_dpc_r = and(_T_692, _T_694) @[dec_tlu_ctl.scala 2061:68] - node _T_695 = not(io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2062:44] - node _T_696 = and(io.dbg_tlu_halted, _T_695) @[dec_tlu_ctl.scala 2062:42] - node _T_697 = not(io.request_debug_mode_done) @[dec_tlu_ctl.scala 2062:67] - node dpc_capture_npc = and(_T_696, _T_697) @[dec_tlu_ctl.scala 2062:65] - node _T_698 = not(io.request_debug_mode_r) @[dec_tlu_ctl.scala 2066:21] - node _T_699 = not(dpc_capture_npc) @[dec_tlu_ctl.scala 2066:39] - node _T_700 = and(_T_698, _T_699) @[dec_tlu_ctl.scala 2066:37] - node _T_701 = and(_T_700, wr_dpc_r) @[dec_tlu_ctl.scala 2066:56] - node _T_702 = bits(_T_701, 0, 0) @[dec_tlu_ctl.scala 2066:68] - node _T_703 = bits(io.dec_csr_wrdata_r, 31, 1) @[dec_tlu_ctl.scala 2066:97] - node _T_704 = bits(io.request_debug_mode_r, 0, 0) @[dec_tlu_ctl.scala 2067:68] - node _T_705 = not(io.request_debug_mode_r) @[dec_tlu_ctl.scala 2068:33] - node _T_706 = and(_T_705, dpc_capture_npc) @[dec_tlu_ctl.scala 2068:49] - node _T_707 = bits(_T_706, 0, 0) @[dec_tlu_ctl.scala 2068:68] - node _T_708 = mux(_T_702, _T_703, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_709 = mux(_T_704, pc_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_710 = mux(_T_707, io.npc_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_711 = or(_T_708, _T_709) @[Mux.scala 27:72] - node _T_712 = or(_T_711, _T_710) @[Mux.scala 27:72] + reg _T_701 : UInt, rvclkhdr_17.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_701 <= dcsr_ns @[lib.scala 374:16] + io.dcsr <= _T_701 @[dec_tlu_ctl.scala 2051:10] + node _T_702 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2059:45] + node _T_703 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2059:90] + node _T_704 = eq(_T_703, UInt<12>("h07b1")) @[dec_tlu_ctl.scala 2059:97] + node wr_dpc_r = and(_T_702, _T_704) @[dec_tlu_ctl.scala 2059:68] + node _T_705 = not(io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2060:44] + node _T_706 = and(io.dbg_tlu_halted, _T_705) @[dec_tlu_ctl.scala 2060:42] + node _T_707 = not(io.request_debug_mode_done) @[dec_tlu_ctl.scala 2060:67] + node dpc_capture_npc = and(_T_706, _T_707) @[dec_tlu_ctl.scala 2060:65] + node _T_708 = not(io.request_debug_mode_r) @[dec_tlu_ctl.scala 2064:21] + node _T_709 = not(dpc_capture_npc) @[dec_tlu_ctl.scala 2064:39] + node _T_710 = and(_T_708, _T_709) @[dec_tlu_ctl.scala 2064:37] + node _T_711 = and(_T_710, wr_dpc_r) @[dec_tlu_ctl.scala 2064:56] + node _T_712 = bits(_T_711, 0, 0) @[dec_tlu_ctl.scala 2064:68] + node _T_713 = bits(io.dec_csr_wrdata_r, 31, 1) @[dec_tlu_ctl.scala 2064:97] + node _T_714 = bits(io.request_debug_mode_r, 0, 0) @[dec_tlu_ctl.scala 2065:68] + node _T_715 = not(io.request_debug_mode_r) @[dec_tlu_ctl.scala 2066:33] + node _T_716 = and(_T_715, dpc_capture_npc) @[dec_tlu_ctl.scala 2066:49] + node _T_717 = bits(_T_716, 0, 0) @[dec_tlu_ctl.scala 2066:68] + node _T_718 = mux(_T_712, _T_713, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_719 = mux(_T_714, pc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_720 = mux(_T_717, io.npc_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_721 = or(_T_718, _T_719) @[Mux.scala 27:72] + node _T_722 = or(_T_721, _T_720) @[Mux.scala 27:72] wire dpc_ns : UInt<31> @[Mux.scala 27:72] - dpc_ns <= _T_712 @[Mux.scala 27:72] - node _T_713 = or(wr_dpc_r, io.request_debug_mode_r) @[dec_tlu_ctl.scala 2070:36] - node _T_714 = or(_T_713, dpc_capture_npc) @[dec_tlu_ctl.scala 2070:53] - node _T_715 = bits(_T_714, 0, 0) @[dec_tlu_ctl.scala 2070:72] + dpc_ns <= _T_722 @[Mux.scala 27:72] + node _T_723 = or(wr_dpc_r, io.request_debug_mode_r) @[dec_tlu_ctl.scala 2068:36] + node _T_724 = or(_T_723, dpc_capture_npc) @[dec_tlu_ctl.scala 2068:53] + node _T_725 = bits(_T_724, 0, 0) @[dec_tlu_ctl.scala 2068:72] inst rvclkhdr_18 of rvclkhdr_738 @[lib.scala 368:23] rvclkhdr_18.clock <= clock rvclkhdr_18.reset <= reset rvclkhdr_18.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_18.io.en <= _T_715 @[lib.scala 371:17] + rvclkhdr_18.io.en <= _T_725 @[lib.scala 371:17] rvclkhdr_18.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_716 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_716 <= dpc_ns @[lib.scala 374:16] - io.dpc <= _T_716 @[dec_tlu_ctl.scala 2070:9] - node _T_717 = bits(io.dec_csr_wrdata_r, 24, 24) @[dec_tlu_ctl.scala 2084:43] - node _T_718 = bits(io.dec_csr_wrdata_r, 21, 20) @[dec_tlu_ctl.scala 2084:68] - node _T_719 = bits(io.dec_csr_wrdata_r, 16, 3) @[dec_tlu_ctl.scala 2084:96] - node _T_720 = cat(_T_717, _T_718) @[Cat.scala 29:58] - node dicawics_ns = cat(_T_720, _T_719) @[Cat.scala 29:58] - node _T_721 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2085:50] - node _T_722 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2085:95] - node _T_723 = eq(_T_722, UInt<12>("h07c8")) @[dec_tlu_ctl.scala 2085:102] - node wr_dicawics_r = and(_T_721, _T_723) @[dec_tlu_ctl.scala 2085:73] - node _T_724 = bits(wr_dicawics_r, 0, 0) @[dec_tlu_ctl.scala 2087:50] + reg _T_726 : UInt, rvclkhdr_18.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_726 <= dpc_ns @[lib.scala 374:16] + io.dpc <= _T_726 @[dec_tlu_ctl.scala 2068:9] + node _T_727 = bits(io.dec_csr_wrdata_r, 24, 24) @[dec_tlu_ctl.scala 2082:43] + node _T_728 = bits(io.dec_csr_wrdata_r, 21, 20) @[dec_tlu_ctl.scala 2082:68] + node _T_729 = bits(io.dec_csr_wrdata_r, 16, 3) @[dec_tlu_ctl.scala 2082:96] + node _T_730 = cat(_T_727, _T_728) @[Cat.scala 29:58] + node dicawics_ns = cat(_T_730, _T_729) @[Cat.scala 29:58] + node _T_731 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2083:50] + node _T_732 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2083:95] + node _T_733 = eq(_T_732, UInt<12>("h07c8")) @[dec_tlu_ctl.scala 2083:102] + node wr_dicawics_r = and(_T_731, _T_733) @[dec_tlu_ctl.scala 2083:73] + node _T_734 = bits(wr_dicawics_r, 0, 0) @[dec_tlu_ctl.scala 2085:50] inst rvclkhdr_19 of rvclkhdr_739 @[lib.scala 368:23] rvclkhdr_19.clock <= clock rvclkhdr_19.reset <= reset rvclkhdr_19.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_19.io.en <= _T_724 @[lib.scala 371:17] + rvclkhdr_19.io.en <= _T_734 @[lib.scala 371:17] rvclkhdr_19.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg dicawics : UInt, rvclkhdr_19.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] dicawics <= dicawics_ns @[lib.scala 374:16] - node _T_725 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2103:48] - node _T_726 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2103:93] - node _T_727 = eq(_T_726, UInt<12>("h07c9")) @[dec_tlu_ctl.scala 2103:100] - node wr_dicad0_r = and(_T_725, _T_727) @[dec_tlu_ctl.scala 2103:71] - node _T_728 = bits(wr_dicad0_r, 0, 0) @[dec_tlu_ctl.scala 2104:34] - node dicad0_ns = mux(_T_728, io.dec_csr_wrdata_r, io.ifu_ic_debug_rd_data) @[dec_tlu_ctl.scala 2104:21] - node _T_729 = or(wr_dicad0_r, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2106:46] - node _T_730 = bits(_T_729, 0, 0) @[dec_tlu_ctl.scala 2106:79] + node _T_735 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2101:48] + node _T_736 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2101:93] + node _T_737 = eq(_T_736, UInt<12>("h07c9")) @[dec_tlu_ctl.scala 2101:100] + node wr_dicad0_r = and(_T_735, _T_737) @[dec_tlu_ctl.scala 2101:71] + node _T_738 = bits(wr_dicad0_r, 0, 0) @[dec_tlu_ctl.scala 2102:34] + node dicad0_ns = mux(_T_738, io.dec_csr_wrdata_r, io.ifu_ic_debug_rd_data) @[dec_tlu_ctl.scala 2102:21] + node _T_739 = or(wr_dicad0_r, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2104:46] + node _T_740 = bits(_T_739, 0, 0) @[dec_tlu_ctl.scala 2104:79] inst rvclkhdr_20 of rvclkhdr_740 @[lib.scala 368:23] rvclkhdr_20.clock <= clock rvclkhdr_20.reset <= reset rvclkhdr_20.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_20.io.en <= _T_730 @[lib.scala 371:17] + rvclkhdr_20.io.en <= _T_740 @[lib.scala 371:17] rvclkhdr_20.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg dicad0 : UInt, rvclkhdr_20.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] dicad0 <= dicad0_ns @[lib.scala 374:16] - node _T_731 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2116:49] - node _T_732 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2116:94] - node _T_733 = eq(_T_732, UInt<12>("h07cc")) @[dec_tlu_ctl.scala 2116:101] - node wr_dicad0h_r = and(_T_731, _T_733) @[dec_tlu_ctl.scala 2116:72] - node _T_734 = bits(wr_dicad0h_r, 0, 0) @[dec_tlu_ctl.scala 2118:36] - node _T_735 = bits(io.ifu_ic_debug_rd_data, 63, 32) @[dec_tlu_ctl.scala 2118:88] - node dicad0h_ns = mux(_T_734, io.dec_csr_wrdata_r, _T_735) @[dec_tlu_ctl.scala 2118:22] - node _T_736 = or(wr_dicad0h_r, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2120:48] - node _T_737 = bits(_T_736, 0, 0) @[dec_tlu_ctl.scala 2120:81] + node _T_741 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2114:49] + node _T_742 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2114:94] + node _T_743 = eq(_T_742, UInt<12>("h07cc")) @[dec_tlu_ctl.scala 2114:101] + node wr_dicad0h_r = and(_T_741, _T_743) @[dec_tlu_ctl.scala 2114:72] + node _T_744 = bits(wr_dicad0h_r, 0, 0) @[dec_tlu_ctl.scala 2116:36] + node _T_745 = bits(io.ifu_ic_debug_rd_data, 63, 32) @[dec_tlu_ctl.scala 2116:88] + node dicad0h_ns = mux(_T_744, io.dec_csr_wrdata_r, _T_745) @[dec_tlu_ctl.scala 2116:22] + node _T_746 = or(wr_dicad0h_r, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2118:48] + node _T_747 = bits(_T_746, 0, 0) @[dec_tlu_ctl.scala 2118:81] inst rvclkhdr_21 of rvclkhdr_741 @[lib.scala 368:23] rvclkhdr_21.clock <= clock rvclkhdr_21.reset <= reset rvclkhdr_21.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_21.io.en <= _T_737 @[lib.scala 371:17] + rvclkhdr_21.io.en <= _T_747 @[lib.scala 371:17] rvclkhdr_21.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg dicad0h : UInt, rvclkhdr_21.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] dicad0h <= dicad0h_ns @[lib.scala 374:16] - wire _T_738 : UInt<7> - _T_738 <= UInt<1>("h00") - node _T_739 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2128:48] - node _T_740 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2128:93] - node _T_741 = eq(_T_740, UInt<12>("h07ca")) @[dec_tlu_ctl.scala 2128:100] - node _T_742 = and(_T_739, _T_741) @[dec_tlu_ctl.scala 2128:71] - node _T_743 = bits(_T_742, 0, 0) @[dec_tlu_ctl.scala 2130:34] - node _T_744 = bits(io.dec_csr_wrdata_r, 6, 0) @[dec_tlu_ctl.scala 2130:61] - node _T_745 = bits(io.ifu_ic_debug_rd_data, 70, 64) @[dec_tlu_ctl.scala 2130:91] - node _T_746 = mux(_T_743, _T_744, _T_745) @[dec_tlu_ctl.scala 2130:21] - node _T_747 = or(_T_742, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2132:78] - node _T_748 = bits(_T_747, 0, 0) @[dec_tlu_ctl.scala 2132:111] - reg _T_749 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_748 : @[Reg.scala 28:19] - _T_749 <= _T_746 @[Reg.scala 28:23] + wire _T_748 : UInt<7> + _T_748 <= UInt<1>("h00") + node _T_749 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2126:48] + node _T_750 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2126:93] + node _T_751 = eq(_T_750, UInt<12>("h07ca")) @[dec_tlu_ctl.scala 2126:100] + node _T_752 = and(_T_749, _T_751) @[dec_tlu_ctl.scala 2126:71] + node _T_753 = bits(_T_752, 0, 0) @[dec_tlu_ctl.scala 2128:34] + node _T_754 = bits(io.dec_csr_wrdata_r, 6, 0) @[dec_tlu_ctl.scala 2128:61] + node _T_755 = bits(io.ifu_ic_debug_rd_data, 70, 64) @[dec_tlu_ctl.scala 2128:91] + node _T_756 = mux(_T_753, _T_754, _T_755) @[dec_tlu_ctl.scala 2128:21] + node _T_757 = or(_T_752, io.ifu_ic_debug_rd_data_valid) @[dec_tlu_ctl.scala 2130:78] + node _T_758 = bits(_T_757, 0, 0) @[dec_tlu_ctl.scala 2130:111] + reg _T_759 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_758 : @[Reg.scala 28:19] + _T_759 <= _T_756 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - _T_738 <= _T_749 @[dec_tlu_ctl.scala 2132:13] - node _T_750 = cat(UInt<25>("h00"), _T_738) @[Cat.scala 29:58] - dicad1 <= _T_750 @[dec_tlu_ctl.scala 2133:9] - node _T_751 = bits(dicad1, 6, 0) @[dec_tlu_ctl.scala 2155:69] - node _T_752 = bits(dicad0h, 31, 0) @[dec_tlu_ctl.scala 2155:83] - node _T_753 = bits(dicad0, 31, 0) @[dec_tlu_ctl.scala 2155:97] - node _T_754 = cat(_T_751, _T_752) @[Cat.scala 29:58] - node _T_755 = cat(_T_754, _T_753) @[Cat.scala 29:58] - io.dec_tlu_ic_diag_pkt.icache_wrdata <= _T_755 @[dec_tlu_ctl.scala 2155:56] - io.dec_tlu_ic_diag_pkt.icache_dicawics <= dicawics @[dec_tlu_ctl.scala 2158:41] - node _T_756 = and(io.allow_dbg_halt_csr_write, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 2160:52] - node _T_757 = and(_T_756, io.dec_i0_decode_d) @[dec_tlu_ctl.scala 2160:75] - node _T_758 = not(io.dec_csr_wen_unq_d) @[dec_tlu_ctl.scala 2160:98] - node _T_759 = and(_T_757, _T_758) @[dec_tlu_ctl.scala 2160:96] - node _T_760 = bits(io.dec_csr_rdaddr_d, 11, 0) @[dec_tlu_ctl.scala 2160:142] - node _T_761 = eq(_T_760, UInt<12>("h07cb")) @[dec_tlu_ctl.scala 2160:149] - node icache_rd_valid = and(_T_759, _T_761) @[dec_tlu_ctl.scala 2160:120] - node _T_762 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2161:52] - node _T_763 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2161:97] - node _T_764 = eq(_T_763, UInt<12>("h07cb")) @[dec_tlu_ctl.scala 2161:104] - node icache_wr_valid = and(_T_762, _T_764) @[dec_tlu_ctl.scala 2161:75] - reg icache_rd_valid_f : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2163:58] - icache_rd_valid_f <= icache_rd_valid @[dec_tlu_ctl.scala 2163:58] - reg icache_wr_valid_f : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2164:58] - icache_wr_valid_f <= icache_wr_valid @[dec_tlu_ctl.scala 2164:58] - io.dec_tlu_ic_diag_pkt.icache_rd_valid <= icache_rd_valid_f @[dec_tlu_ctl.scala 2166:41] - io.dec_tlu_ic_diag_pkt.icache_wr_valid <= icache_wr_valid_f @[dec_tlu_ctl.scala 2167:41] - node _T_765 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2175:62] - node _T_766 = eq(_T_765, UInt<12>("h07a0")) @[dec_tlu_ctl.scala 2175:69] - node wr_mtsel_r = and(io.dec_csr_wen_r_mod, _T_766) @[dec_tlu_ctl.scala 2175:40] - node _T_767 = bits(wr_mtsel_r, 0, 0) @[dec_tlu_ctl.scala 2176:32] - node _T_768 = bits(io.dec_csr_wrdata_r, 1, 0) @[dec_tlu_ctl.scala 2176:59] - node mtsel_ns = mux(_T_767, _T_768, mtsel) @[dec_tlu_ctl.scala 2176:20] - reg _T_769 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2178:43] - _T_769 <= mtsel_ns @[dec_tlu_ctl.scala 2178:43] - mtsel <= _T_769 @[dec_tlu_ctl.scala 2178:8] - node _T_770 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 2213:38] - node _T_771 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 2213:64] - node _T_772 = not(_T_771) @[dec_tlu_ctl.scala 2213:44] - node tdata_load = and(_T_770, _T_772) @[dec_tlu_ctl.scala 2213:42] - node _T_773 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 2215:40] - node _T_774 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 2215:66] - node _T_775 = not(_T_774) @[dec_tlu_ctl.scala 2215:46] - node tdata_opcode = and(_T_773, _T_775) @[dec_tlu_ctl.scala 2215:44] - node _T_776 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 2217:41] - node _T_777 = and(_T_776, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2217:46] - node _T_778 = bits(io.dec_csr_wrdata_r, 12, 12) @[dec_tlu_ctl.scala 2217:90] - node tdata_action = and(_T_777, _T_778) @[dec_tlu_ctl.scala 2217:69] - node _T_779 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 2219:47] - node _T_780 = and(_T_779, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2219:52] - node _T_781 = bits(io.dec_csr_wrdata_r, 20, 19) @[dec_tlu_ctl.scala 2219:94] - node _T_782 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 2219:136] - node _T_783 = bits(io.dec_csr_wrdata_r, 7, 6) @[dec_tlu_ctl.scala 2220:43] - node _T_784 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 2220:83] - node _T_785 = cat(_T_784, tdata_load) @[Cat.scala 29:58] - node _T_786 = cat(_T_783, tdata_opcode) @[Cat.scala 29:58] - node _T_787 = cat(_T_786, _T_785) @[Cat.scala 29:58] - node _T_788 = cat(tdata_action, _T_782) @[Cat.scala 29:58] - node _T_789 = cat(_T_780, _T_781) @[Cat.scala 29:58] - node _T_790 = cat(_T_789, _T_788) @[Cat.scala 29:58] - node tdata_wrdata_r = cat(_T_790, _T_787) @[Cat.scala 29:58] - node _T_791 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2223:92] - node _T_792 = eq(_T_791, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2223:99] - node _T_793 = and(io.dec_csr_wen_r_mod, _T_792) @[dec_tlu_ctl.scala 2223:70] - node _T_794 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2223:121] - node _T_795 = and(_T_793, _T_794) @[dec_tlu_ctl.scala 2223:112] - node _T_796 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2223:154] - node _T_797 = not(_T_796) @[dec_tlu_ctl.scala 2223:138] - node _T_798 = or(_T_797, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2223:170] - node _T_799 = and(_T_795, _T_798) @[dec_tlu_ctl.scala 2223:135] - node _T_800 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2223:92] - node _T_801 = eq(_T_800, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2223:99] - node _T_802 = and(io.dec_csr_wen_r_mod, _T_801) @[dec_tlu_ctl.scala 2223:70] - node _T_803 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2223:121] - node _T_804 = and(_T_802, _T_803) @[dec_tlu_ctl.scala 2223:112] - node _T_805 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2223:154] - node _T_806 = not(_T_805) @[dec_tlu_ctl.scala 2223:138] - node _T_807 = or(_T_806, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2223:170] - node _T_808 = and(_T_804, _T_807) @[dec_tlu_ctl.scala 2223:135] - node _T_809 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2223:92] - node _T_810 = eq(_T_809, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2223:99] - node _T_811 = and(io.dec_csr_wen_r_mod, _T_810) @[dec_tlu_ctl.scala 2223:70] - node _T_812 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2223:121] - node _T_813 = and(_T_811, _T_812) @[dec_tlu_ctl.scala 2223:112] - node _T_814 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2223:154] - node _T_815 = not(_T_814) @[dec_tlu_ctl.scala 2223:138] - node _T_816 = or(_T_815, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2223:170] - node _T_817 = and(_T_813, _T_816) @[dec_tlu_ctl.scala 2223:135] - node _T_818 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2223:92] - node _T_819 = eq(_T_818, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2223:99] - node _T_820 = and(io.dec_csr_wen_r_mod, _T_819) @[dec_tlu_ctl.scala 2223:70] - node _T_821 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2223:121] - node _T_822 = and(_T_820, _T_821) @[dec_tlu_ctl.scala 2223:112] - node _T_823 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2223:154] - node _T_824 = not(_T_823) @[dec_tlu_ctl.scala 2223:138] - node _T_825 = or(_T_824, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2223:170] - node _T_826 = and(_T_822, _T_825) @[dec_tlu_ctl.scala 2223:135] - wire wr_mtdata1_t_r : UInt<1>[4] @[dec_tlu_ctl.scala 2223:42] - wr_mtdata1_t_r[0] <= _T_799 @[dec_tlu_ctl.scala 2223:42] - wr_mtdata1_t_r[1] <= _T_808 @[dec_tlu_ctl.scala 2223:42] - wr_mtdata1_t_r[2] <= _T_817 @[dec_tlu_ctl.scala 2223:42] - wr_mtdata1_t_r[3] <= _T_826 @[dec_tlu_ctl.scala 2223:42] - node _T_827 = bits(wr_mtdata1_t_r[0], 0, 0) @[dec_tlu_ctl.scala 2224:68] - node _T_828 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2224:111] - node _T_829 = bits(io.update_hit_bit_r, 0, 0) @[dec_tlu_ctl.scala 2224:135] - node _T_830 = bits(io.mtdata1_t[0], 8, 8) @[dec_tlu_ctl.scala 2224:156] - node _T_831 = or(_T_829, _T_830) @[dec_tlu_ctl.scala 2224:139] - node _T_832 = bits(io.mtdata1_t[0], 7, 0) @[dec_tlu_ctl.scala 2224:176] - node _T_833 = cat(_T_828, _T_831) @[Cat.scala 29:58] - node _T_834 = cat(_T_833, _T_832) @[Cat.scala 29:58] - node _T_835 = mux(_T_827, tdata_wrdata_r, _T_834) @[dec_tlu_ctl.scala 2224:49] - node _T_836 = bits(wr_mtdata1_t_r[1], 0, 0) @[dec_tlu_ctl.scala 2224:68] - node _T_837 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2224:111] - node _T_838 = bits(io.update_hit_bit_r, 1, 1) @[dec_tlu_ctl.scala 2224:135] - node _T_839 = bits(io.mtdata1_t[1], 8, 8) @[dec_tlu_ctl.scala 2224:156] - node _T_840 = or(_T_838, _T_839) @[dec_tlu_ctl.scala 2224:139] - node _T_841 = bits(io.mtdata1_t[1], 7, 0) @[dec_tlu_ctl.scala 2224:176] - node _T_842 = cat(_T_837, _T_840) @[Cat.scala 29:58] - node _T_843 = cat(_T_842, _T_841) @[Cat.scala 29:58] - node _T_844 = mux(_T_836, tdata_wrdata_r, _T_843) @[dec_tlu_ctl.scala 2224:49] - node _T_845 = bits(wr_mtdata1_t_r[2], 0, 0) @[dec_tlu_ctl.scala 2224:68] - node _T_846 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2224:111] - node _T_847 = bits(io.update_hit_bit_r, 2, 2) @[dec_tlu_ctl.scala 2224:135] - node _T_848 = bits(io.mtdata1_t[2], 8, 8) @[dec_tlu_ctl.scala 2224:156] - node _T_849 = or(_T_847, _T_848) @[dec_tlu_ctl.scala 2224:139] - node _T_850 = bits(io.mtdata1_t[2], 7, 0) @[dec_tlu_ctl.scala 2224:176] - node _T_851 = cat(_T_846, _T_849) @[Cat.scala 29:58] - node _T_852 = cat(_T_851, _T_850) @[Cat.scala 29:58] - node _T_853 = mux(_T_845, tdata_wrdata_r, _T_852) @[dec_tlu_ctl.scala 2224:49] - node _T_854 = bits(wr_mtdata1_t_r[3], 0, 0) @[dec_tlu_ctl.scala 2224:68] - node _T_855 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2224:111] - node _T_856 = bits(io.update_hit_bit_r, 3, 3) @[dec_tlu_ctl.scala 2224:135] - node _T_857 = bits(io.mtdata1_t[3], 8, 8) @[dec_tlu_ctl.scala 2224:156] - node _T_858 = or(_T_856, _T_857) @[dec_tlu_ctl.scala 2224:139] - node _T_859 = bits(io.mtdata1_t[3], 7, 0) @[dec_tlu_ctl.scala 2224:176] - node _T_860 = cat(_T_855, _T_858) @[Cat.scala 29:58] - node _T_861 = cat(_T_860, _T_859) @[Cat.scala 29:58] - node _T_862 = mux(_T_854, tdata_wrdata_r, _T_861) @[dec_tlu_ctl.scala 2224:49] - wire mtdata1_t_ns : UInt<10>[4] @[dec_tlu_ctl.scala 2224:40] - mtdata1_t_ns[0] <= _T_835 @[dec_tlu_ctl.scala 2224:40] - mtdata1_t_ns[1] <= _T_844 @[dec_tlu_ctl.scala 2224:40] - mtdata1_t_ns[2] <= _T_853 @[dec_tlu_ctl.scala 2224:40] - mtdata1_t_ns[3] <= _T_862 @[dec_tlu_ctl.scala 2224:40] - reg _T_863 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2226:74] - _T_863 <= mtdata1_t_ns[0] @[dec_tlu_ctl.scala 2226:74] - io.mtdata1_t[0] <= _T_863 @[dec_tlu_ctl.scala 2226:39] - reg _T_864 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2226:74] - _T_864 <= mtdata1_t_ns[1] @[dec_tlu_ctl.scala 2226:74] - io.mtdata1_t[1] <= _T_864 @[dec_tlu_ctl.scala 2226:39] - reg _T_865 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2226:74] - _T_865 <= mtdata1_t_ns[2] @[dec_tlu_ctl.scala 2226:74] - io.mtdata1_t[2] <= _T_865 @[dec_tlu_ctl.scala 2226:39] - reg _T_866 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2226:74] - _T_866 <= mtdata1_t_ns[3] @[dec_tlu_ctl.scala 2226:74] - io.mtdata1_t[3] <= _T_866 @[dec_tlu_ctl.scala 2226:39] - node _T_867 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2229:58] - node _T_868 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2229:104] - node _T_869 = bits(io.mtdata1_t[0], 8, 7) @[dec_tlu_ctl.scala 2229:142] - node _T_870 = bits(io.mtdata1_t[0], 6, 5) @[dec_tlu_ctl.scala 2229:174] - node _T_871 = bits(io.mtdata1_t[0], 4, 3) @[dec_tlu_ctl.scala 2229:206] - node _T_872 = bits(io.mtdata1_t[0], 2, 0) @[dec_tlu_ctl.scala 2229:238] - node _T_873 = cat(UInt<3>("h00"), _T_872) @[Cat.scala 29:58] - node _T_874 = cat(_T_870, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_875 = cat(_T_874, _T_871) @[Cat.scala 29:58] - node _T_876 = cat(_T_875, _T_873) @[Cat.scala 29:58] - node _T_877 = cat(_T_869, UInt<6>("h00")) @[Cat.scala 29:58] - node _T_878 = cat(UInt<4>("h02"), _T_868) @[Cat.scala 29:58] - node _T_879 = cat(_T_878, UInt<6>("h01f")) @[Cat.scala 29:58] - node _T_880 = cat(_T_879, _T_877) @[Cat.scala 29:58] - node _T_881 = cat(_T_880, _T_876) @[Cat.scala 29:58] - node _T_882 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2229:58] - node _T_883 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2229:104] - node _T_884 = bits(io.mtdata1_t[1], 8, 7) @[dec_tlu_ctl.scala 2229:142] - node _T_885 = bits(io.mtdata1_t[1], 6, 5) @[dec_tlu_ctl.scala 2229:174] - node _T_886 = bits(io.mtdata1_t[1], 4, 3) @[dec_tlu_ctl.scala 2229:206] - node _T_887 = bits(io.mtdata1_t[1], 2, 0) @[dec_tlu_ctl.scala 2229:238] - node _T_888 = cat(UInt<3>("h00"), _T_887) @[Cat.scala 29:58] - node _T_889 = cat(_T_885, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_890 = cat(_T_889, _T_886) @[Cat.scala 29:58] - node _T_891 = cat(_T_890, _T_888) @[Cat.scala 29:58] - node _T_892 = cat(_T_884, UInt<6>("h00")) @[Cat.scala 29:58] - node _T_893 = cat(UInt<4>("h02"), _T_883) @[Cat.scala 29:58] - node _T_894 = cat(_T_893, UInt<6>("h01f")) @[Cat.scala 29:58] - node _T_895 = cat(_T_894, _T_892) @[Cat.scala 29:58] - node _T_896 = cat(_T_895, _T_891) @[Cat.scala 29:58] - node _T_897 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2229:58] - node _T_898 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2229:104] - node _T_899 = bits(io.mtdata1_t[2], 8, 7) @[dec_tlu_ctl.scala 2229:142] - node _T_900 = bits(io.mtdata1_t[2], 6, 5) @[dec_tlu_ctl.scala 2229:174] - node _T_901 = bits(io.mtdata1_t[2], 4, 3) @[dec_tlu_ctl.scala 2229:206] - node _T_902 = bits(io.mtdata1_t[2], 2, 0) @[dec_tlu_ctl.scala 2229:238] - node _T_903 = cat(UInt<3>("h00"), _T_902) @[Cat.scala 29:58] - node _T_904 = cat(_T_900, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_905 = cat(_T_904, _T_901) @[Cat.scala 29:58] - node _T_906 = cat(_T_905, _T_903) @[Cat.scala 29:58] - node _T_907 = cat(_T_899, UInt<6>("h00")) @[Cat.scala 29:58] - node _T_908 = cat(UInt<4>("h02"), _T_898) @[Cat.scala 29:58] - node _T_909 = cat(_T_908, UInt<6>("h01f")) @[Cat.scala 29:58] - node _T_910 = cat(_T_909, _T_907) @[Cat.scala 29:58] - node _T_911 = cat(_T_910, _T_906) @[Cat.scala 29:58] - node _T_912 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2229:58] - node _T_913 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2229:104] - node _T_914 = bits(io.mtdata1_t[3], 8, 7) @[dec_tlu_ctl.scala 2229:142] - node _T_915 = bits(io.mtdata1_t[3], 6, 5) @[dec_tlu_ctl.scala 2229:174] - node _T_916 = bits(io.mtdata1_t[3], 4, 3) @[dec_tlu_ctl.scala 2229:206] - node _T_917 = bits(io.mtdata1_t[3], 2, 0) @[dec_tlu_ctl.scala 2229:238] - node _T_918 = cat(UInt<3>("h00"), _T_917) @[Cat.scala 29:58] - node _T_919 = cat(_T_915, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_920 = cat(_T_919, _T_916) @[Cat.scala 29:58] - node _T_921 = cat(_T_920, _T_918) @[Cat.scala 29:58] - node _T_922 = cat(_T_914, UInt<6>("h00")) @[Cat.scala 29:58] - node _T_923 = cat(UInt<4>("h02"), _T_913) @[Cat.scala 29:58] - node _T_924 = cat(_T_923, UInt<6>("h01f")) @[Cat.scala 29:58] - node _T_925 = cat(_T_924, _T_922) @[Cat.scala 29:58] - node _T_926 = cat(_T_925, _T_921) @[Cat.scala 29:58] - node _T_927 = mux(_T_867, _T_881, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_928 = mux(_T_882, _T_896, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_929 = mux(_T_897, _T_911, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_930 = mux(_T_912, _T_926, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_931 = or(_T_927, _T_928) @[Mux.scala 27:72] - node _T_932 = or(_T_931, _T_929) @[Mux.scala 27:72] - node _T_933 = or(_T_932, _T_930) @[Mux.scala 27:72] + _T_748 <= _T_759 @[dec_tlu_ctl.scala 2130:13] + node _T_760 = cat(UInt<25>("h00"), _T_748) @[Cat.scala 29:58] + dicad1 <= _T_760 @[dec_tlu_ctl.scala 2131:9] + node _T_761 = bits(dicad1, 6, 0) @[dec_tlu_ctl.scala 2153:69] + node _T_762 = bits(dicad0h, 31, 0) @[dec_tlu_ctl.scala 2153:83] + node _T_763 = bits(dicad0, 31, 0) @[dec_tlu_ctl.scala 2153:97] + node _T_764 = cat(_T_761, _T_762) @[Cat.scala 29:58] + node _T_765 = cat(_T_764, _T_763) @[Cat.scala 29:58] + io.dec_tlu_ic_diag_pkt.icache_wrdata <= _T_765 @[dec_tlu_ctl.scala 2153:56] + io.dec_tlu_ic_diag_pkt.icache_dicawics <= dicawics @[dec_tlu_ctl.scala 2156:41] + node _T_766 = and(io.allow_dbg_halt_csr_write, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 2158:52] + node _T_767 = and(_T_766, io.dec_i0_decode_d) @[dec_tlu_ctl.scala 2158:75] + node _T_768 = not(io.dec_csr_wen_unq_d) @[dec_tlu_ctl.scala 2158:98] + node _T_769 = and(_T_767, _T_768) @[dec_tlu_ctl.scala 2158:96] + node _T_770 = bits(io.dec_csr_rdaddr_d, 11, 0) @[dec_tlu_ctl.scala 2158:142] + node _T_771 = eq(_T_770, UInt<12>("h07cb")) @[dec_tlu_ctl.scala 2158:149] + node icache_rd_valid = and(_T_769, _T_771) @[dec_tlu_ctl.scala 2158:120] + node _T_772 = and(io.allow_dbg_halt_csr_write, io.dec_csr_wen_r_mod) @[dec_tlu_ctl.scala 2159:52] + node _T_773 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2159:97] + node _T_774 = eq(_T_773, UInt<12>("h07cb")) @[dec_tlu_ctl.scala 2159:104] + node icache_wr_valid = and(_T_772, _T_774) @[dec_tlu_ctl.scala 2159:75] + reg icache_rd_valid_f : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2161:58] + icache_rd_valid_f <= icache_rd_valid @[dec_tlu_ctl.scala 2161:58] + reg icache_wr_valid_f : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2162:58] + icache_wr_valid_f <= icache_wr_valid @[dec_tlu_ctl.scala 2162:58] + io.dec_tlu_ic_diag_pkt.icache_rd_valid <= icache_rd_valid_f @[dec_tlu_ctl.scala 2164:41] + io.dec_tlu_ic_diag_pkt.icache_wr_valid <= icache_wr_valid_f @[dec_tlu_ctl.scala 2165:41] + node _T_775 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2173:62] + node _T_776 = eq(_T_775, UInt<12>("h07a0")) @[dec_tlu_ctl.scala 2173:69] + node wr_mtsel_r = and(io.dec_csr_wen_r_mod, _T_776) @[dec_tlu_ctl.scala 2173:40] + node _T_777 = bits(wr_mtsel_r, 0, 0) @[dec_tlu_ctl.scala 2174:32] + node _T_778 = bits(io.dec_csr_wrdata_r, 1, 0) @[dec_tlu_ctl.scala 2174:59] + node mtsel_ns = mux(_T_777, _T_778, mtsel) @[dec_tlu_ctl.scala 2174:20] + reg _T_779 : UInt, io.csr_wr_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2176:43] + _T_779 <= mtsel_ns @[dec_tlu_ctl.scala 2176:43] + mtsel <= _T_779 @[dec_tlu_ctl.scala 2176:8] + node _T_780 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 2211:38] + node _T_781 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 2211:64] + node _T_782 = not(_T_781) @[dec_tlu_ctl.scala 2211:44] + node tdata_load = and(_T_780, _T_782) @[dec_tlu_ctl.scala 2211:42] + node _T_783 = bits(io.dec_csr_wrdata_r, 2, 2) @[dec_tlu_ctl.scala 2213:40] + node _T_784 = bits(io.dec_csr_wrdata_r, 19, 19) @[dec_tlu_ctl.scala 2213:66] + node _T_785 = not(_T_784) @[dec_tlu_ctl.scala 2213:46] + node tdata_opcode = and(_T_783, _T_785) @[dec_tlu_ctl.scala 2213:44] + node _T_786 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 2215:41] + node _T_787 = and(_T_786, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2215:46] + node _T_788 = bits(io.dec_csr_wrdata_r, 12, 12) @[dec_tlu_ctl.scala 2215:90] + node tdata_action = and(_T_787, _T_788) @[dec_tlu_ctl.scala 2215:69] + node _T_789 = bits(io.dec_csr_wrdata_r, 27, 27) @[dec_tlu_ctl.scala 2217:47] + node _T_790 = and(_T_789, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2217:52] + node _T_791 = bits(io.dec_csr_wrdata_r, 20, 19) @[dec_tlu_ctl.scala 2217:94] + node _T_792 = bits(io.dec_csr_wrdata_r, 11, 11) @[dec_tlu_ctl.scala 2217:136] + node _T_793 = bits(io.dec_csr_wrdata_r, 7, 6) @[dec_tlu_ctl.scala 2218:43] + node _T_794 = bits(io.dec_csr_wrdata_r, 1, 1) @[dec_tlu_ctl.scala 2218:83] + node _T_795 = cat(_T_794, tdata_load) @[Cat.scala 29:58] + node _T_796 = cat(_T_793, tdata_opcode) @[Cat.scala 29:58] + node _T_797 = cat(_T_796, _T_795) @[Cat.scala 29:58] + node _T_798 = cat(tdata_action, _T_792) @[Cat.scala 29:58] + node _T_799 = cat(_T_790, _T_791) @[Cat.scala 29:58] + node _T_800 = cat(_T_799, _T_798) @[Cat.scala 29:58] + node tdata_wrdata_r = cat(_T_800, _T_797) @[Cat.scala 29:58] + node _T_801 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2221:92] + node _T_802 = eq(_T_801, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2221:99] + node _T_803 = and(io.dec_csr_wen_r_mod, _T_802) @[dec_tlu_ctl.scala 2221:70] + node _T_804 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2221:121] + node _T_805 = and(_T_803, _T_804) @[dec_tlu_ctl.scala 2221:112] + node _T_806 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2221:154] + node _T_807 = not(_T_806) @[dec_tlu_ctl.scala 2221:138] + node _T_808 = or(_T_807, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2221:170] + node _T_809 = and(_T_805, _T_808) @[dec_tlu_ctl.scala 2221:135] + node _T_810 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2221:92] + node _T_811 = eq(_T_810, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2221:99] + node _T_812 = and(io.dec_csr_wen_r_mod, _T_811) @[dec_tlu_ctl.scala 2221:70] + node _T_813 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2221:121] + node _T_814 = and(_T_812, _T_813) @[dec_tlu_ctl.scala 2221:112] + node _T_815 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2221:154] + node _T_816 = not(_T_815) @[dec_tlu_ctl.scala 2221:138] + node _T_817 = or(_T_816, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2221:170] + node _T_818 = and(_T_814, _T_817) @[dec_tlu_ctl.scala 2221:135] + node _T_819 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2221:92] + node _T_820 = eq(_T_819, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2221:99] + node _T_821 = and(io.dec_csr_wen_r_mod, _T_820) @[dec_tlu_ctl.scala 2221:70] + node _T_822 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2221:121] + node _T_823 = and(_T_821, _T_822) @[dec_tlu_ctl.scala 2221:112] + node _T_824 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2221:154] + node _T_825 = not(_T_824) @[dec_tlu_ctl.scala 2221:138] + node _T_826 = or(_T_825, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2221:170] + node _T_827 = and(_T_823, _T_826) @[dec_tlu_ctl.scala 2221:135] + node _T_828 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2221:92] + node _T_829 = eq(_T_828, UInt<12>("h07a1")) @[dec_tlu_ctl.scala 2221:99] + node _T_830 = and(io.dec_csr_wen_r_mod, _T_829) @[dec_tlu_ctl.scala 2221:70] + node _T_831 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2221:121] + node _T_832 = and(_T_830, _T_831) @[dec_tlu_ctl.scala 2221:112] + node _T_833 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2221:154] + node _T_834 = not(_T_833) @[dec_tlu_ctl.scala 2221:138] + node _T_835 = or(_T_834, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2221:170] + node _T_836 = and(_T_832, _T_835) @[dec_tlu_ctl.scala 2221:135] + wire wr_mtdata1_t_r : UInt<1>[4] @[dec_tlu_ctl.scala 2221:42] + wr_mtdata1_t_r[0] <= _T_809 @[dec_tlu_ctl.scala 2221:42] + wr_mtdata1_t_r[1] <= _T_818 @[dec_tlu_ctl.scala 2221:42] + wr_mtdata1_t_r[2] <= _T_827 @[dec_tlu_ctl.scala 2221:42] + wr_mtdata1_t_r[3] <= _T_836 @[dec_tlu_ctl.scala 2221:42] + node _T_837 = bits(wr_mtdata1_t_r[0], 0, 0) @[dec_tlu_ctl.scala 2222:68] + node _T_838 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2222:111] + node _T_839 = bits(io.update_hit_bit_r, 0, 0) @[dec_tlu_ctl.scala 2222:135] + node _T_840 = bits(io.mtdata1_t[0], 8, 8) @[dec_tlu_ctl.scala 2222:156] + node _T_841 = or(_T_839, _T_840) @[dec_tlu_ctl.scala 2222:139] + node _T_842 = bits(io.mtdata1_t[0], 7, 0) @[dec_tlu_ctl.scala 2222:176] + node _T_843 = cat(_T_838, _T_841) @[Cat.scala 29:58] + node _T_844 = cat(_T_843, _T_842) @[Cat.scala 29:58] + node _T_845 = mux(_T_837, tdata_wrdata_r, _T_844) @[dec_tlu_ctl.scala 2222:49] + node _T_846 = bits(wr_mtdata1_t_r[1], 0, 0) @[dec_tlu_ctl.scala 2222:68] + node _T_847 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2222:111] + node _T_848 = bits(io.update_hit_bit_r, 1, 1) @[dec_tlu_ctl.scala 2222:135] + node _T_849 = bits(io.mtdata1_t[1], 8, 8) @[dec_tlu_ctl.scala 2222:156] + node _T_850 = or(_T_848, _T_849) @[dec_tlu_ctl.scala 2222:139] + node _T_851 = bits(io.mtdata1_t[1], 7, 0) @[dec_tlu_ctl.scala 2222:176] + node _T_852 = cat(_T_847, _T_850) @[Cat.scala 29:58] + node _T_853 = cat(_T_852, _T_851) @[Cat.scala 29:58] + node _T_854 = mux(_T_846, tdata_wrdata_r, _T_853) @[dec_tlu_ctl.scala 2222:49] + node _T_855 = bits(wr_mtdata1_t_r[2], 0, 0) @[dec_tlu_ctl.scala 2222:68] + node _T_856 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2222:111] + node _T_857 = bits(io.update_hit_bit_r, 2, 2) @[dec_tlu_ctl.scala 2222:135] + node _T_858 = bits(io.mtdata1_t[2], 8, 8) @[dec_tlu_ctl.scala 2222:156] + node _T_859 = or(_T_857, _T_858) @[dec_tlu_ctl.scala 2222:139] + node _T_860 = bits(io.mtdata1_t[2], 7, 0) @[dec_tlu_ctl.scala 2222:176] + node _T_861 = cat(_T_856, _T_859) @[Cat.scala 29:58] + node _T_862 = cat(_T_861, _T_860) @[Cat.scala 29:58] + node _T_863 = mux(_T_855, tdata_wrdata_r, _T_862) @[dec_tlu_ctl.scala 2222:49] + node _T_864 = bits(wr_mtdata1_t_r[3], 0, 0) @[dec_tlu_ctl.scala 2222:68] + node _T_865 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2222:111] + node _T_866 = bits(io.update_hit_bit_r, 3, 3) @[dec_tlu_ctl.scala 2222:135] + node _T_867 = bits(io.mtdata1_t[3], 8, 8) @[dec_tlu_ctl.scala 2222:156] + node _T_868 = or(_T_866, _T_867) @[dec_tlu_ctl.scala 2222:139] + node _T_869 = bits(io.mtdata1_t[3], 7, 0) @[dec_tlu_ctl.scala 2222:176] + node _T_870 = cat(_T_865, _T_868) @[Cat.scala 29:58] + node _T_871 = cat(_T_870, _T_869) @[Cat.scala 29:58] + node _T_872 = mux(_T_864, tdata_wrdata_r, _T_871) @[dec_tlu_ctl.scala 2222:49] + wire mtdata1_t_ns : UInt<10>[4] @[dec_tlu_ctl.scala 2222:40] + mtdata1_t_ns[0] <= _T_845 @[dec_tlu_ctl.scala 2222:40] + mtdata1_t_ns[1] <= _T_854 @[dec_tlu_ctl.scala 2222:40] + mtdata1_t_ns[2] <= _T_863 @[dec_tlu_ctl.scala 2222:40] + mtdata1_t_ns[3] <= _T_872 @[dec_tlu_ctl.scala 2222:40] + reg _T_873 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2224:74] + _T_873 <= mtdata1_t_ns[0] @[dec_tlu_ctl.scala 2224:74] + io.mtdata1_t[0] <= _T_873 @[dec_tlu_ctl.scala 2224:39] + reg _T_874 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2224:74] + _T_874 <= mtdata1_t_ns[1] @[dec_tlu_ctl.scala 2224:74] + io.mtdata1_t[1] <= _T_874 @[dec_tlu_ctl.scala 2224:39] + reg _T_875 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2224:74] + _T_875 <= mtdata1_t_ns[2] @[dec_tlu_ctl.scala 2224:74] + io.mtdata1_t[2] <= _T_875 @[dec_tlu_ctl.scala 2224:39] + reg _T_876 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2224:74] + _T_876 <= mtdata1_t_ns[3] @[dec_tlu_ctl.scala 2224:74] + io.mtdata1_t[3] <= _T_876 @[dec_tlu_ctl.scala 2224:39] + node _T_877 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2227:58] + node _T_878 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2227:104] + node _T_879 = bits(io.mtdata1_t[0], 8, 7) @[dec_tlu_ctl.scala 2227:142] + node _T_880 = bits(io.mtdata1_t[0], 6, 5) @[dec_tlu_ctl.scala 2227:174] + node _T_881 = bits(io.mtdata1_t[0], 4, 3) @[dec_tlu_ctl.scala 2227:206] + node _T_882 = bits(io.mtdata1_t[0], 2, 0) @[dec_tlu_ctl.scala 2227:238] + node _T_883 = cat(UInt<3>("h00"), _T_882) @[Cat.scala 29:58] + node _T_884 = cat(_T_880, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_885 = cat(_T_884, _T_881) @[Cat.scala 29:58] + node _T_886 = cat(_T_885, _T_883) @[Cat.scala 29:58] + node _T_887 = cat(_T_879, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_888 = cat(UInt<4>("h02"), _T_878) @[Cat.scala 29:58] + node _T_889 = cat(_T_888, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_890 = cat(_T_889, _T_887) @[Cat.scala 29:58] + node _T_891 = cat(_T_890, _T_886) @[Cat.scala 29:58] + node _T_892 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2227:58] + node _T_893 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2227:104] + node _T_894 = bits(io.mtdata1_t[1], 8, 7) @[dec_tlu_ctl.scala 2227:142] + node _T_895 = bits(io.mtdata1_t[1], 6, 5) @[dec_tlu_ctl.scala 2227:174] + node _T_896 = bits(io.mtdata1_t[1], 4, 3) @[dec_tlu_ctl.scala 2227:206] + node _T_897 = bits(io.mtdata1_t[1], 2, 0) @[dec_tlu_ctl.scala 2227:238] + node _T_898 = cat(UInt<3>("h00"), _T_897) @[Cat.scala 29:58] + node _T_899 = cat(_T_895, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_900 = cat(_T_899, _T_896) @[Cat.scala 29:58] + node _T_901 = cat(_T_900, _T_898) @[Cat.scala 29:58] + node _T_902 = cat(_T_894, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_903 = cat(UInt<4>("h02"), _T_893) @[Cat.scala 29:58] + node _T_904 = cat(_T_903, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_905 = cat(_T_904, _T_902) @[Cat.scala 29:58] + node _T_906 = cat(_T_905, _T_901) @[Cat.scala 29:58] + node _T_907 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2227:58] + node _T_908 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2227:104] + node _T_909 = bits(io.mtdata1_t[2], 8, 7) @[dec_tlu_ctl.scala 2227:142] + node _T_910 = bits(io.mtdata1_t[2], 6, 5) @[dec_tlu_ctl.scala 2227:174] + node _T_911 = bits(io.mtdata1_t[2], 4, 3) @[dec_tlu_ctl.scala 2227:206] + node _T_912 = bits(io.mtdata1_t[2], 2, 0) @[dec_tlu_ctl.scala 2227:238] + node _T_913 = cat(UInt<3>("h00"), _T_912) @[Cat.scala 29:58] + node _T_914 = cat(_T_910, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_915 = cat(_T_914, _T_911) @[Cat.scala 29:58] + node _T_916 = cat(_T_915, _T_913) @[Cat.scala 29:58] + node _T_917 = cat(_T_909, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_918 = cat(UInt<4>("h02"), _T_908) @[Cat.scala 29:58] + node _T_919 = cat(_T_918, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_920 = cat(_T_919, _T_917) @[Cat.scala 29:58] + node _T_921 = cat(_T_920, _T_916) @[Cat.scala 29:58] + node _T_922 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2227:58] + node _T_923 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2227:104] + node _T_924 = bits(io.mtdata1_t[3], 8, 7) @[dec_tlu_ctl.scala 2227:142] + node _T_925 = bits(io.mtdata1_t[3], 6, 5) @[dec_tlu_ctl.scala 2227:174] + node _T_926 = bits(io.mtdata1_t[3], 4, 3) @[dec_tlu_ctl.scala 2227:206] + node _T_927 = bits(io.mtdata1_t[3], 2, 0) @[dec_tlu_ctl.scala 2227:238] + node _T_928 = cat(UInt<3>("h00"), _T_927) @[Cat.scala 29:58] + node _T_929 = cat(_T_925, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_930 = cat(_T_929, _T_926) @[Cat.scala 29:58] + node _T_931 = cat(_T_930, _T_928) @[Cat.scala 29:58] + node _T_932 = cat(_T_924, UInt<6>("h00")) @[Cat.scala 29:58] + node _T_933 = cat(UInt<4>("h02"), _T_923) @[Cat.scala 29:58] + node _T_934 = cat(_T_933, UInt<6>("h01f")) @[Cat.scala 29:58] + node _T_935 = cat(_T_934, _T_932) @[Cat.scala 29:58] + node _T_936 = cat(_T_935, _T_931) @[Cat.scala 29:58] + node _T_937 = mux(_T_877, _T_891, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_938 = mux(_T_892, _T_906, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_939 = mux(_T_907, _T_921, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_940 = mux(_T_922, _T_936, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_941 = or(_T_937, _T_938) @[Mux.scala 27:72] + node _T_942 = or(_T_941, _T_939) @[Mux.scala 27:72] + node _T_943 = or(_T_942, _T_940) @[Mux.scala 27:72] wire mtdata1_tsel_out : UInt<32> @[Mux.scala 27:72] - mtdata1_tsel_out <= _T_933 @[Mux.scala 27:72] - node _T_934 = bits(io.mtdata1_t[0], 7, 7) @[dec_tlu_ctl.scala 2231:58] - io.trigger_pkt_any[0].select <= _T_934 @[dec_tlu_ctl.scala 2231:40] - node _T_935 = bits(io.mtdata1_t[0], 4, 4) @[dec_tlu_ctl.scala 2232:61] - io.trigger_pkt_any[0].match_pkt <= _T_935 @[dec_tlu_ctl.scala 2232:43] - node _T_936 = bits(io.mtdata1_t[0], 1, 1) @[dec_tlu_ctl.scala 2233:58] - io.trigger_pkt_any[0].store <= _T_936 @[dec_tlu_ctl.scala 2233:40] - node _T_937 = bits(io.mtdata1_t[0], 0, 0) @[dec_tlu_ctl.scala 2234:58] - io.trigger_pkt_any[0].load <= _T_937 @[dec_tlu_ctl.scala 2234:40] - node _T_938 = bits(io.mtdata1_t[0], 2, 2) @[dec_tlu_ctl.scala 2235:58] - io.trigger_pkt_any[0].execute <= _T_938 @[dec_tlu_ctl.scala 2235:40] - node _T_939 = bits(io.mtdata1_t[0], 3, 3) @[dec_tlu_ctl.scala 2236:58] - io.trigger_pkt_any[0].m <= _T_939 @[dec_tlu_ctl.scala 2236:40] - node _T_940 = bits(io.mtdata1_t[1], 7, 7) @[dec_tlu_ctl.scala 2231:58] - io.trigger_pkt_any[1].select <= _T_940 @[dec_tlu_ctl.scala 2231:40] - node _T_941 = bits(io.mtdata1_t[1], 4, 4) @[dec_tlu_ctl.scala 2232:61] - io.trigger_pkt_any[1].match_pkt <= _T_941 @[dec_tlu_ctl.scala 2232:43] - node _T_942 = bits(io.mtdata1_t[1], 1, 1) @[dec_tlu_ctl.scala 2233:58] - io.trigger_pkt_any[1].store <= _T_942 @[dec_tlu_ctl.scala 2233:40] - node _T_943 = bits(io.mtdata1_t[1], 0, 0) @[dec_tlu_ctl.scala 2234:58] - io.trigger_pkt_any[1].load <= _T_943 @[dec_tlu_ctl.scala 2234:40] - node _T_944 = bits(io.mtdata1_t[1], 2, 2) @[dec_tlu_ctl.scala 2235:58] - io.trigger_pkt_any[1].execute <= _T_944 @[dec_tlu_ctl.scala 2235:40] - node _T_945 = bits(io.mtdata1_t[1], 3, 3) @[dec_tlu_ctl.scala 2236:58] - io.trigger_pkt_any[1].m <= _T_945 @[dec_tlu_ctl.scala 2236:40] - node _T_946 = bits(io.mtdata1_t[2], 7, 7) @[dec_tlu_ctl.scala 2231:58] - io.trigger_pkt_any[2].select <= _T_946 @[dec_tlu_ctl.scala 2231:40] - node _T_947 = bits(io.mtdata1_t[2], 4, 4) @[dec_tlu_ctl.scala 2232:61] - io.trigger_pkt_any[2].match_pkt <= _T_947 @[dec_tlu_ctl.scala 2232:43] - node _T_948 = bits(io.mtdata1_t[2], 1, 1) @[dec_tlu_ctl.scala 2233:58] - io.trigger_pkt_any[2].store <= _T_948 @[dec_tlu_ctl.scala 2233:40] - node _T_949 = bits(io.mtdata1_t[2], 0, 0) @[dec_tlu_ctl.scala 2234:58] - io.trigger_pkt_any[2].load <= _T_949 @[dec_tlu_ctl.scala 2234:40] - node _T_950 = bits(io.mtdata1_t[2], 2, 2) @[dec_tlu_ctl.scala 2235:58] - io.trigger_pkt_any[2].execute <= _T_950 @[dec_tlu_ctl.scala 2235:40] - node _T_951 = bits(io.mtdata1_t[2], 3, 3) @[dec_tlu_ctl.scala 2236:58] - io.trigger_pkt_any[2].m <= _T_951 @[dec_tlu_ctl.scala 2236:40] - node _T_952 = bits(io.mtdata1_t[3], 7, 7) @[dec_tlu_ctl.scala 2231:58] - io.trigger_pkt_any[3].select <= _T_952 @[dec_tlu_ctl.scala 2231:40] - node _T_953 = bits(io.mtdata1_t[3], 4, 4) @[dec_tlu_ctl.scala 2232:61] - io.trigger_pkt_any[3].match_pkt <= _T_953 @[dec_tlu_ctl.scala 2232:43] - node _T_954 = bits(io.mtdata1_t[3], 1, 1) @[dec_tlu_ctl.scala 2233:58] - io.trigger_pkt_any[3].store <= _T_954 @[dec_tlu_ctl.scala 2233:40] - node _T_955 = bits(io.mtdata1_t[3], 0, 0) @[dec_tlu_ctl.scala 2234:58] - io.trigger_pkt_any[3].load <= _T_955 @[dec_tlu_ctl.scala 2234:40] - node _T_956 = bits(io.mtdata1_t[3], 2, 2) @[dec_tlu_ctl.scala 2235:58] - io.trigger_pkt_any[3].execute <= _T_956 @[dec_tlu_ctl.scala 2235:40] - node _T_957 = bits(io.mtdata1_t[3], 3, 3) @[dec_tlu_ctl.scala 2236:58] - io.trigger_pkt_any[3].m <= _T_957 @[dec_tlu_ctl.scala 2236:40] - node _T_958 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2243:91] - node _T_959 = eq(_T_958, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2243:98] - node _T_960 = and(io.dec_csr_wen_r_mod, _T_959) @[dec_tlu_ctl.scala 2243:69] - node _T_961 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2243:120] - node _T_962 = and(_T_960, _T_961) @[dec_tlu_ctl.scala 2243:111] - node _T_963 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2243:153] - node _T_964 = not(_T_963) @[dec_tlu_ctl.scala 2243:137] - node _T_965 = or(_T_964, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2243:169] - node _T_966 = and(_T_962, _T_965) @[dec_tlu_ctl.scala 2243:134] - node _T_967 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2243:91] - node _T_968 = eq(_T_967, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2243:98] - node _T_969 = and(io.dec_csr_wen_r_mod, _T_968) @[dec_tlu_ctl.scala 2243:69] - node _T_970 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2243:120] - node _T_971 = and(_T_969, _T_970) @[dec_tlu_ctl.scala 2243:111] - node _T_972 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2243:153] - node _T_973 = not(_T_972) @[dec_tlu_ctl.scala 2243:137] - node _T_974 = or(_T_973, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2243:169] - node _T_975 = and(_T_971, _T_974) @[dec_tlu_ctl.scala 2243:134] - node _T_976 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2243:91] - node _T_977 = eq(_T_976, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2243:98] - node _T_978 = and(io.dec_csr_wen_r_mod, _T_977) @[dec_tlu_ctl.scala 2243:69] - node _T_979 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2243:120] - node _T_980 = and(_T_978, _T_979) @[dec_tlu_ctl.scala 2243:111] - node _T_981 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2243:153] - node _T_982 = not(_T_981) @[dec_tlu_ctl.scala 2243:137] - node _T_983 = or(_T_982, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2243:169] - node _T_984 = and(_T_980, _T_983) @[dec_tlu_ctl.scala 2243:134] - node _T_985 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2243:91] - node _T_986 = eq(_T_985, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2243:98] - node _T_987 = and(io.dec_csr_wen_r_mod, _T_986) @[dec_tlu_ctl.scala 2243:69] - node _T_988 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2243:120] - node _T_989 = and(_T_987, _T_988) @[dec_tlu_ctl.scala 2243:111] - node _T_990 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2243:153] - node _T_991 = not(_T_990) @[dec_tlu_ctl.scala 2243:137] - node _T_992 = or(_T_991, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2243:169] - node _T_993 = and(_T_989, _T_992) @[dec_tlu_ctl.scala 2243:134] - wire wr_mtdata2_t_r : UInt<1>[4] @[dec_tlu_ctl.scala 2243:42] - wr_mtdata2_t_r[0] <= _T_966 @[dec_tlu_ctl.scala 2243:42] - wr_mtdata2_t_r[1] <= _T_975 @[dec_tlu_ctl.scala 2243:42] - wr_mtdata2_t_r[2] <= _T_984 @[dec_tlu_ctl.scala 2243:42] - wr_mtdata2_t_r[3] <= _T_993 @[dec_tlu_ctl.scala 2243:42] - node _T_994 = bits(wr_mtdata2_t_r[0], 0, 0) @[dec_tlu_ctl.scala 2244:84] + mtdata1_tsel_out <= _T_943 @[Mux.scala 27:72] + node _T_944 = bits(io.mtdata1_t[0], 7, 7) @[dec_tlu_ctl.scala 2229:58] + io.trigger_pkt_any[0].select <= _T_944 @[dec_tlu_ctl.scala 2229:40] + node _T_945 = bits(io.mtdata1_t[0], 4, 4) @[dec_tlu_ctl.scala 2230:61] + io.trigger_pkt_any[0].match_pkt <= _T_945 @[dec_tlu_ctl.scala 2230:43] + node _T_946 = bits(io.mtdata1_t[0], 1, 1) @[dec_tlu_ctl.scala 2231:58] + io.trigger_pkt_any[0].store <= _T_946 @[dec_tlu_ctl.scala 2231:40] + node _T_947 = bits(io.mtdata1_t[0], 0, 0) @[dec_tlu_ctl.scala 2232:58] + io.trigger_pkt_any[0].load <= _T_947 @[dec_tlu_ctl.scala 2232:40] + node _T_948 = bits(io.mtdata1_t[0], 2, 2) @[dec_tlu_ctl.scala 2233:58] + io.trigger_pkt_any[0].execute <= _T_948 @[dec_tlu_ctl.scala 2233:40] + node _T_949 = bits(io.mtdata1_t[0], 3, 3) @[dec_tlu_ctl.scala 2234:58] + io.trigger_pkt_any[0].m <= _T_949 @[dec_tlu_ctl.scala 2234:40] + node _T_950 = bits(io.mtdata1_t[1], 7, 7) @[dec_tlu_ctl.scala 2229:58] + io.trigger_pkt_any[1].select <= _T_950 @[dec_tlu_ctl.scala 2229:40] + node _T_951 = bits(io.mtdata1_t[1], 4, 4) @[dec_tlu_ctl.scala 2230:61] + io.trigger_pkt_any[1].match_pkt <= _T_951 @[dec_tlu_ctl.scala 2230:43] + node _T_952 = bits(io.mtdata1_t[1], 1, 1) @[dec_tlu_ctl.scala 2231:58] + io.trigger_pkt_any[1].store <= _T_952 @[dec_tlu_ctl.scala 2231:40] + node _T_953 = bits(io.mtdata1_t[1], 0, 0) @[dec_tlu_ctl.scala 2232:58] + io.trigger_pkt_any[1].load <= _T_953 @[dec_tlu_ctl.scala 2232:40] + node _T_954 = bits(io.mtdata1_t[1], 2, 2) @[dec_tlu_ctl.scala 2233:58] + io.trigger_pkt_any[1].execute <= _T_954 @[dec_tlu_ctl.scala 2233:40] + node _T_955 = bits(io.mtdata1_t[1], 3, 3) @[dec_tlu_ctl.scala 2234:58] + io.trigger_pkt_any[1].m <= _T_955 @[dec_tlu_ctl.scala 2234:40] + node _T_956 = bits(io.mtdata1_t[2], 7, 7) @[dec_tlu_ctl.scala 2229:58] + io.trigger_pkt_any[2].select <= _T_956 @[dec_tlu_ctl.scala 2229:40] + node _T_957 = bits(io.mtdata1_t[2], 4, 4) @[dec_tlu_ctl.scala 2230:61] + io.trigger_pkt_any[2].match_pkt <= _T_957 @[dec_tlu_ctl.scala 2230:43] + node _T_958 = bits(io.mtdata1_t[2], 1, 1) @[dec_tlu_ctl.scala 2231:58] + io.trigger_pkt_any[2].store <= _T_958 @[dec_tlu_ctl.scala 2231:40] + node _T_959 = bits(io.mtdata1_t[2], 0, 0) @[dec_tlu_ctl.scala 2232:58] + io.trigger_pkt_any[2].load <= _T_959 @[dec_tlu_ctl.scala 2232:40] + node _T_960 = bits(io.mtdata1_t[2], 2, 2) @[dec_tlu_ctl.scala 2233:58] + io.trigger_pkt_any[2].execute <= _T_960 @[dec_tlu_ctl.scala 2233:40] + node _T_961 = bits(io.mtdata1_t[2], 3, 3) @[dec_tlu_ctl.scala 2234:58] + io.trigger_pkt_any[2].m <= _T_961 @[dec_tlu_ctl.scala 2234:40] + node _T_962 = bits(io.mtdata1_t[3], 7, 7) @[dec_tlu_ctl.scala 2229:58] + io.trigger_pkt_any[3].select <= _T_962 @[dec_tlu_ctl.scala 2229:40] + node _T_963 = bits(io.mtdata1_t[3], 4, 4) @[dec_tlu_ctl.scala 2230:61] + io.trigger_pkt_any[3].match_pkt <= _T_963 @[dec_tlu_ctl.scala 2230:43] + node _T_964 = bits(io.mtdata1_t[3], 1, 1) @[dec_tlu_ctl.scala 2231:58] + io.trigger_pkt_any[3].store <= _T_964 @[dec_tlu_ctl.scala 2231:40] + node _T_965 = bits(io.mtdata1_t[3], 0, 0) @[dec_tlu_ctl.scala 2232:58] + io.trigger_pkt_any[3].load <= _T_965 @[dec_tlu_ctl.scala 2232:40] + node _T_966 = bits(io.mtdata1_t[3], 2, 2) @[dec_tlu_ctl.scala 2233:58] + io.trigger_pkt_any[3].execute <= _T_966 @[dec_tlu_ctl.scala 2233:40] + node _T_967 = bits(io.mtdata1_t[3], 3, 3) @[dec_tlu_ctl.scala 2234:58] + io.trigger_pkt_any[3].m <= _T_967 @[dec_tlu_ctl.scala 2234:40] + node _T_968 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2241:91] + node _T_969 = eq(_T_968, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2241:98] + node _T_970 = and(io.dec_csr_wen_r_mod, _T_969) @[dec_tlu_ctl.scala 2241:69] + node _T_971 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2241:120] + node _T_972 = and(_T_970, _T_971) @[dec_tlu_ctl.scala 2241:111] + node _T_973 = bits(io.mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 2241:153] + node _T_974 = not(_T_973) @[dec_tlu_ctl.scala 2241:137] + node _T_975 = or(_T_974, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2241:169] + node _T_976 = and(_T_972, _T_975) @[dec_tlu_ctl.scala 2241:134] + node _T_977 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2241:91] + node _T_978 = eq(_T_977, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2241:98] + node _T_979 = and(io.dec_csr_wen_r_mod, _T_978) @[dec_tlu_ctl.scala 2241:69] + node _T_980 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2241:120] + node _T_981 = and(_T_979, _T_980) @[dec_tlu_ctl.scala 2241:111] + node _T_982 = bits(io.mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 2241:153] + node _T_983 = not(_T_982) @[dec_tlu_ctl.scala 2241:137] + node _T_984 = or(_T_983, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2241:169] + node _T_985 = and(_T_981, _T_984) @[dec_tlu_ctl.scala 2241:134] + node _T_986 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2241:91] + node _T_987 = eq(_T_986, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2241:98] + node _T_988 = and(io.dec_csr_wen_r_mod, _T_987) @[dec_tlu_ctl.scala 2241:69] + node _T_989 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2241:120] + node _T_990 = and(_T_988, _T_989) @[dec_tlu_ctl.scala 2241:111] + node _T_991 = bits(io.mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 2241:153] + node _T_992 = not(_T_991) @[dec_tlu_ctl.scala 2241:137] + node _T_993 = or(_T_992, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2241:169] + node _T_994 = and(_T_990, _T_993) @[dec_tlu_ctl.scala 2241:134] + node _T_995 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2241:91] + node _T_996 = eq(_T_995, UInt<12>("h07a2")) @[dec_tlu_ctl.scala 2241:98] + node _T_997 = and(io.dec_csr_wen_r_mod, _T_996) @[dec_tlu_ctl.scala 2241:69] + node _T_998 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2241:120] + node _T_999 = and(_T_997, _T_998) @[dec_tlu_ctl.scala 2241:111] + node _T_1000 = bits(io.mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 2241:153] + node _T_1001 = not(_T_1000) @[dec_tlu_ctl.scala 2241:137] + node _T_1002 = or(_T_1001, io.dbg_tlu_halted_f) @[dec_tlu_ctl.scala 2241:169] + node _T_1003 = and(_T_999, _T_1002) @[dec_tlu_ctl.scala 2241:134] + wire wr_mtdata2_t_r : UInt<1>[4] @[dec_tlu_ctl.scala 2241:42] + wr_mtdata2_t_r[0] <= _T_976 @[dec_tlu_ctl.scala 2241:42] + wr_mtdata2_t_r[1] <= _T_985 @[dec_tlu_ctl.scala 2241:42] + wr_mtdata2_t_r[2] <= _T_994 @[dec_tlu_ctl.scala 2241:42] + wr_mtdata2_t_r[3] <= _T_1003 @[dec_tlu_ctl.scala 2241:42] + node _T_1004 = bits(wr_mtdata2_t_r[0], 0, 0) @[dec_tlu_ctl.scala 2242:84] inst rvclkhdr_22 of rvclkhdr_742 @[lib.scala 368:23] rvclkhdr_22.clock <= clock rvclkhdr_22.reset <= reset rvclkhdr_22.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_22.io.en <= _T_994 @[lib.scala 371:17] + rvclkhdr_22.io.en <= _T_1004 @[lib.scala 371:17] rvclkhdr_22.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_995 : UInt, rvclkhdr_22.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_995 <= io.dec_csr_wrdata_r @[lib.scala 374:16] - mtdata2_t[0] <= _T_995 @[dec_tlu_ctl.scala 2244:36] - node _T_996 = bits(wr_mtdata2_t_r[1], 0, 0) @[dec_tlu_ctl.scala 2244:84] + reg _T_1005 : UInt, rvclkhdr_22.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1005 <= io.dec_csr_wrdata_r @[lib.scala 374:16] + mtdata2_t[0] <= _T_1005 @[dec_tlu_ctl.scala 2242:36] + node _T_1006 = bits(wr_mtdata2_t_r[1], 0, 0) @[dec_tlu_ctl.scala 2242:84] inst rvclkhdr_23 of rvclkhdr_743 @[lib.scala 368:23] rvclkhdr_23.clock <= clock rvclkhdr_23.reset <= reset rvclkhdr_23.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_23.io.en <= _T_996 @[lib.scala 371:17] + rvclkhdr_23.io.en <= _T_1006 @[lib.scala 371:17] rvclkhdr_23.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_997 : UInt, rvclkhdr_23.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_997 <= io.dec_csr_wrdata_r @[lib.scala 374:16] - mtdata2_t[1] <= _T_997 @[dec_tlu_ctl.scala 2244:36] - node _T_998 = bits(wr_mtdata2_t_r[2], 0, 0) @[dec_tlu_ctl.scala 2244:84] + reg _T_1007 : UInt, rvclkhdr_23.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1007 <= io.dec_csr_wrdata_r @[lib.scala 374:16] + mtdata2_t[1] <= _T_1007 @[dec_tlu_ctl.scala 2242:36] + node _T_1008 = bits(wr_mtdata2_t_r[2], 0, 0) @[dec_tlu_ctl.scala 2242:84] inst rvclkhdr_24 of rvclkhdr_744 @[lib.scala 368:23] rvclkhdr_24.clock <= clock rvclkhdr_24.reset <= reset rvclkhdr_24.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_24.io.en <= _T_998 @[lib.scala 371:17] + rvclkhdr_24.io.en <= _T_1008 @[lib.scala 371:17] rvclkhdr_24.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_999 : UInt, rvclkhdr_24.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_999 <= io.dec_csr_wrdata_r @[lib.scala 374:16] - mtdata2_t[2] <= _T_999 @[dec_tlu_ctl.scala 2244:36] - node _T_1000 = bits(wr_mtdata2_t_r[3], 0, 0) @[dec_tlu_ctl.scala 2244:84] + reg _T_1009 : UInt, rvclkhdr_24.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1009 <= io.dec_csr_wrdata_r @[lib.scala 374:16] + mtdata2_t[2] <= _T_1009 @[dec_tlu_ctl.scala 2242:36] + node _T_1010 = bits(wr_mtdata2_t_r[3], 0, 0) @[dec_tlu_ctl.scala 2242:84] inst rvclkhdr_25 of rvclkhdr_745 @[lib.scala 368:23] rvclkhdr_25.clock <= clock rvclkhdr_25.reset <= reset rvclkhdr_25.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_25.io.en <= _T_1000 @[lib.scala 371:17] + rvclkhdr_25.io.en <= _T_1010 @[lib.scala 371:17] rvclkhdr_25.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_1001 : UInt, rvclkhdr_25.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_1001 <= io.dec_csr_wrdata_r @[lib.scala 374:16] - mtdata2_t[3] <= _T_1001 @[dec_tlu_ctl.scala 2244:36] - node _T_1002 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2248:57] - node _T_1003 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2248:57] - node _T_1004 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2248:57] - node _T_1005 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2248:57] - node _T_1006 = mux(_T_1002, mtdata2_t[0], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1007 = mux(_T_1003, mtdata2_t[1], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1008 = mux(_T_1004, mtdata2_t[2], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1009 = mux(_T_1005, mtdata2_t[3], UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1010 = or(_T_1006, _T_1007) @[Mux.scala 27:72] - node _T_1011 = or(_T_1010, _T_1008) @[Mux.scala 27:72] - node _T_1012 = or(_T_1011, _T_1009) @[Mux.scala 27:72] + reg _T_1011 : UInt, rvclkhdr_25.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_1011 <= io.dec_csr_wrdata_r @[lib.scala 374:16] + mtdata2_t[3] <= _T_1011 @[dec_tlu_ctl.scala 2242:36] + node _T_1012 = eq(mtsel, UInt<2>("h00")) @[dec_tlu_ctl.scala 2246:57] + node _T_1013 = eq(mtsel, UInt<2>("h01")) @[dec_tlu_ctl.scala 2246:57] + node _T_1014 = eq(mtsel, UInt<2>("h02")) @[dec_tlu_ctl.scala 2246:57] + node _T_1015 = eq(mtsel, UInt<2>("h03")) @[dec_tlu_ctl.scala 2246:57] + node _T_1016 = mux(_T_1012, mtdata2_t[0], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1017 = mux(_T_1013, mtdata2_t[1], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1018 = mux(_T_1014, mtdata2_t[2], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1019 = mux(_T_1015, mtdata2_t[3], UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1020 = or(_T_1016, _T_1017) @[Mux.scala 27:72] + node _T_1021 = or(_T_1020, _T_1018) @[Mux.scala 27:72] + node _T_1022 = or(_T_1021, _T_1019) @[Mux.scala 27:72] wire mtdata2_tsel_out : UInt<32> @[Mux.scala 27:72] - mtdata2_tsel_out <= _T_1012 @[Mux.scala 27:72] - io.trigger_pkt_any[0].tdata2 <= mtdata2_t[0] @[dec_tlu_ctl.scala 2249:51] - io.trigger_pkt_any[1].tdata2 <= mtdata2_t[1] @[dec_tlu_ctl.scala 2249:51] - io.trigger_pkt_any[2].tdata2 <= mtdata2_t[2] @[dec_tlu_ctl.scala 2249:51] - io.trigger_pkt_any[3].tdata2 <= mtdata2_t[3] @[dec_tlu_ctl.scala 2249:51] - mhpme_vec[0] <= mhpme3 @[dec_tlu_ctl.scala 2259:15] - mhpme_vec[1] <= mhpme4 @[dec_tlu_ctl.scala 2260:15] - mhpme_vec[2] <= mhpme5 @[dec_tlu_ctl.scala 2261:15] - mhpme_vec[3] <= mhpme6 @[dec_tlu_ctl.scala 2262:15] - node _T_1013 = bits(io.tlu_i0_commit_cmt, 0, 0) @[Bitwise.scala 72:15] - node _T_1014 = mux(_T_1013, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node pmu_i0_itype_qual = and(io.dec_tlu_packet_r.pmu_i0_itype, _T_1014) @[dec_tlu_ctl.scala 2268:59] - wire mhpmc_inc_r : UInt<1>[4] @[dec_tlu_ctl.scala 2269:24] - wire mhpmc_inc_r_d1 : UInt<1>[4] @[dec_tlu_ctl.scala 2270:27] - node _T_1015 = bits(mcountinhibit, 3, 3) @[dec_tlu_ctl.scala 2274:38] - node _T_1016 = not(_T_1015) @[dec_tlu_ctl.scala 2274:24] - node _T_1017 = eq(mhpme_vec[0], UInt<1>("h01")) @[dec_tlu_ctl.scala 2275:34] - node _T_1018 = bits(_T_1017, 0, 0) @[dec_tlu_ctl.scala 2275:62] - node _T_1019 = eq(mhpme_vec[0], UInt<2>("h02")) @[dec_tlu_ctl.scala 2276:34] - node _T_1020 = bits(_T_1019, 0, 0) @[dec_tlu_ctl.scala 2276:62] - node _T_1021 = eq(mhpme_vec[0], UInt<2>("h03")) @[dec_tlu_ctl.scala 2277:34] - node _T_1022 = bits(_T_1021, 0, 0) @[dec_tlu_ctl.scala 2277:62] - node _T_1023 = eq(mhpme_vec[0], UInt<3>("h04")) @[dec_tlu_ctl.scala 2278:34] - node _T_1024 = bits(_T_1023, 0, 0) @[dec_tlu_ctl.scala 2278:62] - node _T_1025 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:96] - node _T_1026 = and(io.tlu_i0_commit_cmt, _T_1025) @[dec_tlu_ctl.scala 2278:94] - node _T_1027 = eq(mhpme_vec[0], UInt<3>("h05")) @[dec_tlu_ctl.scala 2279:34] - node _T_1028 = bits(_T_1027, 0, 0) @[dec_tlu_ctl.scala 2279:62] - node _T_1029 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2279:96] - node _T_1030 = and(io.tlu_i0_commit_cmt, _T_1029) @[dec_tlu_ctl.scala 2279:94] - node _T_1031 = not(io.illegal_r) @[dec_tlu_ctl.scala 2279:117] - node _T_1032 = and(_T_1030, _T_1031) @[dec_tlu_ctl.scala 2279:115] - node _T_1033 = eq(mhpme_vec[0], UInt<3>("h06")) @[dec_tlu_ctl.scala 2280:34] - node _T_1034 = bits(_T_1033, 0, 0) @[dec_tlu_ctl.scala 2280:62] - node _T_1035 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2280:94] - node _T_1036 = not(io.illegal_r) @[dec_tlu_ctl.scala 2280:117] - node _T_1037 = and(_T_1035, _T_1036) @[dec_tlu_ctl.scala 2280:115] - node _T_1038 = eq(mhpme_vec[0], UInt<3>("h07")) @[dec_tlu_ctl.scala 2281:34] - node _T_1039 = bits(_T_1038, 0, 0) @[dec_tlu_ctl.scala 2281:62] - node _T_1040 = eq(mhpme_vec[0], UInt<4>("h08")) @[dec_tlu_ctl.scala 2282:34] - node _T_1041 = bits(_T_1040, 0, 0) @[dec_tlu_ctl.scala 2282:62] - node _T_1042 = eq(mhpme_vec[0], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2283:34] - node _T_1043 = bits(_T_1042, 0, 0) @[dec_tlu_ctl.scala 2283:62] - node _T_1044 = eq(mhpme_vec[0], UInt<4>("h09")) @[dec_tlu_ctl.scala 2284:34] - node _T_1045 = bits(_T_1044, 0, 0) @[dec_tlu_ctl.scala 2284:62] - node _T_1046 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2284:91] - node _T_1047 = eq(mhpme_vec[0], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2285:34] - node _T_1048 = bits(_T_1047, 0, 0) @[dec_tlu_ctl.scala 2285:62] - node _T_1049 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2285:105] - node _T_1050 = eq(mhpme_vec[0], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2286:34] - node _T_1051 = bits(_T_1050, 0, 0) @[dec_tlu_ctl.scala 2286:62] - node _T_1052 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] - node _T_1053 = eq(mhpme_vec[0], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2287:34] - node _T_1054 = bits(_T_1053, 0, 0) @[dec_tlu_ctl.scala 2287:62] - node _T_1055 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] - node _T_1056 = eq(mhpme_vec[0], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2288:34] - node _T_1057 = bits(_T_1056, 0, 0) @[dec_tlu_ctl.scala 2288:62] - node _T_1058 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2288:91] - node _T_1059 = and(_T_1058, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2288:100] - node _T_1060 = eq(mhpme_vec[0], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2289:34] - node _T_1061 = bits(_T_1060, 0, 0) @[dec_tlu_ctl.scala 2289:62] - node _T_1062 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2289:91] - node _T_1063 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2289:142] - node _T_1064 = and(_T_1062, _T_1063) @[dec_tlu_ctl.scala 2289:101] - node _T_1065 = eq(mhpme_vec[0], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2290:34] - node _T_1066 = bits(_T_1065, 0, 0) @[dec_tlu_ctl.scala 2290:59] - node _T_1067 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2290:89] - node _T_1068 = eq(mhpme_vec[0], UInt<5>("h010")) @[dec_tlu_ctl.scala 2291:34] - node _T_1069 = bits(_T_1068, 0, 0) @[dec_tlu_ctl.scala 2291:59] - node _T_1070 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2291:89] - node _T_1071 = eq(mhpme_vec[0], UInt<5>("h012")) @[dec_tlu_ctl.scala 2292:34] - node _T_1072 = bits(_T_1071, 0, 0) @[dec_tlu_ctl.scala 2292:59] - node _T_1073 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2292:89] - node _T_1074 = eq(mhpme_vec[0], UInt<5>("h011")) @[dec_tlu_ctl.scala 2293:34] - node _T_1075 = bits(_T_1074, 0, 0) @[dec_tlu_ctl.scala 2293:59] - node _T_1076 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2293:89] - node _T_1077 = eq(mhpme_vec[0], UInt<5>("h013")) @[dec_tlu_ctl.scala 2294:34] - node _T_1078 = bits(_T_1077, 0, 0) @[dec_tlu_ctl.scala 2294:59] - node _T_1079 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2294:89] - node _T_1080 = eq(mhpme_vec[0], UInt<5>("h014")) @[dec_tlu_ctl.scala 2295:34] - node _T_1081 = bits(_T_1080, 0, 0) @[dec_tlu_ctl.scala 2295:59] - node _T_1082 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2295:89] - node _T_1083 = eq(mhpme_vec[0], UInt<5>("h015")) @[dec_tlu_ctl.scala 2296:34] - node _T_1084 = bits(_T_1083, 0, 0) @[dec_tlu_ctl.scala 2296:59] - node _T_1085 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2296:89] - node _T_1086 = eq(mhpme_vec[0], UInt<5>("h016")) @[dec_tlu_ctl.scala 2297:34] - node _T_1087 = bits(_T_1086, 0, 0) @[dec_tlu_ctl.scala 2297:59] - node _T_1088 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2297:89] - node _T_1089 = eq(mhpme_vec[0], UInt<5>("h017")) @[dec_tlu_ctl.scala 2298:34] - node _T_1090 = bits(_T_1089, 0, 0) @[dec_tlu_ctl.scala 2298:59] - node _T_1091 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2298:89] - node _T_1092 = eq(mhpme_vec[0], UInt<5>("h018")) @[dec_tlu_ctl.scala 2299:34] - node _T_1093 = bits(_T_1092, 0, 0) @[dec_tlu_ctl.scala 2299:59] - node _T_1094 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2299:89] - node _T_1095 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2299:122] - node _T_1096 = or(_T_1094, _T_1095) @[dec_tlu_ctl.scala 2299:101] - node _T_1097 = eq(mhpme_vec[0], UInt<5>("h019")) @[dec_tlu_ctl.scala 2300:34] - node _T_1098 = bits(_T_1097, 0, 0) @[dec_tlu_ctl.scala 2300:62] - node _T_1099 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:95] - node _T_1100 = eq(mhpme_vec[0], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2301:34] - node _T_1101 = bits(_T_1100, 0, 0) @[dec_tlu_ctl.scala 2301:62] - node _T_1102 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2301:97] - node _T_1103 = eq(mhpme_vec[0], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2302:34] - node _T_1104 = bits(_T_1103, 0, 0) @[dec_tlu_ctl.scala 2302:62] - node _T_1105 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2302:110] - node _T_1106 = eq(mhpme_vec[0], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2303:34] - node _T_1107 = bits(_T_1106, 0, 0) @[dec_tlu_ctl.scala 2303:62] - node _T_1108 = eq(mhpme_vec[0], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2304:34] - node _T_1109 = bits(_T_1108, 0, 0) @[dec_tlu_ctl.scala 2304:62] - node _T_1110 = eq(mhpme_vec[0], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2305:34] - node _T_1111 = bits(_T_1110, 0, 0) @[dec_tlu_ctl.scala 2305:62] - node _T_1112 = eq(mhpme_vec[0], UInt<6>("h020")) @[dec_tlu_ctl.scala 2306:34] - node _T_1113 = bits(_T_1112, 0, 0) @[dec_tlu_ctl.scala 2306:62] - node _T_1114 = eq(mhpme_vec[0], UInt<6>("h022")) @[dec_tlu_ctl.scala 2307:34] - node _T_1115 = bits(_T_1114, 0, 0) @[dec_tlu_ctl.scala 2307:62] - node _T_1116 = eq(mhpme_vec[0], UInt<6>("h023")) @[dec_tlu_ctl.scala 2308:34] - node _T_1117 = bits(_T_1116, 0, 0) @[dec_tlu_ctl.scala 2308:62] - node _T_1118 = eq(mhpme_vec[0], UInt<6>("h024")) @[dec_tlu_ctl.scala 2309:34] - node _T_1119 = bits(_T_1118, 0, 0) @[dec_tlu_ctl.scala 2309:62] - node _T_1120 = eq(mhpme_vec[0], UInt<6>("h025")) @[dec_tlu_ctl.scala 2310:34] - node _T_1121 = bits(_T_1120, 0, 0) @[dec_tlu_ctl.scala 2310:62] - node _T_1122 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2310:98] - node _T_1123 = or(_T_1122, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2310:120] - node _T_1124 = eq(mhpme_vec[0], UInt<6>("h026")) @[dec_tlu_ctl.scala 2311:34] - node _T_1125 = bits(_T_1124, 0, 0) @[dec_tlu_ctl.scala 2311:62] - node _T_1126 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2311:92] - node _T_1127 = or(_T_1126, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2311:117] - node _T_1128 = eq(mhpme_vec[0], UInt<6>("h027")) @[dec_tlu_ctl.scala 2312:34] - node _T_1129 = bits(_T_1128, 0, 0) @[dec_tlu_ctl.scala 2312:62] - node _T_1130 = eq(mhpme_vec[0], UInt<6>("h028")) @[dec_tlu_ctl.scala 2313:34] - node _T_1131 = bits(_T_1130, 0, 0) @[dec_tlu_ctl.scala 2313:62] - node _T_1132 = eq(mhpme_vec[0], UInt<6>("h029")) @[dec_tlu_ctl.scala 2314:34] - node _T_1133 = bits(_T_1132, 0, 0) @[dec_tlu_ctl.scala 2314:62] - node _T_1134 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2314:97] - node _T_1135 = and(_T_1134, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2314:129] - node _T_1136 = eq(mhpme_vec[0], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2315:34] - node _T_1137 = bits(_T_1136, 0, 0) @[dec_tlu_ctl.scala 2315:62] - node _T_1138 = eq(mhpme_vec[0], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2316:34] - node _T_1139 = bits(_T_1138, 0, 0) @[dec_tlu_ctl.scala 2316:62] - node _T_1140 = eq(mhpme_vec[0], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2317:34] - node _T_1141 = bits(_T_1140, 0, 0) @[dec_tlu_ctl.scala 2317:62] - node _T_1142 = eq(mhpme_vec[0], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2318:34] - node _T_1143 = bits(_T_1142, 0, 0) @[dec_tlu_ctl.scala 2318:62] - node _T_1144 = eq(mhpme_vec[0], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2319:34] - node _T_1145 = bits(_T_1144, 0, 0) @[dec_tlu_ctl.scala 2319:62] - node _T_1146 = eq(mhpme_vec[0], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2320:34] - node _T_1147 = bits(_T_1146, 0, 0) @[dec_tlu_ctl.scala 2320:62] - node _T_1148 = eq(mhpme_vec[0], UInt<6>("h030")) @[dec_tlu_ctl.scala 2321:34] - node _T_1149 = bits(_T_1148, 0, 0) @[dec_tlu_ctl.scala 2321:62] - node _T_1150 = eq(mhpme_vec[0], UInt<6>("h031")) @[dec_tlu_ctl.scala 2322:34] - node _T_1151 = bits(_T_1150, 0, 0) @[dec_tlu_ctl.scala 2322:62] - node _T_1152 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2322:84] - node _T_1153 = bits(_T_1152, 0, 0) @[dec_tlu_ctl.scala 2322:84] - node _T_1154 = not(_T_1153) @[dec_tlu_ctl.scala 2322:73] - node _T_1155 = eq(mhpme_vec[0], UInt<6>("h032")) @[dec_tlu_ctl.scala 2323:34] - node _T_1156 = bits(_T_1155, 0, 0) @[dec_tlu_ctl.scala 2323:62] - node _T_1157 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2323:84] - node _T_1158 = bits(_T_1157, 0, 0) @[dec_tlu_ctl.scala 2323:84] - node _T_1159 = not(_T_1158) @[dec_tlu_ctl.scala 2323:73] - node _T_1160 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2323:107] - node _T_1161 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2323:118] - node _T_1162 = and(_T_1160, _T_1161) @[dec_tlu_ctl.scala 2323:113] - node _T_1163 = orr(_T_1162) @[dec_tlu_ctl.scala 2323:125] - node _T_1164 = and(_T_1159, _T_1163) @[dec_tlu_ctl.scala 2323:98] - node _T_1165 = eq(mhpme_vec[0], UInt<6>("h036")) @[dec_tlu_ctl.scala 2324:34] - node _T_1166 = bits(_T_1165, 0, 0) @[dec_tlu_ctl.scala 2324:62] - node _T_1167 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2324:91] - node _T_1168 = eq(mhpme_vec[0], UInt<6>("h037")) @[dec_tlu_ctl.scala 2325:34] - node _T_1169 = bits(_T_1168, 0, 0) @[dec_tlu_ctl.scala 2325:62] - node _T_1170 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2325:94] - node _T_1171 = eq(mhpme_vec[0], UInt<6>("h038")) @[dec_tlu_ctl.scala 2326:34] - node _T_1172 = bits(_T_1171, 0, 0) @[dec_tlu_ctl.scala 2326:62] - node _T_1173 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2326:94] - node _T_1174 = eq(mhpme_vec[0], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2328:34] - node _T_1175 = bits(_T_1174, 0, 0) @[dec_tlu_ctl.scala 2328:62] - node _T_1176 = eq(mhpme_vec[0], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2329:34] - node _T_1177 = bits(_T_1176, 0, 0) @[dec_tlu_ctl.scala 2329:62] - node _T_1178 = eq(mhpme_vec[0], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2330:34] - node _T_1179 = bits(_T_1178, 0, 0) @[dec_tlu_ctl.scala 2330:62] - node _T_1180 = eq(mhpme_vec[0], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2331:34] - node _T_1181 = bits(_T_1180, 0, 0) @[dec_tlu_ctl.scala 2331:62] - node _T_1182 = eq(mhpme_vec[0], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2332:34] - node _T_1183 = bits(_T_1182, 0, 0) @[dec_tlu_ctl.scala 2332:62] - node _T_1184 = mux(_T_1018, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1185 = mux(_T_1020, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1186 = mux(_T_1022, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1187 = mux(_T_1024, _T_1026, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1188 = mux(_T_1028, _T_1032, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1189 = mux(_T_1034, _T_1037, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1190 = mux(_T_1039, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1191 = mux(_T_1041, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1192 = mux(_T_1043, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1193 = mux(_T_1045, _T_1046, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1194 = mux(_T_1048, _T_1049, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1195 = mux(_T_1051, _T_1052, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1196 = mux(_T_1054, _T_1055, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1197 = mux(_T_1057, _T_1059, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1198 = mux(_T_1061, _T_1064, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1199 = mux(_T_1066, _T_1067, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1200 = mux(_T_1069, _T_1070, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1201 = mux(_T_1072, _T_1073, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1202 = mux(_T_1075, _T_1076, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1203 = mux(_T_1078, _T_1079, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1204 = mux(_T_1081, _T_1082, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1205 = mux(_T_1084, _T_1085, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1206 = mux(_T_1087, _T_1088, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1207 = mux(_T_1090, _T_1091, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1208 = mux(_T_1093, _T_1096, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1209 = mux(_T_1098, _T_1099, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1210 = mux(_T_1101, _T_1102, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1211 = mux(_T_1104, _T_1105, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1212 = mux(_T_1107, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1213 = mux(_T_1109, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1214 = mux(_T_1111, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1215 = mux(_T_1113, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1216 = mux(_T_1115, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1217 = mux(_T_1117, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1218 = mux(_T_1119, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1219 = mux(_T_1121, _T_1123, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1220 = mux(_T_1125, _T_1127, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1221 = mux(_T_1129, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1222 = mux(_T_1131, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1223 = mux(_T_1133, _T_1135, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1224 = mux(_T_1137, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1225 = mux(_T_1139, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1226 = mux(_T_1141, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1227 = mux(_T_1143, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1228 = mux(_T_1145, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1229 = mux(_T_1147, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1230 = mux(_T_1149, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1231 = mux(_T_1151, _T_1154, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1232 = mux(_T_1156, _T_1164, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1233 = mux(_T_1166, _T_1167, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1234 = mux(_T_1169, _T_1170, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1235 = mux(_T_1172, _T_1173, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1236 = mux(_T_1175, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1237 = mux(_T_1177, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1238 = mux(_T_1179, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1239 = mux(_T_1181, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1240 = mux(_T_1183, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1241 = or(_T_1184, _T_1185) @[Mux.scala 27:72] - node _T_1242 = or(_T_1241, _T_1186) @[Mux.scala 27:72] - node _T_1243 = or(_T_1242, _T_1187) @[Mux.scala 27:72] - node _T_1244 = or(_T_1243, _T_1188) @[Mux.scala 27:72] - node _T_1245 = or(_T_1244, _T_1189) @[Mux.scala 27:72] - node _T_1246 = or(_T_1245, _T_1190) @[Mux.scala 27:72] - node _T_1247 = or(_T_1246, _T_1191) @[Mux.scala 27:72] - node _T_1248 = or(_T_1247, _T_1192) @[Mux.scala 27:72] - node _T_1249 = or(_T_1248, _T_1193) @[Mux.scala 27:72] - node _T_1250 = or(_T_1249, _T_1194) @[Mux.scala 27:72] - node _T_1251 = or(_T_1250, _T_1195) @[Mux.scala 27:72] + mtdata2_tsel_out <= _T_1022 @[Mux.scala 27:72] + io.trigger_pkt_any[0].tdata2 <= mtdata2_t[0] @[dec_tlu_ctl.scala 2247:51] + io.trigger_pkt_any[1].tdata2 <= mtdata2_t[1] @[dec_tlu_ctl.scala 2247:51] + io.trigger_pkt_any[2].tdata2 <= mtdata2_t[2] @[dec_tlu_ctl.scala 2247:51] + io.trigger_pkt_any[3].tdata2 <= mtdata2_t[3] @[dec_tlu_ctl.scala 2247:51] + mhpme_vec[0] <= mhpme3 @[dec_tlu_ctl.scala 2257:15] + mhpme_vec[1] <= mhpme4 @[dec_tlu_ctl.scala 2258:15] + mhpme_vec[2] <= mhpme5 @[dec_tlu_ctl.scala 2259:15] + mhpme_vec[3] <= mhpme6 @[dec_tlu_ctl.scala 2260:15] + node _T_1023 = bits(io.tlu_i0_commit_cmt, 0, 0) @[Bitwise.scala 72:15] + node _T_1024 = mux(_T_1023, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node pmu_i0_itype_qual = and(io.dec_tlu_packet_r.pmu_i0_itype, _T_1024) @[dec_tlu_ctl.scala 2266:59] + wire mhpmc_inc_r : UInt<1>[4] @[dec_tlu_ctl.scala 2267:24] + wire mhpmc_inc_r_d1 : UInt<1>[4] @[dec_tlu_ctl.scala 2268:27] + node _T_1025 = bits(mcountinhibit, 3, 3) @[dec_tlu_ctl.scala 2272:38] + node _T_1026 = not(_T_1025) @[dec_tlu_ctl.scala 2272:24] + node _T_1027 = eq(mhpme_vec[0], UInt<1>("h01")) @[dec_tlu_ctl.scala 2273:34] + node _T_1028 = bits(_T_1027, 0, 0) @[dec_tlu_ctl.scala 2273:62] + node _T_1029 = eq(mhpme_vec[0], UInt<2>("h02")) @[dec_tlu_ctl.scala 2274:34] + node _T_1030 = bits(_T_1029, 0, 0) @[dec_tlu_ctl.scala 2274:62] + node _T_1031 = eq(mhpme_vec[0], UInt<2>("h03")) @[dec_tlu_ctl.scala 2275:34] + node _T_1032 = bits(_T_1031, 0, 0) @[dec_tlu_ctl.scala 2275:62] + node _T_1033 = eq(mhpme_vec[0], UInt<3>("h04")) @[dec_tlu_ctl.scala 2276:34] + node _T_1034 = bits(_T_1033, 0, 0) @[dec_tlu_ctl.scala 2276:62] + node _T_1035 = not(io.illegal_r) @[dec_tlu_ctl.scala 2276:96] + node _T_1036 = and(io.tlu_i0_commit_cmt, _T_1035) @[dec_tlu_ctl.scala 2276:94] + node _T_1037 = eq(mhpme_vec[0], UInt<3>("h05")) @[dec_tlu_ctl.scala 2277:34] + node _T_1038 = bits(_T_1037, 0, 0) @[dec_tlu_ctl.scala 2277:62] + node _T_1039 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2277:96] + node _T_1040 = and(io.tlu_i0_commit_cmt, _T_1039) @[dec_tlu_ctl.scala 2277:94] + node _T_1041 = not(io.illegal_r) @[dec_tlu_ctl.scala 2277:117] + node _T_1042 = and(_T_1040, _T_1041) @[dec_tlu_ctl.scala 2277:115] + node _T_1043 = eq(mhpme_vec[0], UInt<3>("h06")) @[dec_tlu_ctl.scala 2278:34] + node _T_1044 = bits(_T_1043, 0, 0) @[dec_tlu_ctl.scala 2278:62] + node _T_1045 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2278:94] + node _T_1046 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:117] + node _T_1047 = and(_T_1045, _T_1046) @[dec_tlu_ctl.scala 2278:115] + node _T_1048 = eq(mhpme_vec[0], UInt<3>("h07")) @[dec_tlu_ctl.scala 2279:34] + node _T_1049 = bits(_T_1048, 0, 0) @[dec_tlu_ctl.scala 2279:62] + node _T_1050 = eq(mhpme_vec[0], UInt<4>("h08")) @[dec_tlu_ctl.scala 2280:34] + node _T_1051 = bits(_T_1050, 0, 0) @[dec_tlu_ctl.scala 2280:62] + node _T_1052 = eq(mhpme_vec[0], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2281:34] + node _T_1053 = bits(_T_1052, 0, 0) @[dec_tlu_ctl.scala 2281:62] + node _T_1054 = eq(mhpme_vec[0], UInt<4>("h09")) @[dec_tlu_ctl.scala 2282:34] + node _T_1055 = bits(_T_1054, 0, 0) @[dec_tlu_ctl.scala 2282:62] + node _T_1056 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2282:91] + node _T_1057 = eq(mhpme_vec[0], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2283:34] + node _T_1058 = bits(_T_1057, 0, 0) @[dec_tlu_ctl.scala 2283:62] + node _T_1059 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2283:105] + node _T_1060 = eq(mhpme_vec[0], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2284:34] + node _T_1061 = bits(_T_1060, 0, 0) @[dec_tlu_ctl.scala 2284:62] + node _T_1062 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2284:91] + node _T_1063 = eq(mhpme_vec[0], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2285:34] + node _T_1064 = bits(_T_1063, 0, 0) @[dec_tlu_ctl.scala 2285:62] + node _T_1065 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2285:91] + node _T_1066 = eq(mhpme_vec[0], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2286:34] + node _T_1067 = bits(_T_1066, 0, 0) @[dec_tlu_ctl.scala 2286:62] + node _T_1068 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] + node _T_1069 = and(_T_1068, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2286:100] + node _T_1070 = eq(mhpme_vec[0], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2287:34] + node _T_1071 = bits(_T_1070, 0, 0) @[dec_tlu_ctl.scala 2287:62] + node _T_1072 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] + node _T_1073 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2287:142] + node _T_1074 = and(_T_1072, _T_1073) @[dec_tlu_ctl.scala 2287:101] + node _T_1075 = eq(mhpme_vec[0], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2288:34] + node _T_1076 = bits(_T_1075, 0, 0) @[dec_tlu_ctl.scala 2288:59] + node _T_1077 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2288:89] + node _T_1078 = eq(mhpme_vec[0], UInt<5>("h010")) @[dec_tlu_ctl.scala 2289:34] + node _T_1079 = bits(_T_1078, 0, 0) @[dec_tlu_ctl.scala 2289:59] + node _T_1080 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2289:89] + node _T_1081 = eq(mhpme_vec[0], UInt<5>("h012")) @[dec_tlu_ctl.scala 2290:34] + node _T_1082 = bits(_T_1081, 0, 0) @[dec_tlu_ctl.scala 2290:59] + node _T_1083 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2290:89] + node _T_1084 = eq(mhpme_vec[0], UInt<5>("h011")) @[dec_tlu_ctl.scala 2291:34] + node _T_1085 = bits(_T_1084, 0, 0) @[dec_tlu_ctl.scala 2291:59] + node _T_1086 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2291:89] + node _T_1087 = eq(mhpme_vec[0], UInt<5>("h013")) @[dec_tlu_ctl.scala 2292:34] + node _T_1088 = bits(_T_1087, 0, 0) @[dec_tlu_ctl.scala 2292:59] + node _T_1089 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2292:89] + node _T_1090 = eq(mhpme_vec[0], UInt<5>("h014")) @[dec_tlu_ctl.scala 2293:34] + node _T_1091 = bits(_T_1090, 0, 0) @[dec_tlu_ctl.scala 2293:59] + node _T_1092 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2293:89] + node _T_1093 = eq(mhpme_vec[0], UInt<5>("h015")) @[dec_tlu_ctl.scala 2294:34] + node _T_1094 = bits(_T_1093, 0, 0) @[dec_tlu_ctl.scala 2294:59] + node _T_1095 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2294:89] + node _T_1096 = eq(mhpme_vec[0], UInt<5>("h016")) @[dec_tlu_ctl.scala 2295:34] + node _T_1097 = bits(_T_1096, 0, 0) @[dec_tlu_ctl.scala 2295:59] + node _T_1098 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2295:89] + node _T_1099 = eq(mhpme_vec[0], UInt<5>("h017")) @[dec_tlu_ctl.scala 2296:34] + node _T_1100 = bits(_T_1099, 0, 0) @[dec_tlu_ctl.scala 2296:59] + node _T_1101 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2296:89] + node _T_1102 = eq(mhpme_vec[0], UInt<5>("h018")) @[dec_tlu_ctl.scala 2297:34] + node _T_1103 = bits(_T_1102, 0, 0) @[dec_tlu_ctl.scala 2297:59] + node _T_1104 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2297:89] + node _T_1105 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2297:122] + node _T_1106 = or(_T_1104, _T_1105) @[dec_tlu_ctl.scala 2297:101] + node _T_1107 = eq(mhpme_vec[0], UInt<5>("h019")) @[dec_tlu_ctl.scala 2298:34] + node _T_1108 = bits(_T_1107, 0, 0) @[dec_tlu_ctl.scala 2298:62] + node _T_1109 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2298:95] + node _T_1110 = eq(mhpme_vec[0], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2299:34] + node _T_1111 = bits(_T_1110, 0, 0) @[dec_tlu_ctl.scala 2299:62] + node _T_1112 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2299:97] + node _T_1113 = eq(mhpme_vec[0], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2300:34] + node _T_1114 = bits(_T_1113, 0, 0) @[dec_tlu_ctl.scala 2300:62] + node _T_1115 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:110] + node _T_1116 = eq(mhpme_vec[0], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2301:34] + node _T_1117 = bits(_T_1116, 0, 0) @[dec_tlu_ctl.scala 2301:62] + node _T_1118 = eq(mhpme_vec[0], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2302:34] + node _T_1119 = bits(_T_1118, 0, 0) @[dec_tlu_ctl.scala 2302:62] + node _T_1120 = eq(mhpme_vec[0], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2303:34] + node _T_1121 = bits(_T_1120, 0, 0) @[dec_tlu_ctl.scala 2303:62] + node _T_1122 = eq(mhpme_vec[0], UInt<6>("h020")) @[dec_tlu_ctl.scala 2304:34] + node _T_1123 = bits(_T_1122, 0, 0) @[dec_tlu_ctl.scala 2304:62] + node _T_1124 = eq(mhpme_vec[0], UInt<6>("h022")) @[dec_tlu_ctl.scala 2305:34] + node _T_1125 = bits(_T_1124, 0, 0) @[dec_tlu_ctl.scala 2305:62] + node _T_1126 = eq(mhpme_vec[0], UInt<6>("h023")) @[dec_tlu_ctl.scala 2306:34] + node _T_1127 = bits(_T_1126, 0, 0) @[dec_tlu_ctl.scala 2306:62] + node _T_1128 = eq(mhpme_vec[0], UInt<6>("h024")) @[dec_tlu_ctl.scala 2307:34] + node _T_1129 = bits(_T_1128, 0, 0) @[dec_tlu_ctl.scala 2307:62] + node _T_1130 = eq(mhpme_vec[0], UInt<6>("h025")) @[dec_tlu_ctl.scala 2308:34] + node _T_1131 = bits(_T_1130, 0, 0) @[dec_tlu_ctl.scala 2308:62] + node _T_1132 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2308:98] + node _T_1133 = or(_T_1132, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2308:120] + node _T_1134 = eq(mhpme_vec[0], UInt<6>("h026")) @[dec_tlu_ctl.scala 2309:34] + node _T_1135 = bits(_T_1134, 0, 0) @[dec_tlu_ctl.scala 2309:62] + node _T_1136 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2309:92] + node _T_1137 = or(_T_1136, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2309:117] + node _T_1138 = eq(mhpme_vec[0], UInt<6>("h027")) @[dec_tlu_ctl.scala 2310:34] + node _T_1139 = bits(_T_1138, 0, 0) @[dec_tlu_ctl.scala 2310:62] + node _T_1140 = eq(mhpme_vec[0], UInt<6>("h028")) @[dec_tlu_ctl.scala 2311:34] + node _T_1141 = bits(_T_1140, 0, 0) @[dec_tlu_ctl.scala 2311:62] + node _T_1142 = eq(mhpme_vec[0], UInt<6>("h029")) @[dec_tlu_ctl.scala 2312:34] + node _T_1143 = bits(_T_1142, 0, 0) @[dec_tlu_ctl.scala 2312:62] + node _T_1144 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2312:97] + node _T_1145 = and(_T_1144, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2312:129] + node _T_1146 = eq(mhpme_vec[0], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2313:34] + node _T_1147 = bits(_T_1146, 0, 0) @[dec_tlu_ctl.scala 2313:62] + node _T_1148 = eq(mhpme_vec[0], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2314:34] + node _T_1149 = bits(_T_1148, 0, 0) @[dec_tlu_ctl.scala 2314:62] + node _T_1150 = eq(mhpme_vec[0], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2315:34] + node _T_1151 = bits(_T_1150, 0, 0) @[dec_tlu_ctl.scala 2315:62] + node _T_1152 = eq(mhpme_vec[0], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2316:34] + node _T_1153 = bits(_T_1152, 0, 0) @[dec_tlu_ctl.scala 2316:62] + node _T_1154 = eq(mhpme_vec[0], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2317:34] + node _T_1155 = bits(_T_1154, 0, 0) @[dec_tlu_ctl.scala 2317:62] + node _T_1156 = eq(mhpme_vec[0], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2318:34] + node _T_1157 = bits(_T_1156, 0, 0) @[dec_tlu_ctl.scala 2318:62] + node _T_1158 = eq(mhpme_vec[0], UInt<6>("h030")) @[dec_tlu_ctl.scala 2319:34] + node _T_1159 = bits(_T_1158, 0, 0) @[dec_tlu_ctl.scala 2319:62] + node _T_1160 = eq(mhpme_vec[0], UInt<6>("h031")) @[dec_tlu_ctl.scala 2320:34] + node _T_1161 = bits(_T_1160, 0, 0) @[dec_tlu_ctl.scala 2320:62] + node _T_1162 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2320:84] + node _T_1163 = bits(_T_1162, 0, 0) @[dec_tlu_ctl.scala 2320:84] + node _T_1164 = not(_T_1163) @[dec_tlu_ctl.scala 2320:73] + node _T_1165 = eq(mhpme_vec[0], UInt<6>("h032")) @[dec_tlu_ctl.scala 2321:34] + node _T_1166 = bits(_T_1165, 0, 0) @[dec_tlu_ctl.scala 2321:62] + node _T_1167 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2321:84] + node _T_1168 = bits(_T_1167, 0, 0) @[dec_tlu_ctl.scala 2321:84] + node _T_1169 = not(_T_1168) @[dec_tlu_ctl.scala 2321:73] + node _T_1170 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2321:107] + node _T_1171 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2321:118] + node _T_1172 = and(_T_1170, _T_1171) @[dec_tlu_ctl.scala 2321:113] + node _T_1173 = orr(_T_1172) @[dec_tlu_ctl.scala 2321:125] + node _T_1174 = and(_T_1169, _T_1173) @[dec_tlu_ctl.scala 2321:98] + node _T_1175 = eq(mhpme_vec[0], UInt<6>("h036")) @[dec_tlu_ctl.scala 2322:34] + node _T_1176 = bits(_T_1175, 0, 0) @[dec_tlu_ctl.scala 2322:62] + node _T_1177 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2322:91] + node _T_1178 = eq(mhpme_vec[0], UInt<6>("h037")) @[dec_tlu_ctl.scala 2323:34] + node _T_1179 = bits(_T_1178, 0, 0) @[dec_tlu_ctl.scala 2323:62] + node _T_1180 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2323:94] + node _T_1181 = eq(mhpme_vec[0], UInt<6>("h038")) @[dec_tlu_ctl.scala 2324:34] + node _T_1182 = bits(_T_1181, 0, 0) @[dec_tlu_ctl.scala 2324:62] + node _T_1183 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2324:94] + node _T_1184 = eq(mhpme_vec[0], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2326:34] + node _T_1185 = bits(_T_1184, 0, 0) @[dec_tlu_ctl.scala 2326:62] + node _T_1186 = eq(mhpme_vec[0], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2327:34] + node _T_1187 = bits(_T_1186, 0, 0) @[dec_tlu_ctl.scala 2327:62] + node _T_1188 = eq(mhpme_vec[0], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2328:34] + node _T_1189 = bits(_T_1188, 0, 0) @[dec_tlu_ctl.scala 2328:62] + node _T_1190 = eq(mhpme_vec[0], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2329:34] + node _T_1191 = bits(_T_1190, 0, 0) @[dec_tlu_ctl.scala 2329:62] + node _T_1192 = eq(mhpme_vec[0], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2330:34] + node _T_1193 = bits(_T_1192, 0, 0) @[dec_tlu_ctl.scala 2330:62] + node _T_1194 = mux(_T_1028, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1195 = mux(_T_1030, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1196 = mux(_T_1032, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1197 = mux(_T_1034, _T_1036, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1198 = mux(_T_1038, _T_1042, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1199 = mux(_T_1044, _T_1047, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1200 = mux(_T_1049, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1201 = mux(_T_1051, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1202 = mux(_T_1053, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1203 = mux(_T_1055, _T_1056, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1204 = mux(_T_1058, _T_1059, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1205 = mux(_T_1061, _T_1062, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1206 = mux(_T_1064, _T_1065, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1207 = mux(_T_1067, _T_1069, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1208 = mux(_T_1071, _T_1074, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1209 = mux(_T_1076, _T_1077, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1210 = mux(_T_1079, _T_1080, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1211 = mux(_T_1082, _T_1083, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1212 = mux(_T_1085, _T_1086, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1213 = mux(_T_1088, _T_1089, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1214 = mux(_T_1091, _T_1092, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1215 = mux(_T_1094, _T_1095, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1216 = mux(_T_1097, _T_1098, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1217 = mux(_T_1100, _T_1101, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1218 = mux(_T_1103, _T_1106, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1219 = mux(_T_1108, _T_1109, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1220 = mux(_T_1111, _T_1112, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1221 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1222 = mux(_T_1117, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1223 = mux(_T_1119, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1224 = mux(_T_1121, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1225 = mux(_T_1123, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1226 = mux(_T_1125, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1227 = mux(_T_1127, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1228 = mux(_T_1129, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1229 = mux(_T_1131, _T_1133, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1230 = mux(_T_1135, _T_1137, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1231 = mux(_T_1139, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1232 = mux(_T_1141, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1233 = mux(_T_1143, _T_1145, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1234 = mux(_T_1147, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1235 = mux(_T_1149, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1236 = mux(_T_1151, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1237 = mux(_T_1153, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1238 = mux(_T_1155, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1239 = mux(_T_1157, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1240 = mux(_T_1159, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1241 = mux(_T_1161, _T_1164, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1242 = mux(_T_1166, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1243 = mux(_T_1176, _T_1177, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1244 = mux(_T_1179, _T_1180, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1245 = mux(_T_1182, _T_1183, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1246 = mux(_T_1185, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1247 = mux(_T_1187, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1248 = mux(_T_1189, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1249 = mux(_T_1191, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1250 = mux(_T_1193, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1251 = or(_T_1194, _T_1195) @[Mux.scala 27:72] node _T_1252 = or(_T_1251, _T_1196) @[Mux.scala 27:72] node _T_1253 = or(_T_1252, _T_1197) @[Mux.scala 27:72] node _T_1254 = or(_T_1253, _T_1198) @[Mux.scala 27:72] @@ -74686,247 +74686,247 @@ circuit quasar_wrapper : node _T_1294 = or(_T_1293, _T_1238) @[Mux.scala 27:72] node _T_1295 = or(_T_1294, _T_1239) @[Mux.scala 27:72] node _T_1296 = or(_T_1295, _T_1240) @[Mux.scala 27:72] - wire _T_1297 : UInt<1> @[Mux.scala 27:72] - _T_1297 <= _T_1296 @[Mux.scala 27:72] - node _T_1298 = and(_T_1016, _T_1297) @[dec_tlu_ctl.scala 2274:44] - mhpmc_inc_r[0] <= _T_1298 @[dec_tlu_ctl.scala 2274:19] - node _T_1299 = bits(mcountinhibit, 4, 4) @[dec_tlu_ctl.scala 2274:38] - node _T_1300 = not(_T_1299) @[dec_tlu_ctl.scala 2274:24] - node _T_1301 = eq(mhpme_vec[1], UInt<1>("h01")) @[dec_tlu_ctl.scala 2275:34] - node _T_1302 = bits(_T_1301, 0, 0) @[dec_tlu_ctl.scala 2275:62] - node _T_1303 = eq(mhpme_vec[1], UInt<2>("h02")) @[dec_tlu_ctl.scala 2276:34] - node _T_1304 = bits(_T_1303, 0, 0) @[dec_tlu_ctl.scala 2276:62] - node _T_1305 = eq(mhpme_vec[1], UInt<2>("h03")) @[dec_tlu_ctl.scala 2277:34] - node _T_1306 = bits(_T_1305, 0, 0) @[dec_tlu_ctl.scala 2277:62] - node _T_1307 = eq(mhpme_vec[1], UInt<3>("h04")) @[dec_tlu_ctl.scala 2278:34] - node _T_1308 = bits(_T_1307, 0, 0) @[dec_tlu_ctl.scala 2278:62] - node _T_1309 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:96] - node _T_1310 = and(io.tlu_i0_commit_cmt, _T_1309) @[dec_tlu_ctl.scala 2278:94] - node _T_1311 = eq(mhpme_vec[1], UInt<3>("h05")) @[dec_tlu_ctl.scala 2279:34] - node _T_1312 = bits(_T_1311, 0, 0) @[dec_tlu_ctl.scala 2279:62] - node _T_1313 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2279:96] - node _T_1314 = and(io.tlu_i0_commit_cmt, _T_1313) @[dec_tlu_ctl.scala 2279:94] - node _T_1315 = not(io.illegal_r) @[dec_tlu_ctl.scala 2279:117] - node _T_1316 = and(_T_1314, _T_1315) @[dec_tlu_ctl.scala 2279:115] - node _T_1317 = eq(mhpme_vec[1], UInt<3>("h06")) @[dec_tlu_ctl.scala 2280:34] - node _T_1318 = bits(_T_1317, 0, 0) @[dec_tlu_ctl.scala 2280:62] - node _T_1319 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2280:94] - node _T_1320 = not(io.illegal_r) @[dec_tlu_ctl.scala 2280:117] - node _T_1321 = and(_T_1319, _T_1320) @[dec_tlu_ctl.scala 2280:115] - node _T_1322 = eq(mhpme_vec[1], UInt<3>("h07")) @[dec_tlu_ctl.scala 2281:34] - node _T_1323 = bits(_T_1322, 0, 0) @[dec_tlu_ctl.scala 2281:62] - node _T_1324 = eq(mhpme_vec[1], UInt<4>("h08")) @[dec_tlu_ctl.scala 2282:34] - node _T_1325 = bits(_T_1324, 0, 0) @[dec_tlu_ctl.scala 2282:62] - node _T_1326 = eq(mhpme_vec[1], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2283:34] - node _T_1327 = bits(_T_1326, 0, 0) @[dec_tlu_ctl.scala 2283:62] - node _T_1328 = eq(mhpme_vec[1], UInt<4>("h09")) @[dec_tlu_ctl.scala 2284:34] - node _T_1329 = bits(_T_1328, 0, 0) @[dec_tlu_ctl.scala 2284:62] - node _T_1330 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2284:91] - node _T_1331 = eq(mhpme_vec[1], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2285:34] - node _T_1332 = bits(_T_1331, 0, 0) @[dec_tlu_ctl.scala 2285:62] - node _T_1333 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2285:105] - node _T_1334 = eq(mhpme_vec[1], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2286:34] - node _T_1335 = bits(_T_1334, 0, 0) @[dec_tlu_ctl.scala 2286:62] - node _T_1336 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] - node _T_1337 = eq(mhpme_vec[1], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2287:34] - node _T_1338 = bits(_T_1337, 0, 0) @[dec_tlu_ctl.scala 2287:62] - node _T_1339 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] - node _T_1340 = eq(mhpme_vec[1], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2288:34] - node _T_1341 = bits(_T_1340, 0, 0) @[dec_tlu_ctl.scala 2288:62] - node _T_1342 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2288:91] - node _T_1343 = and(_T_1342, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2288:100] - node _T_1344 = eq(mhpme_vec[1], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2289:34] - node _T_1345 = bits(_T_1344, 0, 0) @[dec_tlu_ctl.scala 2289:62] - node _T_1346 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2289:91] - node _T_1347 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2289:142] - node _T_1348 = and(_T_1346, _T_1347) @[dec_tlu_ctl.scala 2289:101] - node _T_1349 = eq(mhpme_vec[1], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2290:34] - node _T_1350 = bits(_T_1349, 0, 0) @[dec_tlu_ctl.scala 2290:59] - node _T_1351 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2290:89] - node _T_1352 = eq(mhpme_vec[1], UInt<5>("h010")) @[dec_tlu_ctl.scala 2291:34] - node _T_1353 = bits(_T_1352, 0, 0) @[dec_tlu_ctl.scala 2291:59] - node _T_1354 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2291:89] - node _T_1355 = eq(mhpme_vec[1], UInt<5>("h012")) @[dec_tlu_ctl.scala 2292:34] - node _T_1356 = bits(_T_1355, 0, 0) @[dec_tlu_ctl.scala 2292:59] - node _T_1357 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2292:89] - node _T_1358 = eq(mhpme_vec[1], UInt<5>("h011")) @[dec_tlu_ctl.scala 2293:34] - node _T_1359 = bits(_T_1358, 0, 0) @[dec_tlu_ctl.scala 2293:59] - node _T_1360 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2293:89] - node _T_1361 = eq(mhpme_vec[1], UInt<5>("h013")) @[dec_tlu_ctl.scala 2294:34] - node _T_1362 = bits(_T_1361, 0, 0) @[dec_tlu_ctl.scala 2294:59] - node _T_1363 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2294:89] - node _T_1364 = eq(mhpme_vec[1], UInt<5>("h014")) @[dec_tlu_ctl.scala 2295:34] - node _T_1365 = bits(_T_1364, 0, 0) @[dec_tlu_ctl.scala 2295:59] - node _T_1366 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2295:89] - node _T_1367 = eq(mhpme_vec[1], UInt<5>("h015")) @[dec_tlu_ctl.scala 2296:34] - node _T_1368 = bits(_T_1367, 0, 0) @[dec_tlu_ctl.scala 2296:59] - node _T_1369 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2296:89] - node _T_1370 = eq(mhpme_vec[1], UInt<5>("h016")) @[dec_tlu_ctl.scala 2297:34] - node _T_1371 = bits(_T_1370, 0, 0) @[dec_tlu_ctl.scala 2297:59] - node _T_1372 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2297:89] - node _T_1373 = eq(mhpme_vec[1], UInt<5>("h017")) @[dec_tlu_ctl.scala 2298:34] - node _T_1374 = bits(_T_1373, 0, 0) @[dec_tlu_ctl.scala 2298:59] - node _T_1375 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2298:89] - node _T_1376 = eq(mhpme_vec[1], UInt<5>("h018")) @[dec_tlu_ctl.scala 2299:34] - node _T_1377 = bits(_T_1376, 0, 0) @[dec_tlu_ctl.scala 2299:59] - node _T_1378 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2299:89] - node _T_1379 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2299:122] - node _T_1380 = or(_T_1378, _T_1379) @[dec_tlu_ctl.scala 2299:101] - node _T_1381 = eq(mhpme_vec[1], UInt<5>("h019")) @[dec_tlu_ctl.scala 2300:34] - node _T_1382 = bits(_T_1381, 0, 0) @[dec_tlu_ctl.scala 2300:62] - node _T_1383 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:95] - node _T_1384 = eq(mhpme_vec[1], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2301:34] - node _T_1385 = bits(_T_1384, 0, 0) @[dec_tlu_ctl.scala 2301:62] - node _T_1386 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2301:97] - node _T_1387 = eq(mhpme_vec[1], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2302:34] - node _T_1388 = bits(_T_1387, 0, 0) @[dec_tlu_ctl.scala 2302:62] - node _T_1389 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2302:110] - node _T_1390 = eq(mhpme_vec[1], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2303:34] - node _T_1391 = bits(_T_1390, 0, 0) @[dec_tlu_ctl.scala 2303:62] - node _T_1392 = eq(mhpme_vec[1], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2304:34] - node _T_1393 = bits(_T_1392, 0, 0) @[dec_tlu_ctl.scala 2304:62] - node _T_1394 = eq(mhpme_vec[1], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2305:34] - node _T_1395 = bits(_T_1394, 0, 0) @[dec_tlu_ctl.scala 2305:62] - node _T_1396 = eq(mhpme_vec[1], UInt<6>("h020")) @[dec_tlu_ctl.scala 2306:34] - node _T_1397 = bits(_T_1396, 0, 0) @[dec_tlu_ctl.scala 2306:62] - node _T_1398 = eq(mhpme_vec[1], UInt<6>("h022")) @[dec_tlu_ctl.scala 2307:34] - node _T_1399 = bits(_T_1398, 0, 0) @[dec_tlu_ctl.scala 2307:62] - node _T_1400 = eq(mhpme_vec[1], UInt<6>("h023")) @[dec_tlu_ctl.scala 2308:34] - node _T_1401 = bits(_T_1400, 0, 0) @[dec_tlu_ctl.scala 2308:62] - node _T_1402 = eq(mhpme_vec[1], UInt<6>("h024")) @[dec_tlu_ctl.scala 2309:34] - node _T_1403 = bits(_T_1402, 0, 0) @[dec_tlu_ctl.scala 2309:62] - node _T_1404 = eq(mhpme_vec[1], UInt<6>("h025")) @[dec_tlu_ctl.scala 2310:34] - node _T_1405 = bits(_T_1404, 0, 0) @[dec_tlu_ctl.scala 2310:62] - node _T_1406 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2310:98] - node _T_1407 = or(_T_1406, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2310:120] - node _T_1408 = eq(mhpme_vec[1], UInt<6>("h026")) @[dec_tlu_ctl.scala 2311:34] - node _T_1409 = bits(_T_1408, 0, 0) @[dec_tlu_ctl.scala 2311:62] - node _T_1410 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2311:92] - node _T_1411 = or(_T_1410, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2311:117] - node _T_1412 = eq(mhpme_vec[1], UInt<6>("h027")) @[dec_tlu_ctl.scala 2312:34] - node _T_1413 = bits(_T_1412, 0, 0) @[dec_tlu_ctl.scala 2312:62] - node _T_1414 = eq(mhpme_vec[1], UInt<6>("h028")) @[dec_tlu_ctl.scala 2313:34] - node _T_1415 = bits(_T_1414, 0, 0) @[dec_tlu_ctl.scala 2313:62] - node _T_1416 = eq(mhpme_vec[1], UInt<6>("h029")) @[dec_tlu_ctl.scala 2314:34] - node _T_1417 = bits(_T_1416, 0, 0) @[dec_tlu_ctl.scala 2314:62] - node _T_1418 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2314:97] - node _T_1419 = and(_T_1418, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2314:129] - node _T_1420 = eq(mhpme_vec[1], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2315:34] - node _T_1421 = bits(_T_1420, 0, 0) @[dec_tlu_ctl.scala 2315:62] - node _T_1422 = eq(mhpme_vec[1], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2316:34] - node _T_1423 = bits(_T_1422, 0, 0) @[dec_tlu_ctl.scala 2316:62] - node _T_1424 = eq(mhpme_vec[1], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2317:34] - node _T_1425 = bits(_T_1424, 0, 0) @[dec_tlu_ctl.scala 2317:62] - node _T_1426 = eq(mhpme_vec[1], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2318:34] - node _T_1427 = bits(_T_1426, 0, 0) @[dec_tlu_ctl.scala 2318:62] - node _T_1428 = eq(mhpme_vec[1], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2319:34] - node _T_1429 = bits(_T_1428, 0, 0) @[dec_tlu_ctl.scala 2319:62] - node _T_1430 = eq(mhpme_vec[1], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2320:34] - node _T_1431 = bits(_T_1430, 0, 0) @[dec_tlu_ctl.scala 2320:62] - node _T_1432 = eq(mhpme_vec[1], UInt<6>("h030")) @[dec_tlu_ctl.scala 2321:34] - node _T_1433 = bits(_T_1432, 0, 0) @[dec_tlu_ctl.scala 2321:62] - node _T_1434 = eq(mhpme_vec[1], UInt<6>("h031")) @[dec_tlu_ctl.scala 2322:34] - node _T_1435 = bits(_T_1434, 0, 0) @[dec_tlu_ctl.scala 2322:62] - node _T_1436 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2322:84] - node _T_1437 = bits(_T_1436, 0, 0) @[dec_tlu_ctl.scala 2322:84] - node _T_1438 = not(_T_1437) @[dec_tlu_ctl.scala 2322:73] - node _T_1439 = eq(mhpme_vec[1], UInt<6>("h032")) @[dec_tlu_ctl.scala 2323:34] - node _T_1440 = bits(_T_1439, 0, 0) @[dec_tlu_ctl.scala 2323:62] - node _T_1441 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2323:84] - node _T_1442 = bits(_T_1441, 0, 0) @[dec_tlu_ctl.scala 2323:84] - node _T_1443 = not(_T_1442) @[dec_tlu_ctl.scala 2323:73] - node _T_1444 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2323:107] - node _T_1445 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2323:118] - node _T_1446 = and(_T_1444, _T_1445) @[dec_tlu_ctl.scala 2323:113] - node _T_1447 = orr(_T_1446) @[dec_tlu_ctl.scala 2323:125] - node _T_1448 = and(_T_1443, _T_1447) @[dec_tlu_ctl.scala 2323:98] - node _T_1449 = eq(mhpme_vec[1], UInt<6>("h036")) @[dec_tlu_ctl.scala 2324:34] - node _T_1450 = bits(_T_1449, 0, 0) @[dec_tlu_ctl.scala 2324:62] - node _T_1451 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2324:91] - node _T_1452 = eq(mhpme_vec[1], UInt<6>("h037")) @[dec_tlu_ctl.scala 2325:34] - node _T_1453 = bits(_T_1452, 0, 0) @[dec_tlu_ctl.scala 2325:62] - node _T_1454 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2325:94] - node _T_1455 = eq(mhpme_vec[1], UInt<6>("h038")) @[dec_tlu_ctl.scala 2326:34] - node _T_1456 = bits(_T_1455, 0, 0) @[dec_tlu_ctl.scala 2326:62] - node _T_1457 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2326:94] - node _T_1458 = eq(mhpme_vec[1], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2328:34] - node _T_1459 = bits(_T_1458, 0, 0) @[dec_tlu_ctl.scala 2328:62] - node _T_1460 = eq(mhpme_vec[1], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2329:34] - node _T_1461 = bits(_T_1460, 0, 0) @[dec_tlu_ctl.scala 2329:62] - node _T_1462 = eq(mhpme_vec[1], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2330:34] - node _T_1463 = bits(_T_1462, 0, 0) @[dec_tlu_ctl.scala 2330:62] - node _T_1464 = eq(mhpme_vec[1], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2331:34] - node _T_1465 = bits(_T_1464, 0, 0) @[dec_tlu_ctl.scala 2331:62] - node _T_1466 = eq(mhpme_vec[1], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2332:34] - node _T_1467 = bits(_T_1466, 0, 0) @[dec_tlu_ctl.scala 2332:62] - node _T_1468 = mux(_T_1302, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1469 = mux(_T_1304, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1470 = mux(_T_1306, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1471 = mux(_T_1308, _T_1310, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1472 = mux(_T_1312, _T_1316, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1473 = mux(_T_1318, _T_1321, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1474 = mux(_T_1323, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1475 = mux(_T_1325, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1476 = mux(_T_1327, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1477 = mux(_T_1329, _T_1330, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1478 = mux(_T_1332, _T_1333, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1479 = mux(_T_1335, _T_1336, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1480 = mux(_T_1338, _T_1339, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1481 = mux(_T_1341, _T_1343, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1482 = mux(_T_1345, _T_1348, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1483 = mux(_T_1350, _T_1351, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1484 = mux(_T_1353, _T_1354, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1485 = mux(_T_1356, _T_1357, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1486 = mux(_T_1359, _T_1360, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1487 = mux(_T_1362, _T_1363, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1488 = mux(_T_1365, _T_1366, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1489 = mux(_T_1368, _T_1369, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1490 = mux(_T_1371, _T_1372, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1491 = mux(_T_1374, _T_1375, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1492 = mux(_T_1377, _T_1380, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1493 = mux(_T_1382, _T_1383, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1494 = mux(_T_1385, _T_1386, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1495 = mux(_T_1388, _T_1389, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1496 = mux(_T_1391, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1497 = mux(_T_1393, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1498 = mux(_T_1395, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1499 = mux(_T_1397, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1500 = mux(_T_1399, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1501 = mux(_T_1401, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1502 = mux(_T_1403, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1503 = mux(_T_1405, _T_1407, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1504 = mux(_T_1409, _T_1411, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1505 = mux(_T_1413, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1506 = mux(_T_1415, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1507 = mux(_T_1417, _T_1419, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1508 = mux(_T_1421, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1509 = mux(_T_1423, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1510 = mux(_T_1425, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1511 = mux(_T_1427, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1512 = mux(_T_1429, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1513 = mux(_T_1431, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1514 = mux(_T_1433, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1515 = mux(_T_1435, _T_1438, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1516 = mux(_T_1440, _T_1448, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1517 = mux(_T_1450, _T_1451, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1518 = mux(_T_1453, _T_1454, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1519 = mux(_T_1456, _T_1457, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1520 = mux(_T_1459, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1521 = mux(_T_1461, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1522 = mux(_T_1463, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1523 = mux(_T_1465, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1524 = mux(_T_1467, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1525 = or(_T_1468, _T_1469) @[Mux.scala 27:72] - node _T_1526 = or(_T_1525, _T_1470) @[Mux.scala 27:72] - node _T_1527 = or(_T_1526, _T_1471) @[Mux.scala 27:72] - node _T_1528 = or(_T_1527, _T_1472) @[Mux.scala 27:72] - node _T_1529 = or(_T_1528, _T_1473) @[Mux.scala 27:72] - node _T_1530 = or(_T_1529, _T_1474) @[Mux.scala 27:72] - node _T_1531 = or(_T_1530, _T_1475) @[Mux.scala 27:72] - node _T_1532 = or(_T_1531, _T_1476) @[Mux.scala 27:72] - node _T_1533 = or(_T_1532, _T_1477) @[Mux.scala 27:72] - node _T_1534 = or(_T_1533, _T_1478) @[Mux.scala 27:72] - node _T_1535 = or(_T_1534, _T_1479) @[Mux.scala 27:72] + node _T_1297 = or(_T_1296, _T_1241) @[Mux.scala 27:72] + node _T_1298 = or(_T_1297, _T_1242) @[Mux.scala 27:72] + node _T_1299 = or(_T_1298, _T_1243) @[Mux.scala 27:72] + node _T_1300 = or(_T_1299, _T_1244) @[Mux.scala 27:72] + node _T_1301 = or(_T_1300, _T_1245) @[Mux.scala 27:72] + node _T_1302 = or(_T_1301, _T_1246) @[Mux.scala 27:72] + node _T_1303 = or(_T_1302, _T_1247) @[Mux.scala 27:72] + node _T_1304 = or(_T_1303, _T_1248) @[Mux.scala 27:72] + node _T_1305 = or(_T_1304, _T_1249) @[Mux.scala 27:72] + node _T_1306 = or(_T_1305, _T_1250) @[Mux.scala 27:72] + wire _T_1307 : UInt<1> @[Mux.scala 27:72] + _T_1307 <= _T_1306 @[Mux.scala 27:72] + node _T_1308 = and(_T_1026, _T_1307) @[dec_tlu_ctl.scala 2272:44] + mhpmc_inc_r[0] <= _T_1308 @[dec_tlu_ctl.scala 2272:19] + node _T_1309 = bits(mcountinhibit, 4, 4) @[dec_tlu_ctl.scala 2272:38] + node _T_1310 = not(_T_1309) @[dec_tlu_ctl.scala 2272:24] + node _T_1311 = eq(mhpme_vec[1], UInt<1>("h01")) @[dec_tlu_ctl.scala 2273:34] + node _T_1312 = bits(_T_1311, 0, 0) @[dec_tlu_ctl.scala 2273:62] + node _T_1313 = eq(mhpme_vec[1], UInt<2>("h02")) @[dec_tlu_ctl.scala 2274:34] + node _T_1314 = bits(_T_1313, 0, 0) @[dec_tlu_ctl.scala 2274:62] + node _T_1315 = eq(mhpme_vec[1], UInt<2>("h03")) @[dec_tlu_ctl.scala 2275:34] + node _T_1316 = bits(_T_1315, 0, 0) @[dec_tlu_ctl.scala 2275:62] + node _T_1317 = eq(mhpme_vec[1], UInt<3>("h04")) @[dec_tlu_ctl.scala 2276:34] + node _T_1318 = bits(_T_1317, 0, 0) @[dec_tlu_ctl.scala 2276:62] + node _T_1319 = not(io.illegal_r) @[dec_tlu_ctl.scala 2276:96] + node _T_1320 = and(io.tlu_i0_commit_cmt, _T_1319) @[dec_tlu_ctl.scala 2276:94] + node _T_1321 = eq(mhpme_vec[1], UInt<3>("h05")) @[dec_tlu_ctl.scala 2277:34] + node _T_1322 = bits(_T_1321, 0, 0) @[dec_tlu_ctl.scala 2277:62] + node _T_1323 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2277:96] + node _T_1324 = and(io.tlu_i0_commit_cmt, _T_1323) @[dec_tlu_ctl.scala 2277:94] + node _T_1325 = not(io.illegal_r) @[dec_tlu_ctl.scala 2277:117] + node _T_1326 = and(_T_1324, _T_1325) @[dec_tlu_ctl.scala 2277:115] + node _T_1327 = eq(mhpme_vec[1], UInt<3>("h06")) @[dec_tlu_ctl.scala 2278:34] + node _T_1328 = bits(_T_1327, 0, 0) @[dec_tlu_ctl.scala 2278:62] + node _T_1329 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2278:94] + node _T_1330 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:117] + node _T_1331 = and(_T_1329, _T_1330) @[dec_tlu_ctl.scala 2278:115] + node _T_1332 = eq(mhpme_vec[1], UInt<3>("h07")) @[dec_tlu_ctl.scala 2279:34] + node _T_1333 = bits(_T_1332, 0, 0) @[dec_tlu_ctl.scala 2279:62] + node _T_1334 = eq(mhpme_vec[1], UInt<4>("h08")) @[dec_tlu_ctl.scala 2280:34] + node _T_1335 = bits(_T_1334, 0, 0) @[dec_tlu_ctl.scala 2280:62] + node _T_1336 = eq(mhpme_vec[1], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2281:34] + node _T_1337 = bits(_T_1336, 0, 0) @[dec_tlu_ctl.scala 2281:62] + node _T_1338 = eq(mhpme_vec[1], UInt<4>("h09")) @[dec_tlu_ctl.scala 2282:34] + node _T_1339 = bits(_T_1338, 0, 0) @[dec_tlu_ctl.scala 2282:62] + node _T_1340 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2282:91] + node _T_1341 = eq(mhpme_vec[1], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2283:34] + node _T_1342 = bits(_T_1341, 0, 0) @[dec_tlu_ctl.scala 2283:62] + node _T_1343 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2283:105] + node _T_1344 = eq(mhpme_vec[1], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2284:34] + node _T_1345 = bits(_T_1344, 0, 0) @[dec_tlu_ctl.scala 2284:62] + node _T_1346 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2284:91] + node _T_1347 = eq(mhpme_vec[1], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2285:34] + node _T_1348 = bits(_T_1347, 0, 0) @[dec_tlu_ctl.scala 2285:62] + node _T_1349 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2285:91] + node _T_1350 = eq(mhpme_vec[1], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2286:34] + node _T_1351 = bits(_T_1350, 0, 0) @[dec_tlu_ctl.scala 2286:62] + node _T_1352 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] + node _T_1353 = and(_T_1352, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2286:100] + node _T_1354 = eq(mhpme_vec[1], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2287:34] + node _T_1355 = bits(_T_1354, 0, 0) @[dec_tlu_ctl.scala 2287:62] + node _T_1356 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] + node _T_1357 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2287:142] + node _T_1358 = and(_T_1356, _T_1357) @[dec_tlu_ctl.scala 2287:101] + node _T_1359 = eq(mhpme_vec[1], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2288:34] + node _T_1360 = bits(_T_1359, 0, 0) @[dec_tlu_ctl.scala 2288:59] + node _T_1361 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2288:89] + node _T_1362 = eq(mhpme_vec[1], UInt<5>("h010")) @[dec_tlu_ctl.scala 2289:34] + node _T_1363 = bits(_T_1362, 0, 0) @[dec_tlu_ctl.scala 2289:59] + node _T_1364 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2289:89] + node _T_1365 = eq(mhpme_vec[1], UInt<5>("h012")) @[dec_tlu_ctl.scala 2290:34] + node _T_1366 = bits(_T_1365, 0, 0) @[dec_tlu_ctl.scala 2290:59] + node _T_1367 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2290:89] + node _T_1368 = eq(mhpme_vec[1], UInt<5>("h011")) @[dec_tlu_ctl.scala 2291:34] + node _T_1369 = bits(_T_1368, 0, 0) @[dec_tlu_ctl.scala 2291:59] + node _T_1370 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2291:89] + node _T_1371 = eq(mhpme_vec[1], UInt<5>("h013")) @[dec_tlu_ctl.scala 2292:34] + node _T_1372 = bits(_T_1371, 0, 0) @[dec_tlu_ctl.scala 2292:59] + node _T_1373 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2292:89] + node _T_1374 = eq(mhpme_vec[1], UInt<5>("h014")) @[dec_tlu_ctl.scala 2293:34] + node _T_1375 = bits(_T_1374, 0, 0) @[dec_tlu_ctl.scala 2293:59] + node _T_1376 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2293:89] + node _T_1377 = eq(mhpme_vec[1], UInt<5>("h015")) @[dec_tlu_ctl.scala 2294:34] + node _T_1378 = bits(_T_1377, 0, 0) @[dec_tlu_ctl.scala 2294:59] + node _T_1379 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2294:89] + node _T_1380 = eq(mhpme_vec[1], UInt<5>("h016")) @[dec_tlu_ctl.scala 2295:34] + node _T_1381 = bits(_T_1380, 0, 0) @[dec_tlu_ctl.scala 2295:59] + node _T_1382 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2295:89] + node _T_1383 = eq(mhpme_vec[1], UInt<5>("h017")) @[dec_tlu_ctl.scala 2296:34] + node _T_1384 = bits(_T_1383, 0, 0) @[dec_tlu_ctl.scala 2296:59] + node _T_1385 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2296:89] + node _T_1386 = eq(mhpme_vec[1], UInt<5>("h018")) @[dec_tlu_ctl.scala 2297:34] + node _T_1387 = bits(_T_1386, 0, 0) @[dec_tlu_ctl.scala 2297:59] + node _T_1388 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2297:89] + node _T_1389 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2297:122] + node _T_1390 = or(_T_1388, _T_1389) @[dec_tlu_ctl.scala 2297:101] + node _T_1391 = eq(mhpme_vec[1], UInt<5>("h019")) @[dec_tlu_ctl.scala 2298:34] + node _T_1392 = bits(_T_1391, 0, 0) @[dec_tlu_ctl.scala 2298:62] + node _T_1393 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2298:95] + node _T_1394 = eq(mhpme_vec[1], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2299:34] + node _T_1395 = bits(_T_1394, 0, 0) @[dec_tlu_ctl.scala 2299:62] + node _T_1396 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2299:97] + node _T_1397 = eq(mhpme_vec[1], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2300:34] + node _T_1398 = bits(_T_1397, 0, 0) @[dec_tlu_ctl.scala 2300:62] + node _T_1399 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:110] + node _T_1400 = eq(mhpme_vec[1], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2301:34] + node _T_1401 = bits(_T_1400, 0, 0) @[dec_tlu_ctl.scala 2301:62] + node _T_1402 = eq(mhpme_vec[1], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2302:34] + node _T_1403 = bits(_T_1402, 0, 0) @[dec_tlu_ctl.scala 2302:62] + node _T_1404 = eq(mhpme_vec[1], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2303:34] + node _T_1405 = bits(_T_1404, 0, 0) @[dec_tlu_ctl.scala 2303:62] + node _T_1406 = eq(mhpme_vec[1], UInt<6>("h020")) @[dec_tlu_ctl.scala 2304:34] + node _T_1407 = bits(_T_1406, 0, 0) @[dec_tlu_ctl.scala 2304:62] + node _T_1408 = eq(mhpme_vec[1], UInt<6>("h022")) @[dec_tlu_ctl.scala 2305:34] + node _T_1409 = bits(_T_1408, 0, 0) @[dec_tlu_ctl.scala 2305:62] + node _T_1410 = eq(mhpme_vec[1], UInt<6>("h023")) @[dec_tlu_ctl.scala 2306:34] + node _T_1411 = bits(_T_1410, 0, 0) @[dec_tlu_ctl.scala 2306:62] + node _T_1412 = eq(mhpme_vec[1], UInt<6>("h024")) @[dec_tlu_ctl.scala 2307:34] + node _T_1413 = bits(_T_1412, 0, 0) @[dec_tlu_ctl.scala 2307:62] + node _T_1414 = eq(mhpme_vec[1], UInt<6>("h025")) @[dec_tlu_ctl.scala 2308:34] + node _T_1415 = bits(_T_1414, 0, 0) @[dec_tlu_ctl.scala 2308:62] + node _T_1416 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2308:98] + node _T_1417 = or(_T_1416, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2308:120] + node _T_1418 = eq(mhpme_vec[1], UInt<6>("h026")) @[dec_tlu_ctl.scala 2309:34] + node _T_1419 = bits(_T_1418, 0, 0) @[dec_tlu_ctl.scala 2309:62] + node _T_1420 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2309:92] + node _T_1421 = or(_T_1420, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2309:117] + node _T_1422 = eq(mhpme_vec[1], UInt<6>("h027")) @[dec_tlu_ctl.scala 2310:34] + node _T_1423 = bits(_T_1422, 0, 0) @[dec_tlu_ctl.scala 2310:62] + node _T_1424 = eq(mhpme_vec[1], UInt<6>("h028")) @[dec_tlu_ctl.scala 2311:34] + node _T_1425 = bits(_T_1424, 0, 0) @[dec_tlu_ctl.scala 2311:62] + node _T_1426 = eq(mhpme_vec[1], UInt<6>("h029")) @[dec_tlu_ctl.scala 2312:34] + node _T_1427 = bits(_T_1426, 0, 0) @[dec_tlu_ctl.scala 2312:62] + node _T_1428 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2312:97] + node _T_1429 = and(_T_1428, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2312:129] + node _T_1430 = eq(mhpme_vec[1], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2313:34] + node _T_1431 = bits(_T_1430, 0, 0) @[dec_tlu_ctl.scala 2313:62] + node _T_1432 = eq(mhpme_vec[1], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2314:34] + node _T_1433 = bits(_T_1432, 0, 0) @[dec_tlu_ctl.scala 2314:62] + node _T_1434 = eq(mhpme_vec[1], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2315:34] + node _T_1435 = bits(_T_1434, 0, 0) @[dec_tlu_ctl.scala 2315:62] + node _T_1436 = eq(mhpme_vec[1], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2316:34] + node _T_1437 = bits(_T_1436, 0, 0) @[dec_tlu_ctl.scala 2316:62] + node _T_1438 = eq(mhpme_vec[1], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2317:34] + node _T_1439 = bits(_T_1438, 0, 0) @[dec_tlu_ctl.scala 2317:62] + node _T_1440 = eq(mhpme_vec[1], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2318:34] + node _T_1441 = bits(_T_1440, 0, 0) @[dec_tlu_ctl.scala 2318:62] + node _T_1442 = eq(mhpme_vec[1], UInt<6>("h030")) @[dec_tlu_ctl.scala 2319:34] + node _T_1443 = bits(_T_1442, 0, 0) @[dec_tlu_ctl.scala 2319:62] + node _T_1444 = eq(mhpme_vec[1], UInt<6>("h031")) @[dec_tlu_ctl.scala 2320:34] + node _T_1445 = bits(_T_1444, 0, 0) @[dec_tlu_ctl.scala 2320:62] + node _T_1446 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2320:84] + node _T_1447 = bits(_T_1446, 0, 0) @[dec_tlu_ctl.scala 2320:84] + node _T_1448 = not(_T_1447) @[dec_tlu_ctl.scala 2320:73] + node _T_1449 = eq(mhpme_vec[1], UInt<6>("h032")) @[dec_tlu_ctl.scala 2321:34] + node _T_1450 = bits(_T_1449, 0, 0) @[dec_tlu_ctl.scala 2321:62] + node _T_1451 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2321:84] + node _T_1452 = bits(_T_1451, 0, 0) @[dec_tlu_ctl.scala 2321:84] + node _T_1453 = not(_T_1452) @[dec_tlu_ctl.scala 2321:73] + node _T_1454 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2321:107] + node _T_1455 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2321:118] + node _T_1456 = and(_T_1454, _T_1455) @[dec_tlu_ctl.scala 2321:113] + node _T_1457 = orr(_T_1456) @[dec_tlu_ctl.scala 2321:125] + node _T_1458 = and(_T_1453, _T_1457) @[dec_tlu_ctl.scala 2321:98] + node _T_1459 = eq(mhpme_vec[1], UInt<6>("h036")) @[dec_tlu_ctl.scala 2322:34] + node _T_1460 = bits(_T_1459, 0, 0) @[dec_tlu_ctl.scala 2322:62] + node _T_1461 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2322:91] + node _T_1462 = eq(mhpme_vec[1], UInt<6>("h037")) @[dec_tlu_ctl.scala 2323:34] + node _T_1463 = bits(_T_1462, 0, 0) @[dec_tlu_ctl.scala 2323:62] + node _T_1464 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2323:94] + node _T_1465 = eq(mhpme_vec[1], UInt<6>("h038")) @[dec_tlu_ctl.scala 2324:34] + node _T_1466 = bits(_T_1465, 0, 0) @[dec_tlu_ctl.scala 2324:62] + node _T_1467 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2324:94] + node _T_1468 = eq(mhpme_vec[1], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2326:34] + node _T_1469 = bits(_T_1468, 0, 0) @[dec_tlu_ctl.scala 2326:62] + node _T_1470 = eq(mhpme_vec[1], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2327:34] + node _T_1471 = bits(_T_1470, 0, 0) @[dec_tlu_ctl.scala 2327:62] + node _T_1472 = eq(mhpme_vec[1], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2328:34] + node _T_1473 = bits(_T_1472, 0, 0) @[dec_tlu_ctl.scala 2328:62] + node _T_1474 = eq(mhpme_vec[1], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2329:34] + node _T_1475 = bits(_T_1474, 0, 0) @[dec_tlu_ctl.scala 2329:62] + node _T_1476 = eq(mhpme_vec[1], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2330:34] + node _T_1477 = bits(_T_1476, 0, 0) @[dec_tlu_ctl.scala 2330:62] + node _T_1478 = mux(_T_1312, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1479 = mux(_T_1314, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1480 = mux(_T_1316, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1481 = mux(_T_1318, _T_1320, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1482 = mux(_T_1322, _T_1326, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1483 = mux(_T_1328, _T_1331, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1484 = mux(_T_1333, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1485 = mux(_T_1335, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1486 = mux(_T_1337, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1487 = mux(_T_1339, _T_1340, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1488 = mux(_T_1342, _T_1343, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1489 = mux(_T_1345, _T_1346, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1490 = mux(_T_1348, _T_1349, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1491 = mux(_T_1351, _T_1353, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1492 = mux(_T_1355, _T_1358, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1493 = mux(_T_1360, _T_1361, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1494 = mux(_T_1363, _T_1364, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1495 = mux(_T_1366, _T_1367, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1496 = mux(_T_1369, _T_1370, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1497 = mux(_T_1372, _T_1373, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1498 = mux(_T_1375, _T_1376, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1499 = mux(_T_1378, _T_1379, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1500 = mux(_T_1381, _T_1382, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1501 = mux(_T_1384, _T_1385, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1502 = mux(_T_1387, _T_1390, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1503 = mux(_T_1392, _T_1393, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1504 = mux(_T_1395, _T_1396, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1505 = mux(_T_1398, _T_1399, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1506 = mux(_T_1401, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1507 = mux(_T_1403, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1508 = mux(_T_1405, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1509 = mux(_T_1407, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1510 = mux(_T_1409, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1511 = mux(_T_1411, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1512 = mux(_T_1413, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1513 = mux(_T_1415, _T_1417, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1514 = mux(_T_1419, _T_1421, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1515 = mux(_T_1423, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1516 = mux(_T_1425, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1517 = mux(_T_1427, _T_1429, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1518 = mux(_T_1431, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1519 = mux(_T_1433, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1520 = mux(_T_1435, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1521 = mux(_T_1437, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1522 = mux(_T_1439, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1523 = mux(_T_1441, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1524 = mux(_T_1443, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1525 = mux(_T_1445, _T_1448, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1526 = mux(_T_1450, _T_1458, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1527 = mux(_T_1460, _T_1461, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1528 = mux(_T_1463, _T_1464, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1529 = mux(_T_1466, _T_1467, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1530 = mux(_T_1469, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1531 = mux(_T_1471, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1532 = mux(_T_1473, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1533 = mux(_T_1475, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1534 = mux(_T_1477, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1535 = or(_T_1478, _T_1479) @[Mux.scala 27:72] node _T_1536 = or(_T_1535, _T_1480) @[Mux.scala 27:72] node _T_1537 = or(_T_1536, _T_1481) @[Mux.scala 27:72] node _T_1538 = or(_T_1537, _T_1482) @[Mux.scala 27:72] @@ -74972,247 +74972,247 @@ circuit quasar_wrapper : node _T_1578 = or(_T_1577, _T_1522) @[Mux.scala 27:72] node _T_1579 = or(_T_1578, _T_1523) @[Mux.scala 27:72] node _T_1580 = or(_T_1579, _T_1524) @[Mux.scala 27:72] - wire _T_1581 : UInt<1> @[Mux.scala 27:72] - _T_1581 <= _T_1580 @[Mux.scala 27:72] - node _T_1582 = and(_T_1300, _T_1581) @[dec_tlu_ctl.scala 2274:44] - mhpmc_inc_r[1] <= _T_1582 @[dec_tlu_ctl.scala 2274:19] - node _T_1583 = bits(mcountinhibit, 5, 5) @[dec_tlu_ctl.scala 2274:38] - node _T_1584 = not(_T_1583) @[dec_tlu_ctl.scala 2274:24] - node _T_1585 = eq(mhpme_vec[2], UInt<1>("h01")) @[dec_tlu_ctl.scala 2275:34] - node _T_1586 = bits(_T_1585, 0, 0) @[dec_tlu_ctl.scala 2275:62] - node _T_1587 = eq(mhpme_vec[2], UInt<2>("h02")) @[dec_tlu_ctl.scala 2276:34] - node _T_1588 = bits(_T_1587, 0, 0) @[dec_tlu_ctl.scala 2276:62] - node _T_1589 = eq(mhpme_vec[2], UInt<2>("h03")) @[dec_tlu_ctl.scala 2277:34] - node _T_1590 = bits(_T_1589, 0, 0) @[dec_tlu_ctl.scala 2277:62] - node _T_1591 = eq(mhpme_vec[2], UInt<3>("h04")) @[dec_tlu_ctl.scala 2278:34] - node _T_1592 = bits(_T_1591, 0, 0) @[dec_tlu_ctl.scala 2278:62] - node _T_1593 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:96] - node _T_1594 = and(io.tlu_i0_commit_cmt, _T_1593) @[dec_tlu_ctl.scala 2278:94] - node _T_1595 = eq(mhpme_vec[2], UInt<3>("h05")) @[dec_tlu_ctl.scala 2279:34] - node _T_1596 = bits(_T_1595, 0, 0) @[dec_tlu_ctl.scala 2279:62] - node _T_1597 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2279:96] - node _T_1598 = and(io.tlu_i0_commit_cmt, _T_1597) @[dec_tlu_ctl.scala 2279:94] - node _T_1599 = not(io.illegal_r) @[dec_tlu_ctl.scala 2279:117] - node _T_1600 = and(_T_1598, _T_1599) @[dec_tlu_ctl.scala 2279:115] - node _T_1601 = eq(mhpme_vec[2], UInt<3>("h06")) @[dec_tlu_ctl.scala 2280:34] - node _T_1602 = bits(_T_1601, 0, 0) @[dec_tlu_ctl.scala 2280:62] - node _T_1603 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2280:94] - node _T_1604 = not(io.illegal_r) @[dec_tlu_ctl.scala 2280:117] - node _T_1605 = and(_T_1603, _T_1604) @[dec_tlu_ctl.scala 2280:115] - node _T_1606 = eq(mhpme_vec[2], UInt<3>("h07")) @[dec_tlu_ctl.scala 2281:34] - node _T_1607 = bits(_T_1606, 0, 0) @[dec_tlu_ctl.scala 2281:62] - node _T_1608 = eq(mhpme_vec[2], UInt<4>("h08")) @[dec_tlu_ctl.scala 2282:34] - node _T_1609 = bits(_T_1608, 0, 0) @[dec_tlu_ctl.scala 2282:62] - node _T_1610 = eq(mhpme_vec[2], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2283:34] - node _T_1611 = bits(_T_1610, 0, 0) @[dec_tlu_ctl.scala 2283:62] - node _T_1612 = eq(mhpme_vec[2], UInt<4>("h09")) @[dec_tlu_ctl.scala 2284:34] - node _T_1613 = bits(_T_1612, 0, 0) @[dec_tlu_ctl.scala 2284:62] - node _T_1614 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2284:91] - node _T_1615 = eq(mhpme_vec[2], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2285:34] - node _T_1616 = bits(_T_1615, 0, 0) @[dec_tlu_ctl.scala 2285:62] - node _T_1617 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2285:105] - node _T_1618 = eq(mhpme_vec[2], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2286:34] - node _T_1619 = bits(_T_1618, 0, 0) @[dec_tlu_ctl.scala 2286:62] - node _T_1620 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] - node _T_1621 = eq(mhpme_vec[2], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2287:34] - node _T_1622 = bits(_T_1621, 0, 0) @[dec_tlu_ctl.scala 2287:62] - node _T_1623 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] - node _T_1624 = eq(mhpme_vec[2], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2288:34] - node _T_1625 = bits(_T_1624, 0, 0) @[dec_tlu_ctl.scala 2288:62] - node _T_1626 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2288:91] - node _T_1627 = and(_T_1626, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2288:100] - node _T_1628 = eq(mhpme_vec[2], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2289:34] - node _T_1629 = bits(_T_1628, 0, 0) @[dec_tlu_ctl.scala 2289:62] - node _T_1630 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2289:91] - node _T_1631 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2289:142] - node _T_1632 = and(_T_1630, _T_1631) @[dec_tlu_ctl.scala 2289:101] - node _T_1633 = eq(mhpme_vec[2], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2290:34] - node _T_1634 = bits(_T_1633, 0, 0) @[dec_tlu_ctl.scala 2290:59] - node _T_1635 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2290:89] - node _T_1636 = eq(mhpme_vec[2], UInt<5>("h010")) @[dec_tlu_ctl.scala 2291:34] - node _T_1637 = bits(_T_1636, 0, 0) @[dec_tlu_ctl.scala 2291:59] - node _T_1638 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2291:89] - node _T_1639 = eq(mhpme_vec[2], UInt<5>("h012")) @[dec_tlu_ctl.scala 2292:34] - node _T_1640 = bits(_T_1639, 0, 0) @[dec_tlu_ctl.scala 2292:59] - node _T_1641 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2292:89] - node _T_1642 = eq(mhpme_vec[2], UInt<5>("h011")) @[dec_tlu_ctl.scala 2293:34] - node _T_1643 = bits(_T_1642, 0, 0) @[dec_tlu_ctl.scala 2293:59] - node _T_1644 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2293:89] - node _T_1645 = eq(mhpme_vec[2], UInt<5>("h013")) @[dec_tlu_ctl.scala 2294:34] - node _T_1646 = bits(_T_1645, 0, 0) @[dec_tlu_ctl.scala 2294:59] - node _T_1647 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2294:89] - node _T_1648 = eq(mhpme_vec[2], UInt<5>("h014")) @[dec_tlu_ctl.scala 2295:34] - node _T_1649 = bits(_T_1648, 0, 0) @[dec_tlu_ctl.scala 2295:59] - node _T_1650 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2295:89] - node _T_1651 = eq(mhpme_vec[2], UInt<5>("h015")) @[dec_tlu_ctl.scala 2296:34] - node _T_1652 = bits(_T_1651, 0, 0) @[dec_tlu_ctl.scala 2296:59] - node _T_1653 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2296:89] - node _T_1654 = eq(mhpme_vec[2], UInt<5>("h016")) @[dec_tlu_ctl.scala 2297:34] - node _T_1655 = bits(_T_1654, 0, 0) @[dec_tlu_ctl.scala 2297:59] - node _T_1656 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2297:89] - node _T_1657 = eq(mhpme_vec[2], UInt<5>("h017")) @[dec_tlu_ctl.scala 2298:34] - node _T_1658 = bits(_T_1657, 0, 0) @[dec_tlu_ctl.scala 2298:59] - node _T_1659 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2298:89] - node _T_1660 = eq(mhpme_vec[2], UInt<5>("h018")) @[dec_tlu_ctl.scala 2299:34] - node _T_1661 = bits(_T_1660, 0, 0) @[dec_tlu_ctl.scala 2299:59] - node _T_1662 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2299:89] - node _T_1663 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2299:122] - node _T_1664 = or(_T_1662, _T_1663) @[dec_tlu_ctl.scala 2299:101] - node _T_1665 = eq(mhpme_vec[2], UInt<5>("h019")) @[dec_tlu_ctl.scala 2300:34] - node _T_1666 = bits(_T_1665, 0, 0) @[dec_tlu_ctl.scala 2300:62] - node _T_1667 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:95] - node _T_1668 = eq(mhpme_vec[2], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2301:34] - node _T_1669 = bits(_T_1668, 0, 0) @[dec_tlu_ctl.scala 2301:62] - node _T_1670 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2301:97] - node _T_1671 = eq(mhpme_vec[2], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2302:34] - node _T_1672 = bits(_T_1671, 0, 0) @[dec_tlu_ctl.scala 2302:62] - node _T_1673 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2302:110] - node _T_1674 = eq(mhpme_vec[2], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2303:34] - node _T_1675 = bits(_T_1674, 0, 0) @[dec_tlu_ctl.scala 2303:62] - node _T_1676 = eq(mhpme_vec[2], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2304:34] - node _T_1677 = bits(_T_1676, 0, 0) @[dec_tlu_ctl.scala 2304:62] - node _T_1678 = eq(mhpme_vec[2], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2305:34] - node _T_1679 = bits(_T_1678, 0, 0) @[dec_tlu_ctl.scala 2305:62] - node _T_1680 = eq(mhpme_vec[2], UInt<6>("h020")) @[dec_tlu_ctl.scala 2306:34] - node _T_1681 = bits(_T_1680, 0, 0) @[dec_tlu_ctl.scala 2306:62] - node _T_1682 = eq(mhpme_vec[2], UInt<6>("h022")) @[dec_tlu_ctl.scala 2307:34] - node _T_1683 = bits(_T_1682, 0, 0) @[dec_tlu_ctl.scala 2307:62] - node _T_1684 = eq(mhpme_vec[2], UInt<6>("h023")) @[dec_tlu_ctl.scala 2308:34] - node _T_1685 = bits(_T_1684, 0, 0) @[dec_tlu_ctl.scala 2308:62] - node _T_1686 = eq(mhpme_vec[2], UInt<6>("h024")) @[dec_tlu_ctl.scala 2309:34] - node _T_1687 = bits(_T_1686, 0, 0) @[dec_tlu_ctl.scala 2309:62] - node _T_1688 = eq(mhpme_vec[2], UInt<6>("h025")) @[dec_tlu_ctl.scala 2310:34] - node _T_1689 = bits(_T_1688, 0, 0) @[dec_tlu_ctl.scala 2310:62] - node _T_1690 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2310:98] - node _T_1691 = or(_T_1690, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2310:120] - node _T_1692 = eq(mhpme_vec[2], UInt<6>("h026")) @[dec_tlu_ctl.scala 2311:34] - node _T_1693 = bits(_T_1692, 0, 0) @[dec_tlu_ctl.scala 2311:62] - node _T_1694 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2311:92] - node _T_1695 = or(_T_1694, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2311:117] - node _T_1696 = eq(mhpme_vec[2], UInt<6>("h027")) @[dec_tlu_ctl.scala 2312:34] - node _T_1697 = bits(_T_1696, 0, 0) @[dec_tlu_ctl.scala 2312:62] - node _T_1698 = eq(mhpme_vec[2], UInt<6>("h028")) @[dec_tlu_ctl.scala 2313:34] - node _T_1699 = bits(_T_1698, 0, 0) @[dec_tlu_ctl.scala 2313:62] - node _T_1700 = eq(mhpme_vec[2], UInt<6>("h029")) @[dec_tlu_ctl.scala 2314:34] - node _T_1701 = bits(_T_1700, 0, 0) @[dec_tlu_ctl.scala 2314:62] - node _T_1702 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2314:97] - node _T_1703 = and(_T_1702, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2314:129] - node _T_1704 = eq(mhpme_vec[2], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2315:34] - node _T_1705 = bits(_T_1704, 0, 0) @[dec_tlu_ctl.scala 2315:62] - node _T_1706 = eq(mhpme_vec[2], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2316:34] - node _T_1707 = bits(_T_1706, 0, 0) @[dec_tlu_ctl.scala 2316:62] - node _T_1708 = eq(mhpme_vec[2], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2317:34] - node _T_1709 = bits(_T_1708, 0, 0) @[dec_tlu_ctl.scala 2317:62] - node _T_1710 = eq(mhpme_vec[2], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2318:34] - node _T_1711 = bits(_T_1710, 0, 0) @[dec_tlu_ctl.scala 2318:62] - node _T_1712 = eq(mhpme_vec[2], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2319:34] - node _T_1713 = bits(_T_1712, 0, 0) @[dec_tlu_ctl.scala 2319:62] - node _T_1714 = eq(mhpme_vec[2], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2320:34] - node _T_1715 = bits(_T_1714, 0, 0) @[dec_tlu_ctl.scala 2320:62] - node _T_1716 = eq(mhpme_vec[2], UInt<6>("h030")) @[dec_tlu_ctl.scala 2321:34] - node _T_1717 = bits(_T_1716, 0, 0) @[dec_tlu_ctl.scala 2321:62] - node _T_1718 = eq(mhpme_vec[2], UInt<6>("h031")) @[dec_tlu_ctl.scala 2322:34] - node _T_1719 = bits(_T_1718, 0, 0) @[dec_tlu_ctl.scala 2322:62] - node _T_1720 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2322:84] - node _T_1721 = bits(_T_1720, 0, 0) @[dec_tlu_ctl.scala 2322:84] - node _T_1722 = not(_T_1721) @[dec_tlu_ctl.scala 2322:73] - node _T_1723 = eq(mhpme_vec[2], UInt<6>("h032")) @[dec_tlu_ctl.scala 2323:34] - node _T_1724 = bits(_T_1723, 0, 0) @[dec_tlu_ctl.scala 2323:62] - node _T_1725 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2323:84] - node _T_1726 = bits(_T_1725, 0, 0) @[dec_tlu_ctl.scala 2323:84] - node _T_1727 = not(_T_1726) @[dec_tlu_ctl.scala 2323:73] - node _T_1728 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2323:107] - node _T_1729 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2323:118] - node _T_1730 = and(_T_1728, _T_1729) @[dec_tlu_ctl.scala 2323:113] - node _T_1731 = orr(_T_1730) @[dec_tlu_ctl.scala 2323:125] - node _T_1732 = and(_T_1727, _T_1731) @[dec_tlu_ctl.scala 2323:98] - node _T_1733 = eq(mhpme_vec[2], UInt<6>("h036")) @[dec_tlu_ctl.scala 2324:34] - node _T_1734 = bits(_T_1733, 0, 0) @[dec_tlu_ctl.scala 2324:62] - node _T_1735 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2324:91] - node _T_1736 = eq(mhpme_vec[2], UInt<6>("h037")) @[dec_tlu_ctl.scala 2325:34] - node _T_1737 = bits(_T_1736, 0, 0) @[dec_tlu_ctl.scala 2325:62] - node _T_1738 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2325:94] - node _T_1739 = eq(mhpme_vec[2], UInt<6>("h038")) @[dec_tlu_ctl.scala 2326:34] - node _T_1740 = bits(_T_1739, 0, 0) @[dec_tlu_ctl.scala 2326:62] - node _T_1741 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2326:94] - node _T_1742 = eq(mhpme_vec[2], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2328:34] - node _T_1743 = bits(_T_1742, 0, 0) @[dec_tlu_ctl.scala 2328:62] - node _T_1744 = eq(mhpme_vec[2], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2329:34] - node _T_1745 = bits(_T_1744, 0, 0) @[dec_tlu_ctl.scala 2329:62] - node _T_1746 = eq(mhpme_vec[2], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2330:34] - node _T_1747 = bits(_T_1746, 0, 0) @[dec_tlu_ctl.scala 2330:62] - node _T_1748 = eq(mhpme_vec[2], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2331:34] - node _T_1749 = bits(_T_1748, 0, 0) @[dec_tlu_ctl.scala 2331:62] - node _T_1750 = eq(mhpme_vec[2], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2332:34] - node _T_1751 = bits(_T_1750, 0, 0) @[dec_tlu_ctl.scala 2332:62] - node _T_1752 = mux(_T_1586, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1753 = mux(_T_1588, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1754 = mux(_T_1590, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1755 = mux(_T_1592, _T_1594, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1756 = mux(_T_1596, _T_1600, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1757 = mux(_T_1602, _T_1605, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1758 = mux(_T_1607, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1759 = mux(_T_1609, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1760 = mux(_T_1611, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1761 = mux(_T_1613, _T_1614, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1762 = mux(_T_1616, _T_1617, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1763 = mux(_T_1619, _T_1620, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1764 = mux(_T_1622, _T_1623, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1765 = mux(_T_1625, _T_1627, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1766 = mux(_T_1629, _T_1632, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1767 = mux(_T_1634, _T_1635, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1768 = mux(_T_1637, _T_1638, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1769 = mux(_T_1640, _T_1641, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1770 = mux(_T_1643, _T_1644, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1771 = mux(_T_1646, _T_1647, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1772 = mux(_T_1649, _T_1650, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1773 = mux(_T_1652, _T_1653, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1774 = mux(_T_1655, _T_1656, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1775 = mux(_T_1658, _T_1659, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1776 = mux(_T_1661, _T_1664, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1777 = mux(_T_1666, _T_1667, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1778 = mux(_T_1669, _T_1670, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1779 = mux(_T_1672, _T_1673, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1780 = mux(_T_1675, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1781 = mux(_T_1677, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1782 = mux(_T_1679, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1783 = mux(_T_1681, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1784 = mux(_T_1683, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1785 = mux(_T_1685, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1786 = mux(_T_1687, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1787 = mux(_T_1689, _T_1691, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1788 = mux(_T_1693, _T_1695, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1789 = mux(_T_1697, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1790 = mux(_T_1699, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1791 = mux(_T_1701, _T_1703, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1792 = mux(_T_1705, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1793 = mux(_T_1707, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1794 = mux(_T_1709, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1795 = mux(_T_1711, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1796 = mux(_T_1713, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1797 = mux(_T_1715, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1798 = mux(_T_1717, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1799 = mux(_T_1719, _T_1722, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1800 = mux(_T_1724, _T_1732, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1801 = mux(_T_1734, _T_1735, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1802 = mux(_T_1737, _T_1738, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1803 = mux(_T_1740, _T_1741, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1804 = mux(_T_1743, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1805 = mux(_T_1745, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1806 = mux(_T_1747, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1807 = mux(_T_1749, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1808 = mux(_T_1751, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_1809 = or(_T_1752, _T_1753) @[Mux.scala 27:72] - node _T_1810 = or(_T_1809, _T_1754) @[Mux.scala 27:72] - node _T_1811 = or(_T_1810, _T_1755) @[Mux.scala 27:72] - node _T_1812 = or(_T_1811, _T_1756) @[Mux.scala 27:72] - node _T_1813 = or(_T_1812, _T_1757) @[Mux.scala 27:72] - node _T_1814 = or(_T_1813, _T_1758) @[Mux.scala 27:72] - node _T_1815 = or(_T_1814, _T_1759) @[Mux.scala 27:72] - node _T_1816 = or(_T_1815, _T_1760) @[Mux.scala 27:72] - node _T_1817 = or(_T_1816, _T_1761) @[Mux.scala 27:72] - node _T_1818 = or(_T_1817, _T_1762) @[Mux.scala 27:72] - node _T_1819 = or(_T_1818, _T_1763) @[Mux.scala 27:72] + node _T_1581 = or(_T_1580, _T_1525) @[Mux.scala 27:72] + node _T_1582 = or(_T_1581, _T_1526) @[Mux.scala 27:72] + node _T_1583 = or(_T_1582, _T_1527) @[Mux.scala 27:72] + node _T_1584 = or(_T_1583, _T_1528) @[Mux.scala 27:72] + node _T_1585 = or(_T_1584, _T_1529) @[Mux.scala 27:72] + node _T_1586 = or(_T_1585, _T_1530) @[Mux.scala 27:72] + node _T_1587 = or(_T_1586, _T_1531) @[Mux.scala 27:72] + node _T_1588 = or(_T_1587, _T_1532) @[Mux.scala 27:72] + node _T_1589 = or(_T_1588, _T_1533) @[Mux.scala 27:72] + node _T_1590 = or(_T_1589, _T_1534) @[Mux.scala 27:72] + wire _T_1591 : UInt<1> @[Mux.scala 27:72] + _T_1591 <= _T_1590 @[Mux.scala 27:72] + node _T_1592 = and(_T_1310, _T_1591) @[dec_tlu_ctl.scala 2272:44] + mhpmc_inc_r[1] <= _T_1592 @[dec_tlu_ctl.scala 2272:19] + node _T_1593 = bits(mcountinhibit, 5, 5) @[dec_tlu_ctl.scala 2272:38] + node _T_1594 = not(_T_1593) @[dec_tlu_ctl.scala 2272:24] + node _T_1595 = eq(mhpme_vec[2], UInt<1>("h01")) @[dec_tlu_ctl.scala 2273:34] + node _T_1596 = bits(_T_1595, 0, 0) @[dec_tlu_ctl.scala 2273:62] + node _T_1597 = eq(mhpme_vec[2], UInt<2>("h02")) @[dec_tlu_ctl.scala 2274:34] + node _T_1598 = bits(_T_1597, 0, 0) @[dec_tlu_ctl.scala 2274:62] + node _T_1599 = eq(mhpme_vec[2], UInt<2>("h03")) @[dec_tlu_ctl.scala 2275:34] + node _T_1600 = bits(_T_1599, 0, 0) @[dec_tlu_ctl.scala 2275:62] + node _T_1601 = eq(mhpme_vec[2], UInt<3>("h04")) @[dec_tlu_ctl.scala 2276:34] + node _T_1602 = bits(_T_1601, 0, 0) @[dec_tlu_ctl.scala 2276:62] + node _T_1603 = not(io.illegal_r) @[dec_tlu_ctl.scala 2276:96] + node _T_1604 = and(io.tlu_i0_commit_cmt, _T_1603) @[dec_tlu_ctl.scala 2276:94] + node _T_1605 = eq(mhpme_vec[2], UInt<3>("h05")) @[dec_tlu_ctl.scala 2277:34] + node _T_1606 = bits(_T_1605, 0, 0) @[dec_tlu_ctl.scala 2277:62] + node _T_1607 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2277:96] + node _T_1608 = and(io.tlu_i0_commit_cmt, _T_1607) @[dec_tlu_ctl.scala 2277:94] + node _T_1609 = not(io.illegal_r) @[dec_tlu_ctl.scala 2277:117] + node _T_1610 = and(_T_1608, _T_1609) @[dec_tlu_ctl.scala 2277:115] + node _T_1611 = eq(mhpme_vec[2], UInt<3>("h06")) @[dec_tlu_ctl.scala 2278:34] + node _T_1612 = bits(_T_1611, 0, 0) @[dec_tlu_ctl.scala 2278:62] + node _T_1613 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2278:94] + node _T_1614 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:117] + node _T_1615 = and(_T_1613, _T_1614) @[dec_tlu_ctl.scala 2278:115] + node _T_1616 = eq(mhpme_vec[2], UInt<3>("h07")) @[dec_tlu_ctl.scala 2279:34] + node _T_1617 = bits(_T_1616, 0, 0) @[dec_tlu_ctl.scala 2279:62] + node _T_1618 = eq(mhpme_vec[2], UInt<4>("h08")) @[dec_tlu_ctl.scala 2280:34] + node _T_1619 = bits(_T_1618, 0, 0) @[dec_tlu_ctl.scala 2280:62] + node _T_1620 = eq(mhpme_vec[2], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2281:34] + node _T_1621 = bits(_T_1620, 0, 0) @[dec_tlu_ctl.scala 2281:62] + node _T_1622 = eq(mhpme_vec[2], UInt<4>("h09")) @[dec_tlu_ctl.scala 2282:34] + node _T_1623 = bits(_T_1622, 0, 0) @[dec_tlu_ctl.scala 2282:62] + node _T_1624 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2282:91] + node _T_1625 = eq(mhpme_vec[2], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2283:34] + node _T_1626 = bits(_T_1625, 0, 0) @[dec_tlu_ctl.scala 2283:62] + node _T_1627 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2283:105] + node _T_1628 = eq(mhpme_vec[2], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2284:34] + node _T_1629 = bits(_T_1628, 0, 0) @[dec_tlu_ctl.scala 2284:62] + node _T_1630 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2284:91] + node _T_1631 = eq(mhpme_vec[2], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2285:34] + node _T_1632 = bits(_T_1631, 0, 0) @[dec_tlu_ctl.scala 2285:62] + node _T_1633 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2285:91] + node _T_1634 = eq(mhpme_vec[2], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2286:34] + node _T_1635 = bits(_T_1634, 0, 0) @[dec_tlu_ctl.scala 2286:62] + node _T_1636 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] + node _T_1637 = and(_T_1636, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2286:100] + node _T_1638 = eq(mhpme_vec[2], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2287:34] + node _T_1639 = bits(_T_1638, 0, 0) @[dec_tlu_ctl.scala 2287:62] + node _T_1640 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] + node _T_1641 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2287:142] + node _T_1642 = and(_T_1640, _T_1641) @[dec_tlu_ctl.scala 2287:101] + node _T_1643 = eq(mhpme_vec[2], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2288:34] + node _T_1644 = bits(_T_1643, 0, 0) @[dec_tlu_ctl.scala 2288:59] + node _T_1645 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2288:89] + node _T_1646 = eq(mhpme_vec[2], UInt<5>("h010")) @[dec_tlu_ctl.scala 2289:34] + node _T_1647 = bits(_T_1646, 0, 0) @[dec_tlu_ctl.scala 2289:59] + node _T_1648 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2289:89] + node _T_1649 = eq(mhpme_vec[2], UInt<5>("h012")) @[dec_tlu_ctl.scala 2290:34] + node _T_1650 = bits(_T_1649, 0, 0) @[dec_tlu_ctl.scala 2290:59] + node _T_1651 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2290:89] + node _T_1652 = eq(mhpme_vec[2], UInt<5>("h011")) @[dec_tlu_ctl.scala 2291:34] + node _T_1653 = bits(_T_1652, 0, 0) @[dec_tlu_ctl.scala 2291:59] + node _T_1654 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2291:89] + node _T_1655 = eq(mhpme_vec[2], UInt<5>("h013")) @[dec_tlu_ctl.scala 2292:34] + node _T_1656 = bits(_T_1655, 0, 0) @[dec_tlu_ctl.scala 2292:59] + node _T_1657 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2292:89] + node _T_1658 = eq(mhpme_vec[2], UInt<5>("h014")) @[dec_tlu_ctl.scala 2293:34] + node _T_1659 = bits(_T_1658, 0, 0) @[dec_tlu_ctl.scala 2293:59] + node _T_1660 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2293:89] + node _T_1661 = eq(mhpme_vec[2], UInt<5>("h015")) @[dec_tlu_ctl.scala 2294:34] + node _T_1662 = bits(_T_1661, 0, 0) @[dec_tlu_ctl.scala 2294:59] + node _T_1663 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2294:89] + node _T_1664 = eq(mhpme_vec[2], UInt<5>("h016")) @[dec_tlu_ctl.scala 2295:34] + node _T_1665 = bits(_T_1664, 0, 0) @[dec_tlu_ctl.scala 2295:59] + node _T_1666 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2295:89] + node _T_1667 = eq(mhpme_vec[2], UInt<5>("h017")) @[dec_tlu_ctl.scala 2296:34] + node _T_1668 = bits(_T_1667, 0, 0) @[dec_tlu_ctl.scala 2296:59] + node _T_1669 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2296:89] + node _T_1670 = eq(mhpme_vec[2], UInt<5>("h018")) @[dec_tlu_ctl.scala 2297:34] + node _T_1671 = bits(_T_1670, 0, 0) @[dec_tlu_ctl.scala 2297:59] + node _T_1672 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2297:89] + node _T_1673 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2297:122] + node _T_1674 = or(_T_1672, _T_1673) @[dec_tlu_ctl.scala 2297:101] + node _T_1675 = eq(mhpme_vec[2], UInt<5>("h019")) @[dec_tlu_ctl.scala 2298:34] + node _T_1676 = bits(_T_1675, 0, 0) @[dec_tlu_ctl.scala 2298:62] + node _T_1677 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2298:95] + node _T_1678 = eq(mhpme_vec[2], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2299:34] + node _T_1679 = bits(_T_1678, 0, 0) @[dec_tlu_ctl.scala 2299:62] + node _T_1680 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2299:97] + node _T_1681 = eq(mhpme_vec[2], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2300:34] + node _T_1682 = bits(_T_1681, 0, 0) @[dec_tlu_ctl.scala 2300:62] + node _T_1683 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:110] + node _T_1684 = eq(mhpme_vec[2], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2301:34] + node _T_1685 = bits(_T_1684, 0, 0) @[dec_tlu_ctl.scala 2301:62] + node _T_1686 = eq(mhpme_vec[2], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2302:34] + node _T_1687 = bits(_T_1686, 0, 0) @[dec_tlu_ctl.scala 2302:62] + node _T_1688 = eq(mhpme_vec[2], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2303:34] + node _T_1689 = bits(_T_1688, 0, 0) @[dec_tlu_ctl.scala 2303:62] + node _T_1690 = eq(mhpme_vec[2], UInt<6>("h020")) @[dec_tlu_ctl.scala 2304:34] + node _T_1691 = bits(_T_1690, 0, 0) @[dec_tlu_ctl.scala 2304:62] + node _T_1692 = eq(mhpme_vec[2], UInt<6>("h022")) @[dec_tlu_ctl.scala 2305:34] + node _T_1693 = bits(_T_1692, 0, 0) @[dec_tlu_ctl.scala 2305:62] + node _T_1694 = eq(mhpme_vec[2], UInt<6>("h023")) @[dec_tlu_ctl.scala 2306:34] + node _T_1695 = bits(_T_1694, 0, 0) @[dec_tlu_ctl.scala 2306:62] + node _T_1696 = eq(mhpme_vec[2], UInt<6>("h024")) @[dec_tlu_ctl.scala 2307:34] + node _T_1697 = bits(_T_1696, 0, 0) @[dec_tlu_ctl.scala 2307:62] + node _T_1698 = eq(mhpme_vec[2], UInt<6>("h025")) @[dec_tlu_ctl.scala 2308:34] + node _T_1699 = bits(_T_1698, 0, 0) @[dec_tlu_ctl.scala 2308:62] + node _T_1700 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2308:98] + node _T_1701 = or(_T_1700, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2308:120] + node _T_1702 = eq(mhpme_vec[2], UInt<6>("h026")) @[dec_tlu_ctl.scala 2309:34] + node _T_1703 = bits(_T_1702, 0, 0) @[dec_tlu_ctl.scala 2309:62] + node _T_1704 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2309:92] + node _T_1705 = or(_T_1704, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2309:117] + node _T_1706 = eq(mhpme_vec[2], UInt<6>("h027")) @[dec_tlu_ctl.scala 2310:34] + node _T_1707 = bits(_T_1706, 0, 0) @[dec_tlu_ctl.scala 2310:62] + node _T_1708 = eq(mhpme_vec[2], UInt<6>("h028")) @[dec_tlu_ctl.scala 2311:34] + node _T_1709 = bits(_T_1708, 0, 0) @[dec_tlu_ctl.scala 2311:62] + node _T_1710 = eq(mhpme_vec[2], UInt<6>("h029")) @[dec_tlu_ctl.scala 2312:34] + node _T_1711 = bits(_T_1710, 0, 0) @[dec_tlu_ctl.scala 2312:62] + node _T_1712 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2312:97] + node _T_1713 = and(_T_1712, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2312:129] + node _T_1714 = eq(mhpme_vec[2], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2313:34] + node _T_1715 = bits(_T_1714, 0, 0) @[dec_tlu_ctl.scala 2313:62] + node _T_1716 = eq(mhpme_vec[2], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2314:34] + node _T_1717 = bits(_T_1716, 0, 0) @[dec_tlu_ctl.scala 2314:62] + node _T_1718 = eq(mhpme_vec[2], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2315:34] + node _T_1719 = bits(_T_1718, 0, 0) @[dec_tlu_ctl.scala 2315:62] + node _T_1720 = eq(mhpme_vec[2], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2316:34] + node _T_1721 = bits(_T_1720, 0, 0) @[dec_tlu_ctl.scala 2316:62] + node _T_1722 = eq(mhpme_vec[2], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2317:34] + node _T_1723 = bits(_T_1722, 0, 0) @[dec_tlu_ctl.scala 2317:62] + node _T_1724 = eq(mhpme_vec[2], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2318:34] + node _T_1725 = bits(_T_1724, 0, 0) @[dec_tlu_ctl.scala 2318:62] + node _T_1726 = eq(mhpme_vec[2], UInt<6>("h030")) @[dec_tlu_ctl.scala 2319:34] + node _T_1727 = bits(_T_1726, 0, 0) @[dec_tlu_ctl.scala 2319:62] + node _T_1728 = eq(mhpme_vec[2], UInt<6>("h031")) @[dec_tlu_ctl.scala 2320:34] + node _T_1729 = bits(_T_1728, 0, 0) @[dec_tlu_ctl.scala 2320:62] + node _T_1730 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2320:84] + node _T_1731 = bits(_T_1730, 0, 0) @[dec_tlu_ctl.scala 2320:84] + node _T_1732 = not(_T_1731) @[dec_tlu_ctl.scala 2320:73] + node _T_1733 = eq(mhpme_vec[2], UInt<6>("h032")) @[dec_tlu_ctl.scala 2321:34] + node _T_1734 = bits(_T_1733, 0, 0) @[dec_tlu_ctl.scala 2321:62] + node _T_1735 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2321:84] + node _T_1736 = bits(_T_1735, 0, 0) @[dec_tlu_ctl.scala 2321:84] + node _T_1737 = not(_T_1736) @[dec_tlu_ctl.scala 2321:73] + node _T_1738 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2321:107] + node _T_1739 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2321:118] + node _T_1740 = and(_T_1738, _T_1739) @[dec_tlu_ctl.scala 2321:113] + node _T_1741 = orr(_T_1740) @[dec_tlu_ctl.scala 2321:125] + node _T_1742 = and(_T_1737, _T_1741) @[dec_tlu_ctl.scala 2321:98] + node _T_1743 = eq(mhpme_vec[2], UInt<6>("h036")) @[dec_tlu_ctl.scala 2322:34] + node _T_1744 = bits(_T_1743, 0, 0) @[dec_tlu_ctl.scala 2322:62] + node _T_1745 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2322:91] + node _T_1746 = eq(mhpme_vec[2], UInt<6>("h037")) @[dec_tlu_ctl.scala 2323:34] + node _T_1747 = bits(_T_1746, 0, 0) @[dec_tlu_ctl.scala 2323:62] + node _T_1748 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2323:94] + node _T_1749 = eq(mhpme_vec[2], UInt<6>("h038")) @[dec_tlu_ctl.scala 2324:34] + node _T_1750 = bits(_T_1749, 0, 0) @[dec_tlu_ctl.scala 2324:62] + node _T_1751 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2324:94] + node _T_1752 = eq(mhpme_vec[2], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2326:34] + node _T_1753 = bits(_T_1752, 0, 0) @[dec_tlu_ctl.scala 2326:62] + node _T_1754 = eq(mhpme_vec[2], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2327:34] + node _T_1755 = bits(_T_1754, 0, 0) @[dec_tlu_ctl.scala 2327:62] + node _T_1756 = eq(mhpme_vec[2], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2328:34] + node _T_1757 = bits(_T_1756, 0, 0) @[dec_tlu_ctl.scala 2328:62] + node _T_1758 = eq(mhpme_vec[2], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2329:34] + node _T_1759 = bits(_T_1758, 0, 0) @[dec_tlu_ctl.scala 2329:62] + node _T_1760 = eq(mhpme_vec[2], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2330:34] + node _T_1761 = bits(_T_1760, 0, 0) @[dec_tlu_ctl.scala 2330:62] + node _T_1762 = mux(_T_1596, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1763 = mux(_T_1598, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1764 = mux(_T_1600, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1765 = mux(_T_1602, _T_1604, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1766 = mux(_T_1606, _T_1610, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1767 = mux(_T_1612, _T_1615, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1768 = mux(_T_1617, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1769 = mux(_T_1619, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1770 = mux(_T_1621, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1771 = mux(_T_1623, _T_1624, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1772 = mux(_T_1626, _T_1627, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1773 = mux(_T_1629, _T_1630, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1774 = mux(_T_1632, _T_1633, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1775 = mux(_T_1635, _T_1637, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1776 = mux(_T_1639, _T_1642, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1777 = mux(_T_1644, _T_1645, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1778 = mux(_T_1647, _T_1648, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1779 = mux(_T_1650, _T_1651, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1780 = mux(_T_1653, _T_1654, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1781 = mux(_T_1656, _T_1657, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1782 = mux(_T_1659, _T_1660, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1783 = mux(_T_1662, _T_1663, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1784 = mux(_T_1665, _T_1666, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1785 = mux(_T_1668, _T_1669, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1786 = mux(_T_1671, _T_1674, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1787 = mux(_T_1676, _T_1677, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1788 = mux(_T_1679, _T_1680, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1789 = mux(_T_1682, _T_1683, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1790 = mux(_T_1685, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1791 = mux(_T_1687, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1792 = mux(_T_1689, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1793 = mux(_T_1691, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1794 = mux(_T_1693, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1795 = mux(_T_1695, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1796 = mux(_T_1697, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1797 = mux(_T_1699, _T_1701, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1798 = mux(_T_1703, _T_1705, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1799 = mux(_T_1707, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1800 = mux(_T_1709, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1801 = mux(_T_1711, _T_1713, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1802 = mux(_T_1715, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1803 = mux(_T_1717, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1804 = mux(_T_1719, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1805 = mux(_T_1721, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1806 = mux(_T_1723, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1807 = mux(_T_1725, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1808 = mux(_T_1727, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1809 = mux(_T_1729, _T_1732, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1810 = mux(_T_1734, _T_1742, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1811 = mux(_T_1744, _T_1745, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1812 = mux(_T_1747, _T_1748, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1813 = mux(_T_1750, _T_1751, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1814 = mux(_T_1753, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1815 = mux(_T_1755, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1816 = mux(_T_1757, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1817 = mux(_T_1759, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1818 = mux(_T_1761, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_1819 = or(_T_1762, _T_1763) @[Mux.scala 27:72] node _T_1820 = or(_T_1819, _T_1764) @[Mux.scala 27:72] node _T_1821 = or(_T_1820, _T_1765) @[Mux.scala 27:72] node _T_1822 = or(_T_1821, _T_1766) @[Mux.scala 27:72] @@ -75258,247 +75258,247 @@ circuit quasar_wrapper : node _T_1862 = or(_T_1861, _T_1806) @[Mux.scala 27:72] node _T_1863 = or(_T_1862, _T_1807) @[Mux.scala 27:72] node _T_1864 = or(_T_1863, _T_1808) @[Mux.scala 27:72] - wire _T_1865 : UInt<1> @[Mux.scala 27:72] - _T_1865 <= _T_1864 @[Mux.scala 27:72] - node _T_1866 = and(_T_1584, _T_1865) @[dec_tlu_ctl.scala 2274:44] - mhpmc_inc_r[2] <= _T_1866 @[dec_tlu_ctl.scala 2274:19] - node _T_1867 = bits(mcountinhibit, 6, 6) @[dec_tlu_ctl.scala 2274:38] - node _T_1868 = not(_T_1867) @[dec_tlu_ctl.scala 2274:24] - node _T_1869 = eq(mhpme_vec[3], UInt<1>("h01")) @[dec_tlu_ctl.scala 2275:34] - node _T_1870 = bits(_T_1869, 0, 0) @[dec_tlu_ctl.scala 2275:62] - node _T_1871 = eq(mhpme_vec[3], UInt<2>("h02")) @[dec_tlu_ctl.scala 2276:34] - node _T_1872 = bits(_T_1871, 0, 0) @[dec_tlu_ctl.scala 2276:62] - node _T_1873 = eq(mhpme_vec[3], UInt<2>("h03")) @[dec_tlu_ctl.scala 2277:34] - node _T_1874 = bits(_T_1873, 0, 0) @[dec_tlu_ctl.scala 2277:62] - node _T_1875 = eq(mhpme_vec[3], UInt<3>("h04")) @[dec_tlu_ctl.scala 2278:34] - node _T_1876 = bits(_T_1875, 0, 0) @[dec_tlu_ctl.scala 2278:62] - node _T_1877 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:96] - node _T_1878 = and(io.tlu_i0_commit_cmt, _T_1877) @[dec_tlu_ctl.scala 2278:94] - node _T_1879 = eq(mhpme_vec[3], UInt<3>("h05")) @[dec_tlu_ctl.scala 2279:34] - node _T_1880 = bits(_T_1879, 0, 0) @[dec_tlu_ctl.scala 2279:62] - node _T_1881 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2279:96] - node _T_1882 = and(io.tlu_i0_commit_cmt, _T_1881) @[dec_tlu_ctl.scala 2279:94] - node _T_1883 = not(io.illegal_r) @[dec_tlu_ctl.scala 2279:117] - node _T_1884 = and(_T_1882, _T_1883) @[dec_tlu_ctl.scala 2279:115] - node _T_1885 = eq(mhpme_vec[3], UInt<3>("h06")) @[dec_tlu_ctl.scala 2280:34] - node _T_1886 = bits(_T_1885, 0, 0) @[dec_tlu_ctl.scala 2280:62] - node _T_1887 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2280:94] - node _T_1888 = not(io.illegal_r) @[dec_tlu_ctl.scala 2280:117] - node _T_1889 = and(_T_1887, _T_1888) @[dec_tlu_ctl.scala 2280:115] - node _T_1890 = eq(mhpme_vec[3], UInt<3>("h07")) @[dec_tlu_ctl.scala 2281:34] - node _T_1891 = bits(_T_1890, 0, 0) @[dec_tlu_ctl.scala 2281:62] - node _T_1892 = eq(mhpme_vec[3], UInt<4>("h08")) @[dec_tlu_ctl.scala 2282:34] - node _T_1893 = bits(_T_1892, 0, 0) @[dec_tlu_ctl.scala 2282:62] - node _T_1894 = eq(mhpme_vec[3], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2283:34] - node _T_1895 = bits(_T_1894, 0, 0) @[dec_tlu_ctl.scala 2283:62] - node _T_1896 = eq(mhpme_vec[3], UInt<4>("h09")) @[dec_tlu_ctl.scala 2284:34] - node _T_1897 = bits(_T_1896, 0, 0) @[dec_tlu_ctl.scala 2284:62] - node _T_1898 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2284:91] - node _T_1899 = eq(mhpme_vec[3], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2285:34] - node _T_1900 = bits(_T_1899, 0, 0) @[dec_tlu_ctl.scala 2285:62] - node _T_1901 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2285:105] - node _T_1902 = eq(mhpme_vec[3], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2286:34] - node _T_1903 = bits(_T_1902, 0, 0) @[dec_tlu_ctl.scala 2286:62] - node _T_1904 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] - node _T_1905 = eq(mhpme_vec[3], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2287:34] - node _T_1906 = bits(_T_1905, 0, 0) @[dec_tlu_ctl.scala 2287:62] - node _T_1907 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] - node _T_1908 = eq(mhpme_vec[3], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2288:34] - node _T_1909 = bits(_T_1908, 0, 0) @[dec_tlu_ctl.scala 2288:62] - node _T_1910 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2288:91] - node _T_1911 = and(_T_1910, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2288:100] - node _T_1912 = eq(mhpme_vec[3], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2289:34] - node _T_1913 = bits(_T_1912, 0, 0) @[dec_tlu_ctl.scala 2289:62] - node _T_1914 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2289:91] - node _T_1915 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2289:142] - node _T_1916 = and(_T_1914, _T_1915) @[dec_tlu_ctl.scala 2289:101] - node _T_1917 = eq(mhpme_vec[3], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2290:34] - node _T_1918 = bits(_T_1917, 0, 0) @[dec_tlu_ctl.scala 2290:59] - node _T_1919 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2290:89] - node _T_1920 = eq(mhpme_vec[3], UInt<5>("h010")) @[dec_tlu_ctl.scala 2291:34] - node _T_1921 = bits(_T_1920, 0, 0) @[dec_tlu_ctl.scala 2291:59] - node _T_1922 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2291:89] - node _T_1923 = eq(mhpme_vec[3], UInt<5>("h012")) @[dec_tlu_ctl.scala 2292:34] - node _T_1924 = bits(_T_1923, 0, 0) @[dec_tlu_ctl.scala 2292:59] - node _T_1925 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2292:89] - node _T_1926 = eq(mhpme_vec[3], UInt<5>("h011")) @[dec_tlu_ctl.scala 2293:34] - node _T_1927 = bits(_T_1926, 0, 0) @[dec_tlu_ctl.scala 2293:59] - node _T_1928 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2293:89] - node _T_1929 = eq(mhpme_vec[3], UInt<5>("h013")) @[dec_tlu_ctl.scala 2294:34] - node _T_1930 = bits(_T_1929, 0, 0) @[dec_tlu_ctl.scala 2294:59] - node _T_1931 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2294:89] - node _T_1932 = eq(mhpme_vec[3], UInt<5>("h014")) @[dec_tlu_ctl.scala 2295:34] - node _T_1933 = bits(_T_1932, 0, 0) @[dec_tlu_ctl.scala 2295:59] - node _T_1934 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2295:89] - node _T_1935 = eq(mhpme_vec[3], UInt<5>("h015")) @[dec_tlu_ctl.scala 2296:34] - node _T_1936 = bits(_T_1935, 0, 0) @[dec_tlu_ctl.scala 2296:59] - node _T_1937 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2296:89] - node _T_1938 = eq(mhpme_vec[3], UInt<5>("h016")) @[dec_tlu_ctl.scala 2297:34] - node _T_1939 = bits(_T_1938, 0, 0) @[dec_tlu_ctl.scala 2297:59] - node _T_1940 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2297:89] - node _T_1941 = eq(mhpme_vec[3], UInt<5>("h017")) @[dec_tlu_ctl.scala 2298:34] - node _T_1942 = bits(_T_1941, 0, 0) @[dec_tlu_ctl.scala 2298:59] - node _T_1943 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2298:89] - node _T_1944 = eq(mhpme_vec[3], UInt<5>("h018")) @[dec_tlu_ctl.scala 2299:34] - node _T_1945 = bits(_T_1944, 0, 0) @[dec_tlu_ctl.scala 2299:59] - node _T_1946 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2299:89] - node _T_1947 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2299:122] - node _T_1948 = or(_T_1946, _T_1947) @[dec_tlu_ctl.scala 2299:101] - node _T_1949 = eq(mhpme_vec[3], UInt<5>("h019")) @[dec_tlu_ctl.scala 2300:34] - node _T_1950 = bits(_T_1949, 0, 0) @[dec_tlu_ctl.scala 2300:62] - node _T_1951 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:95] - node _T_1952 = eq(mhpme_vec[3], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2301:34] - node _T_1953 = bits(_T_1952, 0, 0) @[dec_tlu_ctl.scala 2301:62] - node _T_1954 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2301:97] - node _T_1955 = eq(mhpme_vec[3], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2302:34] - node _T_1956 = bits(_T_1955, 0, 0) @[dec_tlu_ctl.scala 2302:62] - node _T_1957 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2302:110] - node _T_1958 = eq(mhpme_vec[3], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2303:34] - node _T_1959 = bits(_T_1958, 0, 0) @[dec_tlu_ctl.scala 2303:62] - node _T_1960 = eq(mhpme_vec[3], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2304:34] - node _T_1961 = bits(_T_1960, 0, 0) @[dec_tlu_ctl.scala 2304:62] - node _T_1962 = eq(mhpme_vec[3], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2305:34] - node _T_1963 = bits(_T_1962, 0, 0) @[dec_tlu_ctl.scala 2305:62] - node _T_1964 = eq(mhpme_vec[3], UInt<6>("h020")) @[dec_tlu_ctl.scala 2306:34] - node _T_1965 = bits(_T_1964, 0, 0) @[dec_tlu_ctl.scala 2306:62] - node _T_1966 = eq(mhpme_vec[3], UInt<6>("h022")) @[dec_tlu_ctl.scala 2307:34] - node _T_1967 = bits(_T_1966, 0, 0) @[dec_tlu_ctl.scala 2307:62] - node _T_1968 = eq(mhpme_vec[3], UInt<6>("h023")) @[dec_tlu_ctl.scala 2308:34] - node _T_1969 = bits(_T_1968, 0, 0) @[dec_tlu_ctl.scala 2308:62] - node _T_1970 = eq(mhpme_vec[3], UInt<6>("h024")) @[dec_tlu_ctl.scala 2309:34] - node _T_1971 = bits(_T_1970, 0, 0) @[dec_tlu_ctl.scala 2309:62] - node _T_1972 = eq(mhpme_vec[3], UInt<6>("h025")) @[dec_tlu_ctl.scala 2310:34] - node _T_1973 = bits(_T_1972, 0, 0) @[dec_tlu_ctl.scala 2310:62] - node _T_1974 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2310:98] - node _T_1975 = or(_T_1974, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2310:120] - node _T_1976 = eq(mhpme_vec[3], UInt<6>("h026")) @[dec_tlu_ctl.scala 2311:34] - node _T_1977 = bits(_T_1976, 0, 0) @[dec_tlu_ctl.scala 2311:62] - node _T_1978 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2311:92] - node _T_1979 = or(_T_1978, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2311:117] - node _T_1980 = eq(mhpme_vec[3], UInt<6>("h027")) @[dec_tlu_ctl.scala 2312:34] - node _T_1981 = bits(_T_1980, 0, 0) @[dec_tlu_ctl.scala 2312:62] - node _T_1982 = eq(mhpme_vec[3], UInt<6>("h028")) @[dec_tlu_ctl.scala 2313:34] - node _T_1983 = bits(_T_1982, 0, 0) @[dec_tlu_ctl.scala 2313:62] - node _T_1984 = eq(mhpme_vec[3], UInt<6>("h029")) @[dec_tlu_ctl.scala 2314:34] - node _T_1985 = bits(_T_1984, 0, 0) @[dec_tlu_ctl.scala 2314:62] - node _T_1986 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2314:97] - node _T_1987 = and(_T_1986, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2314:129] - node _T_1988 = eq(mhpme_vec[3], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2315:34] - node _T_1989 = bits(_T_1988, 0, 0) @[dec_tlu_ctl.scala 2315:62] - node _T_1990 = eq(mhpme_vec[3], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2316:34] - node _T_1991 = bits(_T_1990, 0, 0) @[dec_tlu_ctl.scala 2316:62] - node _T_1992 = eq(mhpme_vec[3], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2317:34] - node _T_1993 = bits(_T_1992, 0, 0) @[dec_tlu_ctl.scala 2317:62] - node _T_1994 = eq(mhpme_vec[3], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2318:34] - node _T_1995 = bits(_T_1994, 0, 0) @[dec_tlu_ctl.scala 2318:62] - node _T_1996 = eq(mhpme_vec[3], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2319:34] - node _T_1997 = bits(_T_1996, 0, 0) @[dec_tlu_ctl.scala 2319:62] - node _T_1998 = eq(mhpme_vec[3], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2320:34] - node _T_1999 = bits(_T_1998, 0, 0) @[dec_tlu_ctl.scala 2320:62] - node _T_2000 = eq(mhpme_vec[3], UInt<6>("h030")) @[dec_tlu_ctl.scala 2321:34] - node _T_2001 = bits(_T_2000, 0, 0) @[dec_tlu_ctl.scala 2321:62] - node _T_2002 = eq(mhpme_vec[3], UInt<6>("h031")) @[dec_tlu_ctl.scala 2322:34] - node _T_2003 = bits(_T_2002, 0, 0) @[dec_tlu_ctl.scala 2322:62] - node _T_2004 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2322:84] - node _T_2005 = bits(_T_2004, 0, 0) @[dec_tlu_ctl.scala 2322:84] - node _T_2006 = not(_T_2005) @[dec_tlu_ctl.scala 2322:73] - node _T_2007 = eq(mhpme_vec[3], UInt<6>("h032")) @[dec_tlu_ctl.scala 2323:34] - node _T_2008 = bits(_T_2007, 0, 0) @[dec_tlu_ctl.scala 2323:62] - node _T_2009 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2323:84] - node _T_2010 = bits(_T_2009, 0, 0) @[dec_tlu_ctl.scala 2323:84] - node _T_2011 = not(_T_2010) @[dec_tlu_ctl.scala 2323:73] - node _T_2012 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2323:107] - node _T_2013 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2323:118] - node _T_2014 = and(_T_2012, _T_2013) @[dec_tlu_ctl.scala 2323:113] - node _T_2015 = orr(_T_2014) @[dec_tlu_ctl.scala 2323:125] - node _T_2016 = and(_T_2011, _T_2015) @[dec_tlu_ctl.scala 2323:98] - node _T_2017 = eq(mhpme_vec[3], UInt<6>("h036")) @[dec_tlu_ctl.scala 2324:34] - node _T_2018 = bits(_T_2017, 0, 0) @[dec_tlu_ctl.scala 2324:62] - node _T_2019 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2324:91] - node _T_2020 = eq(mhpme_vec[3], UInt<6>("h037")) @[dec_tlu_ctl.scala 2325:34] - node _T_2021 = bits(_T_2020, 0, 0) @[dec_tlu_ctl.scala 2325:62] - node _T_2022 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2325:94] - node _T_2023 = eq(mhpme_vec[3], UInt<6>("h038")) @[dec_tlu_ctl.scala 2326:34] - node _T_2024 = bits(_T_2023, 0, 0) @[dec_tlu_ctl.scala 2326:62] - node _T_2025 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2326:94] - node _T_2026 = eq(mhpme_vec[3], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2328:34] - node _T_2027 = bits(_T_2026, 0, 0) @[dec_tlu_ctl.scala 2328:62] - node _T_2028 = eq(mhpme_vec[3], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2329:34] - node _T_2029 = bits(_T_2028, 0, 0) @[dec_tlu_ctl.scala 2329:62] - node _T_2030 = eq(mhpme_vec[3], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2330:34] - node _T_2031 = bits(_T_2030, 0, 0) @[dec_tlu_ctl.scala 2330:62] - node _T_2032 = eq(mhpme_vec[3], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2331:34] - node _T_2033 = bits(_T_2032, 0, 0) @[dec_tlu_ctl.scala 2331:62] - node _T_2034 = eq(mhpme_vec[3], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2332:34] - node _T_2035 = bits(_T_2034, 0, 0) @[dec_tlu_ctl.scala 2332:62] - node _T_2036 = mux(_T_1870, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2037 = mux(_T_1872, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2038 = mux(_T_1874, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2039 = mux(_T_1876, _T_1878, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2040 = mux(_T_1880, _T_1884, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2041 = mux(_T_1886, _T_1889, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2042 = mux(_T_1891, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2043 = mux(_T_1893, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2044 = mux(_T_1895, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2045 = mux(_T_1897, _T_1898, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2046 = mux(_T_1900, _T_1901, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2047 = mux(_T_1903, _T_1904, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2048 = mux(_T_1906, _T_1907, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2049 = mux(_T_1909, _T_1911, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2050 = mux(_T_1913, _T_1916, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2051 = mux(_T_1918, _T_1919, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2052 = mux(_T_1921, _T_1922, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2053 = mux(_T_1924, _T_1925, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2054 = mux(_T_1927, _T_1928, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2055 = mux(_T_1930, _T_1931, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2056 = mux(_T_1933, _T_1934, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2057 = mux(_T_1936, _T_1937, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2058 = mux(_T_1939, _T_1940, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2059 = mux(_T_1942, _T_1943, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2060 = mux(_T_1945, _T_1948, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2061 = mux(_T_1950, _T_1951, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2062 = mux(_T_1953, _T_1954, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2063 = mux(_T_1956, _T_1957, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2064 = mux(_T_1959, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2065 = mux(_T_1961, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2066 = mux(_T_1963, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2067 = mux(_T_1965, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2068 = mux(_T_1967, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2069 = mux(_T_1969, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2070 = mux(_T_1971, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2071 = mux(_T_1973, _T_1975, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2072 = mux(_T_1977, _T_1979, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2073 = mux(_T_1981, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2074 = mux(_T_1983, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2075 = mux(_T_1985, _T_1987, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2076 = mux(_T_1989, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2077 = mux(_T_1991, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2078 = mux(_T_1993, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2079 = mux(_T_1995, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2080 = mux(_T_1997, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2081 = mux(_T_1999, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2082 = mux(_T_2001, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2083 = mux(_T_2003, _T_2006, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2084 = mux(_T_2008, _T_2016, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2085 = mux(_T_2018, _T_2019, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2086 = mux(_T_2021, _T_2022, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2087 = mux(_T_2024, _T_2025, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2088 = mux(_T_2027, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2089 = mux(_T_2029, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2090 = mux(_T_2031, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2091 = mux(_T_2033, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2092 = mux(_T_2035, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2093 = or(_T_2036, _T_2037) @[Mux.scala 27:72] - node _T_2094 = or(_T_2093, _T_2038) @[Mux.scala 27:72] - node _T_2095 = or(_T_2094, _T_2039) @[Mux.scala 27:72] - node _T_2096 = or(_T_2095, _T_2040) @[Mux.scala 27:72] - node _T_2097 = or(_T_2096, _T_2041) @[Mux.scala 27:72] - node _T_2098 = or(_T_2097, _T_2042) @[Mux.scala 27:72] - node _T_2099 = or(_T_2098, _T_2043) @[Mux.scala 27:72] - node _T_2100 = or(_T_2099, _T_2044) @[Mux.scala 27:72] - node _T_2101 = or(_T_2100, _T_2045) @[Mux.scala 27:72] - node _T_2102 = or(_T_2101, _T_2046) @[Mux.scala 27:72] - node _T_2103 = or(_T_2102, _T_2047) @[Mux.scala 27:72] + node _T_1865 = or(_T_1864, _T_1809) @[Mux.scala 27:72] + node _T_1866 = or(_T_1865, _T_1810) @[Mux.scala 27:72] + node _T_1867 = or(_T_1866, _T_1811) @[Mux.scala 27:72] + node _T_1868 = or(_T_1867, _T_1812) @[Mux.scala 27:72] + node _T_1869 = or(_T_1868, _T_1813) @[Mux.scala 27:72] + node _T_1870 = or(_T_1869, _T_1814) @[Mux.scala 27:72] + node _T_1871 = or(_T_1870, _T_1815) @[Mux.scala 27:72] + node _T_1872 = or(_T_1871, _T_1816) @[Mux.scala 27:72] + node _T_1873 = or(_T_1872, _T_1817) @[Mux.scala 27:72] + node _T_1874 = or(_T_1873, _T_1818) @[Mux.scala 27:72] + wire _T_1875 : UInt<1> @[Mux.scala 27:72] + _T_1875 <= _T_1874 @[Mux.scala 27:72] + node _T_1876 = and(_T_1594, _T_1875) @[dec_tlu_ctl.scala 2272:44] + mhpmc_inc_r[2] <= _T_1876 @[dec_tlu_ctl.scala 2272:19] + node _T_1877 = bits(mcountinhibit, 6, 6) @[dec_tlu_ctl.scala 2272:38] + node _T_1878 = not(_T_1877) @[dec_tlu_ctl.scala 2272:24] + node _T_1879 = eq(mhpme_vec[3], UInt<1>("h01")) @[dec_tlu_ctl.scala 2273:34] + node _T_1880 = bits(_T_1879, 0, 0) @[dec_tlu_ctl.scala 2273:62] + node _T_1881 = eq(mhpme_vec[3], UInt<2>("h02")) @[dec_tlu_ctl.scala 2274:34] + node _T_1882 = bits(_T_1881, 0, 0) @[dec_tlu_ctl.scala 2274:62] + node _T_1883 = eq(mhpme_vec[3], UInt<2>("h03")) @[dec_tlu_ctl.scala 2275:34] + node _T_1884 = bits(_T_1883, 0, 0) @[dec_tlu_ctl.scala 2275:62] + node _T_1885 = eq(mhpme_vec[3], UInt<3>("h04")) @[dec_tlu_ctl.scala 2276:34] + node _T_1886 = bits(_T_1885, 0, 0) @[dec_tlu_ctl.scala 2276:62] + node _T_1887 = not(io.illegal_r) @[dec_tlu_ctl.scala 2276:96] + node _T_1888 = and(io.tlu_i0_commit_cmt, _T_1887) @[dec_tlu_ctl.scala 2276:94] + node _T_1889 = eq(mhpme_vec[3], UInt<3>("h05")) @[dec_tlu_ctl.scala 2277:34] + node _T_1890 = bits(_T_1889, 0, 0) @[dec_tlu_ctl.scala 2277:62] + node _T_1891 = not(io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2277:96] + node _T_1892 = and(io.tlu_i0_commit_cmt, _T_1891) @[dec_tlu_ctl.scala 2277:94] + node _T_1893 = not(io.illegal_r) @[dec_tlu_ctl.scala 2277:117] + node _T_1894 = and(_T_1892, _T_1893) @[dec_tlu_ctl.scala 2277:115] + node _T_1895 = eq(mhpme_vec[3], UInt<3>("h06")) @[dec_tlu_ctl.scala 2278:34] + node _T_1896 = bits(_T_1895, 0, 0) @[dec_tlu_ctl.scala 2278:62] + node _T_1897 = and(io.tlu_i0_commit_cmt, io.exu_pmu_i0_pc4) @[dec_tlu_ctl.scala 2278:94] + node _T_1898 = not(io.illegal_r) @[dec_tlu_ctl.scala 2278:117] + node _T_1899 = and(_T_1897, _T_1898) @[dec_tlu_ctl.scala 2278:115] + node _T_1900 = eq(mhpme_vec[3], UInt<3>("h07")) @[dec_tlu_ctl.scala 2279:34] + node _T_1901 = bits(_T_1900, 0, 0) @[dec_tlu_ctl.scala 2279:62] + node _T_1902 = eq(mhpme_vec[3], UInt<4>("h08")) @[dec_tlu_ctl.scala 2280:34] + node _T_1903 = bits(_T_1902, 0, 0) @[dec_tlu_ctl.scala 2280:62] + node _T_1904 = eq(mhpme_vec[3], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2281:34] + node _T_1905 = bits(_T_1904, 0, 0) @[dec_tlu_ctl.scala 2281:62] + node _T_1906 = eq(mhpme_vec[3], UInt<4>("h09")) @[dec_tlu_ctl.scala 2282:34] + node _T_1907 = bits(_T_1906, 0, 0) @[dec_tlu_ctl.scala 2282:62] + node _T_1908 = eq(pmu_i0_itype_qual, UInt<4>("h01")) @[dec_tlu_ctl.scala 2282:91] + node _T_1909 = eq(mhpme_vec[3], UInt<4>("h0a")) @[dec_tlu_ctl.scala 2283:34] + node _T_1910 = bits(_T_1909, 0, 0) @[dec_tlu_ctl.scala 2283:62] + node _T_1911 = and(io.dec_tlu_packet_r.pmu_divide, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2283:105] + node _T_1912 = eq(mhpme_vec[3], UInt<4>("h0b")) @[dec_tlu_ctl.scala 2284:34] + node _T_1913 = bits(_T_1912, 0, 0) @[dec_tlu_ctl.scala 2284:62] + node _T_1914 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2284:91] + node _T_1915 = eq(mhpme_vec[3], UInt<4>("h0c")) @[dec_tlu_ctl.scala 2285:34] + node _T_1916 = bits(_T_1915, 0, 0) @[dec_tlu_ctl.scala 2285:62] + node _T_1917 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2285:91] + node _T_1918 = eq(mhpme_vec[3], UInt<4>("h0d")) @[dec_tlu_ctl.scala 2286:34] + node _T_1919 = bits(_T_1918, 0, 0) @[dec_tlu_ctl.scala 2286:62] + node _T_1920 = eq(pmu_i0_itype_qual, UInt<4>("h02")) @[dec_tlu_ctl.scala 2286:91] + node _T_1921 = and(_T_1920, io.dec_tlu_packet_r.pmu_lsu_misaligned) @[dec_tlu_ctl.scala 2286:100] + node _T_1922 = eq(mhpme_vec[3], UInt<4>("h0e")) @[dec_tlu_ctl.scala 2287:34] + node _T_1923 = bits(_T_1922, 0, 0) @[dec_tlu_ctl.scala 2287:62] + node _T_1924 = eq(pmu_i0_itype_qual, UInt<4>("h03")) @[dec_tlu_ctl.scala 2287:91] + node _T_1925 = bits(io.dec_tlu_packet_r.pmu_lsu_misaligned, 0, 0) @[dec_tlu_ctl.scala 2287:142] + node _T_1926 = and(_T_1924, _T_1925) @[dec_tlu_ctl.scala 2287:101] + node _T_1927 = eq(mhpme_vec[3], UInt<4>("h0f")) @[dec_tlu_ctl.scala 2288:34] + node _T_1928 = bits(_T_1927, 0, 0) @[dec_tlu_ctl.scala 2288:59] + node _T_1929 = eq(pmu_i0_itype_qual, UInt<4>("h04")) @[dec_tlu_ctl.scala 2288:89] + node _T_1930 = eq(mhpme_vec[3], UInt<5>("h010")) @[dec_tlu_ctl.scala 2289:34] + node _T_1931 = bits(_T_1930, 0, 0) @[dec_tlu_ctl.scala 2289:59] + node _T_1932 = eq(pmu_i0_itype_qual, UInt<4>("h05")) @[dec_tlu_ctl.scala 2289:89] + node _T_1933 = eq(mhpme_vec[3], UInt<5>("h012")) @[dec_tlu_ctl.scala 2290:34] + node _T_1934 = bits(_T_1933, 0, 0) @[dec_tlu_ctl.scala 2290:59] + node _T_1935 = eq(pmu_i0_itype_qual, UInt<4>("h06")) @[dec_tlu_ctl.scala 2290:89] + node _T_1936 = eq(mhpme_vec[3], UInt<5>("h011")) @[dec_tlu_ctl.scala 2291:34] + node _T_1937 = bits(_T_1936, 0, 0) @[dec_tlu_ctl.scala 2291:59] + node _T_1938 = eq(pmu_i0_itype_qual, UInt<4>("h07")) @[dec_tlu_ctl.scala 2291:89] + node _T_1939 = eq(mhpme_vec[3], UInt<5>("h013")) @[dec_tlu_ctl.scala 2292:34] + node _T_1940 = bits(_T_1939, 0, 0) @[dec_tlu_ctl.scala 2292:59] + node _T_1941 = eq(pmu_i0_itype_qual, UInt<4>("h08")) @[dec_tlu_ctl.scala 2292:89] + node _T_1942 = eq(mhpme_vec[3], UInt<5>("h014")) @[dec_tlu_ctl.scala 2293:34] + node _T_1943 = bits(_T_1942, 0, 0) @[dec_tlu_ctl.scala 2293:59] + node _T_1944 = eq(pmu_i0_itype_qual, UInt<4>("h09")) @[dec_tlu_ctl.scala 2293:89] + node _T_1945 = eq(mhpme_vec[3], UInt<5>("h015")) @[dec_tlu_ctl.scala 2294:34] + node _T_1946 = bits(_T_1945, 0, 0) @[dec_tlu_ctl.scala 2294:59] + node _T_1947 = eq(pmu_i0_itype_qual, UInt<4>("h0a")) @[dec_tlu_ctl.scala 2294:89] + node _T_1948 = eq(mhpme_vec[3], UInt<5>("h016")) @[dec_tlu_ctl.scala 2295:34] + node _T_1949 = bits(_T_1948, 0, 0) @[dec_tlu_ctl.scala 2295:59] + node _T_1950 = eq(pmu_i0_itype_qual, UInt<4>("h0b")) @[dec_tlu_ctl.scala 2295:89] + node _T_1951 = eq(mhpme_vec[3], UInt<5>("h017")) @[dec_tlu_ctl.scala 2296:34] + node _T_1952 = bits(_T_1951, 0, 0) @[dec_tlu_ctl.scala 2296:59] + node _T_1953 = eq(pmu_i0_itype_qual, UInt<4>("h0c")) @[dec_tlu_ctl.scala 2296:89] + node _T_1954 = eq(mhpme_vec[3], UInt<5>("h018")) @[dec_tlu_ctl.scala 2297:34] + node _T_1955 = bits(_T_1954, 0, 0) @[dec_tlu_ctl.scala 2297:59] + node _T_1956 = eq(pmu_i0_itype_qual, UInt<4>("h0d")) @[dec_tlu_ctl.scala 2297:89] + node _T_1957 = eq(pmu_i0_itype_qual, UInt<4>("h0e")) @[dec_tlu_ctl.scala 2297:122] + node _T_1958 = or(_T_1956, _T_1957) @[dec_tlu_ctl.scala 2297:101] + node _T_1959 = eq(mhpme_vec[3], UInt<5>("h019")) @[dec_tlu_ctl.scala 2298:34] + node _T_1960 = bits(_T_1959, 0, 0) @[dec_tlu_ctl.scala 2298:62] + node _T_1961 = and(io.exu_pmu_i0_br_misp, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2298:95] + node _T_1962 = eq(mhpme_vec[3], UInt<5>("h01a")) @[dec_tlu_ctl.scala 2299:34] + node _T_1963 = bits(_T_1962, 0, 0) @[dec_tlu_ctl.scala 2299:62] + node _T_1964 = and(io.exu_pmu_i0_br_ataken, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2299:97] + node _T_1965 = eq(mhpme_vec[3], UInt<5>("h01b")) @[dec_tlu_ctl.scala 2300:34] + node _T_1966 = bits(_T_1965, 0, 0) @[dec_tlu_ctl.scala 2300:62] + node _T_1967 = and(io.dec_tlu_packet_r.pmu_i0_br_unpred, io.tlu_i0_commit_cmt) @[dec_tlu_ctl.scala 2300:110] + node _T_1968 = eq(mhpme_vec[3], UInt<5>("h01c")) @[dec_tlu_ctl.scala 2301:34] + node _T_1969 = bits(_T_1968, 0, 0) @[dec_tlu_ctl.scala 2301:62] + node _T_1970 = eq(mhpme_vec[3], UInt<5>("h01e")) @[dec_tlu_ctl.scala 2302:34] + node _T_1971 = bits(_T_1970, 0, 0) @[dec_tlu_ctl.scala 2302:62] + node _T_1972 = eq(mhpme_vec[3], UInt<5>("h01f")) @[dec_tlu_ctl.scala 2303:34] + node _T_1973 = bits(_T_1972, 0, 0) @[dec_tlu_ctl.scala 2303:62] + node _T_1974 = eq(mhpme_vec[3], UInt<6>("h020")) @[dec_tlu_ctl.scala 2304:34] + node _T_1975 = bits(_T_1974, 0, 0) @[dec_tlu_ctl.scala 2304:62] + node _T_1976 = eq(mhpme_vec[3], UInt<6>("h022")) @[dec_tlu_ctl.scala 2305:34] + node _T_1977 = bits(_T_1976, 0, 0) @[dec_tlu_ctl.scala 2305:62] + node _T_1978 = eq(mhpme_vec[3], UInt<6>("h023")) @[dec_tlu_ctl.scala 2306:34] + node _T_1979 = bits(_T_1978, 0, 0) @[dec_tlu_ctl.scala 2306:62] + node _T_1980 = eq(mhpme_vec[3], UInt<6>("h024")) @[dec_tlu_ctl.scala 2307:34] + node _T_1981 = bits(_T_1980, 0, 0) @[dec_tlu_ctl.scala 2307:62] + node _T_1982 = eq(mhpme_vec[3], UInt<6>("h025")) @[dec_tlu_ctl.scala 2308:34] + node _T_1983 = bits(_T_1982, 0, 0) @[dec_tlu_ctl.scala 2308:62] + node _T_1984 = or(io.i0_exception_valid_r, io.i0_trigger_hit_r) @[dec_tlu_ctl.scala 2308:98] + node _T_1985 = or(_T_1984, io.lsu_exc_valid_r) @[dec_tlu_ctl.scala 2308:120] + node _T_1986 = eq(mhpme_vec[3], UInt<6>("h026")) @[dec_tlu_ctl.scala 2309:34] + node _T_1987 = bits(_T_1986, 0, 0) @[dec_tlu_ctl.scala 2309:62] + node _T_1988 = or(io.take_timer_int, io.take_int_timer0_int) @[dec_tlu_ctl.scala 2309:92] + node _T_1989 = or(_T_1988, io.take_int_timer1_int) @[dec_tlu_ctl.scala 2309:117] + node _T_1990 = eq(mhpme_vec[3], UInt<6>("h027")) @[dec_tlu_ctl.scala 2310:34] + node _T_1991 = bits(_T_1990, 0, 0) @[dec_tlu_ctl.scala 2310:62] + node _T_1992 = eq(mhpme_vec[3], UInt<6>("h028")) @[dec_tlu_ctl.scala 2311:34] + node _T_1993 = bits(_T_1992, 0, 0) @[dec_tlu_ctl.scala 2311:62] + node _T_1994 = eq(mhpme_vec[3], UInt<6>("h029")) @[dec_tlu_ctl.scala 2312:34] + node _T_1995 = bits(_T_1994, 0, 0) @[dec_tlu_ctl.scala 2312:62] + node _T_1996 = or(io.dec_tlu_br0_error_r, io.dec_tlu_br0_start_error_r) @[dec_tlu_ctl.scala 2312:97] + node _T_1997 = and(_T_1996, io.rfpc_i0_r) @[dec_tlu_ctl.scala 2312:129] + node _T_1998 = eq(mhpme_vec[3], UInt<6>("h02a")) @[dec_tlu_ctl.scala 2313:34] + node _T_1999 = bits(_T_1998, 0, 0) @[dec_tlu_ctl.scala 2313:62] + node _T_2000 = eq(mhpme_vec[3], UInt<6>("h02b")) @[dec_tlu_ctl.scala 2314:34] + node _T_2001 = bits(_T_2000, 0, 0) @[dec_tlu_ctl.scala 2314:62] + node _T_2002 = eq(mhpme_vec[3], UInt<6>("h02c")) @[dec_tlu_ctl.scala 2315:34] + node _T_2003 = bits(_T_2002, 0, 0) @[dec_tlu_ctl.scala 2315:62] + node _T_2004 = eq(mhpme_vec[3], UInt<6>("h02d")) @[dec_tlu_ctl.scala 2316:34] + node _T_2005 = bits(_T_2004, 0, 0) @[dec_tlu_ctl.scala 2316:62] + node _T_2006 = eq(mhpme_vec[3], UInt<6>("h02e")) @[dec_tlu_ctl.scala 2317:34] + node _T_2007 = bits(_T_2006, 0, 0) @[dec_tlu_ctl.scala 2317:62] + node _T_2008 = eq(mhpme_vec[3], UInt<6>("h02f")) @[dec_tlu_ctl.scala 2318:34] + node _T_2009 = bits(_T_2008, 0, 0) @[dec_tlu_ctl.scala 2318:62] + node _T_2010 = eq(mhpme_vec[3], UInt<6>("h030")) @[dec_tlu_ctl.scala 2319:34] + node _T_2011 = bits(_T_2010, 0, 0) @[dec_tlu_ctl.scala 2319:62] + node _T_2012 = eq(mhpme_vec[3], UInt<6>("h031")) @[dec_tlu_ctl.scala 2320:34] + node _T_2013 = bits(_T_2012, 0, 0) @[dec_tlu_ctl.scala 2320:62] + node _T_2014 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2320:84] + node _T_2015 = bits(_T_2014, 0, 0) @[dec_tlu_ctl.scala 2320:84] + node _T_2016 = not(_T_2015) @[dec_tlu_ctl.scala 2320:73] + node _T_2017 = eq(mhpme_vec[3], UInt<6>("h032")) @[dec_tlu_ctl.scala 2321:34] + node _T_2018 = bits(_T_2017, 0, 0) @[dec_tlu_ctl.scala 2321:62] + node _T_2019 = dshr(io.mstatus, UInt<1>("h00")) @[dec_tlu_ctl.scala 2321:84] + node _T_2020 = bits(_T_2019, 0, 0) @[dec_tlu_ctl.scala 2321:84] + node _T_2021 = not(_T_2020) @[dec_tlu_ctl.scala 2321:73] + node _T_2022 = bits(io.mip, 5, 0) @[dec_tlu_ctl.scala 2321:107] + node _T_2023 = bits(mie, 5, 0) @[dec_tlu_ctl.scala 2321:118] + node _T_2024 = and(_T_2022, _T_2023) @[dec_tlu_ctl.scala 2321:113] + node _T_2025 = orr(_T_2024) @[dec_tlu_ctl.scala 2321:125] + node _T_2026 = and(_T_2021, _T_2025) @[dec_tlu_ctl.scala 2321:98] + node _T_2027 = eq(mhpme_vec[3], UInt<6>("h036")) @[dec_tlu_ctl.scala 2322:34] + node _T_2028 = bits(_T_2027, 0, 0) @[dec_tlu_ctl.scala 2322:62] + node _T_2029 = eq(pmu_i0_itype_qual, UInt<4>("h0f")) @[dec_tlu_ctl.scala 2322:91] + node _T_2030 = eq(mhpme_vec[3], UInt<6>("h037")) @[dec_tlu_ctl.scala 2323:34] + node _T_2031 = bits(_T_2030, 0, 0) @[dec_tlu_ctl.scala 2323:62] + node _T_2032 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_load_external_r) @[dec_tlu_ctl.scala 2323:94] + node _T_2033 = eq(mhpme_vec[3], UInt<6>("h038")) @[dec_tlu_ctl.scala 2324:34] + node _T_2034 = bits(_T_2033, 0, 0) @[dec_tlu_ctl.scala 2324:62] + node _T_2035 = and(io.tlu_i0_commit_cmt, io.lsu_pmu_store_external_r) @[dec_tlu_ctl.scala 2324:94] + node _T_2036 = eq(mhpme_vec[3], UInt<10>("h0200")) @[dec_tlu_ctl.scala 2326:34] + node _T_2037 = bits(_T_2036, 0, 0) @[dec_tlu_ctl.scala 2326:62] + node _T_2038 = eq(mhpme_vec[3], UInt<10>("h0201")) @[dec_tlu_ctl.scala 2327:34] + node _T_2039 = bits(_T_2038, 0, 0) @[dec_tlu_ctl.scala 2327:62] + node _T_2040 = eq(mhpme_vec[3], UInt<10>("h0202")) @[dec_tlu_ctl.scala 2328:34] + node _T_2041 = bits(_T_2040, 0, 0) @[dec_tlu_ctl.scala 2328:62] + node _T_2042 = eq(mhpme_vec[3], UInt<10>("h0203")) @[dec_tlu_ctl.scala 2329:34] + node _T_2043 = bits(_T_2042, 0, 0) @[dec_tlu_ctl.scala 2329:62] + node _T_2044 = eq(mhpme_vec[3], UInt<10>("h0204")) @[dec_tlu_ctl.scala 2330:34] + node _T_2045 = bits(_T_2044, 0, 0) @[dec_tlu_ctl.scala 2330:62] + node _T_2046 = mux(_T_1880, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2047 = mux(_T_1882, io.ifu_pmu_ic_hit, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2048 = mux(_T_1884, io.ifu_pmu_ic_miss, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2049 = mux(_T_1886, _T_1888, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2050 = mux(_T_1890, _T_1894, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2051 = mux(_T_1896, _T_1899, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2052 = mux(_T_1901, io.ifu_pmu_instr_aligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2053 = mux(_T_1903, io.dec_pmu_instr_decoded, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2054 = mux(_T_1905, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2055 = mux(_T_1907, _T_1908, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2056 = mux(_T_1910, _T_1911, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2057 = mux(_T_1913, _T_1914, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2058 = mux(_T_1916, _T_1917, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2059 = mux(_T_1919, _T_1921, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2060 = mux(_T_1923, _T_1926, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2061 = mux(_T_1928, _T_1929, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2062 = mux(_T_1931, _T_1932, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2063 = mux(_T_1934, _T_1935, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2064 = mux(_T_1937, _T_1938, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2065 = mux(_T_1940, _T_1941, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2066 = mux(_T_1943, _T_1944, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2067 = mux(_T_1946, _T_1947, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2068 = mux(_T_1949, _T_1950, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2069 = mux(_T_1952, _T_1953, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2070 = mux(_T_1955, _T_1958, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2071 = mux(_T_1960, _T_1961, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2072 = mux(_T_1963, _T_1964, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2073 = mux(_T_1966, _T_1967, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2074 = mux(_T_1969, io.ifu_pmu_fetch_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2075 = mux(_T_1971, io.dec_pmu_decode_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2076 = mux(_T_1973, io.dec_pmu_postsync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2077 = mux(_T_1975, io.dec_pmu_presync_stall, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2078 = mux(_T_1977, io.lsu_store_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2079 = mux(_T_1979, io.dma_dccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2080 = mux(_T_1981, io.dma_iccm_stall_any, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2081 = mux(_T_1983, _T_1985, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2082 = mux(_T_1987, _T_1989, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2083 = mux(_T_1991, io.take_ext_int, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2084 = mux(_T_1993, io.tlu_flush_lower_r, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2085 = mux(_T_1995, _T_1997, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2086 = mux(_T_1999, io.ifu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2087 = mux(_T_2001, io.lsu_pmu_bus_trxn, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2088 = mux(_T_2003, io.lsu_pmu_bus_misaligned, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2089 = mux(_T_2005, io.ifu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2090 = mux(_T_2007, io.lsu_pmu_bus_error, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2091 = mux(_T_2009, io.ifu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2092 = mux(_T_2011, io.lsu_pmu_bus_busy, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2093 = mux(_T_2013, _T_2016, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2094 = mux(_T_2018, _T_2026, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2095 = mux(_T_2028, _T_2029, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2096 = mux(_T_2031, _T_2032, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2097 = mux(_T_2034, _T_2035, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2098 = mux(_T_2037, io.dec_tlu_pmu_fw_halted, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2099 = mux(_T_2039, io.dma_pmu_any_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2100 = mux(_T_2041, io.dma_pmu_any_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2101 = mux(_T_2043, io.dma_pmu_dccm_read, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2102 = mux(_T_2045, io.dma_pmu_dccm_write, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2103 = or(_T_2046, _T_2047) @[Mux.scala 27:72] node _T_2104 = or(_T_2103, _T_2048) @[Mux.scala 27:72] node _T_2105 = or(_T_2104, _T_2049) @[Mux.scala 27:72] node _T_2106 = or(_T_2105, _T_2050) @[Mux.scala 27:72] @@ -75544,585 +75544,585 @@ circuit quasar_wrapper : node _T_2146 = or(_T_2145, _T_2090) @[Mux.scala 27:72] node _T_2147 = or(_T_2146, _T_2091) @[Mux.scala 27:72] node _T_2148 = or(_T_2147, _T_2092) @[Mux.scala 27:72] - wire _T_2149 : UInt<1> @[Mux.scala 27:72] - _T_2149 <= _T_2148 @[Mux.scala 27:72] - node _T_2150 = and(_T_1868, _T_2149) @[dec_tlu_ctl.scala 2274:44] - mhpmc_inc_r[3] <= _T_2150 @[dec_tlu_ctl.scala 2274:19] - reg _T_2151 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2335:53] - _T_2151 <= mhpmc_inc_r[0] @[dec_tlu_ctl.scala 2335:53] - mhpmc_inc_r_d1[0] <= _T_2151 @[dec_tlu_ctl.scala 2335:20] - reg _T_2152 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2336:53] - _T_2152 <= mhpmc_inc_r[1] @[dec_tlu_ctl.scala 2336:53] - mhpmc_inc_r_d1[1] <= _T_2152 @[dec_tlu_ctl.scala 2336:20] - reg _T_2153 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2337:53] - _T_2153 <= mhpmc_inc_r[2] @[dec_tlu_ctl.scala 2337:53] - mhpmc_inc_r_d1[2] <= _T_2153 @[dec_tlu_ctl.scala 2337:20] - reg _T_2154 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2338:53] - _T_2154 <= mhpmc_inc_r[3] @[dec_tlu_ctl.scala 2338:53] - mhpmc_inc_r_d1[3] <= _T_2154 @[dec_tlu_ctl.scala 2338:20] - reg perfcnt_halted_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2339:56] - perfcnt_halted_d1 <= perfcnt_halted @[dec_tlu_ctl.scala 2339:56] - node _T_2155 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 2342:53] - node _T_2156 = and(io.dec_tlu_dbg_halted, _T_2155) @[dec_tlu_ctl.scala 2342:44] - node _T_2157 = or(_T_2156, io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 2342:67] - perfcnt_halted <= _T_2157 @[dec_tlu_ctl.scala 2342:17] - node _T_2158 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 2343:70] - node _T_2159 = and(io.dec_tlu_dbg_halted, _T_2158) @[dec_tlu_ctl.scala 2343:61] - node _T_2160 = not(_T_2159) @[dec_tlu_ctl.scala 2343:37] - node _T_2161 = bits(_T_2160, 0, 0) @[Bitwise.scala 72:15] - node _T_2162 = mux(_T_2161, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_2163 = bits(mhpme_vec[3], 9, 9) @[dec_tlu_ctl.scala 2343:104] - node _T_2164 = bits(mhpme_vec[2], 9, 9) @[dec_tlu_ctl.scala 2343:120] - node _T_2165 = bits(mhpme_vec[1], 9, 9) @[dec_tlu_ctl.scala 2343:136] - node _T_2166 = bits(mhpme_vec[0], 9, 9) @[dec_tlu_ctl.scala 2343:152] - node _T_2167 = cat(_T_2165, _T_2166) @[Cat.scala 29:58] - node _T_2168 = cat(_T_2163, _T_2164) @[Cat.scala 29:58] - node _T_2169 = cat(_T_2168, _T_2167) @[Cat.scala 29:58] - node perfcnt_during_sleep = and(_T_2162, _T_2169) @[dec_tlu_ctl.scala 2343:86] - node _T_2170 = bits(perfcnt_during_sleep, 0, 0) @[dec_tlu_ctl.scala 2345:88] - node _T_2171 = not(_T_2170) @[dec_tlu_ctl.scala 2345:67] - node _T_2172 = and(perfcnt_halted_d1, _T_2171) @[dec_tlu_ctl.scala 2345:65] - node _T_2173 = not(_T_2172) @[dec_tlu_ctl.scala 2345:45] - node _T_2174 = and(mhpmc_inc_r_d1[0], _T_2173) @[dec_tlu_ctl.scala 2345:43] - io.dec_tlu_perfcnt0 <= _T_2174 @[dec_tlu_ctl.scala 2345:22] - node _T_2175 = bits(perfcnt_during_sleep, 1, 1) @[dec_tlu_ctl.scala 2346:88] - node _T_2176 = not(_T_2175) @[dec_tlu_ctl.scala 2346:67] - node _T_2177 = and(perfcnt_halted_d1, _T_2176) @[dec_tlu_ctl.scala 2346:65] - node _T_2178 = not(_T_2177) @[dec_tlu_ctl.scala 2346:45] - node _T_2179 = and(mhpmc_inc_r_d1[1], _T_2178) @[dec_tlu_ctl.scala 2346:43] - io.dec_tlu_perfcnt1 <= _T_2179 @[dec_tlu_ctl.scala 2346:22] - node _T_2180 = bits(perfcnt_during_sleep, 2, 2) @[dec_tlu_ctl.scala 2347:88] - node _T_2181 = not(_T_2180) @[dec_tlu_ctl.scala 2347:67] - node _T_2182 = and(perfcnt_halted_d1, _T_2181) @[dec_tlu_ctl.scala 2347:65] - node _T_2183 = not(_T_2182) @[dec_tlu_ctl.scala 2347:45] - node _T_2184 = and(mhpmc_inc_r_d1[2], _T_2183) @[dec_tlu_ctl.scala 2347:43] - io.dec_tlu_perfcnt2 <= _T_2184 @[dec_tlu_ctl.scala 2347:22] - node _T_2185 = bits(perfcnt_during_sleep, 3, 3) @[dec_tlu_ctl.scala 2348:88] - node _T_2186 = not(_T_2185) @[dec_tlu_ctl.scala 2348:67] - node _T_2187 = and(perfcnt_halted_d1, _T_2186) @[dec_tlu_ctl.scala 2348:65] - node _T_2188 = not(_T_2187) @[dec_tlu_ctl.scala 2348:45] - node _T_2189 = and(mhpmc_inc_r_d1[3], _T_2188) @[dec_tlu_ctl.scala 2348:43] - io.dec_tlu_perfcnt3 <= _T_2189 @[dec_tlu_ctl.scala 2348:22] - node _T_2190 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2354:65] - node _T_2191 = eq(_T_2190, UInt<12>("h0b03")) @[dec_tlu_ctl.scala 2354:72] - node mhpmc3_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2191) @[dec_tlu_ctl.scala 2354:43] - node _T_2192 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2355:23] - node _T_2193 = bits(perfcnt_during_sleep, 0, 0) @[dec_tlu_ctl.scala 2355:61] - node _T_2194 = or(_T_2192, _T_2193) @[dec_tlu_ctl.scala 2355:39] - node _T_2195 = orr(mhpmc_inc_r[0]) @[dec_tlu_ctl.scala 2355:86] - node mhpmc3_wr_en1 = and(_T_2194, _T_2195) @[dec_tlu_ctl.scala 2355:66] - node mhpmc3_wr_en = or(mhpmc3_wr_en0, mhpmc3_wr_en1) @[dec_tlu_ctl.scala 2356:36] - node _T_2196 = bits(mhpmc3h, 31, 0) @[dec_tlu_ctl.scala 2359:28] - node _T_2197 = bits(mhpmc3, 31, 0) @[dec_tlu_ctl.scala 2359:41] - node _T_2198 = cat(_T_2196, _T_2197) @[Cat.scala 29:58] - node _T_2199 = cat(UInt<63>("h00"), mhpmc_inc_r[0]) @[Cat.scala 29:58] - node _T_2200 = add(_T_2198, _T_2199) @[dec_tlu_ctl.scala 2359:49] - node _T_2201 = tail(_T_2200, 1) @[dec_tlu_ctl.scala 2359:49] - mhpmc3_incr <= _T_2201 @[dec_tlu_ctl.scala 2359:14] - node _T_2202 = bits(mhpmc3_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2360:36] - node _T_2203 = bits(mhpmc3_incr, 31, 0) @[dec_tlu_ctl.scala 2360:76] - node mhpmc3_ns = mux(_T_2202, io.dec_csr_wrdata_r, _T_2203) @[dec_tlu_ctl.scala 2360:21] - node _T_2204 = bits(mhpmc3_wr_en, 0, 0) @[dec_tlu_ctl.scala 2362:42] + node _T_2149 = or(_T_2148, _T_2093) @[Mux.scala 27:72] + node _T_2150 = or(_T_2149, _T_2094) @[Mux.scala 27:72] + node _T_2151 = or(_T_2150, _T_2095) @[Mux.scala 27:72] + node _T_2152 = or(_T_2151, _T_2096) @[Mux.scala 27:72] + node _T_2153 = or(_T_2152, _T_2097) @[Mux.scala 27:72] + node _T_2154 = or(_T_2153, _T_2098) @[Mux.scala 27:72] + node _T_2155 = or(_T_2154, _T_2099) @[Mux.scala 27:72] + node _T_2156 = or(_T_2155, _T_2100) @[Mux.scala 27:72] + node _T_2157 = or(_T_2156, _T_2101) @[Mux.scala 27:72] + node _T_2158 = or(_T_2157, _T_2102) @[Mux.scala 27:72] + wire _T_2159 : UInt<1> @[Mux.scala 27:72] + _T_2159 <= _T_2158 @[Mux.scala 27:72] + node _T_2160 = and(_T_1878, _T_2159) @[dec_tlu_ctl.scala 2272:44] + mhpmc_inc_r[3] <= _T_2160 @[dec_tlu_ctl.scala 2272:19] + reg _T_2161 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2333:53] + _T_2161 <= mhpmc_inc_r[0] @[dec_tlu_ctl.scala 2333:53] + mhpmc_inc_r_d1[0] <= _T_2161 @[dec_tlu_ctl.scala 2333:20] + reg _T_2162 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2334:53] + _T_2162 <= mhpmc_inc_r[1] @[dec_tlu_ctl.scala 2334:53] + mhpmc_inc_r_d1[1] <= _T_2162 @[dec_tlu_ctl.scala 2334:20] + reg _T_2163 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2335:53] + _T_2163 <= mhpmc_inc_r[2] @[dec_tlu_ctl.scala 2335:53] + mhpmc_inc_r_d1[2] <= _T_2163 @[dec_tlu_ctl.scala 2335:20] + reg _T_2164 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2336:53] + _T_2164 <= mhpmc_inc_r[3] @[dec_tlu_ctl.scala 2336:53] + mhpmc_inc_r_d1[3] <= _T_2164 @[dec_tlu_ctl.scala 2336:20] + reg perfcnt_halted_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2337:56] + perfcnt_halted_d1 <= perfcnt_halted @[dec_tlu_ctl.scala 2337:56] + node _T_2165 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 2340:53] + node _T_2166 = and(io.dec_tlu_dbg_halted, _T_2165) @[dec_tlu_ctl.scala 2340:44] + node _T_2167 = or(_T_2166, io.dec_tlu_pmu_fw_halted) @[dec_tlu_ctl.scala 2340:67] + perfcnt_halted <= _T_2167 @[dec_tlu_ctl.scala 2340:17] + node _T_2168 = bits(io.dcsr, 10, 10) @[dec_tlu_ctl.scala 2341:70] + node _T_2169 = and(io.dec_tlu_dbg_halted, _T_2168) @[dec_tlu_ctl.scala 2341:61] + node _T_2170 = not(_T_2169) @[dec_tlu_ctl.scala 2341:37] + node _T_2171 = bits(_T_2170, 0, 0) @[Bitwise.scala 72:15] + node _T_2172 = mux(_T_2171, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_2173 = bits(mhpme_vec[3], 9, 9) @[dec_tlu_ctl.scala 2341:104] + node _T_2174 = bits(mhpme_vec[2], 9, 9) @[dec_tlu_ctl.scala 2341:120] + node _T_2175 = bits(mhpme_vec[1], 9, 9) @[dec_tlu_ctl.scala 2341:136] + node _T_2176 = bits(mhpme_vec[0], 9, 9) @[dec_tlu_ctl.scala 2341:152] + node _T_2177 = cat(_T_2175, _T_2176) @[Cat.scala 29:58] + node _T_2178 = cat(_T_2173, _T_2174) @[Cat.scala 29:58] + node _T_2179 = cat(_T_2178, _T_2177) @[Cat.scala 29:58] + node perfcnt_during_sleep = and(_T_2172, _T_2179) @[dec_tlu_ctl.scala 2341:86] + node _T_2180 = bits(perfcnt_during_sleep, 0, 0) @[dec_tlu_ctl.scala 2343:88] + node _T_2181 = not(_T_2180) @[dec_tlu_ctl.scala 2343:67] + node _T_2182 = and(perfcnt_halted_d1, _T_2181) @[dec_tlu_ctl.scala 2343:65] + node _T_2183 = not(_T_2182) @[dec_tlu_ctl.scala 2343:45] + node _T_2184 = and(mhpmc_inc_r_d1[0], _T_2183) @[dec_tlu_ctl.scala 2343:43] + io.dec_tlu_perfcnt0 <= _T_2184 @[dec_tlu_ctl.scala 2343:22] + node _T_2185 = bits(perfcnt_during_sleep, 1, 1) @[dec_tlu_ctl.scala 2344:88] + node _T_2186 = not(_T_2185) @[dec_tlu_ctl.scala 2344:67] + node _T_2187 = and(perfcnt_halted_d1, _T_2186) @[dec_tlu_ctl.scala 2344:65] + node _T_2188 = not(_T_2187) @[dec_tlu_ctl.scala 2344:45] + node _T_2189 = and(mhpmc_inc_r_d1[1], _T_2188) @[dec_tlu_ctl.scala 2344:43] + io.dec_tlu_perfcnt1 <= _T_2189 @[dec_tlu_ctl.scala 2344:22] + node _T_2190 = bits(perfcnt_during_sleep, 2, 2) @[dec_tlu_ctl.scala 2345:88] + node _T_2191 = not(_T_2190) @[dec_tlu_ctl.scala 2345:67] + node _T_2192 = and(perfcnt_halted_d1, _T_2191) @[dec_tlu_ctl.scala 2345:65] + node _T_2193 = not(_T_2192) @[dec_tlu_ctl.scala 2345:45] + node _T_2194 = and(mhpmc_inc_r_d1[2], _T_2193) @[dec_tlu_ctl.scala 2345:43] + io.dec_tlu_perfcnt2 <= _T_2194 @[dec_tlu_ctl.scala 2345:22] + node _T_2195 = bits(perfcnt_during_sleep, 3, 3) @[dec_tlu_ctl.scala 2346:88] + node _T_2196 = not(_T_2195) @[dec_tlu_ctl.scala 2346:67] + node _T_2197 = and(perfcnt_halted_d1, _T_2196) @[dec_tlu_ctl.scala 2346:65] + node _T_2198 = not(_T_2197) @[dec_tlu_ctl.scala 2346:45] + node _T_2199 = and(mhpmc_inc_r_d1[3], _T_2198) @[dec_tlu_ctl.scala 2346:43] + io.dec_tlu_perfcnt3 <= _T_2199 @[dec_tlu_ctl.scala 2346:22] + node _T_2200 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2352:65] + node _T_2201 = eq(_T_2200, UInt<12>("h0b03")) @[dec_tlu_ctl.scala 2352:72] + node mhpmc3_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2201) @[dec_tlu_ctl.scala 2352:43] + node _T_2202 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2353:23] + node _T_2203 = bits(perfcnt_during_sleep, 0, 0) @[dec_tlu_ctl.scala 2353:61] + node _T_2204 = or(_T_2202, _T_2203) @[dec_tlu_ctl.scala 2353:39] + node _T_2205 = orr(mhpmc_inc_r[0]) @[dec_tlu_ctl.scala 2353:86] + node mhpmc3_wr_en1 = and(_T_2204, _T_2205) @[dec_tlu_ctl.scala 2353:66] + node mhpmc3_wr_en = or(mhpmc3_wr_en0, mhpmc3_wr_en1) @[dec_tlu_ctl.scala 2354:36] + node _T_2206 = bits(mhpmc3h, 31, 0) @[dec_tlu_ctl.scala 2357:28] + node _T_2207 = bits(mhpmc3, 31, 0) @[dec_tlu_ctl.scala 2357:41] + node _T_2208 = cat(_T_2206, _T_2207) @[Cat.scala 29:58] + node _T_2209 = cat(UInt<63>("h00"), mhpmc_inc_r[0]) @[Cat.scala 29:58] + node _T_2210 = add(_T_2208, _T_2209) @[dec_tlu_ctl.scala 2357:49] + node _T_2211 = tail(_T_2210, 1) @[dec_tlu_ctl.scala 2357:49] + mhpmc3_incr <= _T_2211 @[dec_tlu_ctl.scala 2357:14] + node _T_2212 = bits(mhpmc3_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2358:36] + node _T_2213 = bits(mhpmc3_incr, 31, 0) @[dec_tlu_ctl.scala 2358:76] + node mhpmc3_ns = mux(_T_2212, io.dec_csr_wrdata_r, _T_2213) @[dec_tlu_ctl.scala 2358:21] + node _T_2214 = bits(mhpmc3_wr_en, 0, 0) @[dec_tlu_ctl.scala 2360:42] inst rvclkhdr_26 of rvclkhdr_746 @[lib.scala 368:23] rvclkhdr_26.clock <= clock rvclkhdr_26.reset <= reset rvclkhdr_26.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_26.io.en <= _T_2204 @[lib.scala 371:17] + rvclkhdr_26.io.en <= _T_2214 @[lib.scala 371:17] rvclkhdr_26.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_2205 : UInt, rvclkhdr_26.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_2205 <= mhpmc3_ns @[lib.scala 374:16] - mhpmc3 <= _T_2205 @[dec_tlu_ctl.scala 2362:9] - node _T_2206 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2364:66] - node _T_2207 = eq(_T_2206, UInt<12>("h0b83")) @[dec_tlu_ctl.scala 2364:73] - node mhpmc3h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2207) @[dec_tlu_ctl.scala 2364:44] - node mhpmc3h_wr_en = or(mhpmc3h_wr_en0, mhpmc3_wr_en1) @[dec_tlu_ctl.scala 2365:38] - node _T_2208 = bits(mhpmc3h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2366:38] - node _T_2209 = bits(mhpmc3_incr, 63, 32) @[dec_tlu_ctl.scala 2366:78] - node mhpmc3h_ns = mux(_T_2208, io.dec_csr_wrdata_r, _T_2209) @[dec_tlu_ctl.scala 2366:22] - node _T_2210 = bits(mhpmc3h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2368:46] + reg _T_2215 : UInt, rvclkhdr_26.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2215 <= mhpmc3_ns @[lib.scala 374:16] + mhpmc3 <= _T_2215 @[dec_tlu_ctl.scala 2360:9] + node _T_2216 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2362:66] + node _T_2217 = eq(_T_2216, UInt<12>("h0b83")) @[dec_tlu_ctl.scala 2362:73] + node mhpmc3h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2217) @[dec_tlu_ctl.scala 2362:44] + node mhpmc3h_wr_en = or(mhpmc3h_wr_en0, mhpmc3_wr_en1) @[dec_tlu_ctl.scala 2363:38] + node _T_2218 = bits(mhpmc3h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2364:38] + node _T_2219 = bits(mhpmc3_incr, 63, 32) @[dec_tlu_ctl.scala 2364:78] + node mhpmc3h_ns = mux(_T_2218, io.dec_csr_wrdata_r, _T_2219) @[dec_tlu_ctl.scala 2364:22] + node _T_2220 = bits(mhpmc3h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2366:46] inst rvclkhdr_27 of rvclkhdr_747 @[lib.scala 368:23] rvclkhdr_27.clock <= clock rvclkhdr_27.reset <= reset rvclkhdr_27.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_27.io.en <= _T_2210 @[lib.scala 371:17] + rvclkhdr_27.io.en <= _T_2220 @[lib.scala 371:17] rvclkhdr_27.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_2211 : UInt, rvclkhdr_27.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_2211 <= mhpmc3h_ns @[lib.scala 374:16] - mhpmc3h <= _T_2211 @[dec_tlu_ctl.scala 2368:10] - node _T_2212 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2373:65] - node _T_2213 = eq(_T_2212, UInt<12>("h0b04")) @[dec_tlu_ctl.scala 2373:72] - node mhpmc4_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2213) @[dec_tlu_ctl.scala 2373:43] - node _T_2214 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2374:23] - node _T_2215 = bits(perfcnt_during_sleep, 1, 1) @[dec_tlu_ctl.scala 2374:61] - node _T_2216 = or(_T_2214, _T_2215) @[dec_tlu_ctl.scala 2374:39] - node _T_2217 = orr(mhpmc_inc_r[1]) @[dec_tlu_ctl.scala 2374:86] - node mhpmc4_wr_en1 = and(_T_2216, _T_2217) @[dec_tlu_ctl.scala 2374:66] - node mhpmc4_wr_en = or(mhpmc4_wr_en0, mhpmc4_wr_en1) @[dec_tlu_ctl.scala 2375:36] - node _T_2218 = bits(mhpmc4h, 31, 0) @[dec_tlu_ctl.scala 2379:28] - node _T_2219 = bits(mhpmc4, 31, 0) @[dec_tlu_ctl.scala 2379:41] - node _T_2220 = cat(_T_2218, _T_2219) @[Cat.scala 29:58] - node _T_2221 = cat(UInt<63>("h00"), mhpmc_inc_r[1]) @[Cat.scala 29:58] - node _T_2222 = add(_T_2220, _T_2221) @[dec_tlu_ctl.scala 2379:49] - node _T_2223 = tail(_T_2222, 1) @[dec_tlu_ctl.scala 2379:49] - mhpmc4_incr <= _T_2223 @[dec_tlu_ctl.scala 2379:14] - node _T_2224 = bits(mhpmc4_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2380:36] - node _T_2225 = bits(io.dec_csr_wrdata_r, 31, 0) @[dec_tlu_ctl.scala 2380:63] - node _T_2226 = bits(mhpmc4_incr, 31, 0) @[dec_tlu_ctl.scala 2380:82] - node mhpmc4_ns = mux(_T_2224, _T_2225, _T_2226) @[dec_tlu_ctl.scala 2380:21] - node _T_2227 = bits(mhpmc4_wr_en, 0, 0) @[dec_tlu_ctl.scala 2381:43] + reg _T_2221 : UInt, rvclkhdr_27.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2221 <= mhpmc3h_ns @[lib.scala 374:16] + mhpmc3h <= _T_2221 @[dec_tlu_ctl.scala 2366:10] + node _T_2222 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2371:65] + node _T_2223 = eq(_T_2222, UInt<12>("h0b04")) @[dec_tlu_ctl.scala 2371:72] + node mhpmc4_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2223) @[dec_tlu_ctl.scala 2371:43] + node _T_2224 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2372:23] + node _T_2225 = bits(perfcnt_during_sleep, 1, 1) @[dec_tlu_ctl.scala 2372:61] + node _T_2226 = or(_T_2224, _T_2225) @[dec_tlu_ctl.scala 2372:39] + node _T_2227 = orr(mhpmc_inc_r[1]) @[dec_tlu_ctl.scala 2372:86] + node mhpmc4_wr_en1 = and(_T_2226, _T_2227) @[dec_tlu_ctl.scala 2372:66] + node mhpmc4_wr_en = or(mhpmc4_wr_en0, mhpmc4_wr_en1) @[dec_tlu_ctl.scala 2373:36] + node _T_2228 = bits(mhpmc4h, 31, 0) @[dec_tlu_ctl.scala 2377:28] + node _T_2229 = bits(mhpmc4, 31, 0) @[dec_tlu_ctl.scala 2377:41] + node _T_2230 = cat(_T_2228, _T_2229) @[Cat.scala 29:58] + node _T_2231 = cat(UInt<63>("h00"), mhpmc_inc_r[1]) @[Cat.scala 29:58] + node _T_2232 = add(_T_2230, _T_2231) @[dec_tlu_ctl.scala 2377:49] + node _T_2233 = tail(_T_2232, 1) @[dec_tlu_ctl.scala 2377:49] + mhpmc4_incr <= _T_2233 @[dec_tlu_ctl.scala 2377:14] + node _T_2234 = bits(mhpmc4_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2378:36] + node _T_2235 = bits(io.dec_csr_wrdata_r, 31, 0) @[dec_tlu_ctl.scala 2378:63] + node _T_2236 = bits(mhpmc4_incr, 31, 0) @[dec_tlu_ctl.scala 2378:82] + node mhpmc4_ns = mux(_T_2234, _T_2235, _T_2236) @[dec_tlu_ctl.scala 2378:21] + node _T_2237 = bits(mhpmc4_wr_en, 0, 0) @[dec_tlu_ctl.scala 2379:43] inst rvclkhdr_28 of rvclkhdr_748 @[lib.scala 368:23] rvclkhdr_28.clock <= clock rvclkhdr_28.reset <= reset rvclkhdr_28.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_28.io.en <= _T_2227 @[lib.scala 371:17] + rvclkhdr_28.io.en <= _T_2237 @[lib.scala 371:17] rvclkhdr_28.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_2228 : UInt, rvclkhdr_28.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_2228 <= mhpmc4_ns @[lib.scala 374:16] - mhpmc4 <= _T_2228 @[dec_tlu_ctl.scala 2381:9] - node _T_2229 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2383:66] - node _T_2230 = eq(_T_2229, UInt<12>("h0b84")) @[dec_tlu_ctl.scala 2383:73] - node mhpmc4h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2230) @[dec_tlu_ctl.scala 2383:44] - node mhpmc4h_wr_en = or(mhpmc4h_wr_en0, mhpmc4_wr_en1) @[dec_tlu_ctl.scala 2384:38] - node _T_2231 = bits(mhpmc4h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2385:38] - node _T_2232 = bits(mhpmc4_incr, 63, 32) @[dec_tlu_ctl.scala 2385:78] - node mhpmc4h_ns = mux(_T_2231, io.dec_csr_wrdata_r, _T_2232) @[dec_tlu_ctl.scala 2385:22] - node _T_2233 = bits(mhpmc4h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2386:46] + reg _T_2238 : UInt, rvclkhdr_28.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2238 <= mhpmc4_ns @[lib.scala 374:16] + mhpmc4 <= _T_2238 @[dec_tlu_ctl.scala 2379:9] + node _T_2239 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2381:66] + node _T_2240 = eq(_T_2239, UInt<12>("h0b84")) @[dec_tlu_ctl.scala 2381:73] + node mhpmc4h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2240) @[dec_tlu_ctl.scala 2381:44] + node mhpmc4h_wr_en = or(mhpmc4h_wr_en0, mhpmc4_wr_en1) @[dec_tlu_ctl.scala 2382:38] + node _T_2241 = bits(mhpmc4h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2383:38] + node _T_2242 = bits(mhpmc4_incr, 63, 32) @[dec_tlu_ctl.scala 2383:78] + node mhpmc4h_ns = mux(_T_2241, io.dec_csr_wrdata_r, _T_2242) @[dec_tlu_ctl.scala 2383:22] + node _T_2243 = bits(mhpmc4h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2384:46] inst rvclkhdr_29 of rvclkhdr_749 @[lib.scala 368:23] rvclkhdr_29.clock <= clock rvclkhdr_29.reset <= reset rvclkhdr_29.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_29.io.en <= _T_2233 @[lib.scala 371:17] + rvclkhdr_29.io.en <= _T_2243 @[lib.scala 371:17] rvclkhdr_29.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_2234 : UInt, rvclkhdr_29.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_2234 <= mhpmc4h_ns @[lib.scala 374:16] - mhpmc4h <= _T_2234 @[dec_tlu_ctl.scala 2386:10] - node _T_2235 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2392:65] - node _T_2236 = eq(_T_2235, UInt<12>("h0b05")) @[dec_tlu_ctl.scala 2392:72] - node mhpmc5_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2236) @[dec_tlu_ctl.scala 2392:43] - node _T_2237 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2393:23] - node _T_2238 = bits(perfcnt_during_sleep, 2, 2) @[dec_tlu_ctl.scala 2393:61] - node _T_2239 = or(_T_2237, _T_2238) @[dec_tlu_ctl.scala 2393:39] - node _T_2240 = orr(mhpmc_inc_r[2]) @[dec_tlu_ctl.scala 2393:86] - node mhpmc5_wr_en1 = and(_T_2239, _T_2240) @[dec_tlu_ctl.scala 2393:66] - node mhpmc5_wr_en = or(mhpmc5_wr_en0, mhpmc5_wr_en1) @[dec_tlu_ctl.scala 2394:36] - node _T_2241 = bits(mhpmc5h, 31, 0) @[dec_tlu_ctl.scala 2396:28] - node _T_2242 = bits(mhpmc5, 31, 0) @[dec_tlu_ctl.scala 2396:41] - node _T_2243 = cat(_T_2241, _T_2242) @[Cat.scala 29:58] - node _T_2244 = cat(UInt<63>("h00"), mhpmc_inc_r[2]) @[Cat.scala 29:58] - node _T_2245 = add(_T_2243, _T_2244) @[dec_tlu_ctl.scala 2396:49] - node _T_2246 = tail(_T_2245, 1) @[dec_tlu_ctl.scala 2396:49] - mhpmc5_incr <= _T_2246 @[dec_tlu_ctl.scala 2396:14] - node _T_2247 = bits(mhpmc5_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2397:36] - node _T_2248 = bits(mhpmc5_incr, 31, 0) @[dec_tlu_ctl.scala 2397:76] - node mhpmc5_ns = mux(_T_2247, io.dec_csr_wrdata_r, _T_2248) @[dec_tlu_ctl.scala 2397:21] - node _T_2249 = bits(mhpmc5_wr_en, 0, 0) @[dec_tlu_ctl.scala 2399:43] + reg _T_2244 : UInt, rvclkhdr_29.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2244 <= mhpmc4h_ns @[lib.scala 374:16] + mhpmc4h <= _T_2244 @[dec_tlu_ctl.scala 2384:10] + node _T_2245 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2390:65] + node _T_2246 = eq(_T_2245, UInt<12>("h0b05")) @[dec_tlu_ctl.scala 2390:72] + node mhpmc5_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2246) @[dec_tlu_ctl.scala 2390:43] + node _T_2247 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2391:23] + node _T_2248 = bits(perfcnt_during_sleep, 2, 2) @[dec_tlu_ctl.scala 2391:61] + node _T_2249 = or(_T_2247, _T_2248) @[dec_tlu_ctl.scala 2391:39] + node _T_2250 = orr(mhpmc_inc_r[2]) @[dec_tlu_ctl.scala 2391:86] + node mhpmc5_wr_en1 = and(_T_2249, _T_2250) @[dec_tlu_ctl.scala 2391:66] + node mhpmc5_wr_en = or(mhpmc5_wr_en0, mhpmc5_wr_en1) @[dec_tlu_ctl.scala 2392:36] + node _T_2251 = bits(mhpmc5h, 31, 0) @[dec_tlu_ctl.scala 2394:28] + node _T_2252 = bits(mhpmc5, 31, 0) @[dec_tlu_ctl.scala 2394:41] + node _T_2253 = cat(_T_2251, _T_2252) @[Cat.scala 29:58] + node _T_2254 = cat(UInt<63>("h00"), mhpmc_inc_r[2]) @[Cat.scala 29:58] + node _T_2255 = add(_T_2253, _T_2254) @[dec_tlu_ctl.scala 2394:49] + node _T_2256 = tail(_T_2255, 1) @[dec_tlu_ctl.scala 2394:49] + mhpmc5_incr <= _T_2256 @[dec_tlu_ctl.scala 2394:14] + node _T_2257 = bits(mhpmc5_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2395:36] + node _T_2258 = bits(mhpmc5_incr, 31, 0) @[dec_tlu_ctl.scala 2395:76] + node mhpmc5_ns = mux(_T_2257, io.dec_csr_wrdata_r, _T_2258) @[dec_tlu_ctl.scala 2395:21] + node _T_2259 = bits(mhpmc5_wr_en, 0, 0) @[dec_tlu_ctl.scala 2397:43] inst rvclkhdr_30 of rvclkhdr_750 @[lib.scala 368:23] rvclkhdr_30.clock <= clock rvclkhdr_30.reset <= reset rvclkhdr_30.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_30.io.en <= _T_2249 @[lib.scala 371:17] + rvclkhdr_30.io.en <= _T_2259 @[lib.scala 371:17] rvclkhdr_30.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_2250 : UInt, rvclkhdr_30.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_2250 <= mhpmc5_ns @[lib.scala 374:16] - mhpmc5 <= _T_2250 @[dec_tlu_ctl.scala 2399:9] - node _T_2251 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2401:66] - node _T_2252 = eq(_T_2251, UInt<12>("h0b85")) @[dec_tlu_ctl.scala 2401:73] - node mhpmc5h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2252) @[dec_tlu_ctl.scala 2401:44] - node mhpmc5h_wr_en = or(mhpmc5h_wr_en0, mhpmc5_wr_en1) @[dec_tlu_ctl.scala 2402:38] - node _T_2253 = bits(mhpmc5h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2403:38] - node _T_2254 = bits(mhpmc5_incr, 63, 32) @[dec_tlu_ctl.scala 2403:78] - node mhpmc5h_ns = mux(_T_2253, io.dec_csr_wrdata_r, _T_2254) @[dec_tlu_ctl.scala 2403:22] - node _T_2255 = bits(mhpmc5h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2405:46] + reg _T_2260 : UInt, rvclkhdr_30.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2260 <= mhpmc5_ns @[lib.scala 374:16] + mhpmc5 <= _T_2260 @[dec_tlu_ctl.scala 2397:9] + node _T_2261 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2399:66] + node _T_2262 = eq(_T_2261, UInt<12>("h0b85")) @[dec_tlu_ctl.scala 2399:73] + node mhpmc5h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2262) @[dec_tlu_ctl.scala 2399:44] + node mhpmc5h_wr_en = or(mhpmc5h_wr_en0, mhpmc5_wr_en1) @[dec_tlu_ctl.scala 2400:38] + node _T_2263 = bits(mhpmc5h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2401:38] + node _T_2264 = bits(mhpmc5_incr, 63, 32) @[dec_tlu_ctl.scala 2401:78] + node mhpmc5h_ns = mux(_T_2263, io.dec_csr_wrdata_r, _T_2264) @[dec_tlu_ctl.scala 2401:22] + node _T_2265 = bits(mhpmc5h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2403:46] inst rvclkhdr_31 of rvclkhdr_751 @[lib.scala 368:23] rvclkhdr_31.clock <= clock rvclkhdr_31.reset <= reset rvclkhdr_31.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_31.io.en <= _T_2255 @[lib.scala 371:17] + rvclkhdr_31.io.en <= _T_2265 @[lib.scala 371:17] rvclkhdr_31.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_2256 : UInt, rvclkhdr_31.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_2256 <= mhpmc5h_ns @[lib.scala 374:16] - mhpmc5h <= _T_2256 @[dec_tlu_ctl.scala 2405:10] - node _T_2257 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2410:65] - node _T_2258 = eq(_T_2257, UInt<12>("h0b06")) @[dec_tlu_ctl.scala 2410:72] - node mhpmc6_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2258) @[dec_tlu_ctl.scala 2410:43] - node _T_2259 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2411:23] - node _T_2260 = bits(perfcnt_during_sleep, 3, 3) @[dec_tlu_ctl.scala 2411:61] - node _T_2261 = or(_T_2259, _T_2260) @[dec_tlu_ctl.scala 2411:39] - node _T_2262 = orr(mhpmc_inc_r[3]) @[dec_tlu_ctl.scala 2411:86] - node mhpmc6_wr_en1 = and(_T_2261, _T_2262) @[dec_tlu_ctl.scala 2411:66] - node mhpmc6_wr_en = or(mhpmc6_wr_en0, mhpmc6_wr_en1) @[dec_tlu_ctl.scala 2412:36] - node _T_2263 = bits(mhpmc6h, 31, 0) @[dec_tlu_ctl.scala 2414:28] - node _T_2264 = bits(mhpmc6, 31, 0) @[dec_tlu_ctl.scala 2414:41] - node _T_2265 = cat(_T_2263, _T_2264) @[Cat.scala 29:58] - node _T_2266 = cat(UInt<63>("h00"), mhpmc_inc_r[3]) @[Cat.scala 29:58] - node _T_2267 = add(_T_2265, _T_2266) @[dec_tlu_ctl.scala 2414:49] - node _T_2268 = tail(_T_2267, 1) @[dec_tlu_ctl.scala 2414:49] - mhpmc6_incr <= _T_2268 @[dec_tlu_ctl.scala 2414:14] - node _T_2269 = bits(mhpmc6_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2415:36] - node _T_2270 = bits(mhpmc6_incr, 31, 0) @[dec_tlu_ctl.scala 2415:76] - node mhpmc6_ns = mux(_T_2269, io.dec_csr_wrdata_r, _T_2270) @[dec_tlu_ctl.scala 2415:21] - node _T_2271 = bits(mhpmc6_wr_en, 0, 0) @[dec_tlu_ctl.scala 2417:43] + reg _T_2266 : UInt, rvclkhdr_31.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2266 <= mhpmc5h_ns @[lib.scala 374:16] + mhpmc5h <= _T_2266 @[dec_tlu_ctl.scala 2403:10] + node _T_2267 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2408:65] + node _T_2268 = eq(_T_2267, UInt<12>("h0b06")) @[dec_tlu_ctl.scala 2408:72] + node mhpmc6_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2268) @[dec_tlu_ctl.scala 2408:43] + node _T_2269 = not(perfcnt_halted) @[dec_tlu_ctl.scala 2409:23] + node _T_2270 = bits(perfcnt_during_sleep, 3, 3) @[dec_tlu_ctl.scala 2409:61] + node _T_2271 = or(_T_2269, _T_2270) @[dec_tlu_ctl.scala 2409:39] + node _T_2272 = orr(mhpmc_inc_r[3]) @[dec_tlu_ctl.scala 2409:86] + node mhpmc6_wr_en1 = and(_T_2271, _T_2272) @[dec_tlu_ctl.scala 2409:66] + node mhpmc6_wr_en = or(mhpmc6_wr_en0, mhpmc6_wr_en1) @[dec_tlu_ctl.scala 2410:36] + node _T_2273 = bits(mhpmc6h, 31, 0) @[dec_tlu_ctl.scala 2412:28] + node _T_2274 = bits(mhpmc6, 31, 0) @[dec_tlu_ctl.scala 2412:41] + node _T_2275 = cat(_T_2273, _T_2274) @[Cat.scala 29:58] + node _T_2276 = cat(UInt<63>("h00"), mhpmc_inc_r[3]) @[Cat.scala 29:58] + node _T_2277 = add(_T_2275, _T_2276) @[dec_tlu_ctl.scala 2412:49] + node _T_2278 = tail(_T_2277, 1) @[dec_tlu_ctl.scala 2412:49] + mhpmc6_incr <= _T_2278 @[dec_tlu_ctl.scala 2412:14] + node _T_2279 = bits(mhpmc6_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2413:36] + node _T_2280 = bits(mhpmc6_incr, 31, 0) @[dec_tlu_ctl.scala 2413:76] + node mhpmc6_ns = mux(_T_2279, io.dec_csr_wrdata_r, _T_2280) @[dec_tlu_ctl.scala 2413:21] + node _T_2281 = bits(mhpmc6_wr_en, 0, 0) @[dec_tlu_ctl.scala 2415:43] inst rvclkhdr_32 of rvclkhdr_752 @[lib.scala 368:23] rvclkhdr_32.clock <= clock rvclkhdr_32.reset <= reset rvclkhdr_32.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_32.io.en <= _T_2271 @[lib.scala 371:17] + rvclkhdr_32.io.en <= _T_2281 @[lib.scala 371:17] rvclkhdr_32.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_2272 : UInt, rvclkhdr_32.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_2272 <= mhpmc6_ns @[lib.scala 374:16] - mhpmc6 <= _T_2272 @[dec_tlu_ctl.scala 2417:9] - node _T_2273 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2419:66] - node _T_2274 = eq(_T_2273, UInt<12>("h0b86")) @[dec_tlu_ctl.scala 2419:73] - node mhpmc6h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2274) @[dec_tlu_ctl.scala 2419:44] - node mhpmc6h_wr_en = or(mhpmc6h_wr_en0, mhpmc6_wr_en1) @[dec_tlu_ctl.scala 2420:38] - node _T_2275 = bits(mhpmc6h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2421:38] - node _T_2276 = bits(mhpmc6_incr, 63, 32) @[dec_tlu_ctl.scala 2421:78] - node mhpmc6h_ns = mux(_T_2275, io.dec_csr_wrdata_r, _T_2276) @[dec_tlu_ctl.scala 2421:22] - node _T_2277 = bits(mhpmc6h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2423:46] + reg _T_2282 : UInt, rvclkhdr_32.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2282 <= mhpmc6_ns @[lib.scala 374:16] + mhpmc6 <= _T_2282 @[dec_tlu_ctl.scala 2415:9] + node _T_2283 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2417:66] + node _T_2284 = eq(_T_2283, UInt<12>("h0b86")) @[dec_tlu_ctl.scala 2417:73] + node mhpmc6h_wr_en0 = and(io.dec_csr_wen_r_mod, _T_2284) @[dec_tlu_ctl.scala 2417:44] + node mhpmc6h_wr_en = or(mhpmc6h_wr_en0, mhpmc6_wr_en1) @[dec_tlu_ctl.scala 2418:38] + node _T_2285 = bits(mhpmc6h_wr_en0, 0, 0) @[dec_tlu_ctl.scala 2419:38] + node _T_2286 = bits(mhpmc6_incr, 63, 32) @[dec_tlu_ctl.scala 2419:78] + node mhpmc6h_ns = mux(_T_2285, io.dec_csr_wrdata_r, _T_2286) @[dec_tlu_ctl.scala 2419:22] + node _T_2287 = bits(mhpmc6h_wr_en, 0, 0) @[dec_tlu_ctl.scala 2421:46] inst rvclkhdr_33 of rvclkhdr_753 @[lib.scala 368:23] rvclkhdr_33.clock <= clock rvclkhdr_33.reset <= reset rvclkhdr_33.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_33.io.en <= _T_2277 @[lib.scala 371:17] + rvclkhdr_33.io.en <= _T_2287 @[lib.scala 371:17] rvclkhdr_33.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_2278 : UInt, rvclkhdr_33.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_2278 <= mhpmc6h_ns @[lib.scala 374:16] - mhpmc6h <= _T_2278 @[dec_tlu_ctl.scala 2423:10] - node _T_2279 = bits(io.dec_csr_wrdata_r, 9, 0) @[dec_tlu_ctl.scala 2430:50] - node _T_2280 = gt(_T_2279, UInt<10>("h0204")) @[dec_tlu_ctl.scala 2430:56] - node _T_2281 = bits(io.dec_csr_wrdata_r, 31, 10) @[dec_tlu_ctl.scala 2430:93] - node _T_2282 = orr(_T_2281) @[dec_tlu_ctl.scala 2430:102] - node _T_2283 = or(_T_2280, _T_2282) @[dec_tlu_ctl.scala 2430:71] - node _T_2284 = bits(io.dec_csr_wrdata_r, 9, 0) @[dec_tlu_ctl.scala 2430:141] - node event_saturate_r = mux(_T_2283, UInt<10>("h0204"), _T_2284) @[dec_tlu_ctl.scala 2430:28] - node _T_2285 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2432:63] - node _T_2286 = eq(_T_2285, UInt<12>("h0323")) @[dec_tlu_ctl.scala 2432:70] - node wr_mhpme3_r = and(io.dec_csr_wen_r_mod, _T_2286) @[dec_tlu_ctl.scala 2432:41] - node _T_2287 = bits(wr_mhpme3_r, 0, 0) @[dec_tlu_ctl.scala 2434:80] - reg _T_2288 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_2287 : @[Reg.scala 28:19] - _T_2288 <= event_saturate_r @[Reg.scala 28:23] + reg _T_2288 : UInt, rvclkhdr_33.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] + _T_2288 <= mhpmc6h_ns @[lib.scala 374:16] + mhpmc6h <= _T_2288 @[dec_tlu_ctl.scala 2421:10] + node _T_2289 = bits(io.dec_csr_wrdata_r, 9, 0) @[dec_tlu_ctl.scala 2428:50] + node _T_2290 = gt(_T_2289, UInt<10>("h0204")) @[dec_tlu_ctl.scala 2428:56] + node _T_2291 = bits(io.dec_csr_wrdata_r, 31, 10) @[dec_tlu_ctl.scala 2428:93] + node _T_2292 = orr(_T_2291) @[dec_tlu_ctl.scala 2428:102] + node _T_2293 = or(_T_2290, _T_2292) @[dec_tlu_ctl.scala 2428:71] + node _T_2294 = bits(io.dec_csr_wrdata_r, 9, 0) @[dec_tlu_ctl.scala 2428:141] + node event_saturate_r = mux(_T_2293, UInt<10>("h0204"), _T_2294) @[dec_tlu_ctl.scala 2428:28] + node _T_2295 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2430:63] + node _T_2296 = eq(_T_2295, UInt<12>("h0323")) @[dec_tlu_ctl.scala 2430:70] + node wr_mhpme3_r = and(io.dec_csr_wen_r_mod, _T_2296) @[dec_tlu_ctl.scala 2430:41] + node _T_2297 = bits(wr_mhpme3_r, 0, 0) @[dec_tlu_ctl.scala 2432:80] + reg _T_2298 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2297 : @[Reg.scala 28:19] + _T_2298 <= event_saturate_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - mhpme3 <= _T_2288 @[dec_tlu_ctl.scala 2434:9] - node _T_2289 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2439:63] - node _T_2290 = eq(_T_2289, UInt<12>("h0324")) @[dec_tlu_ctl.scala 2439:70] - node wr_mhpme4_r = and(io.dec_csr_wen_r_mod, _T_2290) @[dec_tlu_ctl.scala 2439:41] - node _T_2291 = bits(wr_mhpme4_r, 0, 0) @[dec_tlu_ctl.scala 2440:80] - reg _T_2292 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_2291 : @[Reg.scala 28:19] - _T_2292 <= event_saturate_r @[Reg.scala 28:23] + mhpme3 <= _T_2298 @[dec_tlu_ctl.scala 2432:9] + node _T_2299 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2437:63] + node _T_2300 = eq(_T_2299, UInt<12>("h0324")) @[dec_tlu_ctl.scala 2437:70] + node wr_mhpme4_r = and(io.dec_csr_wen_r_mod, _T_2300) @[dec_tlu_ctl.scala 2437:41] + node _T_2301 = bits(wr_mhpme4_r, 0, 0) @[dec_tlu_ctl.scala 2438:80] + reg _T_2302 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2301 : @[Reg.scala 28:19] + _T_2302 <= event_saturate_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - mhpme4 <= _T_2292 @[dec_tlu_ctl.scala 2440:9] - node _T_2293 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2446:63] - node _T_2294 = eq(_T_2293, UInt<12>("h0325")) @[dec_tlu_ctl.scala 2446:70] - node wr_mhpme5_r = and(io.dec_csr_wen_r_mod, _T_2294) @[dec_tlu_ctl.scala 2446:41] - node _T_2295 = bits(wr_mhpme5_r, 0, 0) @[dec_tlu_ctl.scala 2447:80] - reg _T_2296 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_2295 : @[Reg.scala 28:19] - _T_2296 <= event_saturate_r @[Reg.scala 28:23] + mhpme4 <= _T_2302 @[dec_tlu_ctl.scala 2438:9] + node _T_2303 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2444:63] + node _T_2304 = eq(_T_2303, UInt<12>("h0325")) @[dec_tlu_ctl.scala 2444:70] + node wr_mhpme5_r = and(io.dec_csr_wen_r_mod, _T_2304) @[dec_tlu_ctl.scala 2444:41] + node _T_2305 = bits(wr_mhpme5_r, 0, 0) @[dec_tlu_ctl.scala 2445:80] + reg _T_2306 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2305 : @[Reg.scala 28:19] + _T_2306 <= event_saturate_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - mhpme5 <= _T_2296 @[dec_tlu_ctl.scala 2447:9] - node _T_2297 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2453:63] - node _T_2298 = eq(_T_2297, UInt<12>("h0326")) @[dec_tlu_ctl.scala 2453:70] - node wr_mhpme6_r = and(io.dec_csr_wen_r_mod, _T_2298) @[dec_tlu_ctl.scala 2453:41] - node _T_2299 = bits(wr_mhpme6_r, 0, 0) @[dec_tlu_ctl.scala 2454:80] - reg _T_2300 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_2299 : @[Reg.scala 28:19] - _T_2300 <= event_saturate_r @[Reg.scala 28:23] + mhpme5 <= _T_2306 @[dec_tlu_ctl.scala 2445:9] + node _T_2307 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2451:63] + node _T_2308 = eq(_T_2307, UInt<12>("h0326")) @[dec_tlu_ctl.scala 2451:70] + node wr_mhpme6_r = and(io.dec_csr_wen_r_mod, _T_2308) @[dec_tlu_ctl.scala 2451:41] + node _T_2309 = bits(wr_mhpme6_r, 0, 0) @[dec_tlu_ctl.scala 2452:80] + reg _T_2310 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2309 : @[Reg.scala 28:19] + _T_2310 <= event_saturate_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - mhpme6 <= _T_2300 @[dec_tlu_ctl.scala 2454:9] - node _T_2301 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2470:70] - node _T_2302 = eq(_T_2301, UInt<12>("h0320")) @[dec_tlu_ctl.scala 2470:77] - node wr_mcountinhibit_r = and(io.dec_csr_wen_r_mod, _T_2302) @[dec_tlu_ctl.scala 2470:48] - node _T_2303 = bits(mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 2472:54] + mhpme6 <= _T_2310 @[dec_tlu_ctl.scala 2452:9] + node _T_2311 = bits(io.dec_csr_wraddr_r, 11, 0) @[dec_tlu_ctl.scala 2468:70] + node _T_2312 = eq(_T_2311, UInt<12>("h0320")) @[dec_tlu_ctl.scala 2468:77] + node wr_mcountinhibit_r = and(io.dec_csr_wen_r_mod, _T_2312) @[dec_tlu_ctl.scala 2468:48] + node _T_2313 = bits(mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 2470:54] wire temp_ncount0 : UInt<1> - temp_ncount0 <= _T_2303 - node _T_2304 = bits(mcountinhibit, 1, 1) @[dec_tlu_ctl.scala 2473:54] + temp_ncount0 <= _T_2313 + node _T_2314 = bits(mcountinhibit, 1, 1) @[dec_tlu_ctl.scala 2471:54] wire temp_ncount1 : UInt<1> - temp_ncount1 <= _T_2304 - node _T_2305 = bits(mcountinhibit, 6, 2) @[dec_tlu_ctl.scala 2474:55] + temp_ncount1 <= _T_2314 + node _T_2315 = bits(mcountinhibit, 6, 2) @[dec_tlu_ctl.scala 2472:55] wire temp_ncount6_2 : UInt<5> - temp_ncount6_2 <= _T_2305 - node _T_2306 = bits(io.dec_csr_wrdata_r, 6, 2) @[dec_tlu_ctl.scala 2475:74] - node _T_2307 = bits(wr_mcountinhibit_r, 0, 0) @[dec_tlu_ctl.scala 2475:103] - reg _T_2308 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_2307 : @[Reg.scala 28:19] - _T_2308 <= _T_2306 @[Reg.scala 28:23] + temp_ncount6_2 <= _T_2315 + node _T_2316 = bits(io.dec_csr_wrdata_r, 6, 2) @[dec_tlu_ctl.scala 2473:74] + node _T_2317 = bits(wr_mcountinhibit_r, 0, 0) @[dec_tlu_ctl.scala 2473:103] + reg _T_2318 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2317 : @[Reg.scala 28:19] + _T_2318 <= _T_2316 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - temp_ncount6_2 <= _T_2308 @[dec_tlu_ctl.scala 2475:17] - node _T_2309 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 2477:72] - node _T_2310 = bits(wr_mcountinhibit_r, 0, 0) @[dec_tlu_ctl.scala 2477:99] - reg _T_2311 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_2310 : @[Reg.scala 28:19] - _T_2311 <= _T_2309 @[Reg.scala 28:23] + temp_ncount6_2 <= _T_2318 @[dec_tlu_ctl.scala 2473:17] + node _T_2319 = bits(io.dec_csr_wrdata_r, 0, 0) @[dec_tlu_ctl.scala 2475:72] + node _T_2320 = bits(wr_mcountinhibit_r, 0, 0) @[dec_tlu_ctl.scala 2475:99] + reg _T_2321 : UInt, io.active_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] + when _T_2320 : @[Reg.scala 28:19] + _T_2321 <= _T_2319 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - temp_ncount0 <= _T_2311 @[dec_tlu_ctl.scala 2477:15] - node _T_2312 = cat(temp_ncount6_2, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2313 = cat(_T_2312, temp_ncount0) @[Cat.scala 29:58] - mcountinhibit <= _T_2313 @[dec_tlu_ctl.scala 2478:16] - node _T_2314 = or(io.i0_valid_wb, io.exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 2485:51] - node _T_2315 = or(_T_2314, io.interrupt_valid_r_d1) @[dec_tlu_ctl.scala 2485:78] - node _T_2316 = or(_T_2315, io.dec_tlu_i0_valid_wb1) @[dec_tlu_ctl.scala 2485:104] - node _T_2317 = or(_T_2316, io.dec_tlu_i0_exc_valid_wb1) @[dec_tlu_ctl.scala 2485:130] - node _T_2318 = or(_T_2317, io.dec_tlu_int_valid_wb1) @[dec_tlu_ctl.scala 2486:32] - node _T_2319 = or(_T_2318, io.clk_override) @[dec_tlu_ctl.scala 2486:59] - node _T_2320 = bits(_T_2319, 0, 0) @[dec_tlu_ctl.scala 2486:78] + temp_ncount0 <= _T_2321 @[dec_tlu_ctl.scala 2475:15] + node _T_2322 = cat(temp_ncount6_2, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2323 = cat(_T_2322, temp_ncount0) @[Cat.scala 29:58] + mcountinhibit <= _T_2323 @[dec_tlu_ctl.scala 2476:16] + node _T_2324 = or(io.i0_valid_wb, io.exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 2483:51] + node _T_2325 = or(_T_2324, io.interrupt_valid_r_d1) @[dec_tlu_ctl.scala 2483:78] + node _T_2326 = or(_T_2325, io.dec_tlu_i0_valid_wb1) @[dec_tlu_ctl.scala 2483:104] + node _T_2327 = or(_T_2326, io.dec_tlu_i0_exc_valid_wb1) @[dec_tlu_ctl.scala 2483:130] + node _T_2328 = or(_T_2327, io.dec_tlu_int_valid_wb1) @[dec_tlu_ctl.scala 2484:32] + node _T_2329 = or(_T_2328, io.clk_override) @[dec_tlu_ctl.scala 2484:59] + node _T_2330 = bits(_T_2329, 0, 0) @[dec_tlu_ctl.scala 2484:78] inst rvclkhdr_34 of rvclkhdr_754 @[lib.scala 343:22] rvclkhdr_34.clock <= clock rvclkhdr_34.reset <= reset rvclkhdr_34.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_34.io.en <= _T_2320 @[lib.scala 345:16] + rvclkhdr_34.io.en <= _T_2330 @[lib.scala 345:16] rvclkhdr_34.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - reg _T_2321 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2488:62] - _T_2321 <= io.i0_valid_wb @[dec_tlu_ctl.scala 2488:62] - io.dec_tlu_i0_valid_wb1 <= _T_2321 @[dec_tlu_ctl.scala 2488:30] - node _T_2322 = or(io.i0_exception_valid_r_d1, io.lsu_i0_exc_r_d1) @[dec_tlu_ctl.scala 2489:91] - node _T_2323 = not(io.trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 2489:137] - node _T_2324 = and(io.trigger_hit_r_d1, _T_2323) @[dec_tlu_ctl.scala 2489:135] - node _T_2325 = or(_T_2322, _T_2324) @[dec_tlu_ctl.scala 2489:112] - reg _T_2326 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2489:62] - _T_2326 <= _T_2325 @[dec_tlu_ctl.scala 2489:62] - io.dec_tlu_i0_exc_valid_wb1 <= _T_2326 @[dec_tlu_ctl.scala 2489:30] - reg _T_2327 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2490:62] - _T_2327 <= io.exc_cause_wb @[dec_tlu_ctl.scala 2490:62] - io.dec_tlu_exc_cause_wb1 <= _T_2327 @[dec_tlu_ctl.scala 2490:30] - reg _T_2328 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2491:62] - _T_2328 <= io.interrupt_valid_r_d1 @[dec_tlu_ctl.scala 2491:62] - io.dec_tlu_int_valid_wb1 <= _T_2328 @[dec_tlu_ctl.scala 2491:30] - io.dec_tlu_mtval_wb1 <= mtval @[dec_tlu_ctl.scala 2493:24] - node _T_2329 = bits(io.csr_pkt.csr_misa, 0, 0) @[dec_tlu_ctl.scala 2499:61] - node _T_2330 = bits(io.csr_pkt.csr_mvendorid, 0, 0) @[dec_tlu_ctl.scala 2500:42] - node _T_2331 = bits(io.csr_pkt.csr_marchid, 0, 0) @[dec_tlu_ctl.scala 2501:40] - node _T_2332 = bits(io.csr_pkt.csr_mimpid, 0, 0) @[dec_tlu_ctl.scala 2502:39] - node _T_2333 = bits(io.csr_pkt.csr_mhartid, 0, 0) @[dec_tlu_ctl.scala 2503:40] - node _T_2334 = cat(io.core_id, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_2335 = bits(io.csr_pkt.csr_mstatus, 0, 0) @[dec_tlu_ctl.scala 2504:40] - node _T_2336 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 2504:103] - node _T_2337 = bits(io.mstatus, 0, 0) @[dec_tlu_ctl.scala 2504:128] - node _T_2338 = cat(UInt<3>("h00"), _T_2337) @[Cat.scala 29:58] - node _T_2339 = cat(_T_2338, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2340 = cat(UInt<3>("h00"), _T_2336) @[Cat.scala 29:58] - node _T_2341 = cat(UInt<19>("h00"), UInt<2>("h03")) @[Cat.scala 29:58] - node _T_2342 = cat(_T_2341, _T_2340) @[Cat.scala 29:58] - node _T_2343 = cat(_T_2342, _T_2339) @[Cat.scala 29:58] - node _T_2344 = bits(io.csr_pkt.csr_mtvec, 0, 0) @[dec_tlu_ctl.scala 2505:38] - node _T_2345 = bits(io.mtvec, 30, 1) @[dec_tlu_ctl.scala 2505:70] - node _T_2346 = bits(io.mtvec, 0, 0) @[dec_tlu_ctl.scala 2505:96] - node _T_2347 = cat(_T_2345, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2348 = cat(_T_2347, _T_2346) @[Cat.scala 29:58] - node _T_2349 = bits(io.csr_pkt.csr_mip, 0, 0) @[dec_tlu_ctl.scala 2506:36] - node _T_2350 = bits(io.mip, 5, 3) @[dec_tlu_ctl.scala 2506:78] - node _T_2351 = bits(io.mip, 2, 2) @[dec_tlu_ctl.scala 2506:102] - node _T_2352 = bits(io.mip, 1, 1) @[dec_tlu_ctl.scala 2506:123] - node _T_2353 = bits(io.mip, 0, 0) @[dec_tlu_ctl.scala 2506:144] - node _T_2354 = cat(_T_2353, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2355 = cat(_T_2352, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2356 = cat(_T_2355, _T_2354) @[Cat.scala 29:58] - node _T_2357 = cat(_T_2351, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2358 = cat(UInt<1>("h00"), _T_2350) @[Cat.scala 29:58] - node _T_2359 = cat(_T_2358, UInt<16>("h00")) @[Cat.scala 29:58] - node _T_2360 = cat(_T_2359, _T_2357) @[Cat.scala 29:58] - node _T_2361 = cat(_T_2360, _T_2356) @[Cat.scala 29:58] - node _T_2362 = bits(io.csr_pkt.csr_mie, 0, 0) @[dec_tlu_ctl.scala 2507:36] - node _T_2363 = bits(mie, 5, 3) @[dec_tlu_ctl.scala 2507:75] - node _T_2364 = bits(mie, 2, 2) @[dec_tlu_ctl.scala 2507:96] - node _T_2365 = bits(mie, 1, 1) @[dec_tlu_ctl.scala 2507:114] - node _T_2366 = bits(mie, 0, 0) @[dec_tlu_ctl.scala 2507:132] - node _T_2367 = cat(_T_2366, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2368 = cat(_T_2365, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2369 = cat(_T_2368, _T_2367) @[Cat.scala 29:58] - node _T_2370 = cat(_T_2364, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2371 = cat(UInt<1>("h00"), _T_2363) @[Cat.scala 29:58] - node _T_2372 = cat(_T_2371, UInt<16>("h00")) @[Cat.scala 29:58] - node _T_2373 = cat(_T_2372, _T_2370) @[Cat.scala 29:58] - node _T_2374 = cat(_T_2373, _T_2369) @[Cat.scala 29:58] - node _T_2375 = bits(io.csr_pkt.csr_mcyclel, 0, 0) @[dec_tlu_ctl.scala 2508:40] - node _T_2376 = bits(mcyclel, 31, 0) @[dec_tlu_ctl.scala 2508:65] - node _T_2377 = bits(io.csr_pkt.csr_mcycleh, 0, 0) @[dec_tlu_ctl.scala 2509:40] - node _T_2378 = bits(mcycleh_inc, 31, 0) @[dec_tlu_ctl.scala 2509:69] - node _T_2379 = bits(io.csr_pkt.csr_minstretl, 0, 0) @[dec_tlu_ctl.scala 2510:42] - node _T_2380 = bits(minstretl, 31, 0) @[dec_tlu_ctl.scala 2510:72] - node _T_2381 = bits(io.csr_pkt.csr_minstreth, 0, 0) @[dec_tlu_ctl.scala 2511:42] - node _T_2382 = bits(minstreth_inc, 31, 0) @[dec_tlu_ctl.scala 2511:72] - node _T_2383 = bits(io.csr_pkt.csr_mscratch, 0, 0) @[dec_tlu_ctl.scala 2512:41] - node _T_2384 = bits(mscratch, 31, 0) @[dec_tlu_ctl.scala 2512:66] - node _T_2385 = bits(io.csr_pkt.csr_mepc, 0, 0) @[dec_tlu_ctl.scala 2513:37] - node _T_2386 = cat(io.mepc, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2387 = bits(io.csr_pkt.csr_mcause, 0, 0) @[dec_tlu_ctl.scala 2514:39] - node _T_2388 = bits(mcause, 31, 0) @[dec_tlu_ctl.scala 2514:64] - node _T_2389 = bits(io.csr_pkt.csr_mscause, 0, 0) @[dec_tlu_ctl.scala 2515:40] - node _T_2390 = bits(mscause, 3, 0) @[dec_tlu_ctl.scala 2515:80] - node _T_2391 = cat(UInt<28>("h00"), _T_2390) @[Cat.scala 29:58] - node _T_2392 = bits(io.csr_pkt.csr_mtval, 0, 0) @[dec_tlu_ctl.scala 2516:38] - node _T_2393 = bits(mtval, 31, 0) @[dec_tlu_ctl.scala 2516:63] - node _T_2394 = bits(io.csr_pkt.csr_mrac, 0, 0) @[dec_tlu_ctl.scala 2517:37] - node _T_2395 = bits(mrac, 31, 0) @[dec_tlu_ctl.scala 2517:62] - node _T_2396 = bits(io.csr_pkt.csr_mdseac, 0, 0) @[dec_tlu_ctl.scala 2518:39] - node _T_2397 = bits(mdseac, 31, 0) @[dec_tlu_ctl.scala 2518:64] - node _T_2398 = bits(io.csr_pkt.csr_meivt, 0, 0) @[dec_tlu_ctl.scala 2519:38] - node _T_2399 = cat(meivt, UInt<10>("h00")) @[Cat.scala 29:58] - node _T_2400 = bits(io.csr_pkt.csr_meihap, 0, 0) @[dec_tlu_ctl.scala 2520:39] - node _T_2401 = cat(meivt, meihap) @[Cat.scala 29:58] - node _T_2402 = cat(_T_2401, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_2403 = bits(io.csr_pkt.csr_meicurpl, 0, 0) @[dec_tlu_ctl.scala 2521:41] - node _T_2404 = bits(meicurpl, 3, 0) @[dec_tlu_ctl.scala 2521:81] - node _T_2405 = cat(UInt<28>("h00"), _T_2404) @[Cat.scala 29:58] - node _T_2406 = bits(io.csr_pkt.csr_meicidpl, 0, 0) @[dec_tlu_ctl.scala 2522:41] - node _T_2407 = bits(meicidpl, 3, 0) @[dec_tlu_ctl.scala 2522:81] - node _T_2408 = cat(UInt<28>("h00"), _T_2407) @[Cat.scala 29:58] - node _T_2409 = bits(io.csr_pkt.csr_meipt, 0, 0) @[dec_tlu_ctl.scala 2523:38] - node _T_2410 = bits(meipt, 3, 0) @[dec_tlu_ctl.scala 2523:78] - node _T_2411 = cat(UInt<28>("h00"), _T_2410) @[Cat.scala 29:58] - node _T_2412 = bits(io.csr_pkt.csr_mcgc, 0, 0) @[dec_tlu_ctl.scala 2524:37] - node _T_2413 = bits(mcgc, 8, 0) @[dec_tlu_ctl.scala 2524:77] - node _T_2414 = cat(UInt<23>("h00"), _T_2413) @[Cat.scala 29:58] - node _T_2415 = bits(io.csr_pkt.csr_mfdc, 0, 0) @[dec_tlu_ctl.scala 2525:37] - node _T_2416 = bits(mfdc, 18, 0) @[dec_tlu_ctl.scala 2525:77] - node _T_2417 = cat(UInt<13>("h00"), _T_2416) @[Cat.scala 29:58] - node _T_2418 = bits(io.csr_pkt.csr_dcsr, 0, 0) @[dec_tlu_ctl.scala 2526:37] - node _T_2419 = bits(io.dcsr, 15, 2) @[dec_tlu_ctl.scala 2526:85] - node _T_2420 = cat(UInt<16>("h04000"), _T_2419) @[Cat.scala 29:58] - node _T_2421 = cat(_T_2420, UInt<2>("h03")) @[Cat.scala 29:58] - node _T_2422 = bits(io.csr_pkt.csr_dpc, 0, 0) @[dec_tlu_ctl.scala 2527:36] - node _T_2423 = cat(io.dpc, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2424 = bits(io.csr_pkt.csr_dicad0, 0, 0) @[dec_tlu_ctl.scala 2528:39] - node _T_2425 = bits(dicad0, 31, 0) @[dec_tlu_ctl.scala 2528:64] - node _T_2426 = bits(io.csr_pkt.csr_dicad0h, 0, 0) @[dec_tlu_ctl.scala 2529:40] - node _T_2427 = bits(dicad0h, 31, 0) @[dec_tlu_ctl.scala 2529:65] - node _T_2428 = bits(io.csr_pkt.csr_dicad1, 0, 0) @[dec_tlu_ctl.scala 2530:39] - node _T_2429 = bits(dicad1, 31, 0) @[dec_tlu_ctl.scala 2530:64] - node _T_2430 = bits(io.csr_pkt.csr_dicawics, 0, 0) @[dec_tlu_ctl.scala 2531:41] - node _T_2431 = bits(dicawics, 16, 16) @[dec_tlu_ctl.scala 2531:80] - node _T_2432 = bits(dicawics, 15, 14) @[dec_tlu_ctl.scala 2531:104] - node _T_2433 = bits(dicawics, 13, 0) @[dec_tlu_ctl.scala 2531:131] - node _T_2434 = cat(UInt<3>("h00"), _T_2433) @[Cat.scala 29:58] - node _T_2435 = cat(_T_2434, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_2436 = cat(UInt<2>("h00"), _T_2432) @[Cat.scala 29:58] - node _T_2437 = cat(UInt<7>("h00"), _T_2431) @[Cat.scala 29:58] - node _T_2438 = cat(_T_2437, _T_2436) @[Cat.scala 29:58] - node _T_2439 = cat(_T_2438, _T_2435) @[Cat.scala 29:58] - node _T_2440 = bits(io.csr_pkt.csr_mtsel, 0, 0) @[dec_tlu_ctl.scala 2532:38] - node _T_2441 = bits(mtsel, 1, 0) @[dec_tlu_ctl.scala 2532:78] - node _T_2442 = cat(UInt<30>("h00"), _T_2441) @[Cat.scala 29:58] - node _T_2443 = bits(io.csr_pkt.csr_mtdata1, 0, 0) @[dec_tlu_ctl.scala 2533:40] - node _T_2444 = bits(mtdata1_tsel_out, 31, 0) @[dec_tlu_ctl.scala 2533:74] - node _T_2445 = bits(io.csr_pkt.csr_mtdata2, 0, 0) @[dec_tlu_ctl.scala 2534:40] - node _T_2446 = bits(mtdata2_tsel_out, 31, 0) @[dec_tlu_ctl.scala 2534:74] - node _T_2447 = bits(io.csr_pkt.csr_micect, 0, 0) @[dec_tlu_ctl.scala 2535:39] - node _T_2448 = bits(micect, 31, 0) @[dec_tlu_ctl.scala 2535:64] - node _T_2449 = bits(io.csr_pkt.csr_miccmect, 0, 0) @[dec_tlu_ctl.scala 2536:41] - node _T_2450 = bits(miccmect, 31, 0) @[dec_tlu_ctl.scala 2536:66] - node _T_2451 = bits(io.csr_pkt.csr_mdccmect, 0, 0) @[dec_tlu_ctl.scala 2537:41] - node _T_2452 = bits(mdccmect, 31, 0) @[dec_tlu_ctl.scala 2537:66] - node _T_2453 = bits(io.csr_pkt.csr_mhpmc3, 0, 0) @[dec_tlu_ctl.scala 2538:39] - node _T_2454 = bits(mhpmc3, 31, 0) @[dec_tlu_ctl.scala 2538:64] - node _T_2455 = bits(io.csr_pkt.csr_mhpmc4, 0, 0) @[dec_tlu_ctl.scala 2539:39] - node _T_2456 = bits(mhpmc4, 31, 0) @[dec_tlu_ctl.scala 2539:64] - node _T_2457 = bits(io.csr_pkt.csr_mhpmc5, 0, 0) @[dec_tlu_ctl.scala 2540:39] - node _T_2458 = bits(mhpmc5, 31, 0) @[dec_tlu_ctl.scala 2540:64] - node _T_2459 = bits(io.csr_pkt.csr_mhpmc6, 0, 0) @[dec_tlu_ctl.scala 2541:39] - node _T_2460 = bits(mhpmc6, 31, 0) @[dec_tlu_ctl.scala 2541:64] - node _T_2461 = bits(io.csr_pkt.csr_mhpmc3h, 0, 0) @[dec_tlu_ctl.scala 2542:40] - node _T_2462 = bits(mhpmc3h, 31, 0) @[dec_tlu_ctl.scala 2542:65] - node _T_2463 = bits(io.csr_pkt.csr_mhpmc4h, 0, 0) @[dec_tlu_ctl.scala 2543:40] - node _T_2464 = bits(mhpmc4h, 31, 0) @[dec_tlu_ctl.scala 2543:65] - node _T_2465 = bits(io.csr_pkt.csr_mhpmc5h, 0, 0) @[dec_tlu_ctl.scala 2544:40] - node _T_2466 = bits(mhpmc5h, 31, 0) @[dec_tlu_ctl.scala 2544:65] - node _T_2467 = bits(io.csr_pkt.csr_mhpmc6h, 0, 0) @[dec_tlu_ctl.scala 2545:40] - node _T_2468 = bits(mhpmc6h, 31, 0) @[dec_tlu_ctl.scala 2545:65] - node _T_2469 = bits(io.csr_pkt.csr_mfdht, 0, 0) @[dec_tlu_ctl.scala 2546:38] - node _T_2470 = bits(mfdht, 5, 0) @[dec_tlu_ctl.scala 2546:78] - node _T_2471 = cat(UInt<26>("h00"), _T_2470) @[Cat.scala 29:58] - node _T_2472 = bits(io.csr_pkt.csr_mfdhs, 0, 0) @[dec_tlu_ctl.scala 2547:38] - node _T_2473 = bits(mfdhs, 1, 0) @[dec_tlu_ctl.scala 2547:78] - node _T_2474 = cat(UInt<30>("h00"), _T_2473) @[Cat.scala 29:58] - node _T_2475 = bits(io.csr_pkt.csr_mhpme3, 0, 0) @[dec_tlu_ctl.scala 2548:39] - node _T_2476 = bits(mhpme3, 9, 0) @[dec_tlu_ctl.scala 2548:79] - node _T_2477 = cat(UInt<22>("h00"), _T_2476) @[Cat.scala 29:58] - node _T_2478 = bits(io.csr_pkt.csr_mhpme4, 0, 0) @[dec_tlu_ctl.scala 2549:39] - node _T_2479 = bits(mhpme4, 9, 0) @[dec_tlu_ctl.scala 2549:79] - node _T_2480 = cat(UInt<22>("h00"), _T_2479) @[Cat.scala 29:58] - node _T_2481 = bits(io.csr_pkt.csr_mhpme5, 0, 0) @[dec_tlu_ctl.scala 2550:39] - node _T_2482 = bits(mhpme5, 9, 0) @[dec_tlu_ctl.scala 2550:78] - node _T_2483 = cat(UInt<22>("h00"), _T_2482) @[Cat.scala 29:58] - node _T_2484 = bits(io.csr_pkt.csr_mhpme6, 0, 0) @[dec_tlu_ctl.scala 2551:39] - node _T_2485 = bits(mhpme6, 9, 0) @[dec_tlu_ctl.scala 2551:78] - node _T_2486 = cat(UInt<22>("h00"), _T_2485) @[Cat.scala 29:58] - node _T_2487 = bits(io.csr_pkt.csr_mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 2552:46] - node _T_2488 = bits(mcountinhibit, 6, 0) @[dec_tlu_ctl.scala 2552:86] - node _T_2489 = cat(UInt<25>("h00"), _T_2488) @[Cat.scala 29:58] - node _T_2490 = bits(io.csr_pkt.csr_mpmc, 0, 0) @[dec_tlu_ctl.scala 2553:37] - node _T_2491 = cat(UInt<30>("h00"), mpmc) @[Cat.scala 29:58] - node _T_2492 = cat(_T_2491, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_2493 = bits(io.dec_timer_read_d, 0, 0) @[dec_tlu_ctl.scala 2554:37] - node _T_2494 = bits(io.dec_timer_rddata_d, 31, 0) @[dec_tlu_ctl.scala 2554:76] - node _T_2495 = mux(_T_2329, UInt<32>("h040001104"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2496 = mux(_T_2330, UInt<32>("h045"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2497 = mux(_T_2331, UInt<32>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2498 = mux(_T_2332, UInt<32>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2499 = mux(_T_2333, _T_2334, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2500 = mux(_T_2335, _T_2343, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2501 = mux(_T_2344, _T_2348, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2502 = mux(_T_2349, _T_2361, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2503 = mux(_T_2362, _T_2374, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2504 = mux(_T_2375, _T_2376, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2505 = mux(_T_2377, _T_2378, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2506 = mux(_T_2379, _T_2380, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2507 = mux(_T_2381, _T_2382, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2508 = mux(_T_2383, _T_2384, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2509 = mux(_T_2385, _T_2386, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2510 = mux(_T_2387, _T_2388, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2511 = mux(_T_2389, _T_2391, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2512 = mux(_T_2392, _T_2393, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2513 = mux(_T_2394, _T_2395, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2514 = mux(_T_2396, _T_2397, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2515 = mux(_T_2398, _T_2399, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2516 = mux(_T_2400, _T_2402, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2517 = mux(_T_2403, _T_2405, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2518 = mux(_T_2406, _T_2408, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2519 = mux(_T_2409, _T_2411, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2520 = mux(_T_2412, _T_2414, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2521 = mux(_T_2415, _T_2417, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2522 = mux(_T_2418, _T_2421, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2523 = mux(_T_2422, _T_2423, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2524 = mux(_T_2424, _T_2425, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2525 = mux(_T_2426, _T_2427, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2526 = mux(_T_2428, _T_2429, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2527 = mux(_T_2430, _T_2439, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2528 = mux(_T_2440, _T_2442, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2529 = mux(_T_2443, _T_2444, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2530 = mux(_T_2445, _T_2446, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2531 = mux(_T_2447, _T_2448, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2532 = mux(_T_2449, _T_2450, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2533 = mux(_T_2451, _T_2452, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2534 = mux(_T_2453, _T_2454, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2535 = mux(_T_2455, _T_2456, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2536 = mux(_T_2457, _T_2458, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2537 = mux(_T_2459, _T_2460, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2538 = mux(_T_2461, _T_2462, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2539 = mux(_T_2463, _T_2464, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2540 = mux(_T_2465, _T_2466, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2541 = mux(_T_2467, _T_2468, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2542 = mux(_T_2469, _T_2471, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2543 = mux(_T_2472, _T_2474, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2544 = mux(_T_2475, _T_2477, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2545 = mux(_T_2478, _T_2480, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2546 = mux(_T_2481, _T_2483, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2547 = mux(_T_2484, _T_2486, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2548 = mux(_T_2487, _T_2489, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2549 = mux(_T_2490, _T_2492, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2550 = mux(_T_2493, _T_2494, UInt<1>("h00")) @[Mux.scala 27:72] - node _T_2551 = or(_T_2495, _T_2496) @[Mux.scala 27:72] - node _T_2552 = or(_T_2551, _T_2497) @[Mux.scala 27:72] - node _T_2553 = or(_T_2552, _T_2498) @[Mux.scala 27:72] - node _T_2554 = or(_T_2553, _T_2499) @[Mux.scala 27:72] - node _T_2555 = or(_T_2554, _T_2500) @[Mux.scala 27:72] - node _T_2556 = or(_T_2555, _T_2501) @[Mux.scala 27:72] - node _T_2557 = or(_T_2556, _T_2502) @[Mux.scala 27:72] - node _T_2558 = or(_T_2557, _T_2503) @[Mux.scala 27:72] - node _T_2559 = or(_T_2558, _T_2504) @[Mux.scala 27:72] - node _T_2560 = or(_T_2559, _T_2505) @[Mux.scala 27:72] - node _T_2561 = or(_T_2560, _T_2506) @[Mux.scala 27:72] + reg _T_2331 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2486:62] + _T_2331 <= io.i0_valid_wb @[dec_tlu_ctl.scala 2486:62] + io.dec_tlu_i0_valid_wb1 <= _T_2331 @[dec_tlu_ctl.scala 2486:30] + node _T_2332 = or(io.i0_exception_valid_r_d1, io.lsu_i0_exc_r_d1) @[dec_tlu_ctl.scala 2487:91] + node _T_2333 = not(io.trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 2487:137] + node _T_2334 = and(io.trigger_hit_r_d1, _T_2333) @[dec_tlu_ctl.scala 2487:135] + node _T_2335 = or(_T_2332, _T_2334) @[dec_tlu_ctl.scala 2487:112] + reg _T_2336 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2487:62] + _T_2336 <= _T_2335 @[dec_tlu_ctl.scala 2487:62] + io.dec_tlu_i0_exc_valid_wb1 <= _T_2336 @[dec_tlu_ctl.scala 2487:30] + reg _T_2337 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2488:62] + _T_2337 <= io.exc_cause_wb @[dec_tlu_ctl.scala 2488:62] + io.dec_tlu_exc_cause_wb1 <= _T_2337 @[dec_tlu_ctl.scala 2488:30] + reg _T_2338 : UInt, rvclkhdr_34.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 2489:62] + _T_2338 <= io.interrupt_valid_r_d1 @[dec_tlu_ctl.scala 2489:62] + io.dec_tlu_int_valid_wb1 <= _T_2338 @[dec_tlu_ctl.scala 2489:30] + io.dec_tlu_mtval_wb1 <= mtval @[dec_tlu_ctl.scala 2491:24] + node _T_2339 = bits(io.csr_pkt.csr_misa, 0, 0) @[dec_tlu_ctl.scala 2497:61] + node _T_2340 = bits(io.csr_pkt.csr_mvendorid, 0, 0) @[dec_tlu_ctl.scala 2498:42] + node _T_2341 = bits(io.csr_pkt.csr_marchid, 0, 0) @[dec_tlu_ctl.scala 2499:40] + node _T_2342 = bits(io.csr_pkt.csr_mimpid, 0, 0) @[dec_tlu_ctl.scala 2500:39] + node _T_2343 = bits(io.csr_pkt.csr_mhartid, 0, 0) @[dec_tlu_ctl.scala 2501:40] + node _T_2344 = cat(io.core_id, UInt<4>("h00")) @[Cat.scala 29:58] + node _T_2345 = bits(io.csr_pkt.csr_mstatus, 0, 0) @[dec_tlu_ctl.scala 2502:40] + node _T_2346 = bits(io.mstatus, 1, 1) @[dec_tlu_ctl.scala 2502:103] + node _T_2347 = bits(io.mstatus, 0, 0) @[dec_tlu_ctl.scala 2502:128] + node _T_2348 = cat(UInt<3>("h00"), _T_2347) @[Cat.scala 29:58] + node _T_2349 = cat(_T_2348, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2350 = cat(UInt<3>("h00"), _T_2346) @[Cat.scala 29:58] + node _T_2351 = cat(UInt<19>("h00"), UInt<2>("h03")) @[Cat.scala 29:58] + node _T_2352 = cat(_T_2351, _T_2350) @[Cat.scala 29:58] + node _T_2353 = cat(_T_2352, _T_2349) @[Cat.scala 29:58] + node _T_2354 = bits(io.csr_pkt.csr_mtvec, 0, 0) @[dec_tlu_ctl.scala 2503:38] + node _T_2355 = bits(io.mtvec, 30, 1) @[dec_tlu_ctl.scala 2503:70] + node _T_2356 = bits(io.mtvec, 0, 0) @[dec_tlu_ctl.scala 2503:96] + node _T_2357 = cat(_T_2355, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2358 = cat(_T_2357, _T_2356) @[Cat.scala 29:58] + node _T_2359 = bits(io.csr_pkt.csr_mip, 0, 0) @[dec_tlu_ctl.scala 2504:36] + node _T_2360 = bits(io.mip, 5, 3) @[dec_tlu_ctl.scala 2504:78] + node _T_2361 = bits(io.mip, 2, 2) @[dec_tlu_ctl.scala 2504:102] + node _T_2362 = bits(io.mip, 1, 1) @[dec_tlu_ctl.scala 2504:123] + node _T_2363 = bits(io.mip, 0, 0) @[dec_tlu_ctl.scala 2504:144] + node _T_2364 = cat(_T_2363, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2365 = cat(_T_2362, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2366 = cat(_T_2365, _T_2364) @[Cat.scala 29:58] + node _T_2367 = cat(_T_2361, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2368 = cat(UInt<1>("h00"), _T_2360) @[Cat.scala 29:58] + node _T_2369 = cat(_T_2368, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_2370 = cat(_T_2369, _T_2367) @[Cat.scala 29:58] + node _T_2371 = cat(_T_2370, _T_2366) @[Cat.scala 29:58] + node _T_2372 = bits(io.csr_pkt.csr_mie, 0, 0) @[dec_tlu_ctl.scala 2505:36] + node _T_2373 = bits(mie, 5, 3) @[dec_tlu_ctl.scala 2505:75] + node _T_2374 = bits(mie, 2, 2) @[dec_tlu_ctl.scala 2505:96] + node _T_2375 = bits(mie, 1, 1) @[dec_tlu_ctl.scala 2505:114] + node _T_2376 = bits(mie, 0, 0) @[dec_tlu_ctl.scala 2505:132] + node _T_2377 = cat(_T_2376, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2378 = cat(_T_2375, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2379 = cat(_T_2378, _T_2377) @[Cat.scala 29:58] + node _T_2380 = cat(_T_2374, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2381 = cat(UInt<1>("h00"), _T_2373) @[Cat.scala 29:58] + node _T_2382 = cat(_T_2381, UInt<16>("h00")) @[Cat.scala 29:58] + node _T_2383 = cat(_T_2382, _T_2380) @[Cat.scala 29:58] + node _T_2384 = cat(_T_2383, _T_2379) @[Cat.scala 29:58] + node _T_2385 = bits(io.csr_pkt.csr_mcyclel, 0, 0) @[dec_tlu_ctl.scala 2506:40] + node _T_2386 = bits(mcyclel, 31, 0) @[dec_tlu_ctl.scala 2506:65] + node _T_2387 = bits(io.csr_pkt.csr_mcycleh, 0, 0) @[dec_tlu_ctl.scala 2507:40] + node _T_2388 = bits(mcycleh_inc, 31, 0) @[dec_tlu_ctl.scala 2507:69] + node _T_2389 = bits(io.csr_pkt.csr_minstretl, 0, 0) @[dec_tlu_ctl.scala 2508:42] + node _T_2390 = bits(minstretl, 31, 0) @[dec_tlu_ctl.scala 2508:72] + node _T_2391 = bits(io.csr_pkt.csr_minstreth, 0, 0) @[dec_tlu_ctl.scala 2509:42] + node _T_2392 = bits(minstreth_inc, 31, 0) @[dec_tlu_ctl.scala 2509:72] + node _T_2393 = bits(io.csr_pkt.csr_mscratch, 0, 0) @[dec_tlu_ctl.scala 2510:41] + node _T_2394 = bits(mscratch, 31, 0) @[dec_tlu_ctl.scala 2510:66] + node _T_2395 = bits(io.csr_pkt.csr_mepc, 0, 0) @[dec_tlu_ctl.scala 2511:37] + node _T_2396 = cat(io.mepc, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2397 = bits(io.csr_pkt.csr_mcause, 0, 0) @[dec_tlu_ctl.scala 2512:39] + node _T_2398 = bits(mcause, 31, 0) @[dec_tlu_ctl.scala 2512:64] + node _T_2399 = bits(io.csr_pkt.csr_mscause, 0, 0) @[dec_tlu_ctl.scala 2513:40] + node _T_2400 = bits(mscause, 3, 0) @[dec_tlu_ctl.scala 2513:80] + node _T_2401 = cat(UInt<28>("h00"), _T_2400) @[Cat.scala 29:58] + node _T_2402 = bits(io.csr_pkt.csr_mtval, 0, 0) @[dec_tlu_ctl.scala 2514:38] + node _T_2403 = bits(mtval, 31, 0) @[dec_tlu_ctl.scala 2514:63] + node _T_2404 = bits(io.csr_pkt.csr_mrac, 0, 0) @[dec_tlu_ctl.scala 2515:37] + node _T_2405 = bits(mrac, 31, 0) @[dec_tlu_ctl.scala 2515:62] + node _T_2406 = bits(io.csr_pkt.csr_mdseac, 0, 0) @[dec_tlu_ctl.scala 2516:39] + node _T_2407 = bits(mdseac, 31, 0) @[dec_tlu_ctl.scala 2516:64] + node _T_2408 = bits(io.csr_pkt.csr_meivt, 0, 0) @[dec_tlu_ctl.scala 2517:38] + node _T_2409 = cat(meivt, UInt<10>("h00")) @[Cat.scala 29:58] + node _T_2410 = bits(io.csr_pkt.csr_meihap, 0, 0) @[dec_tlu_ctl.scala 2518:39] + node _T_2411 = cat(meivt, meihap) @[Cat.scala 29:58] + node _T_2412 = cat(_T_2411, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_2413 = bits(io.csr_pkt.csr_meicurpl, 0, 0) @[dec_tlu_ctl.scala 2519:41] + node _T_2414 = bits(meicurpl, 3, 0) @[dec_tlu_ctl.scala 2519:81] + node _T_2415 = cat(UInt<28>("h00"), _T_2414) @[Cat.scala 29:58] + node _T_2416 = bits(io.csr_pkt.csr_meicidpl, 0, 0) @[dec_tlu_ctl.scala 2520:41] + node _T_2417 = bits(meicidpl, 3, 0) @[dec_tlu_ctl.scala 2520:81] + node _T_2418 = cat(UInt<28>("h00"), _T_2417) @[Cat.scala 29:58] + node _T_2419 = bits(io.csr_pkt.csr_meipt, 0, 0) @[dec_tlu_ctl.scala 2521:38] + node _T_2420 = bits(meipt, 3, 0) @[dec_tlu_ctl.scala 2521:78] + node _T_2421 = cat(UInt<28>("h00"), _T_2420) @[Cat.scala 29:58] + node _T_2422 = bits(io.csr_pkt.csr_mcgc, 0, 0) @[dec_tlu_ctl.scala 2522:37] + node _T_2423 = bits(mcgc, 8, 0) @[dec_tlu_ctl.scala 2522:77] + node _T_2424 = cat(UInt<23>("h00"), _T_2423) @[Cat.scala 29:58] + node _T_2425 = bits(io.csr_pkt.csr_mfdc, 0, 0) @[dec_tlu_ctl.scala 2523:37] + node _T_2426 = bits(mfdc, 18, 0) @[dec_tlu_ctl.scala 2523:77] + node _T_2427 = cat(UInt<13>("h00"), _T_2426) @[Cat.scala 29:58] + node _T_2428 = bits(io.csr_pkt.csr_dcsr, 0, 0) @[dec_tlu_ctl.scala 2524:37] + node _T_2429 = bits(io.dcsr, 15, 2) @[dec_tlu_ctl.scala 2524:85] + node _T_2430 = cat(UInt<16>("h04000"), _T_2429) @[Cat.scala 29:58] + node _T_2431 = cat(_T_2430, UInt<2>("h03")) @[Cat.scala 29:58] + node _T_2432 = bits(io.csr_pkt.csr_dpc, 0, 0) @[dec_tlu_ctl.scala 2525:36] + node _T_2433 = cat(io.dpc, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2434 = bits(io.csr_pkt.csr_dicad0, 0, 0) @[dec_tlu_ctl.scala 2526:39] + node _T_2435 = bits(dicad0, 31, 0) @[dec_tlu_ctl.scala 2526:64] + node _T_2436 = bits(io.csr_pkt.csr_dicad0h, 0, 0) @[dec_tlu_ctl.scala 2527:40] + node _T_2437 = bits(dicad0h, 31, 0) @[dec_tlu_ctl.scala 2527:65] + node _T_2438 = bits(io.csr_pkt.csr_dicad1, 0, 0) @[dec_tlu_ctl.scala 2528:39] + node _T_2439 = bits(dicad1, 31, 0) @[dec_tlu_ctl.scala 2528:64] + node _T_2440 = bits(io.csr_pkt.csr_dicawics, 0, 0) @[dec_tlu_ctl.scala 2529:41] + node _T_2441 = bits(dicawics, 16, 16) @[dec_tlu_ctl.scala 2529:80] + node _T_2442 = bits(dicawics, 15, 14) @[dec_tlu_ctl.scala 2529:104] + node _T_2443 = bits(dicawics, 13, 0) @[dec_tlu_ctl.scala 2529:131] + node _T_2444 = cat(UInt<3>("h00"), _T_2443) @[Cat.scala 29:58] + node _T_2445 = cat(_T_2444, UInt<3>("h00")) @[Cat.scala 29:58] + node _T_2446 = cat(UInt<2>("h00"), _T_2442) @[Cat.scala 29:58] + node _T_2447 = cat(UInt<7>("h00"), _T_2441) @[Cat.scala 29:58] + node _T_2448 = cat(_T_2447, _T_2446) @[Cat.scala 29:58] + node _T_2449 = cat(_T_2448, _T_2445) @[Cat.scala 29:58] + node _T_2450 = bits(io.csr_pkt.csr_mtsel, 0, 0) @[dec_tlu_ctl.scala 2530:38] + node _T_2451 = bits(mtsel, 1, 0) @[dec_tlu_ctl.scala 2530:78] + node _T_2452 = cat(UInt<30>("h00"), _T_2451) @[Cat.scala 29:58] + node _T_2453 = bits(io.csr_pkt.csr_mtdata1, 0, 0) @[dec_tlu_ctl.scala 2531:40] + node _T_2454 = bits(mtdata1_tsel_out, 31, 0) @[dec_tlu_ctl.scala 2531:74] + node _T_2455 = bits(io.csr_pkt.csr_mtdata2, 0, 0) @[dec_tlu_ctl.scala 2532:40] + node _T_2456 = bits(mtdata2_tsel_out, 31, 0) @[dec_tlu_ctl.scala 2532:74] + node _T_2457 = bits(io.csr_pkt.csr_micect, 0, 0) @[dec_tlu_ctl.scala 2533:39] + node _T_2458 = bits(micect, 31, 0) @[dec_tlu_ctl.scala 2533:64] + node _T_2459 = bits(io.csr_pkt.csr_miccmect, 0, 0) @[dec_tlu_ctl.scala 2534:41] + node _T_2460 = bits(miccmect, 31, 0) @[dec_tlu_ctl.scala 2534:66] + node _T_2461 = bits(io.csr_pkt.csr_mdccmect, 0, 0) @[dec_tlu_ctl.scala 2535:41] + node _T_2462 = bits(mdccmect, 31, 0) @[dec_tlu_ctl.scala 2535:66] + node _T_2463 = bits(io.csr_pkt.csr_mhpmc3, 0, 0) @[dec_tlu_ctl.scala 2536:39] + node _T_2464 = bits(mhpmc3, 31, 0) @[dec_tlu_ctl.scala 2536:64] + node _T_2465 = bits(io.csr_pkt.csr_mhpmc4, 0, 0) @[dec_tlu_ctl.scala 2537:39] + node _T_2466 = bits(mhpmc4, 31, 0) @[dec_tlu_ctl.scala 2537:64] + node _T_2467 = bits(io.csr_pkt.csr_mhpmc5, 0, 0) @[dec_tlu_ctl.scala 2538:39] + node _T_2468 = bits(mhpmc5, 31, 0) @[dec_tlu_ctl.scala 2538:64] + node _T_2469 = bits(io.csr_pkt.csr_mhpmc6, 0, 0) @[dec_tlu_ctl.scala 2539:39] + node _T_2470 = bits(mhpmc6, 31, 0) @[dec_tlu_ctl.scala 2539:64] + node _T_2471 = bits(io.csr_pkt.csr_mhpmc3h, 0, 0) @[dec_tlu_ctl.scala 2540:40] + node _T_2472 = bits(mhpmc3h, 31, 0) @[dec_tlu_ctl.scala 2540:65] + node _T_2473 = bits(io.csr_pkt.csr_mhpmc4h, 0, 0) @[dec_tlu_ctl.scala 2541:40] + node _T_2474 = bits(mhpmc4h, 31, 0) @[dec_tlu_ctl.scala 2541:65] + node _T_2475 = bits(io.csr_pkt.csr_mhpmc5h, 0, 0) @[dec_tlu_ctl.scala 2542:40] + node _T_2476 = bits(mhpmc5h, 31, 0) @[dec_tlu_ctl.scala 2542:65] + node _T_2477 = bits(io.csr_pkt.csr_mhpmc6h, 0, 0) @[dec_tlu_ctl.scala 2543:40] + node _T_2478 = bits(mhpmc6h, 31, 0) @[dec_tlu_ctl.scala 2543:65] + node _T_2479 = bits(io.csr_pkt.csr_mfdht, 0, 0) @[dec_tlu_ctl.scala 2544:38] + node _T_2480 = bits(mfdht, 5, 0) @[dec_tlu_ctl.scala 2544:78] + node _T_2481 = cat(UInt<26>("h00"), _T_2480) @[Cat.scala 29:58] + node _T_2482 = bits(io.csr_pkt.csr_mfdhs, 0, 0) @[dec_tlu_ctl.scala 2545:38] + node _T_2483 = bits(mfdhs, 1, 0) @[dec_tlu_ctl.scala 2545:78] + node _T_2484 = cat(UInt<30>("h00"), _T_2483) @[Cat.scala 29:58] + node _T_2485 = bits(io.csr_pkt.csr_mhpme3, 0, 0) @[dec_tlu_ctl.scala 2546:39] + node _T_2486 = bits(mhpme3, 9, 0) @[dec_tlu_ctl.scala 2546:79] + node _T_2487 = cat(UInt<22>("h00"), _T_2486) @[Cat.scala 29:58] + node _T_2488 = bits(io.csr_pkt.csr_mhpme4, 0, 0) @[dec_tlu_ctl.scala 2547:39] + node _T_2489 = bits(mhpme4, 9, 0) @[dec_tlu_ctl.scala 2547:79] + node _T_2490 = cat(UInt<22>("h00"), _T_2489) @[Cat.scala 29:58] + node _T_2491 = bits(io.csr_pkt.csr_mhpme5, 0, 0) @[dec_tlu_ctl.scala 2548:39] + node _T_2492 = bits(mhpme5, 9, 0) @[dec_tlu_ctl.scala 2548:78] + node _T_2493 = cat(UInt<22>("h00"), _T_2492) @[Cat.scala 29:58] + node _T_2494 = bits(io.csr_pkt.csr_mhpme6, 0, 0) @[dec_tlu_ctl.scala 2549:39] + node _T_2495 = bits(mhpme6, 9, 0) @[dec_tlu_ctl.scala 2549:78] + node _T_2496 = cat(UInt<22>("h00"), _T_2495) @[Cat.scala 29:58] + node _T_2497 = bits(io.csr_pkt.csr_mcountinhibit, 0, 0) @[dec_tlu_ctl.scala 2550:46] + node _T_2498 = bits(mcountinhibit, 6, 0) @[dec_tlu_ctl.scala 2550:86] + node _T_2499 = cat(UInt<25>("h00"), _T_2498) @[Cat.scala 29:58] + node _T_2500 = bits(io.csr_pkt.csr_mpmc, 0, 0) @[dec_tlu_ctl.scala 2551:37] + node _T_2501 = cat(UInt<30>("h00"), mpmc) @[Cat.scala 29:58] + node _T_2502 = cat(_T_2501, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_2503 = bits(io.dec_timer_read_d, 0, 0) @[dec_tlu_ctl.scala 2552:37] + node _T_2504 = bits(io.dec_timer_rddata_d, 31, 0) @[dec_tlu_ctl.scala 2552:76] + node _T_2505 = mux(_T_2339, UInt<32>("h040001104"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2506 = mux(_T_2340, UInt<32>("h045"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2507 = mux(_T_2341, UInt<32>("h010"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2508 = mux(_T_2342, UInt<32>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2509 = mux(_T_2343, _T_2344, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2510 = mux(_T_2345, _T_2353, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2511 = mux(_T_2354, _T_2358, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2512 = mux(_T_2359, _T_2371, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2513 = mux(_T_2372, _T_2384, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2514 = mux(_T_2385, _T_2386, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2515 = mux(_T_2387, _T_2388, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2516 = mux(_T_2389, _T_2390, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2517 = mux(_T_2391, _T_2392, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2518 = mux(_T_2393, _T_2394, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2519 = mux(_T_2395, _T_2396, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2520 = mux(_T_2397, _T_2398, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2521 = mux(_T_2399, _T_2401, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2522 = mux(_T_2402, _T_2403, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2523 = mux(_T_2404, _T_2405, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2524 = mux(_T_2406, _T_2407, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2525 = mux(_T_2408, _T_2409, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2526 = mux(_T_2410, _T_2412, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2527 = mux(_T_2413, _T_2415, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2528 = mux(_T_2416, _T_2418, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2529 = mux(_T_2419, _T_2421, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2530 = mux(_T_2422, _T_2424, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2531 = mux(_T_2425, _T_2427, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2532 = mux(_T_2428, _T_2431, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2533 = mux(_T_2432, _T_2433, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2534 = mux(_T_2434, _T_2435, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2535 = mux(_T_2436, _T_2437, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2536 = mux(_T_2438, _T_2439, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2537 = mux(_T_2440, _T_2449, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2538 = mux(_T_2450, _T_2452, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2539 = mux(_T_2453, _T_2454, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2540 = mux(_T_2455, _T_2456, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2541 = mux(_T_2457, _T_2458, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2542 = mux(_T_2459, _T_2460, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2543 = mux(_T_2461, _T_2462, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2544 = mux(_T_2463, _T_2464, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2545 = mux(_T_2465, _T_2466, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2546 = mux(_T_2467, _T_2468, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2547 = mux(_T_2469, _T_2470, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2548 = mux(_T_2471, _T_2472, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2549 = mux(_T_2473, _T_2474, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2550 = mux(_T_2475, _T_2476, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2551 = mux(_T_2477, _T_2478, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2552 = mux(_T_2479, _T_2481, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2553 = mux(_T_2482, _T_2484, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2554 = mux(_T_2485, _T_2487, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2555 = mux(_T_2488, _T_2490, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2556 = mux(_T_2491, _T_2493, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2557 = mux(_T_2494, _T_2496, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2558 = mux(_T_2497, _T_2499, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2559 = mux(_T_2500, _T_2502, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2560 = mux(_T_2503, _T_2504, UInt<1>("h00")) @[Mux.scala 27:72] + node _T_2561 = or(_T_2505, _T_2506) @[Mux.scala 27:72] node _T_2562 = or(_T_2561, _T_2507) @[Mux.scala 27:72] node _T_2563 = or(_T_2562, _T_2508) @[Mux.scala 27:72] node _T_2564 = or(_T_2563, _T_2509) @[Mux.scala 27:72] @@ -76167,1690 +76167,1700 @@ circuit quasar_wrapper : node _T_2603 = or(_T_2602, _T_2548) @[Mux.scala 27:72] node _T_2604 = or(_T_2603, _T_2549) @[Mux.scala 27:72] node _T_2605 = or(_T_2604, _T_2550) @[Mux.scala 27:72] - wire _T_2606 : UInt @[Mux.scala 27:72] - _T_2606 <= _T_2605 @[Mux.scala 27:72] - io.dec_csr_rddata_d <= _T_2606 @[dec_tlu_ctl.scala 2498:21] + node _T_2606 = or(_T_2605, _T_2551) @[Mux.scala 27:72] + node _T_2607 = or(_T_2606, _T_2552) @[Mux.scala 27:72] + node _T_2608 = or(_T_2607, _T_2553) @[Mux.scala 27:72] + node _T_2609 = or(_T_2608, _T_2554) @[Mux.scala 27:72] + node _T_2610 = or(_T_2609, _T_2555) @[Mux.scala 27:72] + node _T_2611 = or(_T_2610, _T_2556) @[Mux.scala 27:72] + node _T_2612 = or(_T_2611, _T_2557) @[Mux.scala 27:72] + node _T_2613 = or(_T_2612, _T_2558) @[Mux.scala 27:72] + node _T_2614 = or(_T_2613, _T_2559) @[Mux.scala 27:72] + node _T_2615 = or(_T_2614, _T_2560) @[Mux.scala 27:72] + wire _T_2616 : UInt @[Mux.scala 27:72] + _T_2616 <= _T_2615 @[Mux.scala 27:72] + io.dec_csr_rddata_d <= _T_2616 @[dec_tlu_ctl.scala 2496:21] module dec_decode_csr_read : input clock : Clock input reset : AsyncReset output io : {flip dec_csr_rdaddr_d : UInt<12>, csr_pkt : {csr_misa : UInt<1>, csr_mvendorid : UInt<1>, csr_marchid : UInt<1>, csr_mimpid : UInt<1>, csr_mhartid : UInt<1>, csr_mstatus : UInt<1>, csr_mtvec : UInt<1>, csr_mip : UInt<1>, csr_mie : UInt<1>, csr_mcyclel : UInt<1>, csr_mcycleh : UInt<1>, csr_minstretl : UInt<1>, csr_minstreth : UInt<1>, csr_mscratch : UInt<1>, csr_mepc : UInt<1>, csr_mcause : UInt<1>, csr_mscause : UInt<1>, csr_mtval : UInt<1>, csr_mrac : UInt<1>, csr_dmst : UInt<1>, csr_mdseac : UInt<1>, csr_meihap : UInt<1>, csr_meivt : UInt<1>, csr_meipt : UInt<1>, csr_meicurpl : UInt<1>, csr_meicidpl : UInt<1>, csr_dcsr : UInt<1>, csr_mcgc : UInt<1>, csr_mfdc : UInt<1>, csr_dpc : UInt<1>, csr_mtsel : UInt<1>, csr_mtdata1 : UInt<1>, csr_mtdata2 : UInt<1>, csr_mhpmc3 : UInt<1>, csr_mhpmc4 : UInt<1>, csr_mhpmc5 : UInt<1>, csr_mhpmc6 : UInt<1>, csr_mhpmc3h : UInt<1>, csr_mhpmc4h : UInt<1>, csr_mhpmc5h : UInt<1>, csr_mhpmc6h : UInt<1>, csr_mhpme3 : UInt<1>, csr_mhpme4 : UInt<1>, csr_mhpme5 : UInt<1>, csr_mhpme6 : UInt<1>, csr_mcountinhibit : UInt<1>, csr_mitctl0 : UInt<1>, csr_mitctl1 : UInt<1>, csr_mitb0 : UInt<1>, csr_mitb1 : UInt<1>, csr_mitcnt0 : UInt<1>, csr_mitcnt1 : UInt<1>, csr_mpmc : UInt<1>, csr_mcpc : UInt<1>, csr_meicpct : UInt<1>, csr_mdeau : UInt<1>, csr_micect : UInt<1>, csr_miccmect : UInt<1>, csr_mdccmect : UInt<1>, csr_mfdht : UInt<1>, csr_mfdhs : UInt<1>, csr_dicawics : UInt<1>, csr_dicad0h : UInt<1>, csr_dicad0 : UInt<1>, csr_dicad1 : UInt<1>, csr_dicago : UInt<1>, presync : UInt<1>, postsync : UInt<1>, legal : UInt<1>}} - node _T = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_1 = eq(_T, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_2 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_3 = eq(_T_2, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_4 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_5 = eq(_T_4, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_6 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_7 = eq(_T_6, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_8 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_9 = and(_T_1, _T_3) @[dec_tlu_ctl.scala 2570:198] - node _T_10 = and(_T_9, _T_5) @[dec_tlu_ctl.scala 2570:198] - node _T_11 = and(_T_10, _T_7) @[dec_tlu_ctl.scala 2570:198] - node _T_12 = and(_T_11, _T_8) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_misa <= _T_12 @[dec_tlu_ctl.scala 2572:57] - node _T_13 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_14 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_15 = eq(_T_14, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_16 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_17 = eq(_T_16, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_18 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_19 = and(_T_13, _T_15) @[dec_tlu_ctl.scala 2570:198] - node _T_20 = and(_T_19, _T_17) @[dec_tlu_ctl.scala 2570:198] - node _T_21 = and(_T_20, _T_18) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mvendorid <= _T_21 @[dec_tlu_ctl.scala 2573:57] - node _T_22 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_23 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_24 = eq(_T_23, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_25 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_26 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_27 = eq(_T_26, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_28 = and(_T_22, _T_24) @[dec_tlu_ctl.scala 2570:198] - node _T_29 = and(_T_28, _T_25) @[dec_tlu_ctl.scala 2570:198] - node _T_30 = and(_T_29, _T_27) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_marchid <= _T_30 @[dec_tlu_ctl.scala 2574:57] - node _T_31 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_32 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_33 = eq(_T_32, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_34 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_35 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_36 = and(_T_31, _T_33) @[dec_tlu_ctl.scala 2570:198] - node _T_37 = and(_T_36, _T_34) @[dec_tlu_ctl.scala 2570:198] - node _T_38 = and(_T_37, _T_35) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mimpid <= _T_38 @[dec_tlu_ctl.scala 2575:57] - node _T_39 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_40 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_41 = eq(_T_40, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_42 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_43 = and(_T_39, _T_41) @[dec_tlu_ctl.scala 2570:198] - node _T_44 = and(_T_43, _T_42) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mhartid <= _T_44 @[dec_tlu_ctl.scala 2576:57] - node _T_45 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_46 = eq(_T_45, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_47 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_48 = eq(_T_47, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_49 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_50 = eq(_T_49, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_51 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_52 = eq(_T_51, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_53 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_54 = eq(_T_53, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_55 = and(_T_46, _T_48) @[dec_tlu_ctl.scala 2570:198] - node _T_56 = and(_T_55, _T_50) @[dec_tlu_ctl.scala 2570:198] - node _T_57 = and(_T_56, _T_52) @[dec_tlu_ctl.scala 2570:198] - node _T_58 = and(_T_57, _T_54) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mstatus <= _T_58 @[dec_tlu_ctl.scala 2577:57] - node _T_59 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_60 = eq(_T_59, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_61 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_62 = eq(_T_61, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_63 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_64 = eq(_T_63, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_65 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_66 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_67 = and(_T_60, _T_62) @[dec_tlu_ctl.scala 2570:198] - node _T_68 = and(_T_67, _T_64) @[dec_tlu_ctl.scala 2570:198] - node _T_69 = and(_T_68, _T_65) @[dec_tlu_ctl.scala 2570:198] - node _T_70 = and(_T_69, _T_66) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mtvec <= _T_70 @[dec_tlu_ctl.scala 2578:57] - node _T_71 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_72 = eq(_T_71, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_73 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_74 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_75 = and(_T_72, _T_73) @[dec_tlu_ctl.scala 2570:198] - node _T_76 = and(_T_75, _T_74) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mip <= _T_76 @[dec_tlu_ctl.scala 2579:65] - node _T_77 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_78 = eq(_T_77, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_79 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_80 = eq(_T_79, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_81 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_82 = eq(_T_81, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_83 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_84 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_85 = eq(_T_84, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_86 = and(_T_78, _T_80) @[dec_tlu_ctl.scala 2570:198] - node _T_87 = and(_T_86, _T_82) @[dec_tlu_ctl.scala 2570:198] - node _T_88 = and(_T_87, _T_83) @[dec_tlu_ctl.scala 2570:198] - node _T_89 = and(_T_88, _T_85) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mie <= _T_89 @[dec_tlu_ctl.scala 2580:65] - node _T_90 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_91 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_92 = eq(_T_91, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_93 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_94 = eq(_T_93, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_95 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_96 = eq(_T_95, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_97 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_98 = eq(_T_97, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_99 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_100 = eq(_T_99, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_101 = and(_T_90, _T_92) @[dec_tlu_ctl.scala 2570:198] - node _T_102 = and(_T_101, _T_94) @[dec_tlu_ctl.scala 2570:198] - node _T_103 = and(_T_102, _T_96) @[dec_tlu_ctl.scala 2570:198] - node _T_104 = and(_T_103, _T_98) @[dec_tlu_ctl.scala 2570:198] - node _T_105 = and(_T_104, _T_100) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mcyclel <= _T_105 @[dec_tlu_ctl.scala 2581:57] - node _T_106 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_107 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_108 = eq(_T_107, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_109 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_110 = eq(_T_109, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_111 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_112 = eq(_T_111, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_113 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_114 = eq(_T_113, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_115 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_116 = eq(_T_115, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_117 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_118 = eq(_T_117, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_119 = and(_T_106, _T_108) @[dec_tlu_ctl.scala 2570:198] - node _T_120 = and(_T_119, _T_110) @[dec_tlu_ctl.scala 2570:198] - node _T_121 = and(_T_120, _T_112) @[dec_tlu_ctl.scala 2570:198] - node _T_122 = and(_T_121, _T_114) @[dec_tlu_ctl.scala 2570:198] - node _T_123 = and(_T_122, _T_116) @[dec_tlu_ctl.scala 2570:198] - node _T_124 = and(_T_123, _T_118) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mcycleh <= _T_124 @[dec_tlu_ctl.scala 2582:57] - node _T_125 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_126 = eq(_T_125, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_127 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_128 = eq(_T_127, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_129 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_130 = eq(_T_129, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_131 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_132 = eq(_T_131, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_133 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_134 = eq(_T_133, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_135 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_136 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_137 = eq(_T_136, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_138 = and(_T_126, _T_128) @[dec_tlu_ctl.scala 2570:198] - node _T_139 = and(_T_138, _T_130) @[dec_tlu_ctl.scala 2570:198] - node _T_140 = and(_T_139, _T_132) @[dec_tlu_ctl.scala 2570:198] - node _T_141 = and(_T_140, _T_134) @[dec_tlu_ctl.scala 2570:198] - node _T_142 = and(_T_141, _T_135) @[dec_tlu_ctl.scala 2570:198] - node _T_143 = and(_T_142, _T_137) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_minstretl <= _T_143 @[dec_tlu_ctl.scala 2583:57] - node _T_144 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:149] - node _T_145 = eq(_T_144, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_146 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_147 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_148 = eq(_T_147, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_149 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_150 = eq(_T_149, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_151 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_152 = eq(_T_151, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_153 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_154 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_155 = eq(_T_154, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_156 = and(_T_145, _T_146) @[dec_tlu_ctl.scala 2570:198] - node _T_157 = and(_T_156, _T_148) @[dec_tlu_ctl.scala 2570:198] - node _T_158 = and(_T_157, _T_150) @[dec_tlu_ctl.scala 2570:198] - node _T_159 = and(_T_158, _T_152) @[dec_tlu_ctl.scala 2570:198] - node _T_160 = and(_T_159, _T_153) @[dec_tlu_ctl.scala 2570:198] - node _T_161 = and(_T_160, _T_155) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_minstreth <= _T_161 @[dec_tlu_ctl.scala 2584:57] - node _T_162 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_163 = eq(_T_162, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_164 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_165 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_166 = eq(_T_165, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_167 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_168 = eq(_T_167, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_169 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_170 = eq(_T_169, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_171 = and(_T_163, _T_164) @[dec_tlu_ctl.scala 2570:198] - node _T_172 = and(_T_171, _T_166) @[dec_tlu_ctl.scala 2570:198] - node _T_173 = and(_T_172, _T_168) @[dec_tlu_ctl.scala 2570:198] - node _T_174 = and(_T_173, _T_170) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mscratch <= _T_174 @[dec_tlu_ctl.scala 2585:57] - node _T_175 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_176 = eq(_T_175, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_177 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_178 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_179 = eq(_T_178, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_180 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_181 = and(_T_176, _T_177) @[dec_tlu_ctl.scala 2570:198] - node _T_182 = and(_T_181, _T_179) @[dec_tlu_ctl.scala 2570:198] - node _T_183 = and(_T_182, _T_180) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mepc <= _T_183 @[dec_tlu_ctl.scala 2586:57] - node _T_184 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_185 = eq(_T_184, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_186 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_187 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_188 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_189 = eq(_T_188, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_190 = and(_T_185, _T_186) @[dec_tlu_ctl.scala 2570:198] - node _T_191 = and(_T_190, _T_187) @[dec_tlu_ctl.scala 2570:198] - node _T_192 = and(_T_191, _T_189) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mcause <= _T_192 @[dec_tlu_ctl.scala 2587:57] - node _T_193 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_194 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_195 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_196 = and(_T_193, _T_194) @[dec_tlu_ctl.scala 2570:198] - node _T_197 = and(_T_196, _T_195) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mscause <= _T_197 @[dec_tlu_ctl.scala 2588:57] - node _T_198 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_199 = eq(_T_198, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_200 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_201 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_202 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_203 = and(_T_199, _T_200) @[dec_tlu_ctl.scala 2570:198] - node _T_204 = and(_T_203, _T_201) @[dec_tlu_ctl.scala 2570:198] - node _T_205 = and(_T_204, _T_202) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mtval <= _T_205 @[dec_tlu_ctl.scala 2589:57] - node _T_206 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_207 = eq(_T_206, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_208 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_209 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_210 = eq(_T_209, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_211 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_212 = eq(_T_211, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_213 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_214 = eq(_T_213, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_215 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_216 = eq(_T_215, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_217 = and(_T_207, _T_208) @[dec_tlu_ctl.scala 2570:198] - node _T_218 = and(_T_217, _T_210) @[dec_tlu_ctl.scala 2570:198] - node _T_219 = and(_T_218, _T_212) @[dec_tlu_ctl.scala 2570:198] - node _T_220 = and(_T_219, _T_214) @[dec_tlu_ctl.scala 2570:198] - node _T_221 = and(_T_220, _T_216) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mrac <= _T_221 @[dec_tlu_ctl.scala 2590:57] - node _T_222 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_223 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_224 = eq(_T_223, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_225 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_226 = eq(_T_225, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_227 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_228 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_229 = eq(_T_228, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_230 = and(_T_222, _T_224) @[dec_tlu_ctl.scala 2570:198] - node _T_231 = and(_T_230, _T_226) @[dec_tlu_ctl.scala 2570:198] - node _T_232 = and(_T_231, _T_227) @[dec_tlu_ctl.scala 2570:198] - node _T_233 = and(_T_232, _T_229) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_dmst <= _T_233 @[dec_tlu_ctl.scala 2591:57] - node _T_234 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_235 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_236 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_237 = eq(_T_236, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_238 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_239 = eq(_T_238, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_240 = and(_T_234, _T_235) @[dec_tlu_ctl.scala 2570:198] - node _T_241 = and(_T_240, _T_237) @[dec_tlu_ctl.scala 2570:198] - node _T_242 = and(_T_241, _T_239) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mdseac <= _T_242 @[dec_tlu_ctl.scala 2592:57] - node _T_243 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_244 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_245 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_246 = and(_T_243, _T_244) @[dec_tlu_ctl.scala 2570:198] - node _T_247 = and(_T_246, _T_245) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_meihap <= _T_247 @[dec_tlu_ctl.scala 2593:57] - node _T_248 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:149] - node _T_249 = eq(_T_248, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_250 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_251 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_252 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_253 = eq(_T_252, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_254 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_255 = eq(_T_254, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_256 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_257 = eq(_T_256, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_258 = and(_T_249, _T_250) @[dec_tlu_ctl.scala 2570:198] - node _T_259 = and(_T_258, _T_251) @[dec_tlu_ctl.scala 2570:198] - node _T_260 = and(_T_259, _T_253) @[dec_tlu_ctl.scala 2570:198] - node _T_261 = and(_T_260, _T_255) @[dec_tlu_ctl.scala 2570:198] - node _T_262 = and(_T_261, _T_257) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_meivt <= _T_262 @[dec_tlu_ctl.scala 2594:57] - node _T_263 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_264 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_265 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_266 = eq(_T_265, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_267 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_268 = and(_T_263, _T_264) @[dec_tlu_ctl.scala 2570:198] - node _T_269 = and(_T_268, _T_266) @[dec_tlu_ctl.scala 2570:198] - node _T_270 = and(_T_269, _T_267) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_meipt <= _T_270 @[dec_tlu_ctl.scala 2595:57] - node _T_271 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_272 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_273 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_274 = and(_T_271, _T_272) @[dec_tlu_ctl.scala 2570:198] - node _T_275 = and(_T_274, _T_273) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_meicurpl <= _T_275 @[dec_tlu_ctl.scala 2596:57] - node _T_276 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_277 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_278 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_279 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_280 = and(_T_276, _T_277) @[dec_tlu_ctl.scala 2570:198] - node _T_281 = and(_T_280, _T_278) @[dec_tlu_ctl.scala 2570:198] - node _T_282 = and(_T_281, _T_279) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_meicidpl <= _T_282 @[dec_tlu_ctl.scala 2597:57] - node _T_283 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_284 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_285 = eq(_T_284, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_286 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_287 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_288 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_289 = eq(_T_288, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_290 = and(_T_283, _T_285) @[dec_tlu_ctl.scala 2570:198] - node _T_291 = and(_T_290, _T_286) @[dec_tlu_ctl.scala 2570:198] - node _T_292 = and(_T_291, _T_287) @[dec_tlu_ctl.scala 2570:198] - node _T_293 = and(_T_292, _T_289) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_dcsr <= _T_293 @[dec_tlu_ctl.scala 2598:57] - node _T_294 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_295 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_296 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_297 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_298 = eq(_T_297, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_299 = and(_T_294, _T_295) @[dec_tlu_ctl.scala 2570:198] - node _T_300 = and(_T_299, _T_296) @[dec_tlu_ctl.scala 2570:198] - node _T_301 = and(_T_300, _T_298) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mcgc <= _T_301 @[dec_tlu_ctl.scala 2599:57] - node _T_302 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_303 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_304 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_305 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_306 = eq(_T_305, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_307 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_308 = and(_T_302, _T_303) @[dec_tlu_ctl.scala 2570:198] - node _T_309 = and(_T_308, _T_304) @[dec_tlu_ctl.scala 2570:198] - node _T_310 = and(_T_309, _T_306) @[dec_tlu_ctl.scala 2570:198] - node _T_311 = and(_T_310, _T_307) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mfdc <= _T_311 @[dec_tlu_ctl.scala 2600:57] - node _T_312 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_313 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_314 = eq(_T_313, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_315 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_316 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_317 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_318 = and(_T_312, _T_314) @[dec_tlu_ctl.scala 2570:198] - node _T_319 = and(_T_318, _T_315) @[dec_tlu_ctl.scala 2570:198] - node _T_320 = and(_T_319, _T_316) @[dec_tlu_ctl.scala 2570:198] - node _T_321 = and(_T_320, _T_317) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_dpc <= _T_321 @[dec_tlu_ctl.scala 2601:65] - node _T_322 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_323 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_324 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_325 = eq(_T_324, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_326 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_327 = eq(_T_326, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_328 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_329 = eq(_T_328, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_330 = and(_T_322, _T_323) @[dec_tlu_ctl.scala 2570:198] - node _T_331 = and(_T_330, _T_325) @[dec_tlu_ctl.scala 2570:198] - node _T_332 = and(_T_331, _T_327) @[dec_tlu_ctl.scala 2570:198] - node _T_333 = and(_T_332, _T_329) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mtsel <= _T_333 @[dec_tlu_ctl.scala 2602:57] - node _T_334 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_335 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_336 = eq(_T_335, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_337 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_338 = eq(_T_337, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_339 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_340 = and(_T_334, _T_336) @[dec_tlu_ctl.scala 2570:198] - node _T_341 = and(_T_340, _T_338) @[dec_tlu_ctl.scala 2570:198] - node _T_342 = and(_T_341, _T_339) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mtdata1 <= _T_342 @[dec_tlu_ctl.scala 2603:57] - node _T_343 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_344 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_345 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_347 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_348 = and(_T_343, _T_344) @[dec_tlu_ctl.scala 2570:198] - node _T_349 = and(_T_348, _T_346) @[dec_tlu_ctl.scala 2570:198] - node _T_350 = and(_T_349, _T_347) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mtdata2 <= _T_350 @[dec_tlu_ctl.scala 2604:57] - node _T_351 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_352 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_353 = eq(_T_352, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_354 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_355 = eq(_T_354, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_356 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_357 = eq(_T_356, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_358 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_359 = eq(_T_358, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_360 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_361 = and(_T_351, _T_353) @[dec_tlu_ctl.scala 2570:198] - node _T_362 = and(_T_361, _T_355) @[dec_tlu_ctl.scala 2570:198] - node _T_363 = and(_T_362, _T_357) @[dec_tlu_ctl.scala 2570:198] - node _T_364 = and(_T_363, _T_359) @[dec_tlu_ctl.scala 2570:198] - node _T_365 = and(_T_364, _T_360) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mhpmc3 <= _T_365 @[dec_tlu_ctl.scala 2605:57] - node _T_366 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_367 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_368 = eq(_T_367, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_369 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_370 = eq(_T_369, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_371 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_372 = eq(_T_371, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_373 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_374 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_375 = eq(_T_374, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_376 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_377 = eq(_T_376, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_378 = and(_T_366, _T_368) @[dec_tlu_ctl.scala 2570:198] - node _T_379 = and(_T_378, _T_370) @[dec_tlu_ctl.scala 2570:198] - node _T_380 = and(_T_379, _T_372) @[dec_tlu_ctl.scala 2570:198] - node _T_381 = and(_T_380, _T_373) @[dec_tlu_ctl.scala 2570:198] - node _T_382 = and(_T_381, _T_375) @[dec_tlu_ctl.scala 2570:198] - node _T_383 = and(_T_382, _T_377) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mhpmc4 <= _T_383 @[dec_tlu_ctl.scala 2606:57] - node _T_384 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_385 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_386 = eq(_T_385, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_387 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_388 = eq(_T_387, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_389 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_390 = eq(_T_389, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_391 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_392 = eq(_T_391, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_393 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_394 = and(_T_384, _T_386) @[dec_tlu_ctl.scala 2570:198] - node _T_395 = and(_T_394, _T_388) @[dec_tlu_ctl.scala 2570:198] - node _T_396 = and(_T_395, _T_390) @[dec_tlu_ctl.scala 2570:198] - node _T_397 = and(_T_396, _T_392) @[dec_tlu_ctl.scala 2570:198] - node _T_398 = and(_T_397, _T_393) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mhpmc5 <= _T_398 @[dec_tlu_ctl.scala 2607:57] - node _T_399 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_400 = eq(_T_399, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_401 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_402 = eq(_T_401, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_403 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_404 = eq(_T_403, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_405 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_406 = eq(_T_405, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_407 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_408 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_409 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_410 = eq(_T_409, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_411 = and(_T_400, _T_402) @[dec_tlu_ctl.scala 2570:198] - node _T_412 = and(_T_411, _T_404) @[dec_tlu_ctl.scala 2570:198] - node _T_413 = and(_T_412, _T_406) @[dec_tlu_ctl.scala 2570:198] - node _T_414 = and(_T_413, _T_407) @[dec_tlu_ctl.scala 2570:198] - node _T_415 = and(_T_414, _T_408) @[dec_tlu_ctl.scala 2570:198] - node _T_416 = and(_T_415, _T_410) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mhpmc6 <= _T_416 @[dec_tlu_ctl.scala 2608:57] - node _T_417 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_418 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_419 = eq(_T_418, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_420 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_421 = eq(_T_420, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_422 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_423 = eq(_T_422, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_424 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_425 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_426 = and(_T_417, _T_419) @[dec_tlu_ctl.scala 2570:198] - node _T_427 = and(_T_426, _T_421) @[dec_tlu_ctl.scala 2570:198] - node _T_428 = and(_T_427, _T_423) @[dec_tlu_ctl.scala 2570:198] - node _T_429 = and(_T_428, _T_424) @[dec_tlu_ctl.scala 2570:198] - node _T_430 = and(_T_429, _T_425) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mhpmc3h <= _T_430 @[dec_tlu_ctl.scala 2609:57] - node _T_431 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_432 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_433 = eq(_T_432, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_434 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_435 = eq(_T_434, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_436 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_437 = eq(_T_436, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_438 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_439 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_440 = eq(_T_439, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_441 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_442 = eq(_T_441, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_443 = and(_T_431, _T_433) @[dec_tlu_ctl.scala 2570:198] - node _T_444 = and(_T_443, _T_435) @[dec_tlu_ctl.scala 2570:198] - node _T_445 = and(_T_444, _T_437) @[dec_tlu_ctl.scala 2570:198] - node _T_446 = and(_T_445, _T_438) @[dec_tlu_ctl.scala 2570:198] - node _T_447 = and(_T_446, _T_440) @[dec_tlu_ctl.scala 2570:198] - node _T_448 = and(_T_447, _T_442) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mhpmc4h <= _T_448 @[dec_tlu_ctl.scala 2610:57] - node _T_449 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_450 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_451 = eq(_T_450, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_452 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_453 = eq(_T_452, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_454 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_455 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_456 = eq(_T_455, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_457 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_458 = and(_T_449, _T_451) @[dec_tlu_ctl.scala 2570:198] - node _T_459 = and(_T_458, _T_453) @[dec_tlu_ctl.scala 2570:198] - node _T_460 = and(_T_459, _T_454) @[dec_tlu_ctl.scala 2570:198] - node _T_461 = and(_T_460, _T_456) @[dec_tlu_ctl.scala 2570:198] - node _T_462 = and(_T_461, _T_457) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mhpmc5h <= _T_462 @[dec_tlu_ctl.scala 2611:57] - node _T_463 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_464 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_465 = eq(_T_464, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_466 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_467 = eq(_T_466, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_468 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_469 = eq(_T_468, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_470 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_471 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_472 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_473 = eq(_T_472, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_474 = and(_T_463, _T_465) @[dec_tlu_ctl.scala 2570:198] - node _T_475 = and(_T_474, _T_467) @[dec_tlu_ctl.scala 2570:198] - node _T_476 = and(_T_475, _T_469) @[dec_tlu_ctl.scala 2570:198] - node _T_477 = and(_T_476, _T_470) @[dec_tlu_ctl.scala 2570:198] - node _T_478 = and(_T_477, _T_471) @[dec_tlu_ctl.scala 2570:198] - node _T_479 = and(_T_478, _T_473) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mhpmc6h <= _T_479 @[dec_tlu_ctl.scala 2612:57] - node _T_480 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_481 = eq(_T_480, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_482 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_483 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_484 = eq(_T_483, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_485 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_486 = eq(_T_485, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_487 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_488 = eq(_T_487, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_489 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_490 = and(_T_481, _T_482) @[dec_tlu_ctl.scala 2570:198] - node _T_491 = and(_T_490, _T_484) @[dec_tlu_ctl.scala 2570:198] - node _T_492 = and(_T_491, _T_486) @[dec_tlu_ctl.scala 2570:198] - node _T_493 = and(_T_492, _T_488) @[dec_tlu_ctl.scala 2570:198] - node _T_494 = and(_T_493, _T_489) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mhpme3 <= _T_494 @[dec_tlu_ctl.scala 2613:57] - node _T_495 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_496 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_497 = eq(_T_496, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_498 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_499 = eq(_T_498, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_500 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_501 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_502 = eq(_T_501, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_503 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_504 = eq(_T_503, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_505 = and(_T_495, _T_497) @[dec_tlu_ctl.scala 2570:198] - node _T_506 = and(_T_505, _T_499) @[dec_tlu_ctl.scala 2570:198] - node _T_507 = and(_T_506, _T_500) @[dec_tlu_ctl.scala 2570:198] - node _T_508 = and(_T_507, _T_502) @[dec_tlu_ctl.scala 2570:198] - node _T_509 = and(_T_508, _T_504) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mhpme4 <= _T_509 @[dec_tlu_ctl.scala 2614:57] - node _T_510 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_511 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_512 = eq(_T_511, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_513 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_514 = eq(_T_513, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_515 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_516 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_517 = eq(_T_516, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_518 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_519 = and(_T_510, _T_512) @[dec_tlu_ctl.scala 2570:198] - node _T_520 = and(_T_519, _T_514) @[dec_tlu_ctl.scala 2570:198] - node _T_521 = and(_T_520, _T_515) @[dec_tlu_ctl.scala 2570:198] - node _T_522 = and(_T_521, _T_517) @[dec_tlu_ctl.scala 2570:198] - node _T_523 = and(_T_522, _T_518) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mhpme5 <= _T_523 @[dec_tlu_ctl.scala 2615:57] - node _T_524 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_525 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_526 = eq(_T_525, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_527 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_528 = eq(_T_527, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_529 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_530 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_531 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_532 = eq(_T_531, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_533 = and(_T_524, _T_526) @[dec_tlu_ctl.scala 2570:198] - node _T_534 = and(_T_533, _T_528) @[dec_tlu_ctl.scala 2570:198] - node _T_535 = and(_T_534, _T_529) @[dec_tlu_ctl.scala 2570:198] - node _T_536 = and(_T_535, _T_530) @[dec_tlu_ctl.scala 2570:198] - node _T_537 = and(_T_536, _T_532) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mhpme6 <= _T_537 @[dec_tlu_ctl.scala 2616:57] - node _T_538 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_539 = eq(_T_538, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_540 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_541 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_542 = eq(_T_541, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_543 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_544 = eq(_T_543, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_545 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_546 = eq(_T_545, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_547 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_548 = eq(_T_547, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_549 = and(_T_539, _T_540) @[dec_tlu_ctl.scala 2570:198] - node _T_550 = and(_T_549, _T_542) @[dec_tlu_ctl.scala 2570:198] - node _T_551 = and(_T_550, _T_544) @[dec_tlu_ctl.scala 2570:198] - node _T_552 = and(_T_551, _T_546) @[dec_tlu_ctl.scala 2570:198] - node _T_553 = and(_T_552, _T_548) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mcountinhibit <= _T_553 @[dec_tlu_ctl.scala 2617:49] - node _T_554 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_555 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_556 = eq(_T_555, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_557 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_558 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_559 = eq(_T_558, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_560 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_561 = eq(_T_560, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_562 = and(_T_554, _T_556) @[dec_tlu_ctl.scala 2570:198] - node _T_563 = and(_T_562, _T_557) @[dec_tlu_ctl.scala 2570:198] - node _T_564 = and(_T_563, _T_559) @[dec_tlu_ctl.scala 2570:198] - node _T_565 = and(_T_564, _T_561) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mitctl0 <= _T_565 @[dec_tlu_ctl.scala 2618:57] - node _T_566 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_567 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_568 = eq(_T_567, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_569 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_570 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_571 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_572 = and(_T_566, _T_568) @[dec_tlu_ctl.scala 2570:198] - node _T_573 = and(_T_572, _T_569) @[dec_tlu_ctl.scala 2570:198] - node _T_574 = and(_T_573, _T_570) @[dec_tlu_ctl.scala 2570:198] - node _T_575 = and(_T_574, _T_571) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mitctl1 <= _T_575 @[dec_tlu_ctl.scala 2619:57] - node _T_576 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_577 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_578 = eq(_T_577, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_579 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_580 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_581 = eq(_T_580, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_582 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_583 = and(_T_576, _T_578) @[dec_tlu_ctl.scala 2570:198] - node _T_584 = and(_T_583, _T_579) @[dec_tlu_ctl.scala 2570:198] - node _T_585 = and(_T_584, _T_581) @[dec_tlu_ctl.scala 2570:198] - node _T_586 = and(_T_585, _T_582) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mitb0 <= _T_586 @[dec_tlu_ctl.scala 2620:57] - node _T_587 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_588 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_589 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_590 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_591 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_592 = eq(_T_591, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_593 = and(_T_587, _T_588) @[dec_tlu_ctl.scala 2570:198] - node _T_594 = and(_T_593, _T_589) @[dec_tlu_ctl.scala 2570:198] - node _T_595 = and(_T_594, _T_590) @[dec_tlu_ctl.scala 2570:198] - node _T_596 = and(_T_595, _T_592) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mitb1 <= _T_596 @[dec_tlu_ctl.scala 2621:57] - node _T_597 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_598 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_599 = eq(_T_598, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_600 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_601 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_602 = eq(_T_601, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_603 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_604 = eq(_T_603, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_605 = and(_T_597, _T_599) @[dec_tlu_ctl.scala 2570:198] - node _T_606 = and(_T_605, _T_600) @[dec_tlu_ctl.scala 2570:198] - node _T_607 = and(_T_606, _T_602) @[dec_tlu_ctl.scala 2570:198] - node _T_608 = and(_T_607, _T_604) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mitcnt0 <= _T_608 @[dec_tlu_ctl.scala 2622:57] - node _T_609 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_610 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_611 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_612 = eq(_T_611, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_613 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_614 = and(_T_609, _T_610) @[dec_tlu_ctl.scala 2570:198] - node _T_615 = and(_T_614, _T_612) @[dec_tlu_ctl.scala 2570:198] - node _T_616 = and(_T_615, _T_613) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mitcnt1 <= _T_616 @[dec_tlu_ctl.scala 2623:57] - node _T_617 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_618 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_619 = eq(_T_618, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_620 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_621 = eq(_T_620, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_622 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_623 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_624 = and(_T_617, _T_619) @[dec_tlu_ctl.scala 2570:198] - node _T_625 = and(_T_624, _T_621) @[dec_tlu_ctl.scala 2570:198] - node _T_626 = and(_T_625, _T_622) @[dec_tlu_ctl.scala 2570:198] - node _T_627 = and(_T_626, _T_623) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mpmc <= _T_627 @[dec_tlu_ctl.scala 2624:57] - node _T_628 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_629 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_630 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_631 = eq(_T_630, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_632 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_633 = eq(_T_632, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_634 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_635 = eq(_T_634, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_636 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_637 = and(_T_628, _T_629) @[dec_tlu_ctl.scala 2570:198] - node _T_638 = and(_T_637, _T_631) @[dec_tlu_ctl.scala 2570:198] - node _T_639 = and(_T_638, _T_633) @[dec_tlu_ctl.scala 2570:198] - node _T_640 = and(_T_639, _T_635) @[dec_tlu_ctl.scala 2570:198] - node _T_641 = and(_T_640, _T_636) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mcpc <= _T_641 @[dec_tlu_ctl.scala 2625:57] - node _T_642 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_643 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_644 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_645 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_646 = eq(_T_645, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_647 = and(_T_642, _T_643) @[dec_tlu_ctl.scala 2570:198] - node _T_648 = and(_T_647, _T_644) @[dec_tlu_ctl.scala 2570:198] - node _T_649 = and(_T_648, _T_646) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_meicpct <= _T_649 @[dec_tlu_ctl.scala 2626:57] - node _T_650 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:149] - node _T_651 = eq(_T_650, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_652 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_653 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_654 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_655 = eq(_T_654, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_656 = and(_T_651, _T_652) @[dec_tlu_ctl.scala 2570:198] - node _T_657 = and(_T_656, _T_653) @[dec_tlu_ctl.scala 2570:198] - node _T_658 = and(_T_657, _T_655) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mdeau <= _T_658 @[dec_tlu_ctl.scala 2627:57] - node _T_659 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_660 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_661 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_662 = eq(_T_661, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_663 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_664 = eq(_T_663, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_665 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_666 = eq(_T_665, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_667 = and(_T_659, _T_660) @[dec_tlu_ctl.scala 2570:198] - node _T_668 = and(_T_667, _T_662) @[dec_tlu_ctl.scala 2570:198] - node _T_669 = and(_T_668, _T_664) @[dec_tlu_ctl.scala 2570:198] - node _T_670 = and(_T_669, _T_666) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_micect <= _T_670 @[dec_tlu_ctl.scala 2628:57] - node _T_671 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_672 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_673 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_674 = eq(_T_673, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_675 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_676 = and(_T_671, _T_672) @[dec_tlu_ctl.scala 2570:198] - node _T_677 = and(_T_676, _T_674) @[dec_tlu_ctl.scala 2570:198] - node _T_678 = and(_T_677, _T_675) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_miccmect <= _T_678 @[dec_tlu_ctl.scala 2629:57] - node _T_679 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_680 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_681 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_682 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_683 = eq(_T_682, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_684 = and(_T_679, _T_680) @[dec_tlu_ctl.scala 2570:198] - node _T_685 = and(_T_684, _T_681) @[dec_tlu_ctl.scala 2570:198] - node _T_686 = and(_T_685, _T_683) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mdccmect <= _T_686 @[dec_tlu_ctl.scala 2630:57] - node _T_687 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_688 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_689 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_690 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_691 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_692 = eq(_T_691, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_693 = and(_T_687, _T_688) @[dec_tlu_ctl.scala 2570:198] - node _T_694 = and(_T_693, _T_689) @[dec_tlu_ctl.scala 2570:198] - node _T_695 = and(_T_694, _T_690) @[dec_tlu_ctl.scala 2570:198] - node _T_696 = and(_T_695, _T_692) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mfdht <= _T_696 @[dec_tlu_ctl.scala 2631:57] - node _T_697 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_698 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_699 = eq(_T_698, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_700 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_701 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_702 = and(_T_697, _T_699) @[dec_tlu_ctl.scala 2570:198] - node _T_703 = and(_T_702, _T_700) @[dec_tlu_ctl.scala 2570:198] - node _T_704 = and(_T_703, _T_701) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_mfdhs <= _T_704 @[dec_tlu_ctl.scala 2632:57] - node _T_705 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_706 = eq(_T_705, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_707 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_708 = eq(_T_707, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_709 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_710 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_711 = eq(_T_710, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_712 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_713 = eq(_T_712, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_714 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_715 = eq(_T_714, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_716 = and(_T_706, _T_708) @[dec_tlu_ctl.scala 2570:198] - node _T_717 = and(_T_716, _T_709) @[dec_tlu_ctl.scala 2570:198] - node _T_718 = and(_T_717, _T_711) @[dec_tlu_ctl.scala 2570:198] - node _T_719 = and(_T_718, _T_713) @[dec_tlu_ctl.scala 2570:198] - node _T_720 = and(_T_719, _T_715) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_dicawics <= _T_720 @[dec_tlu_ctl.scala 2633:57] - node _T_721 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_722 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_723 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_724 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_725 = eq(_T_724, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_726 = and(_T_721, _T_722) @[dec_tlu_ctl.scala 2570:198] - node _T_727 = and(_T_726, _T_723) @[dec_tlu_ctl.scala 2570:198] - node _T_728 = and(_T_727, _T_725) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_dicad0h <= _T_728 @[dec_tlu_ctl.scala 2634:57] - node _T_729 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_730 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_731 = eq(_T_730, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_732 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_733 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_734 = eq(_T_733, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_735 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_736 = and(_T_729, _T_731) @[dec_tlu_ctl.scala 2570:198] - node _T_737 = and(_T_736, _T_732) @[dec_tlu_ctl.scala 2570:198] - node _T_738 = and(_T_737, _T_734) @[dec_tlu_ctl.scala 2570:198] - node _T_739 = and(_T_738, _T_735) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_dicad0 <= _T_739 @[dec_tlu_ctl.scala 2635:57] - node _T_740 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_741 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_742 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_743 = eq(_T_742, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_744 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_745 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_746 = eq(_T_745, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_747 = and(_T_740, _T_741) @[dec_tlu_ctl.scala 2570:198] - node _T_748 = and(_T_747, _T_743) @[dec_tlu_ctl.scala 2570:198] - node _T_749 = and(_T_748, _T_744) @[dec_tlu_ctl.scala 2570:198] - node _T_750 = and(_T_749, _T_746) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_dicad1 <= _T_750 @[dec_tlu_ctl.scala 2636:57] - node _T_751 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_752 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_753 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_754 = eq(_T_753, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_755 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_756 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_757 = and(_T_751, _T_752) @[dec_tlu_ctl.scala 2570:198] - node _T_758 = and(_T_757, _T_754) @[dec_tlu_ctl.scala 2570:198] - node _T_759 = and(_T_758, _T_755) @[dec_tlu_ctl.scala 2570:198] - node _T_760 = and(_T_759, _T_756) @[dec_tlu_ctl.scala 2570:198] - io.csr_pkt.csr_dicago <= _T_760 @[dec_tlu_ctl.scala 2637:57] - node _T_761 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_762 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_763 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_764 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_765 = eq(_T_764, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_766 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_767 = and(_T_761, _T_762) @[dec_tlu_ctl.scala 2570:198] - node _T_768 = and(_T_767, _T_763) @[dec_tlu_ctl.scala 2570:198] - node _T_769 = and(_T_768, _T_765) @[dec_tlu_ctl.scala 2570:198] - node _T_770 = and(_T_769, _T_766) @[dec_tlu_ctl.scala 2570:198] - node _T_771 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_772 = eq(_T_771, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_773 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_774 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_775 = eq(_T_774, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_776 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_777 = eq(_T_776, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_778 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_779 = eq(_T_778, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_780 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_781 = eq(_T_780, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_782 = and(_T_772, _T_773) @[dec_tlu_ctl.scala 2570:198] - node _T_783 = and(_T_782, _T_775) @[dec_tlu_ctl.scala 2570:198] - node _T_784 = and(_T_783, _T_777) @[dec_tlu_ctl.scala 2570:198] - node _T_785 = and(_T_784, _T_779) @[dec_tlu_ctl.scala 2570:198] - node _T_786 = and(_T_785, _T_781) @[dec_tlu_ctl.scala 2570:198] - node _T_787 = or(_T_770, _T_786) @[dec_tlu_ctl.scala 2638:81] - node _T_788 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_789 = eq(_T_788, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_790 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_791 = eq(_T_790, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_792 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_793 = eq(_T_792, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_794 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_795 = eq(_T_794, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_796 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_797 = eq(_T_796, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_798 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_799 = and(_T_789, _T_791) @[dec_tlu_ctl.scala 2570:198] - node _T_800 = and(_T_799, _T_793) @[dec_tlu_ctl.scala 2570:198] - node _T_801 = and(_T_800, _T_795) @[dec_tlu_ctl.scala 2570:198] - node _T_802 = and(_T_801, _T_797) @[dec_tlu_ctl.scala 2570:198] - node _T_803 = and(_T_802, _T_798) @[dec_tlu_ctl.scala 2570:198] - node _T_804 = or(_T_787, _T_803) @[dec_tlu_ctl.scala 2638:121] - node _T_805 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_806 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_807 = eq(_T_806, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_808 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_809 = eq(_T_808, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_810 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_811 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_812 = eq(_T_811, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_813 = and(_T_805, _T_807) @[dec_tlu_ctl.scala 2570:198] - node _T_814 = and(_T_813, _T_809) @[dec_tlu_ctl.scala 2570:198] - node _T_815 = and(_T_814, _T_810) @[dec_tlu_ctl.scala 2570:198] - node _T_816 = and(_T_815, _T_812) @[dec_tlu_ctl.scala 2570:198] - node _T_817 = or(_T_804, _T_816) @[dec_tlu_ctl.scala 2638:155] - node _T_818 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_819 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_820 = eq(_T_819, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_821 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_822 = eq(_T_821, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_823 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_824 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_825 = eq(_T_824, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_826 = and(_T_818, _T_820) @[dec_tlu_ctl.scala 2570:198] - node _T_827 = and(_T_826, _T_822) @[dec_tlu_ctl.scala 2570:198] - node _T_828 = and(_T_827, _T_823) @[dec_tlu_ctl.scala 2570:198] - node _T_829 = and(_T_828, _T_825) @[dec_tlu_ctl.scala 2570:198] - node _T_830 = or(_T_817, _T_829) @[dec_tlu_ctl.scala 2639:97] - node _T_831 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_832 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_833 = eq(_T_832, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_834 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_835 = eq(_T_834, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_836 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_837 = eq(_T_836, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_838 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_839 = eq(_T_838, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_840 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_841 = and(_T_831, _T_833) @[dec_tlu_ctl.scala 2570:198] - node _T_842 = and(_T_841, _T_835) @[dec_tlu_ctl.scala 2570:198] - node _T_843 = and(_T_842, _T_837) @[dec_tlu_ctl.scala 2570:198] - node _T_844 = and(_T_843, _T_839) @[dec_tlu_ctl.scala 2570:198] - node _T_845 = and(_T_844, _T_840) @[dec_tlu_ctl.scala 2570:198] - node _T_846 = or(_T_830, _T_845) @[dec_tlu_ctl.scala 2639:137] - io.csr_pkt.presync <= _T_846 @[dec_tlu_ctl.scala 2638:34] - node _T_847 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_848 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_849 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_850 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_851 = eq(_T_850, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_852 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_853 = and(_T_847, _T_848) @[dec_tlu_ctl.scala 2570:198] - node _T_854 = and(_T_853, _T_849) @[dec_tlu_ctl.scala 2570:198] - node _T_855 = and(_T_854, _T_851) @[dec_tlu_ctl.scala 2570:198] - node _T_856 = and(_T_855, _T_852) @[dec_tlu_ctl.scala 2570:198] - node _T_857 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_858 = eq(_T_857, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_859 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_860 = eq(_T_859, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_861 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_862 = eq(_T_861, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_863 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_864 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_865 = and(_T_858, _T_860) @[dec_tlu_ctl.scala 2570:198] - node _T_866 = and(_T_865, _T_862) @[dec_tlu_ctl.scala 2570:198] - node _T_867 = and(_T_866, _T_863) @[dec_tlu_ctl.scala 2570:198] - node _T_868 = and(_T_867, _T_864) @[dec_tlu_ctl.scala 2570:198] - node _T_869 = or(_T_856, _T_868) @[dec_tlu_ctl.scala 2640:81] - node _T_870 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_871 = eq(_T_870, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_872 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_873 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_874 = eq(_T_873, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_875 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_876 = and(_T_871, _T_872) @[dec_tlu_ctl.scala 2570:198] - node _T_877 = and(_T_876, _T_874) @[dec_tlu_ctl.scala 2570:198] - node _T_878 = and(_T_877, _T_875) @[dec_tlu_ctl.scala 2570:198] - node _T_879 = or(_T_869, _T_878) @[dec_tlu_ctl.scala 2640:121] - node _T_880 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_881 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_882 = eq(_T_881, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_883 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_884 = eq(_T_883, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_885 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_886 = and(_T_880, _T_882) @[dec_tlu_ctl.scala 2570:198] - node _T_887 = and(_T_886, _T_884) @[dec_tlu_ctl.scala 2570:198] - node _T_888 = and(_T_887, _T_885) @[dec_tlu_ctl.scala 2570:198] - node _T_889 = or(_T_879, _T_888) @[dec_tlu_ctl.scala 2640:162] - node _T_890 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_891 = eq(_T_890, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_892 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_893 = eq(_T_892, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_894 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_895 = eq(_T_894, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_896 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_897 = eq(_T_896, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_898 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_899 = eq(_T_898, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_900 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_901 = eq(_T_900, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_902 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_903 = eq(_T_902, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_904 = and(_T_891, _T_893) @[dec_tlu_ctl.scala 2570:198] - node _T_905 = and(_T_904, _T_895) @[dec_tlu_ctl.scala 2570:198] - node _T_906 = and(_T_905, _T_897) @[dec_tlu_ctl.scala 2570:198] - node _T_907 = and(_T_906, _T_899) @[dec_tlu_ctl.scala 2570:198] - node _T_908 = and(_T_907, _T_901) @[dec_tlu_ctl.scala 2570:198] - node _T_909 = and(_T_908, _T_903) @[dec_tlu_ctl.scala 2570:198] - node _T_910 = or(_T_889, _T_909) @[dec_tlu_ctl.scala 2641:105] - node _T_911 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_912 = eq(_T_911, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_913 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_914 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_915 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_916 = eq(_T_915, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_917 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_918 = eq(_T_917, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_919 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_920 = eq(_T_919, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_921 = and(_T_912, _T_913) @[dec_tlu_ctl.scala 2570:198] - node _T_922 = and(_T_921, _T_914) @[dec_tlu_ctl.scala 2570:198] - node _T_923 = and(_T_922, _T_916) @[dec_tlu_ctl.scala 2570:198] - node _T_924 = and(_T_923, _T_918) @[dec_tlu_ctl.scala 2570:198] - node _T_925 = and(_T_924, _T_920) @[dec_tlu_ctl.scala 2570:198] - node _T_926 = or(_T_910, _T_925) @[dec_tlu_ctl.scala 2641:145] - node _T_927 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_928 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_929 = eq(_T_928, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_930 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_931 = eq(_T_930, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_932 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_933 = eq(_T_932, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_934 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_935 = and(_T_927, _T_929) @[dec_tlu_ctl.scala 2570:198] - node _T_936 = and(_T_935, _T_931) @[dec_tlu_ctl.scala 2570:198] - node _T_937 = and(_T_936, _T_933) @[dec_tlu_ctl.scala 2570:198] - node _T_938 = and(_T_937, _T_934) @[dec_tlu_ctl.scala 2570:198] - node _T_939 = or(_T_926, _T_938) @[dec_tlu_ctl.scala 2641:178] - io.csr_pkt.postsync <= _T_939 @[dec_tlu_ctl.scala 2640:30] - node _T_940 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_941 = eq(_T_940, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_942 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_943 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_944 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_945 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_946 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_947 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_948 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_949 = eq(_T_948, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_950 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_951 = eq(_T_950, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_952 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_953 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_954 = eq(_T_953, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_955 = and(_T_941, _T_942) @[dec_tlu_ctl.scala 2570:198] - node _T_956 = and(_T_955, _T_943) @[dec_tlu_ctl.scala 2570:198] - node _T_957 = and(_T_956, _T_944) @[dec_tlu_ctl.scala 2570:198] - node _T_958 = and(_T_957, _T_945) @[dec_tlu_ctl.scala 2570:198] - node _T_959 = and(_T_958, _T_946) @[dec_tlu_ctl.scala 2570:198] - node _T_960 = and(_T_959, _T_947) @[dec_tlu_ctl.scala 2570:198] - node _T_961 = and(_T_960, _T_949) @[dec_tlu_ctl.scala 2570:198] - node _T_962 = and(_T_961, _T_951) @[dec_tlu_ctl.scala 2570:198] - node _T_963 = and(_T_962, _T_952) @[dec_tlu_ctl.scala 2570:198] - node _T_964 = and(_T_963, _T_954) @[dec_tlu_ctl.scala 2570:198] - node _T_965 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_966 = eq(_T_965, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_967 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:149] - node _T_968 = eq(_T_967, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_969 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_970 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_971 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_972 = eq(_T_971, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_973 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_974 = eq(_T_973, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_975 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_976 = eq(_T_975, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_977 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_978 = eq(_T_977, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_979 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_980 = eq(_T_979, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_981 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_982 = eq(_T_981, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_983 = and(_T_966, _T_968) @[dec_tlu_ctl.scala 2570:198] - node _T_984 = and(_T_983, _T_969) @[dec_tlu_ctl.scala 2570:198] - node _T_985 = and(_T_984, _T_970) @[dec_tlu_ctl.scala 2570:198] - node _T_986 = and(_T_985, _T_972) @[dec_tlu_ctl.scala 2570:198] - node _T_987 = and(_T_986, _T_974) @[dec_tlu_ctl.scala 2570:198] - node _T_988 = and(_T_987, _T_976) @[dec_tlu_ctl.scala 2570:198] - node _T_989 = and(_T_988, _T_978) @[dec_tlu_ctl.scala 2570:198] - node _T_990 = and(_T_989, _T_980) @[dec_tlu_ctl.scala 2570:198] - node _T_991 = and(_T_990, _T_982) @[dec_tlu_ctl.scala 2570:198] - node _T_992 = or(_T_964, _T_991) @[dec_tlu_ctl.scala 2643:81] - node _T_993 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_994 = eq(_T_993, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_995 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:149] - node _T_996 = eq(_T_995, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_997 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_998 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_999 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_1000 = eq(_T_999, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1001 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_1002 = eq(_T_1001, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1003 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_1004 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_1005 = eq(_T_1004, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1006 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_1007 = eq(_T_1006, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_1008 = and(_T_994, _T_996) @[dec_tlu_ctl.scala 2570:198] - node _T_1009 = and(_T_1008, _T_997) @[dec_tlu_ctl.scala 2570:198] - node _T_1010 = and(_T_1009, _T_998) @[dec_tlu_ctl.scala 2570:198] - node _T_1011 = and(_T_1010, _T_1000) @[dec_tlu_ctl.scala 2570:198] - node _T_1012 = and(_T_1011, _T_1002) @[dec_tlu_ctl.scala 2570:198] - node _T_1013 = and(_T_1012, _T_1003) @[dec_tlu_ctl.scala 2570:198] - node _T_1014 = and(_T_1013, _T_1005) @[dec_tlu_ctl.scala 2570:198] - node _T_1015 = and(_T_1014, _T_1007) @[dec_tlu_ctl.scala 2570:198] - node _T_1016 = or(_T_992, _T_1015) @[dec_tlu_ctl.scala 2643:129] - node _T_1017 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_1018 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1019 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1020 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_1021 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_1022 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_1023 = eq(_T_1022, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1024 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_1025 = eq(_T_1024, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1026 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_1027 = eq(_T_1026, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1028 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_1029 = eq(_T_1028, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1030 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_1031 = eq(_T_1030, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_1032 = and(_T_1017, _T_1018) @[dec_tlu_ctl.scala 2570:198] - node _T_1033 = and(_T_1032, _T_1019) @[dec_tlu_ctl.scala 2570:198] - node _T_1034 = and(_T_1033, _T_1020) @[dec_tlu_ctl.scala 2570:198] - node _T_1035 = and(_T_1034, _T_1021) @[dec_tlu_ctl.scala 2570:198] - node _T_1036 = and(_T_1035, _T_1023) @[dec_tlu_ctl.scala 2570:198] - node _T_1037 = and(_T_1036, _T_1025) @[dec_tlu_ctl.scala 2570:198] - node _T_1038 = and(_T_1037, _T_1027) @[dec_tlu_ctl.scala 2570:198] - node _T_1039 = and(_T_1038, _T_1029) @[dec_tlu_ctl.scala 2570:198] - node _T_1040 = and(_T_1039, _T_1031) @[dec_tlu_ctl.scala 2570:198] - node _T_1041 = or(_T_1016, _T_1040) @[dec_tlu_ctl.scala 2644:105] - node _T_1042 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_1043 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:149] - node _T_1044 = eq(_T_1043, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1045 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1046 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1047 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_1048 = eq(_T_1047, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1049 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_1050 = eq(_T_1049, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1051 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_1052 = eq(_T_1051, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_1053 = and(_T_1042, _T_1044) @[dec_tlu_ctl.scala 2570:198] - node _T_1054 = and(_T_1053, _T_1045) @[dec_tlu_ctl.scala 2570:198] - node _T_1055 = and(_T_1054, _T_1046) @[dec_tlu_ctl.scala 2570:198] - node _T_1056 = and(_T_1055, _T_1048) @[dec_tlu_ctl.scala 2570:198] - node _T_1057 = and(_T_1056, _T_1050) @[dec_tlu_ctl.scala 2570:198] - node _T_1058 = and(_T_1057, _T_1052) @[dec_tlu_ctl.scala 2570:198] - node _T_1059 = or(_T_1041, _T_1058) @[dec_tlu_ctl.scala 2644:153] - node _T_1060 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_1061 = eq(_T_1060, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1062 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_1063 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1064 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1065 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_1066 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_1067 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_1068 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_1069 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_1070 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_1071 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_1072 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_1073 = and(_T_1061, _T_1062) @[dec_tlu_ctl.scala 2570:198] - node _T_1074 = and(_T_1073, _T_1063) @[dec_tlu_ctl.scala 2570:198] - node _T_1075 = and(_T_1074, _T_1064) @[dec_tlu_ctl.scala 2570:198] - node _T_1076 = and(_T_1075, _T_1065) @[dec_tlu_ctl.scala 2570:198] - node _T_1077 = and(_T_1076, _T_1066) @[dec_tlu_ctl.scala 2570:198] - node _T_1078 = and(_T_1077, _T_1067) @[dec_tlu_ctl.scala 2570:198] - node _T_1079 = and(_T_1078, _T_1068) @[dec_tlu_ctl.scala 2570:198] - node _T_1080 = and(_T_1079, _T_1069) @[dec_tlu_ctl.scala 2570:198] - node _T_1081 = and(_T_1080, _T_1070) @[dec_tlu_ctl.scala 2570:198] - node _T_1082 = and(_T_1081, _T_1071) @[dec_tlu_ctl.scala 2570:198] - node _T_1083 = and(_T_1082, _T_1072) @[dec_tlu_ctl.scala 2570:198] - node _T_1084 = or(_T_1059, _T_1083) @[dec_tlu_ctl.scala 2645:105] - node _T_1085 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_1086 = eq(_T_1085, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1087 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_1088 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1089 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1090 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_1091 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_1092 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_1093 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_1094 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_1095 = eq(_T_1094, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1096 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_1097 = eq(_T_1096, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1098 = and(_T_1086, _T_1087) @[dec_tlu_ctl.scala 2570:198] - node _T_1099 = and(_T_1098, _T_1088) @[dec_tlu_ctl.scala 2570:198] - node _T_1100 = and(_T_1099, _T_1089) @[dec_tlu_ctl.scala 2570:198] - node _T_1101 = and(_T_1100, _T_1090) @[dec_tlu_ctl.scala 2570:198] - node _T_1102 = and(_T_1101, _T_1091) @[dec_tlu_ctl.scala 2570:198] - node _T_1103 = and(_T_1102, _T_1092) @[dec_tlu_ctl.scala 2570:198] - node _T_1104 = and(_T_1103, _T_1093) @[dec_tlu_ctl.scala 2570:198] - node _T_1105 = and(_T_1104, _T_1095) @[dec_tlu_ctl.scala 2570:198] - node _T_1106 = and(_T_1105, _T_1097) @[dec_tlu_ctl.scala 2570:198] - node _T_1107 = or(_T_1084, _T_1106) @[dec_tlu_ctl.scala 2645:153] - node _T_1108 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_1109 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1110 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1111 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_1112 = eq(_T_1111, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1113 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_1114 = eq(_T_1113, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1115 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_1116 = eq(_T_1115, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1117 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_1118 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_1119 = eq(_T_1118, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1120 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_1121 = eq(_T_1120, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1122 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_1123 = and(_T_1108, _T_1109) @[dec_tlu_ctl.scala 2570:198] - node _T_1124 = and(_T_1123, _T_1110) @[dec_tlu_ctl.scala 2570:198] - node _T_1125 = and(_T_1124, _T_1112) @[dec_tlu_ctl.scala 2570:198] - node _T_1126 = and(_T_1125, _T_1114) @[dec_tlu_ctl.scala 2570:198] - node _T_1127 = and(_T_1126, _T_1116) @[dec_tlu_ctl.scala 2570:198] - node _T_1128 = and(_T_1127, _T_1117) @[dec_tlu_ctl.scala 2570:198] - node _T_1129 = and(_T_1128, _T_1119) @[dec_tlu_ctl.scala 2570:198] - node _T_1130 = and(_T_1129, _T_1121) @[dec_tlu_ctl.scala 2570:198] - node _T_1131 = and(_T_1130, _T_1122) @[dec_tlu_ctl.scala 2570:198] - node _T_1132 = or(_T_1107, _T_1131) @[dec_tlu_ctl.scala 2646:105] - node _T_1133 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_1134 = eq(_T_1133, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1135 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_1136 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1137 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1138 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_1139 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_1140 = eq(_T_1139, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1141 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_1142 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_1143 = eq(_T_1142, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1144 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_1145 = eq(_T_1144, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1146 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1148 = and(_T_1134, _T_1135) @[dec_tlu_ctl.scala 2570:198] - node _T_1149 = and(_T_1148, _T_1136) @[dec_tlu_ctl.scala 2570:198] - node _T_1150 = and(_T_1149, _T_1137) @[dec_tlu_ctl.scala 2570:198] - node _T_1151 = and(_T_1150, _T_1138) @[dec_tlu_ctl.scala 2570:198] - node _T_1152 = and(_T_1151, _T_1140) @[dec_tlu_ctl.scala 2570:198] - node _T_1153 = and(_T_1152, _T_1141) @[dec_tlu_ctl.scala 2570:198] - node _T_1154 = and(_T_1153, _T_1143) @[dec_tlu_ctl.scala 2570:198] - node _T_1155 = and(_T_1154, _T_1145) @[dec_tlu_ctl.scala 2570:198] - node _T_1156 = and(_T_1155, _T_1147) @[dec_tlu_ctl.scala 2570:198] - node _T_1157 = or(_T_1132, _T_1156) @[dec_tlu_ctl.scala 2646:161] - node _T_1158 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_1159 = eq(_T_1158, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1160 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:149] - node _T_1161 = eq(_T_1160, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1162 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1163 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1164 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_1165 = eq(_T_1164, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1166 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_1167 = eq(_T_1166, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1168 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_1169 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_1170 = and(_T_1159, _T_1161) @[dec_tlu_ctl.scala 2570:198] - node _T_1171 = and(_T_1170, _T_1162) @[dec_tlu_ctl.scala 2570:198] - node _T_1172 = and(_T_1171, _T_1163) @[dec_tlu_ctl.scala 2570:198] - node _T_1173 = and(_T_1172, _T_1165) @[dec_tlu_ctl.scala 2570:198] - node _T_1174 = and(_T_1173, _T_1167) @[dec_tlu_ctl.scala 2570:198] - node _T_1175 = and(_T_1174, _T_1168) @[dec_tlu_ctl.scala 2570:198] - node _T_1176 = and(_T_1175, _T_1169) @[dec_tlu_ctl.scala 2570:198] - node _T_1177 = or(_T_1157, _T_1176) @[dec_tlu_ctl.scala 2647:105] - node _T_1178 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_1179 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1180 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1181 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_1182 = eq(_T_1181, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1183 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_1184 = eq(_T_1183, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1185 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_1186 = eq(_T_1185, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1187 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_1188 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_1189 = eq(_T_1188, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1190 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_1191 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_1192 = eq(_T_1191, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1193 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_1194 = eq(_T_1193, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_1195 = and(_T_1178, _T_1179) @[dec_tlu_ctl.scala 2570:198] - node _T_1196 = and(_T_1195, _T_1180) @[dec_tlu_ctl.scala 2570:198] - node _T_1197 = and(_T_1196, _T_1182) @[dec_tlu_ctl.scala 2570:198] - node _T_1198 = and(_T_1197, _T_1184) @[dec_tlu_ctl.scala 2570:198] - node _T_1199 = and(_T_1198, _T_1186) @[dec_tlu_ctl.scala 2570:198] - node _T_1200 = and(_T_1199, _T_1187) @[dec_tlu_ctl.scala 2570:198] - node _T_1201 = and(_T_1200, _T_1189) @[dec_tlu_ctl.scala 2570:198] - node _T_1202 = and(_T_1201, _T_1190) @[dec_tlu_ctl.scala 2570:198] - node _T_1203 = and(_T_1202, _T_1192) @[dec_tlu_ctl.scala 2570:198] - node _T_1204 = and(_T_1203, _T_1194) @[dec_tlu_ctl.scala 2570:198] - node _T_1205 = or(_T_1177, _T_1204) @[dec_tlu_ctl.scala 2647:161] - node _T_1206 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_1207 = eq(_T_1206, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1208 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_1209 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1210 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1211 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_1212 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_1213 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_1214 = eq(_T_1213, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1215 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_1216 = eq(_T_1215, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1217 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_1218 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_1219 = and(_T_1207, _T_1208) @[dec_tlu_ctl.scala 2570:198] - node _T_1220 = and(_T_1219, _T_1209) @[dec_tlu_ctl.scala 2570:198] - node _T_1221 = and(_T_1220, _T_1210) @[dec_tlu_ctl.scala 2570:198] - node _T_1222 = and(_T_1221, _T_1211) @[dec_tlu_ctl.scala 2570:198] - node _T_1223 = and(_T_1222, _T_1212) @[dec_tlu_ctl.scala 2570:198] - node _T_1224 = and(_T_1223, _T_1214) @[dec_tlu_ctl.scala 2570:198] - node _T_1225 = and(_T_1224, _T_1216) @[dec_tlu_ctl.scala 2570:198] - node _T_1226 = and(_T_1225, _T_1217) @[dec_tlu_ctl.scala 2570:198] - node _T_1227 = and(_T_1226, _T_1218) @[dec_tlu_ctl.scala 2570:198] - node _T_1228 = or(_T_1205, _T_1227) @[dec_tlu_ctl.scala 2648:97] - node _T_1229 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_1230 = eq(_T_1229, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1231 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_1232 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1233 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1234 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_1235 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_1236 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_1237 = eq(_T_1236, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1238 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_1239 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1241 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_1242 = and(_T_1230, _T_1231) @[dec_tlu_ctl.scala 2570:198] - node _T_1243 = and(_T_1242, _T_1232) @[dec_tlu_ctl.scala 2570:198] - node _T_1244 = and(_T_1243, _T_1233) @[dec_tlu_ctl.scala 2570:198] - node _T_1245 = and(_T_1244, _T_1234) @[dec_tlu_ctl.scala 2570:198] - node _T_1246 = and(_T_1245, _T_1235) @[dec_tlu_ctl.scala 2570:198] - node _T_1247 = and(_T_1246, _T_1237) @[dec_tlu_ctl.scala 2570:198] - node _T_1248 = and(_T_1247, _T_1238) @[dec_tlu_ctl.scala 2570:198] - node _T_1249 = and(_T_1248, _T_1240) @[dec_tlu_ctl.scala 2570:198] - node _T_1250 = and(_T_1249, _T_1241) @[dec_tlu_ctl.scala 2570:198] - node _T_1251 = or(_T_1228, _T_1250) @[dec_tlu_ctl.scala 2648:153] - node _T_1252 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_1253 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1254 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1255 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_1256 = eq(_T_1255, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1257 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_1258 = eq(_T_1257, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1259 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_1260 = eq(_T_1259, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1261 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_1262 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_1263 = eq(_T_1262, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1264 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_1265 = eq(_T_1264, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1266 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_1267 = and(_T_1252, _T_1253) @[dec_tlu_ctl.scala 2570:198] - node _T_1268 = and(_T_1267, _T_1254) @[dec_tlu_ctl.scala 2570:198] - node _T_1269 = and(_T_1268, _T_1256) @[dec_tlu_ctl.scala 2570:198] - node _T_1270 = and(_T_1269, _T_1258) @[dec_tlu_ctl.scala 2570:198] - node _T_1271 = and(_T_1270, _T_1260) @[dec_tlu_ctl.scala 2570:198] - node _T_1272 = and(_T_1271, _T_1261) @[dec_tlu_ctl.scala 2570:198] - node _T_1273 = and(_T_1272, _T_1263) @[dec_tlu_ctl.scala 2570:198] - node _T_1274 = and(_T_1273, _T_1265) @[dec_tlu_ctl.scala 2570:198] - node _T_1275 = and(_T_1274, _T_1266) @[dec_tlu_ctl.scala 2570:198] - node _T_1276 = or(_T_1251, _T_1275) @[dec_tlu_ctl.scala 2649:105] - node _T_1277 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_1278 = eq(_T_1277, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1279 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:149] - node _T_1280 = eq(_T_1279, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1281 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1282 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1283 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_1284 = eq(_T_1283, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1285 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_1286 = eq(_T_1285, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1287 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_1288 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_1289 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:106] - node _T_1290 = and(_T_1278, _T_1280) @[dec_tlu_ctl.scala 2570:198] - node _T_1291 = and(_T_1290, _T_1281) @[dec_tlu_ctl.scala 2570:198] - node _T_1292 = and(_T_1291, _T_1282) @[dec_tlu_ctl.scala 2570:198] - node _T_1293 = and(_T_1292, _T_1284) @[dec_tlu_ctl.scala 2570:198] - node _T_1294 = and(_T_1293, _T_1286) @[dec_tlu_ctl.scala 2570:198] - node _T_1295 = and(_T_1294, _T_1287) @[dec_tlu_ctl.scala 2570:198] - node _T_1296 = and(_T_1295, _T_1288) @[dec_tlu_ctl.scala 2570:198] - node _T_1297 = and(_T_1296, _T_1289) @[dec_tlu_ctl.scala 2570:198] - node _T_1298 = or(_T_1276, _T_1297) @[dec_tlu_ctl.scala 2649:161] - node _T_1299 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_1300 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:149] - node _T_1301 = eq(_T_1300, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1302 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1303 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1304 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_1305 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_1306 = eq(_T_1305, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1307 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_1308 = eq(_T_1307, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1309 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_1310 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_1311 = eq(_T_1310, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1312 = and(_T_1299, _T_1301) @[dec_tlu_ctl.scala 2570:198] - node _T_1313 = and(_T_1312, _T_1302) @[dec_tlu_ctl.scala 2570:198] - node _T_1314 = and(_T_1313, _T_1303) @[dec_tlu_ctl.scala 2570:198] - node _T_1315 = and(_T_1314, _T_1304) @[dec_tlu_ctl.scala 2570:198] - node _T_1316 = and(_T_1315, _T_1306) @[dec_tlu_ctl.scala 2570:198] - node _T_1317 = and(_T_1316, _T_1308) @[dec_tlu_ctl.scala 2570:198] - node _T_1318 = and(_T_1317, _T_1309) @[dec_tlu_ctl.scala 2570:198] - node _T_1319 = and(_T_1318, _T_1311) @[dec_tlu_ctl.scala 2570:198] - node _T_1320 = or(_T_1298, _T_1319) @[dec_tlu_ctl.scala 2650:105] - node _T_1321 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_1322 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:149] - node _T_1323 = eq(_T_1322, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1324 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1325 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1326 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_1327 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_1328 = eq(_T_1327, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1329 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_1330 = eq(_T_1329, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1331 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_1332 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_1333 = eq(_T_1332, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1334 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_1335 = eq(_T_1334, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_1336 = and(_T_1321, _T_1323) @[dec_tlu_ctl.scala 2570:198] - node _T_1337 = and(_T_1336, _T_1324) @[dec_tlu_ctl.scala 2570:198] - node _T_1338 = and(_T_1337, _T_1325) @[dec_tlu_ctl.scala 2570:198] - node _T_1339 = and(_T_1338, _T_1326) @[dec_tlu_ctl.scala 2570:198] - node _T_1340 = and(_T_1339, _T_1328) @[dec_tlu_ctl.scala 2570:198] - node _T_1341 = and(_T_1340, _T_1330) @[dec_tlu_ctl.scala 2570:198] - node _T_1342 = and(_T_1341, _T_1331) @[dec_tlu_ctl.scala 2570:198] - node _T_1343 = and(_T_1342, _T_1333) @[dec_tlu_ctl.scala 2570:198] - node _T_1344 = and(_T_1343, _T_1335) @[dec_tlu_ctl.scala 2570:198] - node _T_1345 = or(_T_1320, _T_1344) @[dec_tlu_ctl.scala 2650:161] - node _T_1346 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_1347 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:149] - node _T_1348 = eq(_T_1347, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1349 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1350 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1351 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_1352 = eq(_T_1351, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1353 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_1354 = eq(_T_1353, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1355 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:106] - node _T_1356 = and(_T_1346, _T_1348) @[dec_tlu_ctl.scala 2570:198] - node _T_1357 = and(_T_1356, _T_1349) @[dec_tlu_ctl.scala 2570:198] - node _T_1358 = and(_T_1357, _T_1350) @[dec_tlu_ctl.scala 2570:198] - node _T_1359 = and(_T_1358, _T_1352) @[dec_tlu_ctl.scala 2570:198] - node _T_1360 = and(_T_1359, _T_1354) @[dec_tlu_ctl.scala 2570:198] - node _T_1361 = and(_T_1360, _T_1355) @[dec_tlu_ctl.scala 2570:198] - node _T_1362 = or(_T_1345, _T_1361) @[dec_tlu_ctl.scala 2651:105] - node _T_1363 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_1364 = eq(_T_1363, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1365 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_1366 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1367 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1368 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_1369 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_1370 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_1371 = eq(_T_1370, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1372 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_1373 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_1374 = eq(_T_1373, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1375 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_1376 = and(_T_1364, _T_1365) @[dec_tlu_ctl.scala 2570:198] - node _T_1377 = and(_T_1376, _T_1366) @[dec_tlu_ctl.scala 2570:198] - node _T_1378 = and(_T_1377, _T_1367) @[dec_tlu_ctl.scala 2570:198] - node _T_1379 = and(_T_1378, _T_1368) @[dec_tlu_ctl.scala 2570:198] - node _T_1380 = and(_T_1379, _T_1369) @[dec_tlu_ctl.scala 2570:198] - node _T_1381 = and(_T_1380, _T_1371) @[dec_tlu_ctl.scala 2570:198] - node _T_1382 = and(_T_1381, _T_1372) @[dec_tlu_ctl.scala 2570:198] - node _T_1383 = and(_T_1382, _T_1374) @[dec_tlu_ctl.scala 2570:198] - node _T_1384 = and(_T_1383, _T_1375) @[dec_tlu_ctl.scala 2570:198] - node _T_1385 = or(_T_1362, _T_1384) @[dec_tlu_ctl.scala 2651:161] - node _T_1386 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_1387 = eq(_T_1386, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1388 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_1389 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1390 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1391 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_1392 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_1393 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_1394 = eq(_T_1393, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1395 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_1396 = eq(_T_1395, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1397 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_1398 = eq(_T_1397, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_1399 = and(_T_1387, _T_1388) @[dec_tlu_ctl.scala 2570:198] - node _T_1400 = and(_T_1399, _T_1389) @[dec_tlu_ctl.scala 2570:198] - node _T_1401 = and(_T_1400, _T_1390) @[dec_tlu_ctl.scala 2570:198] - node _T_1402 = and(_T_1401, _T_1391) @[dec_tlu_ctl.scala 2570:198] - node _T_1403 = and(_T_1402, _T_1392) @[dec_tlu_ctl.scala 2570:198] - node _T_1404 = and(_T_1403, _T_1394) @[dec_tlu_ctl.scala 2570:198] - node _T_1405 = and(_T_1404, _T_1396) @[dec_tlu_ctl.scala 2570:198] - node _T_1406 = and(_T_1405, _T_1398) @[dec_tlu_ctl.scala 2570:198] - node _T_1407 = or(_T_1385, _T_1406) @[dec_tlu_ctl.scala 2652:105] - node _T_1408 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_1409 = eq(_T_1408, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1410 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_1411 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1412 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1413 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_1414 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_1415 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_1416 = eq(_T_1415, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1417 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_1418 = eq(_T_1417, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1419 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_1420 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_1421 = eq(_T_1420, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1422 = and(_T_1409, _T_1410) @[dec_tlu_ctl.scala 2570:198] - node _T_1423 = and(_T_1422, _T_1411) @[dec_tlu_ctl.scala 2570:198] - node _T_1424 = and(_T_1423, _T_1412) @[dec_tlu_ctl.scala 2570:198] - node _T_1425 = and(_T_1424, _T_1413) @[dec_tlu_ctl.scala 2570:198] - node _T_1426 = and(_T_1425, _T_1414) @[dec_tlu_ctl.scala 2570:198] - node _T_1427 = and(_T_1426, _T_1416) @[dec_tlu_ctl.scala 2570:198] - node _T_1428 = and(_T_1427, _T_1418) @[dec_tlu_ctl.scala 2570:198] - node _T_1429 = and(_T_1428, _T_1419) @[dec_tlu_ctl.scala 2570:198] - node _T_1430 = and(_T_1429, _T_1421) @[dec_tlu_ctl.scala 2570:198] - node _T_1431 = or(_T_1407, _T_1430) @[dec_tlu_ctl.scala 2652:161] - node _T_1432 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_1433 = eq(_T_1432, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1434 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:106] - node _T_1435 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1436 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1437 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:106] - node _T_1438 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_1439 = eq(_T_1438, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1440 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_1441 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_1442 = eq(_T_1441, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1443 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_1444 = eq(_T_1443, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1445 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_1446 = eq(_T_1445, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1447 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_1448 = eq(_T_1447, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_1449 = and(_T_1433, _T_1434) @[dec_tlu_ctl.scala 2570:198] - node _T_1450 = and(_T_1449, _T_1435) @[dec_tlu_ctl.scala 2570:198] - node _T_1451 = and(_T_1450, _T_1436) @[dec_tlu_ctl.scala 2570:198] - node _T_1452 = and(_T_1451, _T_1437) @[dec_tlu_ctl.scala 2570:198] - node _T_1453 = and(_T_1452, _T_1439) @[dec_tlu_ctl.scala 2570:198] - node _T_1454 = and(_T_1453, _T_1440) @[dec_tlu_ctl.scala 2570:198] - node _T_1455 = and(_T_1454, _T_1442) @[dec_tlu_ctl.scala 2570:198] - node _T_1456 = and(_T_1455, _T_1444) @[dec_tlu_ctl.scala 2570:198] - node _T_1457 = and(_T_1456, _T_1446) @[dec_tlu_ctl.scala 2570:198] - node _T_1458 = and(_T_1457, _T_1448) @[dec_tlu_ctl.scala 2570:198] - node _T_1459 = or(_T_1431, _T_1458) @[dec_tlu_ctl.scala 2653:105] - node _T_1460 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_1461 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:149] - node _T_1462 = eq(_T_1461, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1463 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1464 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1465 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1467 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_1468 = eq(_T_1467, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1469 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:106] - node _T_1470 = and(_T_1460, _T_1462) @[dec_tlu_ctl.scala 2570:198] - node _T_1471 = and(_T_1470, _T_1463) @[dec_tlu_ctl.scala 2570:198] - node _T_1472 = and(_T_1471, _T_1464) @[dec_tlu_ctl.scala 2570:198] - node _T_1473 = and(_T_1472, _T_1466) @[dec_tlu_ctl.scala 2570:198] - node _T_1474 = and(_T_1473, _T_1468) @[dec_tlu_ctl.scala 2570:198] - node _T_1475 = and(_T_1474, _T_1469) @[dec_tlu_ctl.scala 2570:198] - node _T_1476 = or(_T_1459, _T_1475) @[dec_tlu_ctl.scala 2653:153] - node _T_1477 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_1478 = eq(_T_1477, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1479 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:149] - node _T_1480 = eq(_T_1479, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1481 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1482 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1483 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_1484 = eq(_T_1483, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1485 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:106] - node _T_1486 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_1487 = eq(_T_1486, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1488 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_1489 = eq(_T_1488, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1490 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_1491 = eq(_T_1490, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1492 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2570:149] - node _T_1493 = eq(_T_1492, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1494 = and(_T_1478, _T_1480) @[dec_tlu_ctl.scala 2570:198] - node _T_1495 = and(_T_1494, _T_1481) @[dec_tlu_ctl.scala 2570:198] - node _T_1496 = and(_T_1495, _T_1482) @[dec_tlu_ctl.scala 2570:198] - node _T_1497 = and(_T_1496, _T_1484) @[dec_tlu_ctl.scala 2570:198] - node _T_1498 = and(_T_1497, _T_1485) @[dec_tlu_ctl.scala 2570:198] - node _T_1499 = and(_T_1498, _T_1487) @[dec_tlu_ctl.scala 2570:198] - node _T_1500 = and(_T_1499, _T_1489) @[dec_tlu_ctl.scala 2570:198] - node _T_1501 = and(_T_1500, _T_1491) @[dec_tlu_ctl.scala 2570:198] - node _T_1502 = and(_T_1501, _T_1493) @[dec_tlu_ctl.scala 2570:198] - node _T_1503 = or(_T_1476, _T_1502) @[dec_tlu_ctl.scala 2654:113] - node _T_1504 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_1505 = eq(_T_1504, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1506 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:149] - node _T_1507 = eq(_T_1506, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1508 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1509 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1510 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_1511 = eq(_T_1510, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1512 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_1513 = eq(_T_1512, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1514 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:149] - node _T_1515 = eq(_T_1514, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1516 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:149] - node _T_1517 = eq(_T_1516, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1518 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2570:149] - node _T_1519 = eq(_T_1518, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1520 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2570:185] - node _T_1521 = eq(_T_1520, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:165] - node _T_1522 = and(_T_1505, _T_1507) @[dec_tlu_ctl.scala 2570:198] - node _T_1523 = and(_T_1522, _T_1508) @[dec_tlu_ctl.scala 2570:198] - node _T_1524 = and(_T_1523, _T_1509) @[dec_tlu_ctl.scala 2570:198] - node _T_1525 = and(_T_1524, _T_1511) @[dec_tlu_ctl.scala 2570:198] - node _T_1526 = and(_T_1525, _T_1513) @[dec_tlu_ctl.scala 2570:198] - node _T_1527 = and(_T_1526, _T_1515) @[dec_tlu_ctl.scala 2570:198] - node _T_1528 = and(_T_1527, _T_1517) @[dec_tlu_ctl.scala 2570:198] - node _T_1529 = and(_T_1528, _T_1519) @[dec_tlu_ctl.scala 2570:198] - node _T_1530 = and(_T_1529, _T_1521) @[dec_tlu_ctl.scala 2570:198] - node _T_1531 = or(_T_1503, _T_1530) @[dec_tlu_ctl.scala 2654:161] - node _T_1532 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_1533 = eq(_T_1532, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1534 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:149] - node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1536 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1537 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1538 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_1539 = eq(_T_1538, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1540 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_1541 = eq(_T_1540, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1542 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_1543 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_1544 = and(_T_1533, _T_1535) @[dec_tlu_ctl.scala 2570:198] - node _T_1545 = and(_T_1544, _T_1536) @[dec_tlu_ctl.scala 2570:198] - node _T_1546 = and(_T_1545, _T_1537) @[dec_tlu_ctl.scala 2570:198] - node _T_1547 = and(_T_1546, _T_1539) @[dec_tlu_ctl.scala 2570:198] - node _T_1548 = and(_T_1547, _T_1541) @[dec_tlu_ctl.scala 2570:198] - node _T_1549 = and(_T_1548, _T_1542) @[dec_tlu_ctl.scala 2570:198] - node _T_1550 = and(_T_1549, _T_1543) @[dec_tlu_ctl.scala 2570:198] - node _T_1551 = or(_T_1531, _T_1550) @[dec_tlu_ctl.scala 2655:97] - node _T_1552 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_1553 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:149] - node _T_1554 = eq(_T_1553, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1555 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1556 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1557 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_1558 = eq(_T_1557, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1559 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_1560 = eq(_T_1559, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1561 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2570:106] - node _T_1562 = and(_T_1552, _T_1554) @[dec_tlu_ctl.scala 2570:198] - node _T_1563 = and(_T_1562, _T_1555) @[dec_tlu_ctl.scala 2570:198] - node _T_1564 = and(_T_1563, _T_1556) @[dec_tlu_ctl.scala 2570:198] - node _T_1565 = and(_T_1564, _T_1558) @[dec_tlu_ctl.scala 2570:198] - node _T_1566 = and(_T_1565, _T_1560) @[dec_tlu_ctl.scala 2570:198] - node _T_1567 = and(_T_1566, _T_1561) @[dec_tlu_ctl.scala 2570:198] - node _T_1568 = or(_T_1551, _T_1567) @[dec_tlu_ctl.scala 2655:153] - node _T_1569 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:149] - node _T_1570 = eq(_T_1569, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1571 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:149] - node _T_1572 = eq(_T_1571, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1573 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1574 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1575 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2570:149] - node _T_1576 = eq(_T_1575, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1577 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_1578 = eq(_T_1577, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1579 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:106] - node _T_1580 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_1581 = and(_T_1570, _T_1572) @[dec_tlu_ctl.scala 2570:198] - node _T_1582 = and(_T_1581, _T_1573) @[dec_tlu_ctl.scala 2570:198] - node _T_1583 = and(_T_1582, _T_1574) @[dec_tlu_ctl.scala 2570:198] - node _T_1584 = and(_T_1583, _T_1576) @[dec_tlu_ctl.scala 2570:198] - node _T_1585 = and(_T_1584, _T_1578) @[dec_tlu_ctl.scala 2570:198] - node _T_1586 = and(_T_1585, _T_1579) @[dec_tlu_ctl.scala 2570:198] - node _T_1587 = and(_T_1586, _T_1580) @[dec_tlu_ctl.scala 2570:198] - node _T_1588 = or(_T_1568, _T_1587) @[dec_tlu_ctl.scala 2656:113] - node _T_1589 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2570:106] - node _T_1590 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2570:149] - node _T_1591 = eq(_T_1590, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1592 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2570:106] - node _T_1593 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2570:106] - node _T_1594 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2570:149] - node _T_1595 = eq(_T_1594, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1596 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2570:149] - node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[dec_tlu_ctl.scala 2570:129] - node _T_1598 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2570:106] - node _T_1599 = and(_T_1589, _T_1591) @[dec_tlu_ctl.scala 2570:198] - node _T_1600 = and(_T_1599, _T_1592) @[dec_tlu_ctl.scala 2570:198] - node _T_1601 = and(_T_1600, _T_1593) @[dec_tlu_ctl.scala 2570:198] - node _T_1602 = and(_T_1601, _T_1595) @[dec_tlu_ctl.scala 2570:198] - node _T_1603 = and(_T_1602, _T_1597) @[dec_tlu_ctl.scala 2570:198] - node _T_1604 = and(_T_1603, _T_1598) @[dec_tlu_ctl.scala 2570:198] - node _T_1605 = or(_T_1588, _T_1604) @[dec_tlu_ctl.scala 2656:169] - io.csr_pkt.legal <= _T_1605 @[dec_tlu_ctl.scala 2643:26] + node _T = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1 = eq(_T, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_2 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_3 = eq(_T_2, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_4 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_5 = eq(_T_4, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_6 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_7 = eq(_T_6, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_8 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_9 = and(_T_1, _T_3) @[dec_tlu_ctl.scala 2568:198] + node _T_10 = and(_T_9, _T_5) @[dec_tlu_ctl.scala 2568:198] + node _T_11 = and(_T_10, _T_7) @[dec_tlu_ctl.scala 2568:198] + node _T_12 = and(_T_11, _T_8) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_misa <= _T_12 @[dec_tlu_ctl.scala 2570:57] + node _T_13 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_14 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_15 = eq(_T_14, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_16 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_17 = eq(_T_16, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_18 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_19 = and(_T_13, _T_15) @[dec_tlu_ctl.scala 2568:198] + node _T_20 = and(_T_19, _T_17) @[dec_tlu_ctl.scala 2568:198] + node _T_21 = and(_T_20, _T_18) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mvendorid <= _T_21 @[dec_tlu_ctl.scala 2571:57] + node _T_22 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_23 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_24 = eq(_T_23, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_25 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_26 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_27 = eq(_T_26, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_28 = and(_T_22, _T_24) @[dec_tlu_ctl.scala 2568:198] + node _T_29 = and(_T_28, _T_25) @[dec_tlu_ctl.scala 2568:198] + node _T_30 = and(_T_29, _T_27) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_marchid <= _T_30 @[dec_tlu_ctl.scala 2572:57] + node _T_31 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_32 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_33 = eq(_T_32, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_34 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_35 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_36 = and(_T_31, _T_33) @[dec_tlu_ctl.scala 2568:198] + node _T_37 = and(_T_36, _T_34) @[dec_tlu_ctl.scala 2568:198] + node _T_38 = and(_T_37, _T_35) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mimpid <= _T_38 @[dec_tlu_ctl.scala 2573:57] + node _T_39 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_40 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_41 = eq(_T_40, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_42 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_43 = and(_T_39, _T_41) @[dec_tlu_ctl.scala 2568:198] + node _T_44 = and(_T_43, _T_42) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhartid <= _T_44 @[dec_tlu_ctl.scala 2574:57] + node _T_45 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_46 = eq(_T_45, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_47 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_48 = eq(_T_47, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_49 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_50 = eq(_T_49, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_51 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_52 = eq(_T_51, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_53 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_54 = eq(_T_53, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_55 = and(_T_46, _T_48) @[dec_tlu_ctl.scala 2568:198] + node _T_56 = and(_T_55, _T_50) @[dec_tlu_ctl.scala 2568:198] + node _T_57 = and(_T_56, _T_52) @[dec_tlu_ctl.scala 2568:198] + node _T_58 = and(_T_57, _T_54) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mstatus <= _T_58 @[dec_tlu_ctl.scala 2575:57] + node _T_59 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_60 = eq(_T_59, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_61 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_62 = eq(_T_61, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_63 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_64 = eq(_T_63, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_65 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_66 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_67 = and(_T_60, _T_62) @[dec_tlu_ctl.scala 2568:198] + node _T_68 = and(_T_67, _T_64) @[dec_tlu_ctl.scala 2568:198] + node _T_69 = and(_T_68, _T_65) @[dec_tlu_ctl.scala 2568:198] + node _T_70 = and(_T_69, _T_66) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mtvec <= _T_70 @[dec_tlu_ctl.scala 2576:57] + node _T_71 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_72 = eq(_T_71, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_73 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_74 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_75 = and(_T_72, _T_73) @[dec_tlu_ctl.scala 2568:198] + node _T_76 = and(_T_75, _T_74) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mip <= _T_76 @[dec_tlu_ctl.scala 2577:65] + node _T_77 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_78 = eq(_T_77, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_79 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_80 = eq(_T_79, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_81 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_82 = eq(_T_81, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_83 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_84 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_85 = eq(_T_84, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_86 = and(_T_78, _T_80) @[dec_tlu_ctl.scala 2568:198] + node _T_87 = and(_T_86, _T_82) @[dec_tlu_ctl.scala 2568:198] + node _T_88 = and(_T_87, _T_83) @[dec_tlu_ctl.scala 2568:198] + node _T_89 = and(_T_88, _T_85) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mie <= _T_89 @[dec_tlu_ctl.scala 2578:65] + node _T_90 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_91 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_92 = eq(_T_91, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_93 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_94 = eq(_T_93, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_95 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_96 = eq(_T_95, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_97 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_98 = eq(_T_97, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_99 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_100 = eq(_T_99, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_101 = and(_T_90, _T_92) @[dec_tlu_ctl.scala 2568:198] + node _T_102 = and(_T_101, _T_94) @[dec_tlu_ctl.scala 2568:198] + node _T_103 = and(_T_102, _T_96) @[dec_tlu_ctl.scala 2568:198] + node _T_104 = and(_T_103, _T_98) @[dec_tlu_ctl.scala 2568:198] + node _T_105 = and(_T_104, _T_100) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mcyclel <= _T_105 @[dec_tlu_ctl.scala 2579:57] + node _T_106 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_107 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_108 = eq(_T_107, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_109 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_110 = eq(_T_109, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_111 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_112 = eq(_T_111, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_113 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_114 = eq(_T_113, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_115 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_116 = eq(_T_115, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_117 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_118 = eq(_T_117, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_119 = and(_T_106, _T_108) @[dec_tlu_ctl.scala 2568:198] + node _T_120 = and(_T_119, _T_110) @[dec_tlu_ctl.scala 2568:198] + node _T_121 = and(_T_120, _T_112) @[dec_tlu_ctl.scala 2568:198] + node _T_122 = and(_T_121, _T_114) @[dec_tlu_ctl.scala 2568:198] + node _T_123 = and(_T_122, _T_116) @[dec_tlu_ctl.scala 2568:198] + node _T_124 = and(_T_123, _T_118) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mcycleh <= _T_124 @[dec_tlu_ctl.scala 2580:57] + node _T_125 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_126 = eq(_T_125, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_127 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_128 = eq(_T_127, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_129 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_130 = eq(_T_129, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_131 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_132 = eq(_T_131, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_133 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_134 = eq(_T_133, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_135 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_136 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_137 = eq(_T_136, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_138 = and(_T_126, _T_128) @[dec_tlu_ctl.scala 2568:198] + node _T_139 = and(_T_138, _T_130) @[dec_tlu_ctl.scala 2568:198] + node _T_140 = and(_T_139, _T_132) @[dec_tlu_ctl.scala 2568:198] + node _T_141 = and(_T_140, _T_134) @[dec_tlu_ctl.scala 2568:198] + node _T_142 = and(_T_141, _T_135) @[dec_tlu_ctl.scala 2568:198] + node _T_143 = and(_T_142, _T_137) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_minstretl <= _T_143 @[dec_tlu_ctl.scala 2581:57] + node _T_144 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_145 = eq(_T_144, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_146 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_147 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_148 = eq(_T_147, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_149 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_150 = eq(_T_149, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_151 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_152 = eq(_T_151, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_153 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_154 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_155 = eq(_T_154, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_156 = and(_T_145, _T_146) @[dec_tlu_ctl.scala 2568:198] + node _T_157 = and(_T_156, _T_148) @[dec_tlu_ctl.scala 2568:198] + node _T_158 = and(_T_157, _T_150) @[dec_tlu_ctl.scala 2568:198] + node _T_159 = and(_T_158, _T_152) @[dec_tlu_ctl.scala 2568:198] + node _T_160 = and(_T_159, _T_153) @[dec_tlu_ctl.scala 2568:198] + node _T_161 = and(_T_160, _T_155) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_minstreth <= _T_161 @[dec_tlu_ctl.scala 2582:57] + node _T_162 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_163 = eq(_T_162, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_164 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_165 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_166 = eq(_T_165, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_167 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_168 = eq(_T_167, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_169 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_170 = eq(_T_169, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_171 = and(_T_163, _T_164) @[dec_tlu_ctl.scala 2568:198] + node _T_172 = and(_T_171, _T_166) @[dec_tlu_ctl.scala 2568:198] + node _T_173 = and(_T_172, _T_168) @[dec_tlu_ctl.scala 2568:198] + node _T_174 = and(_T_173, _T_170) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mscratch <= _T_174 @[dec_tlu_ctl.scala 2583:57] + node _T_175 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_176 = eq(_T_175, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_177 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_178 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_179 = eq(_T_178, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_180 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_181 = and(_T_176, _T_177) @[dec_tlu_ctl.scala 2568:198] + node _T_182 = and(_T_181, _T_179) @[dec_tlu_ctl.scala 2568:198] + node _T_183 = and(_T_182, _T_180) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mepc <= _T_183 @[dec_tlu_ctl.scala 2584:57] + node _T_184 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_185 = eq(_T_184, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_186 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_187 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_188 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_189 = eq(_T_188, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_190 = and(_T_185, _T_186) @[dec_tlu_ctl.scala 2568:198] + node _T_191 = and(_T_190, _T_187) @[dec_tlu_ctl.scala 2568:198] + node _T_192 = and(_T_191, _T_189) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mcause <= _T_192 @[dec_tlu_ctl.scala 2585:57] + node _T_193 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_194 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_195 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_196 = and(_T_193, _T_194) @[dec_tlu_ctl.scala 2568:198] + node _T_197 = and(_T_196, _T_195) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mscause <= _T_197 @[dec_tlu_ctl.scala 2586:57] + node _T_198 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_199 = eq(_T_198, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_200 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_201 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_202 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_203 = and(_T_199, _T_200) @[dec_tlu_ctl.scala 2568:198] + node _T_204 = and(_T_203, _T_201) @[dec_tlu_ctl.scala 2568:198] + node _T_205 = and(_T_204, _T_202) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mtval <= _T_205 @[dec_tlu_ctl.scala 2587:57] + node _T_206 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_207 = eq(_T_206, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_208 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_209 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_210 = eq(_T_209, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_211 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_212 = eq(_T_211, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_213 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_214 = eq(_T_213, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_215 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_216 = eq(_T_215, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_217 = and(_T_207, _T_208) @[dec_tlu_ctl.scala 2568:198] + node _T_218 = and(_T_217, _T_210) @[dec_tlu_ctl.scala 2568:198] + node _T_219 = and(_T_218, _T_212) @[dec_tlu_ctl.scala 2568:198] + node _T_220 = and(_T_219, _T_214) @[dec_tlu_ctl.scala 2568:198] + node _T_221 = and(_T_220, _T_216) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mrac <= _T_221 @[dec_tlu_ctl.scala 2588:57] + node _T_222 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_223 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_224 = eq(_T_223, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_225 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_226 = eq(_T_225, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_227 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_228 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_229 = eq(_T_228, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_230 = and(_T_222, _T_224) @[dec_tlu_ctl.scala 2568:198] + node _T_231 = and(_T_230, _T_226) @[dec_tlu_ctl.scala 2568:198] + node _T_232 = and(_T_231, _T_227) @[dec_tlu_ctl.scala 2568:198] + node _T_233 = and(_T_232, _T_229) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_dmst <= _T_233 @[dec_tlu_ctl.scala 2589:57] + node _T_234 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_235 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_236 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_237 = eq(_T_236, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_238 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_239 = eq(_T_238, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_240 = and(_T_234, _T_235) @[dec_tlu_ctl.scala 2568:198] + node _T_241 = and(_T_240, _T_237) @[dec_tlu_ctl.scala 2568:198] + node _T_242 = and(_T_241, _T_239) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mdseac <= _T_242 @[dec_tlu_ctl.scala 2590:57] + node _T_243 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_244 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_245 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_246 = and(_T_243, _T_244) @[dec_tlu_ctl.scala 2568:198] + node _T_247 = and(_T_246, _T_245) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_meihap <= _T_247 @[dec_tlu_ctl.scala 2591:57] + node _T_248 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_250 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_251 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_252 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_253 = eq(_T_252, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_254 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_255 = eq(_T_254, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_256 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_258 = and(_T_249, _T_250) @[dec_tlu_ctl.scala 2568:198] + node _T_259 = and(_T_258, _T_251) @[dec_tlu_ctl.scala 2568:198] + node _T_260 = and(_T_259, _T_253) @[dec_tlu_ctl.scala 2568:198] + node _T_261 = and(_T_260, _T_255) @[dec_tlu_ctl.scala 2568:198] + node _T_262 = and(_T_261, _T_257) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_meivt <= _T_262 @[dec_tlu_ctl.scala 2592:57] + node _T_263 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_264 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_265 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_266 = eq(_T_265, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_267 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_268 = and(_T_263, _T_264) @[dec_tlu_ctl.scala 2568:198] + node _T_269 = and(_T_268, _T_266) @[dec_tlu_ctl.scala 2568:198] + node _T_270 = and(_T_269, _T_267) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_meipt <= _T_270 @[dec_tlu_ctl.scala 2593:57] + node _T_271 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_272 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_273 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_274 = and(_T_271, _T_272) @[dec_tlu_ctl.scala 2568:198] + node _T_275 = and(_T_274, _T_273) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_meicurpl <= _T_275 @[dec_tlu_ctl.scala 2594:57] + node _T_276 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_277 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_278 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_279 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_280 = and(_T_276, _T_277) @[dec_tlu_ctl.scala 2568:198] + node _T_281 = and(_T_280, _T_278) @[dec_tlu_ctl.scala 2568:198] + node _T_282 = and(_T_281, _T_279) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_meicidpl <= _T_282 @[dec_tlu_ctl.scala 2595:57] + node _T_283 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_284 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_285 = eq(_T_284, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_286 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_287 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_288 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_289 = eq(_T_288, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_290 = and(_T_283, _T_285) @[dec_tlu_ctl.scala 2568:198] + node _T_291 = and(_T_290, _T_286) @[dec_tlu_ctl.scala 2568:198] + node _T_292 = and(_T_291, _T_287) @[dec_tlu_ctl.scala 2568:198] + node _T_293 = and(_T_292, _T_289) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_dcsr <= _T_293 @[dec_tlu_ctl.scala 2596:57] + node _T_294 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_295 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_296 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_297 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_298 = eq(_T_297, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_299 = and(_T_294, _T_295) @[dec_tlu_ctl.scala 2568:198] + node _T_300 = and(_T_299, _T_296) @[dec_tlu_ctl.scala 2568:198] + node _T_301 = and(_T_300, _T_298) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mcgc <= _T_301 @[dec_tlu_ctl.scala 2597:57] + node _T_302 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_303 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_304 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_305 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_307 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_308 = and(_T_302, _T_303) @[dec_tlu_ctl.scala 2568:198] + node _T_309 = and(_T_308, _T_304) @[dec_tlu_ctl.scala 2568:198] + node _T_310 = and(_T_309, _T_306) @[dec_tlu_ctl.scala 2568:198] + node _T_311 = and(_T_310, _T_307) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mfdc <= _T_311 @[dec_tlu_ctl.scala 2598:57] + node _T_312 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_313 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_315 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_316 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_317 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_318 = and(_T_312, _T_314) @[dec_tlu_ctl.scala 2568:198] + node _T_319 = and(_T_318, _T_315) @[dec_tlu_ctl.scala 2568:198] + node _T_320 = and(_T_319, _T_316) @[dec_tlu_ctl.scala 2568:198] + node _T_321 = and(_T_320, _T_317) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_dpc <= _T_321 @[dec_tlu_ctl.scala 2599:65] + node _T_322 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_323 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_324 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_325 = eq(_T_324, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_326 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_328 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_329 = eq(_T_328, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_330 = and(_T_322, _T_323) @[dec_tlu_ctl.scala 2568:198] + node _T_331 = and(_T_330, _T_325) @[dec_tlu_ctl.scala 2568:198] + node _T_332 = and(_T_331, _T_327) @[dec_tlu_ctl.scala 2568:198] + node _T_333 = and(_T_332, _T_329) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mtsel <= _T_333 @[dec_tlu_ctl.scala 2600:57] + node _T_334 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_335 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_336 = eq(_T_335, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_337 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_339 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_340 = and(_T_334, _T_336) @[dec_tlu_ctl.scala 2568:198] + node _T_341 = and(_T_340, _T_338) @[dec_tlu_ctl.scala 2568:198] + node _T_342 = and(_T_341, _T_339) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mtdata1 <= _T_342 @[dec_tlu_ctl.scala 2601:57] + node _T_343 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_344 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_345 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_347 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_348 = and(_T_343, _T_344) @[dec_tlu_ctl.scala 2568:198] + node _T_349 = and(_T_348, _T_346) @[dec_tlu_ctl.scala 2568:198] + node _T_350 = and(_T_349, _T_347) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mtdata2 <= _T_350 @[dec_tlu_ctl.scala 2602:57] + node _T_351 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_352 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_354 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_355 = eq(_T_354, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_356 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_358 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_359 = eq(_T_358, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_360 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_361 = and(_T_351, _T_353) @[dec_tlu_ctl.scala 2568:198] + node _T_362 = and(_T_361, _T_355) @[dec_tlu_ctl.scala 2568:198] + node _T_363 = and(_T_362, _T_357) @[dec_tlu_ctl.scala 2568:198] + node _T_364 = and(_T_363, _T_359) @[dec_tlu_ctl.scala 2568:198] + node _T_365 = and(_T_364, _T_360) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpmc3 <= _T_365 @[dec_tlu_ctl.scala 2603:57] + node _T_366 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_367 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_368 = eq(_T_367, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_369 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_370 = eq(_T_369, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_371 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_372 = eq(_T_371, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_373 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_374 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_375 = eq(_T_374, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_376 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_377 = eq(_T_376, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_378 = and(_T_366, _T_368) @[dec_tlu_ctl.scala 2568:198] + node _T_379 = and(_T_378, _T_370) @[dec_tlu_ctl.scala 2568:198] + node _T_380 = and(_T_379, _T_372) @[dec_tlu_ctl.scala 2568:198] + node _T_381 = and(_T_380, _T_373) @[dec_tlu_ctl.scala 2568:198] + node _T_382 = and(_T_381, _T_375) @[dec_tlu_ctl.scala 2568:198] + node _T_383 = and(_T_382, _T_377) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpmc4 <= _T_383 @[dec_tlu_ctl.scala 2604:57] + node _T_384 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_385 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_386 = eq(_T_385, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_387 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_388 = eq(_T_387, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_389 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_390 = eq(_T_389, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_391 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_393 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_394 = and(_T_384, _T_386) @[dec_tlu_ctl.scala 2568:198] + node _T_395 = and(_T_394, _T_388) @[dec_tlu_ctl.scala 2568:198] + node _T_396 = and(_T_395, _T_390) @[dec_tlu_ctl.scala 2568:198] + node _T_397 = and(_T_396, _T_392) @[dec_tlu_ctl.scala 2568:198] + node _T_398 = and(_T_397, _T_393) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpmc5 <= _T_398 @[dec_tlu_ctl.scala 2605:57] + node _T_399 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_401 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_402 = eq(_T_401, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_403 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_404 = eq(_T_403, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_405 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_406 = eq(_T_405, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_407 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_408 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_409 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_410 = eq(_T_409, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_411 = and(_T_400, _T_402) @[dec_tlu_ctl.scala 2568:198] + node _T_412 = and(_T_411, _T_404) @[dec_tlu_ctl.scala 2568:198] + node _T_413 = and(_T_412, _T_406) @[dec_tlu_ctl.scala 2568:198] + node _T_414 = and(_T_413, _T_407) @[dec_tlu_ctl.scala 2568:198] + node _T_415 = and(_T_414, _T_408) @[dec_tlu_ctl.scala 2568:198] + node _T_416 = and(_T_415, _T_410) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpmc6 <= _T_416 @[dec_tlu_ctl.scala 2606:57] + node _T_417 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_418 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_420 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_421 = eq(_T_420, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_422 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_423 = eq(_T_422, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_424 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_425 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_426 = and(_T_417, _T_419) @[dec_tlu_ctl.scala 2568:198] + node _T_427 = and(_T_426, _T_421) @[dec_tlu_ctl.scala 2568:198] + node _T_428 = and(_T_427, _T_423) @[dec_tlu_ctl.scala 2568:198] + node _T_429 = and(_T_428, _T_424) @[dec_tlu_ctl.scala 2568:198] + node _T_430 = and(_T_429, _T_425) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpmc3h <= _T_430 @[dec_tlu_ctl.scala 2607:57] + node _T_431 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_432 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_433 = eq(_T_432, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_434 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_436 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_437 = eq(_T_436, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_438 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_439 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_440 = eq(_T_439, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_441 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_442 = eq(_T_441, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_443 = and(_T_431, _T_433) @[dec_tlu_ctl.scala 2568:198] + node _T_444 = and(_T_443, _T_435) @[dec_tlu_ctl.scala 2568:198] + node _T_445 = and(_T_444, _T_437) @[dec_tlu_ctl.scala 2568:198] + node _T_446 = and(_T_445, _T_438) @[dec_tlu_ctl.scala 2568:198] + node _T_447 = and(_T_446, _T_440) @[dec_tlu_ctl.scala 2568:198] + node _T_448 = and(_T_447, _T_442) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpmc4h <= _T_448 @[dec_tlu_ctl.scala 2608:57] + node _T_449 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_450 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_452 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_453 = eq(_T_452, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_454 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_455 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_456 = eq(_T_455, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_457 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_458 = and(_T_449, _T_451) @[dec_tlu_ctl.scala 2568:198] + node _T_459 = and(_T_458, _T_453) @[dec_tlu_ctl.scala 2568:198] + node _T_460 = and(_T_459, _T_454) @[dec_tlu_ctl.scala 2568:198] + node _T_461 = and(_T_460, _T_456) @[dec_tlu_ctl.scala 2568:198] + node _T_462 = and(_T_461, _T_457) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpmc5h <= _T_462 @[dec_tlu_ctl.scala 2609:57] + node _T_463 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_464 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_465 = eq(_T_464, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_466 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_468 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_469 = eq(_T_468, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_470 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_471 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_472 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_473 = eq(_T_472, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_474 = and(_T_463, _T_465) @[dec_tlu_ctl.scala 2568:198] + node _T_475 = and(_T_474, _T_467) @[dec_tlu_ctl.scala 2568:198] + node _T_476 = and(_T_475, _T_469) @[dec_tlu_ctl.scala 2568:198] + node _T_477 = and(_T_476, _T_470) @[dec_tlu_ctl.scala 2568:198] + node _T_478 = and(_T_477, _T_471) @[dec_tlu_ctl.scala 2568:198] + node _T_479 = and(_T_478, _T_473) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpmc6h <= _T_479 @[dec_tlu_ctl.scala 2610:57] + node _T_480 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_482 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_483 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_484 = eq(_T_483, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_485 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_487 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_488 = eq(_T_487, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_489 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_490 = and(_T_481, _T_482) @[dec_tlu_ctl.scala 2568:198] + node _T_491 = and(_T_490, _T_484) @[dec_tlu_ctl.scala 2568:198] + node _T_492 = and(_T_491, _T_486) @[dec_tlu_ctl.scala 2568:198] + node _T_493 = and(_T_492, _T_488) @[dec_tlu_ctl.scala 2568:198] + node _T_494 = and(_T_493, _T_489) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpme3 <= _T_494 @[dec_tlu_ctl.scala 2611:57] + node _T_495 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_496 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_498 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_499 = eq(_T_498, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_500 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_501 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_503 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_504 = eq(_T_503, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_505 = and(_T_495, _T_497) @[dec_tlu_ctl.scala 2568:198] + node _T_506 = and(_T_505, _T_499) @[dec_tlu_ctl.scala 2568:198] + node _T_507 = and(_T_506, _T_500) @[dec_tlu_ctl.scala 2568:198] + node _T_508 = and(_T_507, _T_502) @[dec_tlu_ctl.scala 2568:198] + node _T_509 = and(_T_508, _T_504) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpme4 <= _T_509 @[dec_tlu_ctl.scala 2612:57] + node _T_510 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_511 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_512 = eq(_T_511, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_513 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_514 = eq(_T_513, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_515 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_516 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_517 = eq(_T_516, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_518 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_519 = and(_T_510, _T_512) @[dec_tlu_ctl.scala 2568:198] + node _T_520 = and(_T_519, _T_514) @[dec_tlu_ctl.scala 2568:198] + node _T_521 = and(_T_520, _T_515) @[dec_tlu_ctl.scala 2568:198] + node _T_522 = and(_T_521, _T_517) @[dec_tlu_ctl.scala 2568:198] + node _T_523 = and(_T_522, _T_518) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpme5 <= _T_523 @[dec_tlu_ctl.scala 2613:57] + node _T_524 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_525 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_526 = eq(_T_525, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_527 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_528 = eq(_T_527, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_529 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_530 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_531 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_532 = eq(_T_531, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_533 = and(_T_524, _T_526) @[dec_tlu_ctl.scala 2568:198] + node _T_534 = and(_T_533, _T_528) @[dec_tlu_ctl.scala 2568:198] + node _T_535 = and(_T_534, _T_529) @[dec_tlu_ctl.scala 2568:198] + node _T_536 = and(_T_535, _T_530) @[dec_tlu_ctl.scala 2568:198] + node _T_537 = and(_T_536, _T_532) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mhpme6 <= _T_537 @[dec_tlu_ctl.scala 2614:57] + node _T_538 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_539 = eq(_T_538, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_540 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_541 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_542 = eq(_T_541, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_543 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_544 = eq(_T_543, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_545 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_546 = eq(_T_545, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_547 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_548 = eq(_T_547, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_549 = and(_T_539, _T_540) @[dec_tlu_ctl.scala 2568:198] + node _T_550 = and(_T_549, _T_542) @[dec_tlu_ctl.scala 2568:198] + node _T_551 = and(_T_550, _T_544) @[dec_tlu_ctl.scala 2568:198] + node _T_552 = and(_T_551, _T_546) @[dec_tlu_ctl.scala 2568:198] + node _T_553 = and(_T_552, _T_548) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mcountinhibit <= _T_553 @[dec_tlu_ctl.scala 2615:49] + node _T_554 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_555 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_556 = eq(_T_555, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_557 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_558 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_559 = eq(_T_558, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_560 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_561 = eq(_T_560, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_562 = and(_T_554, _T_556) @[dec_tlu_ctl.scala 2568:198] + node _T_563 = and(_T_562, _T_557) @[dec_tlu_ctl.scala 2568:198] + node _T_564 = and(_T_563, _T_559) @[dec_tlu_ctl.scala 2568:198] + node _T_565 = and(_T_564, _T_561) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mitctl0 <= _T_565 @[dec_tlu_ctl.scala 2616:57] + node _T_566 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_567 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_568 = eq(_T_567, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_569 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_570 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_571 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_572 = and(_T_566, _T_568) @[dec_tlu_ctl.scala 2568:198] + node _T_573 = and(_T_572, _T_569) @[dec_tlu_ctl.scala 2568:198] + node _T_574 = and(_T_573, _T_570) @[dec_tlu_ctl.scala 2568:198] + node _T_575 = and(_T_574, _T_571) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mitctl1 <= _T_575 @[dec_tlu_ctl.scala 2617:57] + node _T_576 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_577 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_578 = eq(_T_577, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_579 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_580 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_581 = eq(_T_580, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_582 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_583 = and(_T_576, _T_578) @[dec_tlu_ctl.scala 2568:198] + node _T_584 = and(_T_583, _T_579) @[dec_tlu_ctl.scala 2568:198] + node _T_585 = and(_T_584, _T_581) @[dec_tlu_ctl.scala 2568:198] + node _T_586 = and(_T_585, _T_582) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mitb0 <= _T_586 @[dec_tlu_ctl.scala 2618:57] + node _T_587 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_588 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_589 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_590 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_591 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_592 = eq(_T_591, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_593 = and(_T_587, _T_588) @[dec_tlu_ctl.scala 2568:198] + node _T_594 = and(_T_593, _T_589) @[dec_tlu_ctl.scala 2568:198] + node _T_595 = and(_T_594, _T_590) @[dec_tlu_ctl.scala 2568:198] + node _T_596 = and(_T_595, _T_592) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mitb1 <= _T_596 @[dec_tlu_ctl.scala 2619:57] + node _T_597 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_598 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_599 = eq(_T_598, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_600 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_601 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_602 = eq(_T_601, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_603 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_604 = eq(_T_603, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_605 = and(_T_597, _T_599) @[dec_tlu_ctl.scala 2568:198] + node _T_606 = and(_T_605, _T_600) @[dec_tlu_ctl.scala 2568:198] + node _T_607 = and(_T_606, _T_602) @[dec_tlu_ctl.scala 2568:198] + node _T_608 = and(_T_607, _T_604) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mitcnt0 <= _T_608 @[dec_tlu_ctl.scala 2620:57] + node _T_609 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_610 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_611 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_612 = eq(_T_611, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_613 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_614 = and(_T_609, _T_610) @[dec_tlu_ctl.scala 2568:198] + node _T_615 = and(_T_614, _T_612) @[dec_tlu_ctl.scala 2568:198] + node _T_616 = and(_T_615, _T_613) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mitcnt1 <= _T_616 @[dec_tlu_ctl.scala 2621:57] + node _T_617 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_618 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_619 = eq(_T_618, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_620 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_621 = eq(_T_620, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_622 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_623 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_624 = and(_T_617, _T_619) @[dec_tlu_ctl.scala 2568:198] + node _T_625 = and(_T_624, _T_621) @[dec_tlu_ctl.scala 2568:198] + node _T_626 = and(_T_625, _T_622) @[dec_tlu_ctl.scala 2568:198] + node _T_627 = and(_T_626, _T_623) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mpmc <= _T_627 @[dec_tlu_ctl.scala 2622:57] + node _T_628 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_629 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_630 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_631 = eq(_T_630, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_632 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_633 = eq(_T_632, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_634 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_635 = eq(_T_634, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_636 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_637 = and(_T_628, _T_629) @[dec_tlu_ctl.scala 2568:198] + node _T_638 = and(_T_637, _T_631) @[dec_tlu_ctl.scala 2568:198] + node _T_639 = and(_T_638, _T_633) @[dec_tlu_ctl.scala 2568:198] + node _T_640 = and(_T_639, _T_635) @[dec_tlu_ctl.scala 2568:198] + node _T_641 = and(_T_640, _T_636) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mcpc <= _T_641 @[dec_tlu_ctl.scala 2623:57] + node _T_642 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_643 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_644 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_645 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_646 = eq(_T_645, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_647 = and(_T_642, _T_643) @[dec_tlu_ctl.scala 2568:198] + node _T_648 = and(_T_647, _T_644) @[dec_tlu_ctl.scala 2568:198] + node _T_649 = and(_T_648, _T_646) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_meicpct <= _T_649 @[dec_tlu_ctl.scala 2624:57] + node _T_650 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_651 = eq(_T_650, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_652 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_653 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_654 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_655 = eq(_T_654, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_656 = and(_T_651, _T_652) @[dec_tlu_ctl.scala 2568:198] + node _T_657 = and(_T_656, _T_653) @[dec_tlu_ctl.scala 2568:198] + node _T_658 = and(_T_657, _T_655) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mdeau <= _T_658 @[dec_tlu_ctl.scala 2625:57] + node _T_659 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_660 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_661 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_662 = eq(_T_661, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_663 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_664 = eq(_T_663, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_665 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_666 = eq(_T_665, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_667 = and(_T_659, _T_660) @[dec_tlu_ctl.scala 2568:198] + node _T_668 = and(_T_667, _T_662) @[dec_tlu_ctl.scala 2568:198] + node _T_669 = and(_T_668, _T_664) @[dec_tlu_ctl.scala 2568:198] + node _T_670 = and(_T_669, _T_666) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_micect <= _T_670 @[dec_tlu_ctl.scala 2626:57] + node _T_671 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_672 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_673 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_674 = eq(_T_673, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_675 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_676 = and(_T_671, _T_672) @[dec_tlu_ctl.scala 2568:198] + node _T_677 = and(_T_676, _T_674) @[dec_tlu_ctl.scala 2568:198] + node _T_678 = and(_T_677, _T_675) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_miccmect <= _T_678 @[dec_tlu_ctl.scala 2627:57] + node _T_679 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_680 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_681 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_682 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_683 = eq(_T_682, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_684 = and(_T_679, _T_680) @[dec_tlu_ctl.scala 2568:198] + node _T_685 = and(_T_684, _T_681) @[dec_tlu_ctl.scala 2568:198] + node _T_686 = and(_T_685, _T_683) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mdccmect <= _T_686 @[dec_tlu_ctl.scala 2628:57] + node _T_687 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_688 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_689 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_690 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_691 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_692 = eq(_T_691, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_693 = and(_T_687, _T_688) @[dec_tlu_ctl.scala 2568:198] + node _T_694 = and(_T_693, _T_689) @[dec_tlu_ctl.scala 2568:198] + node _T_695 = and(_T_694, _T_690) @[dec_tlu_ctl.scala 2568:198] + node _T_696 = and(_T_695, _T_692) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mfdht <= _T_696 @[dec_tlu_ctl.scala 2629:57] + node _T_697 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_698 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_699 = eq(_T_698, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_700 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_701 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_702 = and(_T_697, _T_699) @[dec_tlu_ctl.scala 2568:198] + node _T_703 = and(_T_702, _T_700) @[dec_tlu_ctl.scala 2568:198] + node _T_704 = and(_T_703, _T_701) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_mfdhs <= _T_704 @[dec_tlu_ctl.scala 2630:57] + node _T_705 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_706 = eq(_T_705, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_707 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_708 = eq(_T_707, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_709 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_710 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_711 = eq(_T_710, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_712 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_713 = eq(_T_712, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_714 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_715 = eq(_T_714, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_716 = and(_T_706, _T_708) @[dec_tlu_ctl.scala 2568:198] + node _T_717 = and(_T_716, _T_709) @[dec_tlu_ctl.scala 2568:198] + node _T_718 = and(_T_717, _T_711) @[dec_tlu_ctl.scala 2568:198] + node _T_719 = and(_T_718, _T_713) @[dec_tlu_ctl.scala 2568:198] + node _T_720 = and(_T_719, _T_715) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_dicawics <= _T_720 @[dec_tlu_ctl.scala 2631:57] + node _T_721 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_722 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_723 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_724 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_725 = eq(_T_724, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_726 = and(_T_721, _T_722) @[dec_tlu_ctl.scala 2568:198] + node _T_727 = and(_T_726, _T_723) @[dec_tlu_ctl.scala 2568:198] + node _T_728 = and(_T_727, _T_725) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_dicad0h <= _T_728 @[dec_tlu_ctl.scala 2632:57] + node _T_729 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_730 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_731 = eq(_T_730, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_732 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_733 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_734 = eq(_T_733, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_735 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_736 = and(_T_729, _T_731) @[dec_tlu_ctl.scala 2568:198] + node _T_737 = and(_T_736, _T_732) @[dec_tlu_ctl.scala 2568:198] + node _T_738 = and(_T_737, _T_734) @[dec_tlu_ctl.scala 2568:198] + node _T_739 = and(_T_738, _T_735) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_dicad0 <= _T_739 @[dec_tlu_ctl.scala 2633:57] + node _T_740 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_741 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_742 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_743 = eq(_T_742, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_744 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_745 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_746 = eq(_T_745, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_747 = and(_T_740, _T_741) @[dec_tlu_ctl.scala 2568:198] + node _T_748 = and(_T_747, _T_743) @[dec_tlu_ctl.scala 2568:198] + node _T_749 = and(_T_748, _T_744) @[dec_tlu_ctl.scala 2568:198] + node _T_750 = and(_T_749, _T_746) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_dicad1 <= _T_750 @[dec_tlu_ctl.scala 2634:57] + node _T_751 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_752 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_753 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_754 = eq(_T_753, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_755 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_756 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_757 = and(_T_751, _T_752) @[dec_tlu_ctl.scala 2568:198] + node _T_758 = and(_T_757, _T_754) @[dec_tlu_ctl.scala 2568:198] + node _T_759 = and(_T_758, _T_755) @[dec_tlu_ctl.scala 2568:198] + node _T_760 = and(_T_759, _T_756) @[dec_tlu_ctl.scala 2568:198] + io.csr_pkt.csr_dicago <= _T_760 @[dec_tlu_ctl.scala 2635:57] + node _T_761 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_762 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_763 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_764 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_765 = eq(_T_764, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_766 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_767 = and(_T_761, _T_762) @[dec_tlu_ctl.scala 2568:198] + node _T_768 = and(_T_767, _T_763) @[dec_tlu_ctl.scala 2568:198] + node _T_769 = and(_T_768, _T_765) @[dec_tlu_ctl.scala 2568:198] + node _T_770 = and(_T_769, _T_766) @[dec_tlu_ctl.scala 2568:198] + node _T_771 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_772 = eq(_T_771, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_773 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_774 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_775 = eq(_T_774, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_776 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_778 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_779 = eq(_T_778, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_780 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_781 = eq(_T_780, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_782 = and(_T_772, _T_773) @[dec_tlu_ctl.scala 2568:198] + node _T_783 = and(_T_782, _T_775) @[dec_tlu_ctl.scala 2568:198] + node _T_784 = and(_T_783, _T_777) @[dec_tlu_ctl.scala 2568:198] + node _T_785 = and(_T_784, _T_779) @[dec_tlu_ctl.scala 2568:198] + node _T_786 = and(_T_785, _T_781) @[dec_tlu_ctl.scala 2568:198] + node _T_787 = or(_T_770, _T_786) @[dec_tlu_ctl.scala 2636:81] + node _T_788 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_789 = eq(_T_788, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_790 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_791 = eq(_T_790, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_792 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_793 = eq(_T_792, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_794 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_795 = eq(_T_794, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_796 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_797 = eq(_T_796, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_798 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_799 = and(_T_789, _T_791) @[dec_tlu_ctl.scala 2568:198] + node _T_800 = and(_T_799, _T_793) @[dec_tlu_ctl.scala 2568:198] + node _T_801 = and(_T_800, _T_795) @[dec_tlu_ctl.scala 2568:198] + node _T_802 = and(_T_801, _T_797) @[dec_tlu_ctl.scala 2568:198] + node _T_803 = and(_T_802, _T_798) @[dec_tlu_ctl.scala 2568:198] + node _T_804 = or(_T_787, _T_803) @[dec_tlu_ctl.scala 2636:121] + node _T_805 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_806 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_807 = eq(_T_806, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_808 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_809 = eq(_T_808, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_810 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_811 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_812 = eq(_T_811, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_813 = and(_T_805, _T_807) @[dec_tlu_ctl.scala 2568:198] + node _T_814 = and(_T_813, _T_809) @[dec_tlu_ctl.scala 2568:198] + node _T_815 = and(_T_814, _T_810) @[dec_tlu_ctl.scala 2568:198] + node _T_816 = and(_T_815, _T_812) @[dec_tlu_ctl.scala 2568:198] + node _T_817 = or(_T_804, _T_816) @[dec_tlu_ctl.scala 2636:155] + node _T_818 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_819 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_820 = eq(_T_819, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_821 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_822 = eq(_T_821, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_823 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_824 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_825 = eq(_T_824, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_826 = and(_T_818, _T_820) @[dec_tlu_ctl.scala 2568:198] + node _T_827 = and(_T_826, _T_822) @[dec_tlu_ctl.scala 2568:198] + node _T_828 = and(_T_827, _T_823) @[dec_tlu_ctl.scala 2568:198] + node _T_829 = and(_T_828, _T_825) @[dec_tlu_ctl.scala 2568:198] + node _T_830 = or(_T_817, _T_829) @[dec_tlu_ctl.scala 2637:97] + node _T_831 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_832 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_833 = eq(_T_832, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_834 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_835 = eq(_T_834, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_836 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_837 = eq(_T_836, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_838 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_839 = eq(_T_838, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_840 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_841 = and(_T_831, _T_833) @[dec_tlu_ctl.scala 2568:198] + node _T_842 = and(_T_841, _T_835) @[dec_tlu_ctl.scala 2568:198] + node _T_843 = and(_T_842, _T_837) @[dec_tlu_ctl.scala 2568:198] + node _T_844 = and(_T_843, _T_839) @[dec_tlu_ctl.scala 2568:198] + node _T_845 = and(_T_844, _T_840) @[dec_tlu_ctl.scala 2568:198] + node _T_846 = or(_T_830, _T_845) @[dec_tlu_ctl.scala 2637:137] + io.csr_pkt.presync <= _T_846 @[dec_tlu_ctl.scala 2636:34] + node _T_847 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_848 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_849 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_850 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_851 = eq(_T_850, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_852 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_853 = and(_T_847, _T_848) @[dec_tlu_ctl.scala 2568:198] + node _T_854 = and(_T_853, _T_849) @[dec_tlu_ctl.scala 2568:198] + node _T_855 = and(_T_854, _T_851) @[dec_tlu_ctl.scala 2568:198] + node _T_856 = and(_T_855, _T_852) @[dec_tlu_ctl.scala 2568:198] + node _T_857 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_858 = eq(_T_857, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_859 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_860 = eq(_T_859, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_861 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_862 = eq(_T_861, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_863 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_864 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_865 = and(_T_858, _T_860) @[dec_tlu_ctl.scala 2568:198] + node _T_866 = and(_T_865, _T_862) @[dec_tlu_ctl.scala 2568:198] + node _T_867 = and(_T_866, _T_863) @[dec_tlu_ctl.scala 2568:198] + node _T_868 = and(_T_867, _T_864) @[dec_tlu_ctl.scala 2568:198] + node _T_869 = or(_T_856, _T_868) @[dec_tlu_ctl.scala 2638:81] + node _T_870 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_871 = eq(_T_870, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_872 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_873 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_874 = eq(_T_873, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_875 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_876 = and(_T_871, _T_872) @[dec_tlu_ctl.scala 2568:198] + node _T_877 = and(_T_876, _T_874) @[dec_tlu_ctl.scala 2568:198] + node _T_878 = and(_T_877, _T_875) @[dec_tlu_ctl.scala 2568:198] + node _T_879 = or(_T_869, _T_878) @[dec_tlu_ctl.scala 2638:121] + node _T_880 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_881 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_882 = eq(_T_881, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_883 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_884 = eq(_T_883, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_885 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_886 = and(_T_880, _T_882) @[dec_tlu_ctl.scala 2568:198] + node _T_887 = and(_T_886, _T_884) @[dec_tlu_ctl.scala 2568:198] + node _T_888 = and(_T_887, _T_885) @[dec_tlu_ctl.scala 2568:198] + node _T_889 = or(_T_879, _T_888) @[dec_tlu_ctl.scala 2638:162] + node _T_890 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_891 = eq(_T_890, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_892 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_893 = eq(_T_892, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_894 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_895 = eq(_T_894, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_896 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_897 = eq(_T_896, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_898 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_899 = eq(_T_898, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_900 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_901 = eq(_T_900, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_902 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_903 = eq(_T_902, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_904 = and(_T_891, _T_893) @[dec_tlu_ctl.scala 2568:198] + node _T_905 = and(_T_904, _T_895) @[dec_tlu_ctl.scala 2568:198] + node _T_906 = and(_T_905, _T_897) @[dec_tlu_ctl.scala 2568:198] + node _T_907 = and(_T_906, _T_899) @[dec_tlu_ctl.scala 2568:198] + node _T_908 = and(_T_907, _T_901) @[dec_tlu_ctl.scala 2568:198] + node _T_909 = and(_T_908, _T_903) @[dec_tlu_ctl.scala 2568:198] + node _T_910 = or(_T_889, _T_909) @[dec_tlu_ctl.scala 2639:105] + node _T_911 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_912 = eq(_T_911, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_913 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_914 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_915 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_916 = eq(_T_915, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_917 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_918 = eq(_T_917, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_919 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_920 = eq(_T_919, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_921 = and(_T_912, _T_913) @[dec_tlu_ctl.scala 2568:198] + node _T_922 = and(_T_921, _T_914) @[dec_tlu_ctl.scala 2568:198] + node _T_923 = and(_T_922, _T_916) @[dec_tlu_ctl.scala 2568:198] + node _T_924 = and(_T_923, _T_918) @[dec_tlu_ctl.scala 2568:198] + node _T_925 = and(_T_924, _T_920) @[dec_tlu_ctl.scala 2568:198] + node _T_926 = or(_T_910, _T_925) @[dec_tlu_ctl.scala 2639:145] + node _T_927 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_928 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_929 = eq(_T_928, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_930 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_931 = eq(_T_930, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_932 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_933 = eq(_T_932, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_934 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_935 = and(_T_927, _T_929) @[dec_tlu_ctl.scala 2568:198] + node _T_936 = and(_T_935, _T_931) @[dec_tlu_ctl.scala 2568:198] + node _T_937 = and(_T_936, _T_933) @[dec_tlu_ctl.scala 2568:198] + node _T_938 = and(_T_937, _T_934) @[dec_tlu_ctl.scala 2568:198] + node _T_939 = or(_T_926, _T_938) @[dec_tlu_ctl.scala 2639:178] + io.csr_pkt.postsync <= _T_939 @[dec_tlu_ctl.scala 2638:30] + node _T_940 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_941 = eq(_T_940, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_942 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_943 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_944 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_945 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_946 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_947 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_948 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_949 = eq(_T_948, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_950 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_951 = eq(_T_950, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_952 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_953 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_954 = eq(_T_953, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_955 = and(_T_941, _T_942) @[dec_tlu_ctl.scala 2568:198] + node _T_956 = and(_T_955, _T_943) @[dec_tlu_ctl.scala 2568:198] + node _T_957 = and(_T_956, _T_944) @[dec_tlu_ctl.scala 2568:198] + node _T_958 = and(_T_957, _T_945) @[dec_tlu_ctl.scala 2568:198] + node _T_959 = and(_T_958, _T_946) @[dec_tlu_ctl.scala 2568:198] + node _T_960 = and(_T_959, _T_947) @[dec_tlu_ctl.scala 2568:198] + node _T_961 = and(_T_960, _T_949) @[dec_tlu_ctl.scala 2568:198] + node _T_962 = and(_T_961, _T_951) @[dec_tlu_ctl.scala 2568:198] + node _T_963 = and(_T_962, _T_952) @[dec_tlu_ctl.scala 2568:198] + node _T_964 = and(_T_963, _T_954) @[dec_tlu_ctl.scala 2568:198] + node _T_965 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_966 = eq(_T_965, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_967 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_968 = eq(_T_967, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_969 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_970 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_971 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_972 = eq(_T_971, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_973 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_974 = eq(_T_973, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_975 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_976 = eq(_T_975, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_977 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_978 = eq(_T_977, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_979 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_980 = eq(_T_979, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_981 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_982 = eq(_T_981, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_983 = and(_T_966, _T_968) @[dec_tlu_ctl.scala 2568:198] + node _T_984 = and(_T_983, _T_969) @[dec_tlu_ctl.scala 2568:198] + node _T_985 = and(_T_984, _T_970) @[dec_tlu_ctl.scala 2568:198] + node _T_986 = and(_T_985, _T_972) @[dec_tlu_ctl.scala 2568:198] + node _T_987 = and(_T_986, _T_974) @[dec_tlu_ctl.scala 2568:198] + node _T_988 = and(_T_987, _T_976) @[dec_tlu_ctl.scala 2568:198] + node _T_989 = and(_T_988, _T_978) @[dec_tlu_ctl.scala 2568:198] + node _T_990 = and(_T_989, _T_980) @[dec_tlu_ctl.scala 2568:198] + node _T_991 = and(_T_990, _T_982) @[dec_tlu_ctl.scala 2568:198] + node _T_992 = or(_T_964, _T_991) @[dec_tlu_ctl.scala 2641:81] + node _T_993 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_994 = eq(_T_993, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_995 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_996 = eq(_T_995, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_997 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_998 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_999 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1000 = eq(_T_999, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1001 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1002 = eq(_T_1001, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1003 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_1004 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_1005 = eq(_T_1004, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1006 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_1007 = eq(_T_1006, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_1008 = and(_T_994, _T_996) @[dec_tlu_ctl.scala 2568:198] + node _T_1009 = and(_T_1008, _T_997) @[dec_tlu_ctl.scala 2568:198] + node _T_1010 = and(_T_1009, _T_998) @[dec_tlu_ctl.scala 2568:198] + node _T_1011 = and(_T_1010, _T_1000) @[dec_tlu_ctl.scala 2568:198] + node _T_1012 = and(_T_1011, _T_1002) @[dec_tlu_ctl.scala 2568:198] + node _T_1013 = and(_T_1012, _T_1003) @[dec_tlu_ctl.scala 2568:198] + node _T_1014 = and(_T_1013, _T_1005) @[dec_tlu_ctl.scala 2568:198] + node _T_1015 = and(_T_1014, _T_1007) @[dec_tlu_ctl.scala 2568:198] + node _T_1016 = or(_T_992, _T_1015) @[dec_tlu_ctl.scala 2641:129] + node _T_1017 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1018 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1019 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1020 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1021 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_1022 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1023 = eq(_T_1022, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1024 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_1025 = eq(_T_1024, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1026 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_1027 = eq(_T_1026, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1028 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_1029 = eq(_T_1028, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1030 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_1031 = eq(_T_1030, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_1032 = and(_T_1017, _T_1018) @[dec_tlu_ctl.scala 2568:198] + node _T_1033 = and(_T_1032, _T_1019) @[dec_tlu_ctl.scala 2568:198] + node _T_1034 = and(_T_1033, _T_1020) @[dec_tlu_ctl.scala 2568:198] + node _T_1035 = and(_T_1034, _T_1021) @[dec_tlu_ctl.scala 2568:198] + node _T_1036 = and(_T_1035, _T_1023) @[dec_tlu_ctl.scala 2568:198] + node _T_1037 = and(_T_1036, _T_1025) @[dec_tlu_ctl.scala 2568:198] + node _T_1038 = and(_T_1037, _T_1027) @[dec_tlu_ctl.scala 2568:198] + node _T_1039 = and(_T_1038, _T_1029) @[dec_tlu_ctl.scala 2568:198] + node _T_1040 = and(_T_1039, _T_1031) @[dec_tlu_ctl.scala 2568:198] + node _T_1041 = or(_T_1016, _T_1040) @[dec_tlu_ctl.scala 2642:105] + node _T_1042 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1043 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1044 = eq(_T_1043, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1045 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1046 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1047 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1048 = eq(_T_1047, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1049 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1050 = eq(_T_1049, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1051 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_1052 = eq(_T_1051, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_1053 = and(_T_1042, _T_1044) @[dec_tlu_ctl.scala 2568:198] + node _T_1054 = and(_T_1053, _T_1045) @[dec_tlu_ctl.scala 2568:198] + node _T_1055 = and(_T_1054, _T_1046) @[dec_tlu_ctl.scala 2568:198] + node _T_1056 = and(_T_1055, _T_1048) @[dec_tlu_ctl.scala 2568:198] + node _T_1057 = and(_T_1056, _T_1050) @[dec_tlu_ctl.scala 2568:198] + node _T_1058 = and(_T_1057, _T_1052) @[dec_tlu_ctl.scala 2568:198] + node _T_1059 = or(_T_1041, _T_1058) @[dec_tlu_ctl.scala 2642:153] + node _T_1060 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1061 = eq(_T_1060, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1062 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_1063 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1064 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1065 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1066 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_1067 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_1068 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_1069 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_1070 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_1071 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_1072 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_1073 = and(_T_1061, _T_1062) @[dec_tlu_ctl.scala 2568:198] + node _T_1074 = and(_T_1073, _T_1063) @[dec_tlu_ctl.scala 2568:198] + node _T_1075 = and(_T_1074, _T_1064) @[dec_tlu_ctl.scala 2568:198] + node _T_1076 = and(_T_1075, _T_1065) @[dec_tlu_ctl.scala 2568:198] + node _T_1077 = and(_T_1076, _T_1066) @[dec_tlu_ctl.scala 2568:198] + node _T_1078 = and(_T_1077, _T_1067) @[dec_tlu_ctl.scala 2568:198] + node _T_1079 = and(_T_1078, _T_1068) @[dec_tlu_ctl.scala 2568:198] + node _T_1080 = and(_T_1079, _T_1069) @[dec_tlu_ctl.scala 2568:198] + node _T_1081 = and(_T_1080, _T_1070) @[dec_tlu_ctl.scala 2568:198] + node _T_1082 = and(_T_1081, _T_1071) @[dec_tlu_ctl.scala 2568:198] + node _T_1083 = and(_T_1082, _T_1072) @[dec_tlu_ctl.scala 2568:198] + node _T_1084 = or(_T_1059, _T_1083) @[dec_tlu_ctl.scala 2643:105] + node _T_1085 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1086 = eq(_T_1085, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1087 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_1088 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1089 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1090 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1091 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_1092 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_1093 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_1094 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_1095 = eq(_T_1094, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1096 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_1097 = eq(_T_1096, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1098 = and(_T_1086, _T_1087) @[dec_tlu_ctl.scala 2568:198] + node _T_1099 = and(_T_1098, _T_1088) @[dec_tlu_ctl.scala 2568:198] + node _T_1100 = and(_T_1099, _T_1089) @[dec_tlu_ctl.scala 2568:198] + node _T_1101 = and(_T_1100, _T_1090) @[dec_tlu_ctl.scala 2568:198] + node _T_1102 = and(_T_1101, _T_1091) @[dec_tlu_ctl.scala 2568:198] + node _T_1103 = and(_T_1102, _T_1092) @[dec_tlu_ctl.scala 2568:198] + node _T_1104 = and(_T_1103, _T_1093) @[dec_tlu_ctl.scala 2568:198] + node _T_1105 = and(_T_1104, _T_1095) @[dec_tlu_ctl.scala 2568:198] + node _T_1106 = and(_T_1105, _T_1097) @[dec_tlu_ctl.scala 2568:198] + node _T_1107 = or(_T_1084, _T_1106) @[dec_tlu_ctl.scala 2643:153] + node _T_1108 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1109 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1110 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1111 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1112 = eq(_T_1111, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1113 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1114 = eq(_T_1113, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1115 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1116 = eq(_T_1115, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1117 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_1118 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_1119 = eq(_T_1118, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1120 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_1121 = eq(_T_1120, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1122 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_1123 = and(_T_1108, _T_1109) @[dec_tlu_ctl.scala 2568:198] + node _T_1124 = and(_T_1123, _T_1110) @[dec_tlu_ctl.scala 2568:198] + node _T_1125 = and(_T_1124, _T_1112) @[dec_tlu_ctl.scala 2568:198] + node _T_1126 = and(_T_1125, _T_1114) @[dec_tlu_ctl.scala 2568:198] + node _T_1127 = and(_T_1126, _T_1116) @[dec_tlu_ctl.scala 2568:198] + node _T_1128 = and(_T_1127, _T_1117) @[dec_tlu_ctl.scala 2568:198] + node _T_1129 = and(_T_1128, _T_1119) @[dec_tlu_ctl.scala 2568:198] + node _T_1130 = and(_T_1129, _T_1121) @[dec_tlu_ctl.scala 2568:198] + node _T_1131 = and(_T_1130, _T_1122) @[dec_tlu_ctl.scala 2568:198] + node _T_1132 = or(_T_1107, _T_1131) @[dec_tlu_ctl.scala 2644:105] + node _T_1133 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1134 = eq(_T_1133, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1135 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_1136 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1137 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1138 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1139 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1140 = eq(_T_1139, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1141 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_1142 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_1143 = eq(_T_1142, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1144 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_1145 = eq(_T_1144, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1146 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1148 = and(_T_1134, _T_1135) @[dec_tlu_ctl.scala 2568:198] + node _T_1149 = and(_T_1148, _T_1136) @[dec_tlu_ctl.scala 2568:198] + node _T_1150 = and(_T_1149, _T_1137) @[dec_tlu_ctl.scala 2568:198] + node _T_1151 = and(_T_1150, _T_1138) @[dec_tlu_ctl.scala 2568:198] + node _T_1152 = and(_T_1151, _T_1140) @[dec_tlu_ctl.scala 2568:198] + node _T_1153 = and(_T_1152, _T_1141) @[dec_tlu_ctl.scala 2568:198] + node _T_1154 = and(_T_1153, _T_1143) @[dec_tlu_ctl.scala 2568:198] + node _T_1155 = and(_T_1154, _T_1145) @[dec_tlu_ctl.scala 2568:198] + node _T_1156 = and(_T_1155, _T_1147) @[dec_tlu_ctl.scala 2568:198] + node _T_1157 = or(_T_1132, _T_1156) @[dec_tlu_ctl.scala 2644:161] + node _T_1158 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1159 = eq(_T_1158, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1160 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1161 = eq(_T_1160, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1162 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1163 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1164 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1165 = eq(_T_1164, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1166 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1167 = eq(_T_1166, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1168 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_1169 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_1170 = and(_T_1159, _T_1161) @[dec_tlu_ctl.scala 2568:198] + node _T_1171 = and(_T_1170, _T_1162) @[dec_tlu_ctl.scala 2568:198] + node _T_1172 = and(_T_1171, _T_1163) @[dec_tlu_ctl.scala 2568:198] + node _T_1173 = and(_T_1172, _T_1165) @[dec_tlu_ctl.scala 2568:198] + node _T_1174 = and(_T_1173, _T_1167) @[dec_tlu_ctl.scala 2568:198] + node _T_1175 = and(_T_1174, _T_1168) @[dec_tlu_ctl.scala 2568:198] + node _T_1176 = and(_T_1175, _T_1169) @[dec_tlu_ctl.scala 2568:198] + node _T_1177 = or(_T_1157, _T_1176) @[dec_tlu_ctl.scala 2645:105] + node _T_1178 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1179 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1180 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1181 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1182 = eq(_T_1181, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1183 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1184 = eq(_T_1183, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1185 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1186 = eq(_T_1185, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1187 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_1188 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_1189 = eq(_T_1188, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1190 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_1191 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_1192 = eq(_T_1191, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1193 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_1194 = eq(_T_1193, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_1195 = and(_T_1178, _T_1179) @[dec_tlu_ctl.scala 2568:198] + node _T_1196 = and(_T_1195, _T_1180) @[dec_tlu_ctl.scala 2568:198] + node _T_1197 = and(_T_1196, _T_1182) @[dec_tlu_ctl.scala 2568:198] + node _T_1198 = and(_T_1197, _T_1184) @[dec_tlu_ctl.scala 2568:198] + node _T_1199 = and(_T_1198, _T_1186) @[dec_tlu_ctl.scala 2568:198] + node _T_1200 = and(_T_1199, _T_1187) @[dec_tlu_ctl.scala 2568:198] + node _T_1201 = and(_T_1200, _T_1189) @[dec_tlu_ctl.scala 2568:198] + node _T_1202 = and(_T_1201, _T_1190) @[dec_tlu_ctl.scala 2568:198] + node _T_1203 = and(_T_1202, _T_1192) @[dec_tlu_ctl.scala 2568:198] + node _T_1204 = and(_T_1203, _T_1194) @[dec_tlu_ctl.scala 2568:198] + node _T_1205 = or(_T_1177, _T_1204) @[dec_tlu_ctl.scala 2645:161] + node _T_1206 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1207 = eq(_T_1206, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1208 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_1209 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1210 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1211 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1212 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_1213 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1214 = eq(_T_1213, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1215 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_1216 = eq(_T_1215, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1217 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_1218 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_1219 = and(_T_1207, _T_1208) @[dec_tlu_ctl.scala 2568:198] + node _T_1220 = and(_T_1219, _T_1209) @[dec_tlu_ctl.scala 2568:198] + node _T_1221 = and(_T_1220, _T_1210) @[dec_tlu_ctl.scala 2568:198] + node _T_1222 = and(_T_1221, _T_1211) @[dec_tlu_ctl.scala 2568:198] + node _T_1223 = and(_T_1222, _T_1212) @[dec_tlu_ctl.scala 2568:198] + node _T_1224 = and(_T_1223, _T_1214) @[dec_tlu_ctl.scala 2568:198] + node _T_1225 = and(_T_1224, _T_1216) @[dec_tlu_ctl.scala 2568:198] + node _T_1226 = and(_T_1225, _T_1217) @[dec_tlu_ctl.scala 2568:198] + node _T_1227 = and(_T_1226, _T_1218) @[dec_tlu_ctl.scala 2568:198] + node _T_1228 = or(_T_1205, _T_1227) @[dec_tlu_ctl.scala 2646:97] + node _T_1229 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1230 = eq(_T_1229, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1231 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_1232 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1233 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1234 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1235 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_1236 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1237 = eq(_T_1236, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1238 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_1239 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_1240 = eq(_T_1239, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1241 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_1242 = and(_T_1230, _T_1231) @[dec_tlu_ctl.scala 2568:198] + node _T_1243 = and(_T_1242, _T_1232) @[dec_tlu_ctl.scala 2568:198] + node _T_1244 = and(_T_1243, _T_1233) @[dec_tlu_ctl.scala 2568:198] + node _T_1245 = and(_T_1244, _T_1234) @[dec_tlu_ctl.scala 2568:198] + node _T_1246 = and(_T_1245, _T_1235) @[dec_tlu_ctl.scala 2568:198] + node _T_1247 = and(_T_1246, _T_1237) @[dec_tlu_ctl.scala 2568:198] + node _T_1248 = and(_T_1247, _T_1238) @[dec_tlu_ctl.scala 2568:198] + node _T_1249 = and(_T_1248, _T_1240) @[dec_tlu_ctl.scala 2568:198] + node _T_1250 = and(_T_1249, _T_1241) @[dec_tlu_ctl.scala 2568:198] + node _T_1251 = or(_T_1228, _T_1250) @[dec_tlu_ctl.scala 2646:153] + node _T_1252 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1253 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1254 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1255 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1256 = eq(_T_1255, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1257 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1258 = eq(_T_1257, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1259 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1260 = eq(_T_1259, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1261 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_1262 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_1263 = eq(_T_1262, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1264 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_1265 = eq(_T_1264, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1266 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_1267 = and(_T_1252, _T_1253) @[dec_tlu_ctl.scala 2568:198] + node _T_1268 = and(_T_1267, _T_1254) @[dec_tlu_ctl.scala 2568:198] + node _T_1269 = and(_T_1268, _T_1256) @[dec_tlu_ctl.scala 2568:198] + node _T_1270 = and(_T_1269, _T_1258) @[dec_tlu_ctl.scala 2568:198] + node _T_1271 = and(_T_1270, _T_1260) @[dec_tlu_ctl.scala 2568:198] + node _T_1272 = and(_T_1271, _T_1261) @[dec_tlu_ctl.scala 2568:198] + node _T_1273 = and(_T_1272, _T_1263) @[dec_tlu_ctl.scala 2568:198] + node _T_1274 = and(_T_1273, _T_1265) @[dec_tlu_ctl.scala 2568:198] + node _T_1275 = and(_T_1274, _T_1266) @[dec_tlu_ctl.scala 2568:198] + node _T_1276 = or(_T_1251, _T_1275) @[dec_tlu_ctl.scala 2647:105] + node _T_1277 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1278 = eq(_T_1277, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1279 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1280 = eq(_T_1279, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1281 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1282 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1283 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1284 = eq(_T_1283, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1285 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1286 = eq(_T_1285, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1287 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_1288 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_1289 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:106] + node _T_1290 = and(_T_1278, _T_1280) @[dec_tlu_ctl.scala 2568:198] + node _T_1291 = and(_T_1290, _T_1281) @[dec_tlu_ctl.scala 2568:198] + node _T_1292 = and(_T_1291, _T_1282) @[dec_tlu_ctl.scala 2568:198] + node _T_1293 = and(_T_1292, _T_1284) @[dec_tlu_ctl.scala 2568:198] + node _T_1294 = and(_T_1293, _T_1286) @[dec_tlu_ctl.scala 2568:198] + node _T_1295 = and(_T_1294, _T_1287) @[dec_tlu_ctl.scala 2568:198] + node _T_1296 = and(_T_1295, _T_1288) @[dec_tlu_ctl.scala 2568:198] + node _T_1297 = and(_T_1296, _T_1289) @[dec_tlu_ctl.scala 2568:198] + node _T_1298 = or(_T_1276, _T_1297) @[dec_tlu_ctl.scala 2647:161] + node _T_1299 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1300 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1301 = eq(_T_1300, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1302 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1303 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1304 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1305 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1306 = eq(_T_1305, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1307 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_1308 = eq(_T_1307, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1309 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_1310 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_1311 = eq(_T_1310, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1312 = and(_T_1299, _T_1301) @[dec_tlu_ctl.scala 2568:198] + node _T_1313 = and(_T_1312, _T_1302) @[dec_tlu_ctl.scala 2568:198] + node _T_1314 = and(_T_1313, _T_1303) @[dec_tlu_ctl.scala 2568:198] + node _T_1315 = and(_T_1314, _T_1304) @[dec_tlu_ctl.scala 2568:198] + node _T_1316 = and(_T_1315, _T_1306) @[dec_tlu_ctl.scala 2568:198] + node _T_1317 = and(_T_1316, _T_1308) @[dec_tlu_ctl.scala 2568:198] + node _T_1318 = and(_T_1317, _T_1309) @[dec_tlu_ctl.scala 2568:198] + node _T_1319 = and(_T_1318, _T_1311) @[dec_tlu_ctl.scala 2568:198] + node _T_1320 = or(_T_1298, _T_1319) @[dec_tlu_ctl.scala 2648:105] + node _T_1321 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1322 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1323 = eq(_T_1322, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1324 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1325 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1326 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1327 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1328 = eq(_T_1327, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1329 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_1330 = eq(_T_1329, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1331 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_1332 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_1333 = eq(_T_1332, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1334 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_1335 = eq(_T_1334, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_1336 = and(_T_1321, _T_1323) @[dec_tlu_ctl.scala 2568:198] + node _T_1337 = and(_T_1336, _T_1324) @[dec_tlu_ctl.scala 2568:198] + node _T_1338 = and(_T_1337, _T_1325) @[dec_tlu_ctl.scala 2568:198] + node _T_1339 = and(_T_1338, _T_1326) @[dec_tlu_ctl.scala 2568:198] + node _T_1340 = and(_T_1339, _T_1328) @[dec_tlu_ctl.scala 2568:198] + node _T_1341 = and(_T_1340, _T_1330) @[dec_tlu_ctl.scala 2568:198] + node _T_1342 = and(_T_1341, _T_1331) @[dec_tlu_ctl.scala 2568:198] + node _T_1343 = and(_T_1342, _T_1333) @[dec_tlu_ctl.scala 2568:198] + node _T_1344 = and(_T_1343, _T_1335) @[dec_tlu_ctl.scala 2568:198] + node _T_1345 = or(_T_1320, _T_1344) @[dec_tlu_ctl.scala 2648:161] + node _T_1346 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1347 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1348 = eq(_T_1347, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1349 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1350 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1351 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1352 = eq(_T_1351, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1353 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1354 = eq(_T_1353, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1355 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:106] + node _T_1356 = and(_T_1346, _T_1348) @[dec_tlu_ctl.scala 2568:198] + node _T_1357 = and(_T_1356, _T_1349) @[dec_tlu_ctl.scala 2568:198] + node _T_1358 = and(_T_1357, _T_1350) @[dec_tlu_ctl.scala 2568:198] + node _T_1359 = and(_T_1358, _T_1352) @[dec_tlu_ctl.scala 2568:198] + node _T_1360 = and(_T_1359, _T_1354) @[dec_tlu_ctl.scala 2568:198] + node _T_1361 = and(_T_1360, _T_1355) @[dec_tlu_ctl.scala 2568:198] + node _T_1362 = or(_T_1345, _T_1361) @[dec_tlu_ctl.scala 2649:105] + node _T_1363 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1364 = eq(_T_1363, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1365 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_1366 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1367 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1368 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1369 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_1370 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1371 = eq(_T_1370, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1372 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_1373 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_1374 = eq(_T_1373, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1375 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_1376 = and(_T_1364, _T_1365) @[dec_tlu_ctl.scala 2568:198] + node _T_1377 = and(_T_1376, _T_1366) @[dec_tlu_ctl.scala 2568:198] + node _T_1378 = and(_T_1377, _T_1367) @[dec_tlu_ctl.scala 2568:198] + node _T_1379 = and(_T_1378, _T_1368) @[dec_tlu_ctl.scala 2568:198] + node _T_1380 = and(_T_1379, _T_1369) @[dec_tlu_ctl.scala 2568:198] + node _T_1381 = and(_T_1380, _T_1371) @[dec_tlu_ctl.scala 2568:198] + node _T_1382 = and(_T_1381, _T_1372) @[dec_tlu_ctl.scala 2568:198] + node _T_1383 = and(_T_1382, _T_1374) @[dec_tlu_ctl.scala 2568:198] + node _T_1384 = and(_T_1383, _T_1375) @[dec_tlu_ctl.scala 2568:198] + node _T_1385 = or(_T_1362, _T_1384) @[dec_tlu_ctl.scala 2649:161] + node _T_1386 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1387 = eq(_T_1386, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1388 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_1389 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1390 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1391 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1392 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_1393 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1394 = eq(_T_1393, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1395 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_1396 = eq(_T_1395, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1397 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_1398 = eq(_T_1397, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_1399 = and(_T_1387, _T_1388) @[dec_tlu_ctl.scala 2568:198] + node _T_1400 = and(_T_1399, _T_1389) @[dec_tlu_ctl.scala 2568:198] + node _T_1401 = and(_T_1400, _T_1390) @[dec_tlu_ctl.scala 2568:198] + node _T_1402 = and(_T_1401, _T_1391) @[dec_tlu_ctl.scala 2568:198] + node _T_1403 = and(_T_1402, _T_1392) @[dec_tlu_ctl.scala 2568:198] + node _T_1404 = and(_T_1403, _T_1394) @[dec_tlu_ctl.scala 2568:198] + node _T_1405 = and(_T_1404, _T_1396) @[dec_tlu_ctl.scala 2568:198] + node _T_1406 = and(_T_1405, _T_1398) @[dec_tlu_ctl.scala 2568:198] + node _T_1407 = or(_T_1385, _T_1406) @[dec_tlu_ctl.scala 2650:105] + node _T_1408 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1409 = eq(_T_1408, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1410 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_1411 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1412 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1413 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1414 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_1415 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1416 = eq(_T_1415, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1417 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_1418 = eq(_T_1417, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1419 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_1420 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_1421 = eq(_T_1420, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1422 = and(_T_1409, _T_1410) @[dec_tlu_ctl.scala 2568:198] + node _T_1423 = and(_T_1422, _T_1411) @[dec_tlu_ctl.scala 2568:198] + node _T_1424 = and(_T_1423, _T_1412) @[dec_tlu_ctl.scala 2568:198] + node _T_1425 = and(_T_1424, _T_1413) @[dec_tlu_ctl.scala 2568:198] + node _T_1426 = and(_T_1425, _T_1414) @[dec_tlu_ctl.scala 2568:198] + node _T_1427 = and(_T_1426, _T_1416) @[dec_tlu_ctl.scala 2568:198] + node _T_1428 = and(_T_1427, _T_1418) @[dec_tlu_ctl.scala 2568:198] + node _T_1429 = and(_T_1428, _T_1419) @[dec_tlu_ctl.scala 2568:198] + node _T_1430 = and(_T_1429, _T_1421) @[dec_tlu_ctl.scala 2568:198] + node _T_1431 = or(_T_1407, _T_1430) @[dec_tlu_ctl.scala 2650:161] + node _T_1432 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1433 = eq(_T_1432, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1434 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:106] + node _T_1435 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1436 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1437 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:106] + node _T_1438 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1439 = eq(_T_1438, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1440 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_1441 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_1442 = eq(_T_1441, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1443 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_1444 = eq(_T_1443, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1445 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_1446 = eq(_T_1445, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1447 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_1448 = eq(_T_1447, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_1449 = and(_T_1433, _T_1434) @[dec_tlu_ctl.scala 2568:198] + node _T_1450 = and(_T_1449, _T_1435) @[dec_tlu_ctl.scala 2568:198] + node _T_1451 = and(_T_1450, _T_1436) @[dec_tlu_ctl.scala 2568:198] + node _T_1452 = and(_T_1451, _T_1437) @[dec_tlu_ctl.scala 2568:198] + node _T_1453 = and(_T_1452, _T_1439) @[dec_tlu_ctl.scala 2568:198] + node _T_1454 = and(_T_1453, _T_1440) @[dec_tlu_ctl.scala 2568:198] + node _T_1455 = and(_T_1454, _T_1442) @[dec_tlu_ctl.scala 2568:198] + node _T_1456 = and(_T_1455, _T_1444) @[dec_tlu_ctl.scala 2568:198] + node _T_1457 = and(_T_1456, _T_1446) @[dec_tlu_ctl.scala 2568:198] + node _T_1458 = and(_T_1457, _T_1448) @[dec_tlu_ctl.scala 2568:198] + node _T_1459 = or(_T_1431, _T_1458) @[dec_tlu_ctl.scala 2651:105] + node _T_1460 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1461 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1462 = eq(_T_1461, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1463 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1464 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1465 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1466 = eq(_T_1465, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1467 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1468 = eq(_T_1467, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1469 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:106] + node _T_1470 = and(_T_1460, _T_1462) @[dec_tlu_ctl.scala 2568:198] + node _T_1471 = and(_T_1470, _T_1463) @[dec_tlu_ctl.scala 2568:198] + node _T_1472 = and(_T_1471, _T_1464) @[dec_tlu_ctl.scala 2568:198] + node _T_1473 = and(_T_1472, _T_1466) @[dec_tlu_ctl.scala 2568:198] + node _T_1474 = and(_T_1473, _T_1468) @[dec_tlu_ctl.scala 2568:198] + node _T_1475 = and(_T_1474, _T_1469) @[dec_tlu_ctl.scala 2568:198] + node _T_1476 = or(_T_1459, _T_1475) @[dec_tlu_ctl.scala 2651:153] + node _T_1477 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1478 = eq(_T_1477, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1479 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1480 = eq(_T_1479, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1481 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1482 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1483 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1484 = eq(_T_1483, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1485 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:106] + node _T_1486 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1487 = eq(_T_1486, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1488 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_1489 = eq(_T_1488, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1490 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_1491 = eq(_T_1490, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1492 = bits(io.dec_csr_rdaddr_d, 2, 2) @[dec_tlu_ctl.scala 2568:149] + node _T_1493 = eq(_T_1492, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1494 = and(_T_1478, _T_1480) @[dec_tlu_ctl.scala 2568:198] + node _T_1495 = and(_T_1494, _T_1481) @[dec_tlu_ctl.scala 2568:198] + node _T_1496 = and(_T_1495, _T_1482) @[dec_tlu_ctl.scala 2568:198] + node _T_1497 = and(_T_1496, _T_1484) @[dec_tlu_ctl.scala 2568:198] + node _T_1498 = and(_T_1497, _T_1485) @[dec_tlu_ctl.scala 2568:198] + node _T_1499 = and(_T_1498, _T_1487) @[dec_tlu_ctl.scala 2568:198] + node _T_1500 = and(_T_1499, _T_1489) @[dec_tlu_ctl.scala 2568:198] + node _T_1501 = and(_T_1500, _T_1491) @[dec_tlu_ctl.scala 2568:198] + node _T_1502 = and(_T_1501, _T_1493) @[dec_tlu_ctl.scala 2568:198] + node _T_1503 = or(_T_1476, _T_1502) @[dec_tlu_ctl.scala 2652:113] + node _T_1504 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1505 = eq(_T_1504, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1506 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1507 = eq(_T_1506, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1508 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1509 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1510 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1511 = eq(_T_1510, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1512 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1513 = eq(_T_1512, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1514 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:149] + node _T_1515 = eq(_T_1514, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1516 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:149] + node _T_1517 = eq(_T_1516, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1518 = bits(io.dec_csr_rdaddr_d, 1, 1) @[dec_tlu_ctl.scala 2568:149] + node _T_1519 = eq(_T_1518, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1520 = bits(io.dec_csr_rdaddr_d, 0, 0) @[dec_tlu_ctl.scala 2568:185] + node _T_1521 = eq(_T_1520, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:165] + node _T_1522 = and(_T_1505, _T_1507) @[dec_tlu_ctl.scala 2568:198] + node _T_1523 = and(_T_1522, _T_1508) @[dec_tlu_ctl.scala 2568:198] + node _T_1524 = and(_T_1523, _T_1509) @[dec_tlu_ctl.scala 2568:198] + node _T_1525 = and(_T_1524, _T_1511) @[dec_tlu_ctl.scala 2568:198] + node _T_1526 = and(_T_1525, _T_1513) @[dec_tlu_ctl.scala 2568:198] + node _T_1527 = and(_T_1526, _T_1515) @[dec_tlu_ctl.scala 2568:198] + node _T_1528 = and(_T_1527, _T_1517) @[dec_tlu_ctl.scala 2568:198] + node _T_1529 = and(_T_1528, _T_1519) @[dec_tlu_ctl.scala 2568:198] + node _T_1530 = and(_T_1529, _T_1521) @[dec_tlu_ctl.scala 2568:198] + node _T_1531 = or(_T_1503, _T_1530) @[dec_tlu_ctl.scala 2652:161] + node _T_1532 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1533 = eq(_T_1532, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1534 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1535 = eq(_T_1534, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1536 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1537 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1538 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1539 = eq(_T_1538, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1540 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1541 = eq(_T_1540, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1542 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_1543 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_1544 = and(_T_1533, _T_1535) @[dec_tlu_ctl.scala 2568:198] + node _T_1545 = and(_T_1544, _T_1536) @[dec_tlu_ctl.scala 2568:198] + node _T_1546 = and(_T_1545, _T_1537) @[dec_tlu_ctl.scala 2568:198] + node _T_1547 = and(_T_1546, _T_1539) @[dec_tlu_ctl.scala 2568:198] + node _T_1548 = and(_T_1547, _T_1541) @[dec_tlu_ctl.scala 2568:198] + node _T_1549 = and(_T_1548, _T_1542) @[dec_tlu_ctl.scala 2568:198] + node _T_1550 = and(_T_1549, _T_1543) @[dec_tlu_ctl.scala 2568:198] + node _T_1551 = or(_T_1531, _T_1550) @[dec_tlu_ctl.scala 2653:97] + node _T_1552 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1553 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1554 = eq(_T_1553, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1555 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1556 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1557 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1558 = eq(_T_1557, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1559 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1560 = eq(_T_1559, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1561 = bits(io.dec_csr_rdaddr_d, 3, 3) @[dec_tlu_ctl.scala 2568:106] + node _T_1562 = and(_T_1552, _T_1554) @[dec_tlu_ctl.scala 2568:198] + node _T_1563 = and(_T_1562, _T_1555) @[dec_tlu_ctl.scala 2568:198] + node _T_1564 = and(_T_1563, _T_1556) @[dec_tlu_ctl.scala 2568:198] + node _T_1565 = and(_T_1564, _T_1558) @[dec_tlu_ctl.scala 2568:198] + node _T_1566 = and(_T_1565, _T_1560) @[dec_tlu_ctl.scala 2568:198] + node _T_1567 = and(_T_1566, _T_1561) @[dec_tlu_ctl.scala 2568:198] + node _T_1568 = or(_T_1551, _T_1567) @[dec_tlu_ctl.scala 2653:153] + node _T_1569 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:149] + node _T_1570 = eq(_T_1569, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1571 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1572 = eq(_T_1571, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1573 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1574 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1575 = bits(io.dec_csr_rdaddr_d, 7, 7) @[dec_tlu_ctl.scala 2568:149] + node _T_1576 = eq(_T_1575, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1577 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1578 = eq(_T_1577, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1579 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:106] + node _T_1580 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_1581 = and(_T_1570, _T_1572) @[dec_tlu_ctl.scala 2568:198] + node _T_1582 = and(_T_1581, _T_1573) @[dec_tlu_ctl.scala 2568:198] + node _T_1583 = and(_T_1582, _T_1574) @[dec_tlu_ctl.scala 2568:198] + node _T_1584 = and(_T_1583, _T_1576) @[dec_tlu_ctl.scala 2568:198] + node _T_1585 = and(_T_1584, _T_1578) @[dec_tlu_ctl.scala 2568:198] + node _T_1586 = and(_T_1585, _T_1579) @[dec_tlu_ctl.scala 2568:198] + node _T_1587 = and(_T_1586, _T_1580) @[dec_tlu_ctl.scala 2568:198] + node _T_1588 = or(_T_1568, _T_1587) @[dec_tlu_ctl.scala 2654:113] + node _T_1589 = bits(io.dec_csr_rdaddr_d, 11, 11) @[dec_tlu_ctl.scala 2568:106] + node _T_1590 = bits(io.dec_csr_rdaddr_d, 10, 10) @[dec_tlu_ctl.scala 2568:149] + node _T_1591 = eq(_T_1590, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1592 = bits(io.dec_csr_rdaddr_d, 9, 9) @[dec_tlu_ctl.scala 2568:106] + node _T_1593 = bits(io.dec_csr_rdaddr_d, 8, 8) @[dec_tlu_ctl.scala 2568:106] + node _T_1594 = bits(io.dec_csr_rdaddr_d, 6, 6) @[dec_tlu_ctl.scala 2568:149] + node _T_1595 = eq(_T_1594, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1596 = bits(io.dec_csr_rdaddr_d, 5, 5) @[dec_tlu_ctl.scala 2568:149] + node _T_1597 = eq(_T_1596, UInt<1>("h00")) @[dec_tlu_ctl.scala 2568:129] + node _T_1598 = bits(io.dec_csr_rdaddr_d, 4, 4) @[dec_tlu_ctl.scala 2568:106] + node _T_1599 = and(_T_1589, _T_1591) @[dec_tlu_ctl.scala 2568:198] + node _T_1600 = and(_T_1599, _T_1592) @[dec_tlu_ctl.scala 2568:198] + node _T_1601 = and(_T_1600, _T_1593) @[dec_tlu_ctl.scala 2568:198] + node _T_1602 = and(_T_1601, _T_1595) @[dec_tlu_ctl.scala 2568:198] + node _T_1603 = and(_T_1602, _T_1597) @[dec_tlu_ctl.scala 2568:198] + node _T_1604 = and(_T_1603, _T_1598) @[dec_tlu_ctl.scala 2568:198] + node _T_1605 = or(_T_1588, _T_1604) @[dec_tlu_ctl.scala 2654:169] + io.csr_pkt.legal <= _T_1605 @[dec_tlu_ctl.scala 2641:26] module dec_tlu_ctl : input clock : Clock @@ -78198,761 +78208,761 @@ circuit quasar_wrapper : reg _T_33 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 331:89] _T_33 <= force_halt @[dec_tlu_ctl.scala 331:89] io.tlu_mem.dec_tlu_force_halt <= _T_33 @[dec_tlu_ctl.scala 331:57] - io.dec_tlu_i0_kill_writeb_r <= tlu_i0_kill_writeb_r @[dec_tlu_ctl.scala 335:41] - reg reset_detect : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 336:88] - reset_detect <= UInt<1>("h01") @[dec_tlu_ctl.scala 336:88] - reg reset_detected : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 337:88] - reset_detected <= reset_detect @[dec_tlu_ctl.scala 337:88] - node _T_34 = xor(reset_detect, reset_detected) @[dec_tlu_ctl.scala 338:64] - reset_delayed <= _T_34 @[dec_tlu_ctl.scala 338:49] - reg nmi_int_delayed : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 340:72] - nmi_int_delayed <= nmi_int_sync @[dec_tlu_ctl.scala 340:72] - reg nmi_int_detected_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 341:72] - nmi_int_detected_f <= nmi_int_detected @[dec_tlu_ctl.scala 341:72] - reg nmi_lsu_load_type_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 342:72] - nmi_lsu_load_type_f <= nmi_lsu_load_type @[dec_tlu_ctl.scala 342:72] - reg nmi_lsu_store_type_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 343:72] - nmi_lsu_store_type_f <= nmi_lsu_store_type @[dec_tlu_ctl.scala 343:72] - node _T_35 = not(mdseac_locked_f) @[dec_tlu_ctl.scala 347:32] - node _T_36 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[dec_tlu_ctl.scala 347:96] - node nmi_lsu_detected = and(_T_35, _T_36) @[dec_tlu_ctl.scala 347:49] - node _T_37 = not(nmi_int_delayed) @[dec_tlu_ctl.scala 349:45] - node _T_38 = and(nmi_int_sync, _T_37) @[dec_tlu_ctl.scala 349:43] - node _T_39 = or(_T_38, nmi_lsu_detected) @[dec_tlu_ctl.scala 349:63] - node _T_40 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 349:106] - node _T_41 = and(nmi_int_detected_f, _T_40) @[dec_tlu_ctl.scala 349:104] - node _T_42 = or(_T_39, _T_41) @[dec_tlu_ctl.scala 349:82] - node _T_43 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 349:165] - node _T_44 = and(take_ext_int_start_d3, _T_43) @[dec_tlu_ctl.scala 349:146] - node _T_45 = or(_T_42, _T_44) @[dec_tlu_ctl.scala 349:122] - nmi_int_detected <= _T_45 @[dec_tlu_ctl.scala 349:26] - node _T_46 = and(nmi_lsu_detected, io.tlu_busbuff.lsu_imprecise_error_load_any) @[dec_tlu_ctl.scala 351:48] - node _T_47 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 351:119] - node _T_48 = and(nmi_int_detected_f, _T_47) @[dec_tlu_ctl.scala 351:117] - node _T_49 = not(_T_48) @[dec_tlu_ctl.scala 351:96] - node _T_50 = and(_T_46, _T_49) @[dec_tlu_ctl.scala 351:94] - node _T_51 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 351:161] - node _T_52 = and(nmi_lsu_load_type_f, _T_51) @[dec_tlu_ctl.scala 351:159] - node _T_53 = or(_T_50, _T_52) @[dec_tlu_ctl.scala 351:136] - nmi_lsu_load_type <= _T_53 @[dec_tlu_ctl.scala 351:27] - node _T_54 = and(nmi_lsu_detected, io.tlu_busbuff.lsu_imprecise_error_store_any) @[dec_tlu_ctl.scala 352:49] - node _T_55 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 352:121] - node _T_56 = and(nmi_int_detected_f, _T_55) @[dec_tlu_ctl.scala 352:119] - node _T_57 = not(_T_56) @[dec_tlu_ctl.scala 352:98] - node _T_58 = and(_T_54, _T_57) @[dec_tlu_ctl.scala 352:96] - node _T_59 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 352:164] - node _T_60 = and(nmi_lsu_store_type_f, _T_59) @[dec_tlu_ctl.scala 352:162] - node _T_61 = or(_T_58, _T_60) @[dec_tlu_ctl.scala 352:138] - nmi_lsu_store_type <= _T_61 @[dec_tlu_ctl.scala 352:28] - node _T_62 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 359:69] - node mpc_debug_halt_req_sync = and(mpc_debug_halt_req_sync_raw, _T_62) @[dec_tlu_ctl.scala 359:67] - reg mpc_debug_halt_req_sync_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 360:72] - mpc_debug_halt_req_sync_f <= mpc_debug_halt_req_sync @[dec_tlu_ctl.scala 360:72] - reg mpc_debug_run_req_sync_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 361:72] - mpc_debug_run_req_sync_f <= mpc_debug_run_req_sync @[dec_tlu_ctl.scala 361:72] - reg _T_63 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 362:89] - _T_63 <= mpc_halt_state_ns @[dec_tlu_ctl.scala 362:89] - mpc_halt_state_f <= _T_63 @[dec_tlu_ctl.scala 362:57] - reg mpc_run_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 363:88] - mpc_run_state_f <= mpc_run_state_ns @[dec_tlu_ctl.scala 363:88] - reg debug_brkpt_status_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 364:80] - debug_brkpt_status_f <= debug_brkpt_status_ns @[dec_tlu_ctl.scala 364:80] - reg mpc_debug_halt_ack_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 365:80] - mpc_debug_halt_ack_f <= mpc_debug_halt_ack_ns @[dec_tlu_ctl.scala 365:80] - reg mpc_debug_run_ack_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 366:80] - mpc_debug_run_ack_f <= mpc_debug_run_ack_ns @[dec_tlu_ctl.scala 366:80] - reg _T_64 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 367:89] - _T_64 <= dbg_halt_state_ns @[dec_tlu_ctl.scala 367:89] - dbg_halt_state_f <= _T_64 @[dec_tlu_ctl.scala 367:57] - reg dbg_run_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 368:88] - dbg_run_state_f <= dbg_run_state_ns @[dec_tlu_ctl.scala 368:88] - reg _T_65 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 369:81] - _T_65 <= dec_tlu_mpc_halted_only_ns @[dec_tlu_ctl.scala 369:81] - io.dec_tlu_mpc_halted_only <= _T_65 @[dec_tlu_ctl.scala 369:49] - node _T_66 = not(mpc_debug_halt_req_sync_f) @[dec_tlu_ctl.scala 373:71] - node mpc_debug_halt_req_sync_pulse = and(mpc_debug_halt_req_sync, _T_66) @[dec_tlu_ctl.scala 373:69] - node _T_67 = not(mpc_debug_run_req_sync_f) @[dec_tlu_ctl.scala 374:70] - node mpc_debug_run_req_sync_pulse = and(mpc_debug_run_req_sync, _T_67) @[dec_tlu_ctl.scala 374:68] - node _T_68 = or(mpc_halt_state_f, mpc_debug_halt_req_sync_pulse) @[dec_tlu_ctl.scala 376:48] - node _T_69 = not(io.mpc_reset_run_req) @[dec_tlu_ctl.scala 376:99] - node _T_70 = and(reset_delayed, _T_69) @[dec_tlu_ctl.scala 376:97] - node _T_71 = or(_T_68, _T_70) @[dec_tlu_ctl.scala 376:80] - node _T_72 = not(mpc_debug_run_req_sync) @[dec_tlu_ctl.scala 376:125] - node _T_73 = and(_T_71, _T_72) @[dec_tlu_ctl.scala 376:123] - mpc_halt_state_ns <= _T_73 @[dec_tlu_ctl.scala 376:27] - node _T_74 = not(mpc_debug_run_ack_f) @[dec_tlu_ctl.scala 377:80] - node _T_75 = and(mpc_debug_run_req_sync_pulse, _T_74) @[dec_tlu_ctl.scala 377:78] - node _T_76 = or(mpc_run_state_f, _T_75) @[dec_tlu_ctl.scala 377:46] - node _T_77 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 377:133] - node _T_78 = and(debug_mode_status, _T_77) @[dec_tlu_ctl.scala 377:131] - node _T_79 = and(_T_76, _T_78) @[dec_tlu_ctl.scala 377:103] - mpc_run_state_ns <= _T_79 @[dec_tlu_ctl.scala 377:26] - node _T_80 = or(dbg_halt_req_final, dcsr_single_step_done_f) @[dec_tlu_ctl.scala 379:70] - node _T_81 = or(_T_80, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 379:96] - node _T_82 = or(_T_81, ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 379:121] - node _T_83 = or(dbg_halt_state_f, _T_82) @[dec_tlu_ctl.scala 379:48] - node _T_84 = not(io.dbg_resume_req) @[dec_tlu_ctl.scala 379:153] - node _T_85 = and(_T_83, _T_84) @[dec_tlu_ctl.scala 379:151] - dbg_halt_state_ns <= _T_85 @[dec_tlu_ctl.scala 379:27] - node _T_86 = or(dbg_run_state_f, io.dbg_resume_req) @[dec_tlu_ctl.scala 380:46] - node _T_87 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 380:97] - node _T_88 = and(debug_mode_status, _T_87) @[dec_tlu_ctl.scala 380:95] - node _T_89 = and(_T_86, _T_88) @[dec_tlu_ctl.scala 380:67] - dbg_run_state_ns <= _T_89 @[dec_tlu_ctl.scala 380:26] - node _T_90 = not(dbg_halt_state_f) @[dec_tlu_ctl.scala 383:39] - node _T_91 = and(_T_90, mpc_halt_state_f) @[dec_tlu_ctl.scala 383:57] - dec_tlu_mpc_halted_only_ns <= _T_91 @[dec_tlu_ctl.scala 383:36] - node debug_brkpt_valid = or(ebreak_to_debug_mode_r_d1, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 386:59] - node _T_92 = or(debug_brkpt_valid, debug_brkpt_status_f) @[dec_tlu_ctl.scala 387:53] - node _T_93 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 387:105] - node _T_94 = and(internal_dbg_halt_mode, _T_93) @[dec_tlu_ctl.scala 387:103] - node _T_95 = and(_T_92, _T_94) @[dec_tlu_ctl.scala 387:77] - debug_brkpt_status_ns <= _T_95 @[dec_tlu_ctl.scala 387:31] - node _T_96 = and(mpc_halt_state_f, debug_mode_status) @[dec_tlu_ctl.scala 390:51] - node _T_97 = and(_T_96, mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 390:78] - node _T_98 = and(_T_97, core_empty) @[dec_tlu_ctl.scala 390:104] - mpc_debug_halt_ack_ns <= _T_98 @[dec_tlu_ctl.scala 390:31] - node _T_99 = not(dbg_halt_state_ns) @[dec_tlu_ctl.scala 391:59] - node _T_100 = and(mpc_debug_run_req_sync, _T_99) @[dec_tlu_ctl.scala 391:57] - node _T_101 = not(mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 391:80] - node _T_102 = and(_T_100, _T_101) @[dec_tlu_ctl.scala 391:78] - node _T_103 = and(mpc_debug_run_ack_f, mpc_debug_run_req_sync) @[dec_tlu_ctl.scala 391:129] - node _T_104 = or(_T_102, _T_103) @[dec_tlu_ctl.scala 391:106] - mpc_debug_run_ack_ns <= _T_104 @[dec_tlu_ctl.scala 391:30] - io.mpc_debug_halt_ack <= mpc_debug_halt_ack_f @[dec_tlu_ctl.scala 394:31] - io.mpc_debug_run_ack <= mpc_debug_run_ack_f @[dec_tlu_ctl.scala 395:31] - io.debug_brkpt_status <= debug_brkpt_status_f @[dec_tlu_ctl.scala 396:31] - node _T_105 = or(io.dbg_halt_req, dbg_halt_req_held) @[dec_tlu_ctl.scala 399:53] - node dbg_halt_req_held_ns = and(_T_105, ext_int_freeze_d1) @[dec_tlu_ctl.scala 399:74] - node _T_106 = or(io.dbg_halt_req, dbg_halt_req_held) @[dec_tlu_ctl.scala 400:48] - node _T_107 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 400:71] - node _T_108 = and(_T_106, _T_107) @[dec_tlu_ctl.scala 400:69] - dbg_halt_req_final <= _T_108 @[dec_tlu_ctl.scala 400:28] - node _T_109 = or(dbg_halt_req_final, mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 403:50] - node _T_110 = not(io.mpc_reset_run_req) @[dec_tlu_ctl.scala 403:95] - node _T_111 = and(reset_delayed, _T_110) @[dec_tlu_ctl.scala 403:93] - node _T_112 = or(_T_109, _T_111) @[dec_tlu_ctl.scala 403:76] - node _T_113 = not(debug_mode_status) @[dec_tlu_ctl.scala 403:121] - node _T_114 = and(_T_112, _T_113) @[dec_tlu_ctl.scala 403:119] - node _T_115 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 403:149] - node debug_halt_req = and(_T_114, _T_115) @[dec_tlu_ctl.scala 403:147] - node _T_116 = not(debug_resume_req_f) @[dec_tlu_ctl.scala 405:32] - node _T_117 = not(dbg_halt_state_ns) @[dec_tlu_ctl.scala 405:75] - node _T_118 = and(mpc_run_state_ns, _T_117) @[dec_tlu_ctl.scala 405:73] - node _T_119 = not(mpc_halt_state_ns) @[dec_tlu_ctl.scala 405:117] - node _T_120 = and(dbg_run_state_ns, _T_119) @[dec_tlu_ctl.scala 405:115] - node _T_121 = or(_T_118, _T_120) @[dec_tlu_ctl.scala 405:95] - node debug_resume_req = and(_T_116, _T_121) @[dec_tlu_ctl.scala 405:52] - node _T_122 = or(debug_halt_req_f, pmu_fw_halt_req_f) @[dec_tlu_ctl.scala 410:43] - node _T_123 = not(synchronous_flush_r) @[dec_tlu_ctl.scala 410:66] - node _T_124 = and(_T_122, _T_123) @[dec_tlu_ctl.scala 410:64] - node _T_125 = not(mret_r) @[dec_tlu_ctl.scala 410:89] - node _T_126 = and(_T_124, _T_125) @[dec_tlu_ctl.scala 410:87] - node _T_127 = not(halt_taken_f) @[dec_tlu_ctl.scala 410:99] - node _T_128 = and(_T_126, _T_127) @[dec_tlu_ctl.scala 410:97] - node _T_129 = not(dec_tlu_flush_noredir_r_d1) @[dec_tlu_ctl.scala 410:115] - node _T_130 = and(_T_128, _T_129) @[dec_tlu_ctl.scala 410:113] - node _T_131 = not(take_reset) @[dec_tlu_ctl.scala 410:145] - node take_halt = and(_T_130, _T_131) @[dec_tlu_ctl.scala 410:143] - node _T_132 = not(dec_tlu_flush_pause_r_d1) @[dec_tlu_ctl.scala 413:56] - node _T_133 = and(dec_tlu_flush_noredir_r_d1, _T_132) @[dec_tlu_ctl.scala 413:54] - node _T_134 = not(take_ext_int_start_d1) @[dec_tlu_ctl.scala 413:84] - node _T_135 = and(_T_133, _T_134) @[dec_tlu_ctl.scala 413:82] - node _T_136 = not(dbg_tlu_halted_f) @[dec_tlu_ctl.scala 413:126] - node _T_137 = and(halt_taken_f, _T_136) @[dec_tlu_ctl.scala 413:124] - node _T_138 = not(pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 413:146] - node _T_139 = and(_T_137, _T_138) @[dec_tlu_ctl.scala 413:144] - node _T_140 = not(interrupt_valid_r_d1) @[dec_tlu_ctl.scala 413:169] - node _T_141 = and(_T_139, _T_140) @[dec_tlu_ctl.scala 413:167] - node halt_taken = or(_T_135, _T_141) @[dec_tlu_ctl.scala 413:108] - node _T_142 = and(io.lsu_idle_any, lsu_idle_any_f) @[dec_tlu_ctl.scala 417:53] - node _T_143 = and(_T_142, io.tlu_mem.ifu_miss_state_idle) @[dec_tlu_ctl.scala 417:70] - node _T_144 = and(_T_143, ifu_miss_state_idle_f) @[dec_tlu_ctl.scala 417:103] - node _T_145 = not(debug_halt_req) @[dec_tlu_ctl.scala 417:129] - node _T_146 = and(_T_144, _T_145) @[dec_tlu_ctl.scala 417:127] - node _T_147 = not(debug_halt_req_d1) @[dec_tlu_ctl.scala 417:147] - node _T_148 = and(_T_146, _T_147) @[dec_tlu_ctl.scala 417:145] - node _T_149 = not(io.dec_div_active) @[dec_tlu_ctl.scala 417:168] - node _T_150 = and(_T_148, _T_149) @[dec_tlu_ctl.scala 417:166] - node _T_151 = or(force_halt, _T_150) @[dec_tlu_ctl.scala 417:34] - core_empty <= _T_151 @[dec_tlu_ctl.scala 417:20] - node _T_152 = not(debug_mode_status) @[dec_tlu_ctl.scala 423:37] - node _T_153 = and(_T_152, debug_halt_req) @[dec_tlu_ctl.scala 423:63] - node _T_154 = or(_T_153, dcsr_single_step_done_f) @[dec_tlu_ctl.scala 423:81] - node _T_155 = or(_T_154, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 423:107] - node enter_debug_halt_req = or(_T_155, ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 423:132] - node _T_156 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 426:111] - node _T_157 = not(_T_156) @[dec_tlu_ctl.scala 426:106] - node _T_158 = and(debug_resume_req_f, _T_157) @[dec_tlu_ctl.scala 426:104] - node _T_159 = not(_T_158) @[dec_tlu_ctl.scala 426:83] - node _T_160 = and(debug_mode_status, _T_159) @[dec_tlu_ctl.scala 426:81] - node _T_161 = or(debug_halt_req_ns, _T_160) @[dec_tlu_ctl.scala 426:53] - internal_dbg_halt_mode <= _T_161 @[dec_tlu_ctl.scala 426:32] - node _T_162 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 428:67] - node allow_dbg_halt_csr_write = and(debug_mode_status, _T_162) @[dec_tlu_ctl.scala 428:65] - node _T_163 = and(debug_halt_req_f, core_empty) @[dec_tlu_ctl.scala 433:48] - node _T_164 = and(_T_163, halt_taken) @[dec_tlu_ctl.scala 433:61] - node _T_165 = not(debug_resume_req_f) @[dec_tlu_ctl.scala 433:97] - node _T_166 = and(dbg_tlu_halted_f, _T_165) @[dec_tlu_ctl.scala 433:95] - node dbg_tlu_halted = or(_T_164, _T_166) @[dec_tlu_ctl.scala 433:75] - node _T_167 = not(dbg_tlu_halted) @[dec_tlu_ctl.scala 434:73] - node _T_168 = and(debug_halt_req_f, _T_167) @[dec_tlu_ctl.scala 434:71] - node _T_169 = or(enter_debug_halt_req, _T_168) @[dec_tlu_ctl.scala 434:51] - debug_halt_req_ns <= _T_169 @[dec_tlu_ctl.scala 434:27] - node _T_170 = and(debug_resume_req_f, dbg_tlu_halted_f) @[dec_tlu_ctl.scala 435:49] - node resume_ack_ns = and(_T_170, dbg_run_state_ns) @[dec_tlu_ctl.scala 435:68] - node _T_171 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 437:61] - node _T_172 = and(io.dec_tlu_i0_valid_r, _T_171) @[dec_tlu_ctl.scala 437:59] - node _T_173 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 437:90] - node _T_174 = and(_T_172, _T_173) @[dec_tlu_ctl.scala 437:84] - node _T_175 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 437:104] - node dcsr_single_step_done = and(_T_174, _T_175) @[dec_tlu_ctl.scala 437:102] - node _T_176 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 439:66] - node _T_177 = and(debug_resume_req_f, _T_176) @[dec_tlu_ctl.scala 439:60] - node _T_178 = not(dcsr_single_step_done_f) @[dec_tlu_ctl.scala 439:111] - node _T_179 = and(dcsr_single_step_running_f, _T_178) @[dec_tlu_ctl.scala 439:109] - node dcsr_single_step_running = or(_T_177, _T_179) @[dec_tlu_ctl.scala 439:79] - node dbg_cmd_done_ns = and(io.dec_tlu_i0_valid_r, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 441:53] - node _T_180 = or(trigger_hit_dmode_r, ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 444:57] - node _T_181 = not(io.dec_tlu_flush_lower_wb) @[dec_tlu_ctl.scala 444:112] - node _T_182 = and(request_debug_mode_r_d1, _T_181) @[dec_tlu_ctl.scala 444:110] - node request_debug_mode_r = or(_T_180, _T_182) @[dec_tlu_ctl.scala 444:83] - node _T_183 = or(request_debug_mode_r_d1, request_debug_mode_done_f) @[dec_tlu_ctl.scala 446:64] - node _T_184 = not(dbg_tlu_halted_f) @[dec_tlu_ctl.scala 446:95] - node request_debug_mode_done = and(_T_183, _T_184) @[dec_tlu_ctl.scala 446:93] - reg _T_185 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 449:81] - _T_185 <= io.tlu_ifc.dec_tlu_flush_noredir_wb @[dec_tlu_ctl.scala 449:81] - dec_tlu_flush_noredir_r_d1 <= _T_185 @[dec_tlu_ctl.scala 449:49] - reg _T_186 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 450:89] - _T_186 <= halt_taken @[dec_tlu_ctl.scala 450:89] - halt_taken_f <= _T_186 @[dec_tlu_ctl.scala 450:57] - reg _T_187 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 451:89] - _T_187 <= io.lsu_idle_any @[dec_tlu_ctl.scala 451:89] - lsu_idle_any_f <= _T_187 @[dec_tlu_ctl.scala 451:57] - reg _T_188 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 452:81] - _T_188 <= io.tlu_mem.ifu_miss_state_idle @[dec_tlu_ctl.scala 452:81] - ifu_miss_state_idle_f <= _T_188 @[dec_tlu_ctl.scala 452:49] - reg _T_189 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 453:89] - _T_189 <= dbg_tlu_halted @[dec_tlu_ctl.scala 453:89] - dbg_tlu_halted_f <= _T_189 @[dec_tlu_ctl.scala 453:57] - reg _T_190 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 454:81] - _T_190 <= resume_ack_ns @[dec_tlu_ctl.scala 454:81] - io.dec_tlu_resume_ack <= _T_190 @[dec_tlu_ctl.scala 454:49] - reg _T_191 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 455:89] - _T_191 <= debug_halt_req_ns @[dec_tlu_ctl.scala 455:89] - debug_halt_req_f <= _T_191 @[dec_tlu_ctl.scala 455:57] - reg _T_192 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 456:89] - _T_192 <= debug_resume_req @[dec_tlu_ctl.scala 456:89] - debug_resume_req_f <= _T_192 @[dec_tlu_ctl.scala 456:57] - reg _T_193 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 457:81] - _T_193 <= trigger_hit_dmode_r @[dec_tlu_ctl.scala 457:81] - trigger_hit_dmode_r_d1 <= _T_193 @[dec_tlu_ctl.scala 457:49] - reg _T_194 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 458:81] - _T_194 <= dcsr_single_step_done @[dec_tlu_ctl.scala 458:81] - dcsr_single_step_done_f <= _T_194 @[dec_tlu_ctl.scala 458:49] - reg _T_195 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 459:89] - _T_195 <= debug_halt_req @[dec_tlu_ctl.scala 459:89] - debug_halt_req_d1 <= _T_195 @[dec_tlu_ctl.scala 459:57] - reg dec_tlu_wr_pause_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 460:81] - dec_tlu_wr_pause_r_d1 <= io.dec_tlu_wr_pause_r @[dec_tlu_ctl.scala 460:81] - reg dec_pause_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 461:81] - dec_pause_state_f <= io.dec_pause_state @[dec_tlu_ctl.scala 461:81] - reg _T_196 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 462:81] - _T_196 <= request_debug_mode_r @[dec_tlu_ctl.scala 462:81] - request_debug_mode_r_d1 <= _T_196 @[dec_tlu_ctl.scala 462:49] - reg _T_197 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 463:73] - _T_197 <= request_debug_mode_done @[dec_tlu_ctl.scala 463:73] - request_debug_mode_done_f <= _T_197 @[dec_tlu_ctl.scala 463:41] - reg _T_198 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 464:73] - _T_198 <= dcsr_single_step_running @[dec_tlu_ctl.scala 464:73] - dcsr_single_step_running_f <= _T_198 @[dec_tlu_ctl.scala 464:41] - reg _T_199 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 465:73] - _T_199 <= io.dec_tlu_flush_pause_r @[dec_tlu_ctl.scala 465:73] - dec_tlu_flush_pause_r_d1 <= _T_199 @[dec_tlu_ctl.scala 465:41] - reg _T_200 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 466:81] - _T_200 <= dbg_halt_req_held_ns @[dec_tlu_ctl.scala 466:81] - dbg_halt_req_held <= _T_200 @[dec_tlu_ctl.scala 466:49] - io.dec_tlu_debug_stall <= debug_halt_req_f @[dec_tlu_ctl.scala 469:41] - io.dec_tlu_dbg_halted <= dbg_tlu_halted_f @[dec_tlu_ctl.scala 470:41] - io.dec_tlu_debug_mode <= debug_mode_status @[dec_tlu_ctl.scala 471:41] - dec_tlu_pmu_fw_halted <= pmu_fw_tlu_halted_f @[dec_tlu_ctl.scala 472:41] - node _T_201 = and(fence_i_r, internal_dbg_halt_mode) @[dec_tlu_ctl.scala 475:71] - node _T_202 = or(take_halt, _T_201) @[dec_tlu_ctl.scala 475:58] - node _T_203 = or(_T_202, io.dec_tlu_flush_pause_r) @[dec_tlu_ctl.scala 475:97] - node _T_204 = and(i0_trigger_hit_r, trigger_hit_dmode_r) @[dec_tlu_ctl.scala 475:144] - node _T_205 = or(_T_203, _T_204) @[dec_tlu_ctl.scala 475:124] - node _T_206 = or(_T_205, take_ext_int_start) @[dec_tlu_ctl.scala 475:167] - io.tlu_ifc.dec_tlu_flush_noredir_wb <= _T_206 @[dec_tlu_ctl.scala 475:45] - io.dec_tlu_flush_extint <= take_ext_int_start @[dec_tlu_ctl.scala 477:33] - node _T_207 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 480:61] - node _T_208 = and(dec_tlu_wr_pause_r_d1, _T_207) @[dec_tlu_ctl.scala 480:59] - node _T_209 = not(take_ext_int_start) @[dec_tlu_ctl.scala 480:82] - node _T_210 = and(_T_208, _T_209) @[dec_tlu_ctl.scala 480:80] - io.dec_tlu_flush_pause_r <= _T_210 @[dec_tlu_ctl.scala 480:34] - node _T_211 = not(io.dec_pause_state) @[dec_tlu_ctl.scala 482:28] - node _T_212 = and(_T_211, dec_pause_state_f) @[dec_tlu_ctl.scala 482:48] - node _T_213 = or(ext_int_ready, ce_int_ready) @[dec_tlu_ctl.scala 482:86] - node _T_214 = or(_T_213, timer_int_ready) @[dec_tlu_ctl.scala 482:101] - node _T_215 = or(_T_214, soft_int_ready) @[dec_tlu_ctl.scala 482:119] - node _T_216 = or(_T_215, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 482:136] - node _T_217 = or(_T_216, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 482:160] - node _T_218 = or(_T_217, nmi_int_detected) @[dec_tlu_ctl.scala 482:184] - node _T_219 = or(_T_218, ext_int_freeze_d1) @[dec_tlu_ctl.scala 482:203] - node _T_220 = not(_T_219) @[dec_tlu_ctl.scala 482:70] - node _T_221 = and(_T_212, _T_220) @[dec_tlu_ctl.scala 482:68] - node _T_222 = not(interrupt_valid_r_d1) @[dec_tlu_ctl.scala 482:226] - node _T_223 = and(_T_221, _T_222) @[dec_tlu_ctl.scala 482:224] - node _T_224 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 482:250] - node _T_225 = and(_T_223, _T_224) @[dec_tlu_ctl.scala 482:248] - node _T_226 = not(pmu_fw_halt_req_f) @[dec_tlu_ctl.scala 482:270] - node _T_227 = and(_T_225, _T_226) @[dec_tlu_ctl.scala 482:268] - node _T_228 = not(halt_taken_f) @[dec_tlu_ctl.scala 482:291] - node _T_229 = and(_T_227, _T_228) @[dec_tlu_ctl.scala 482:289] - pause_expired_r <= _T_229 @[dec_tlu_ctl.scala 482:25] - node _T_230 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 484:88] - node _T_231 = and(io.tlu_exu.dec_tlu_flush_lower_r, _T_230) @[dec_tlu_ctl.scala 484:82] - node _T_232 = or(io.dec_tlu_resume_ack, dcsr_single_step_running) @[dec_tlu_ctl.scala 484:125] - node _T_233 = and(_T_231, _T_232) @[dec_tlu_ctl.scala 484:100] - node _T_234 = not(io.tlu_ifc.dec_tlu_flush_noredir_wb) @[dec_tlu_ctl.scala 484:155] - node _T_235 = and(_T_233, _T_234) @[dec_tlu_ctl.scala 484:153] - io.tlu_bp.dec_tlu_flush_leak_one_wb <= _T_235 @[dec_tlu_ctl.scala 484:45] - node _T_236 = or(ic_perr_r_d1, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 485:93] - node _T_237 = and(io.tlu_exu.dec_tlu_flush_lower_r, _T_236) @[dec_tlu_ctl.scala 485:77] - io.tlu_mem.dec_tlu_flush_err_wb <= _T_237 @[dec_tlu_ctl.scala 485:41] - io.dec_dbg_cmd_done <= dbg_cmd_done_ns @[dec_tlu_ctl.scala 488:29] - node _T_238 = and(illegal_r, io.dec_dbg_cmd_done) @[dec_tlu_ctl.scala 489:42] - io.dec_dbg_cmd_fail <= _T_238 @[dec_tlu_ctl.scala 489:29] - node _T_239 = bits(mtdata1_t[3], 2, 2) @[dec_tlu_ctl.scala 502:48] - node _T_240 = bits(mtdata1_t[2], 2, 2) @[dec_tlu_ctl.scala 502:75] - node _T_241 = bits(mtdata1_t[1], 2, 2) @[dec_tlu_ctl.scala 502:102] - node _T_242 = bits(mtdata1_t[0], 2, 2) @[dec_tlu_ctl.scala 502:129] + io.dec_tlu_i0_kill_writeb_r <= tlu_i0_kill_writeb_r @[dec_tlu_ctl.scala 333:41] + reg reset_detect : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 334:88] + reset_detect <= UInt<1>("h01") @[dec_tlu_ctl.scala 334:88] + reg reset_detected : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 335:88] + reset_detected <= reset_detect @[dec_tlu_ctl.scala 335:88] + node _T_34 = xor(reset_detect, reset_detected) @[dec_tlu_ctl.scala 336:64] + reset_delayed <= _T_34 @[dec_tlu_ctl.scala 336:49] + reg nmi_int_delayed : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 338:72] + nmi_int_delayed <= nmi_int_sync @[dec_tlu_ctl.scala 338:72] + reg nmi_int_detected_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 339:72] + nmi_int_detected_f <= nmi_int_detected @[dec_tlu_ctl.scala 339:72] + reg nmi_lsu_load_type_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 340:72] + nmi_lsu_load_type_f <= nmi_lsu_load_type @[dec_tlu_ctl.scala 340:72] + reg nmi_lsu_store_type_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 341:72] + nmi_lsu_store_type_f <= nmi_lsu_store_type @[dec_tlu_ctl.scala 341:72] + node _T_35 = not(mdseac_locked_f) @[dec_tlu_ctl.scala 345:32] + node _T_36 = or(io.tlu_busbuff.lsu_imprecise_error_load_any, io.tlu_busbuff.lsu_imprecise_error_store_any) @[dec_tlu_ctl.scala 345:96] + node nmi_lsu_detected = and(_T_35, _T_36) @[dec_tlu_ctl.scala 345:49] + node _T_37 = not(nmi_int_delayed) @[dec_tlu_ctl.scala 347:45] + node _T_38 = and(nmi_int_sync, _T_37) @[dec_tlu_ctl.scala 347:43] + node _T_39 = or(_T_38, nmi_lsu_detected) @[dec_tlu_ctl.scala 347:63] + node _T_40 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 347:106] + node _T_41 = and(nmi_int_detected_f, _T_40) @[dec_tlu_ctl.scala 347:104] + node _T_42 = or(_T_39, _T_41) @[dec_tlu_ctl.scala 347:82] + node _T_43 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 347:165] + node _T_44 = and(take_ext_int_start_d3, _T_43) @[dec_tlu_ctl.scala 347:146] + node _T_45 = or(_T_42, _T_44) @[dec_tlu_ctl.scala 347:122] + nmi_int_detected <= _T_45 @[dec_tlu_ctl.scala 347:26] + node _T_46 = and(nmi_lsu_detected, io.tlu_busbuff.lsu_imprecise_error_load_any) @[dec_tlu_ctl.scala 349:48] + node _T_47 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 349:119] + node _T_48 = and(nmi_int_detected_f, _T_47) @[dec_tlu_ctl.scala 349:117] + node _T_49 = not(_T_48) @[dec_tlu_ctl.scala 349:96] + node _T_50 = and(_T_46, _T_49) @[dec_tlu_ctl.scala 349:94] + node _T_51 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 349:161] + node _T_52 = and(nmi_lsu_load_type_f, _T_51) @[dec_tlu_ctl.scala 349:159] + node _T_53 = or(_T_50, _T_52) @[dec_tlu_ctl.scala 349:136] + nmi_lsu_load_type <= _T_53 @[dec_tlu_ctl.scala 349:27] + node _T_54 = and(nmi_lsu_detected, io.tlu_busbuff.lsu_imprecise_error_store_any) @[dec_tlu_ctl.scala 350:49] + node _T_55 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 350:121] + node _T_56 = and(nmi_int_detected_f, _T_55) @[dec_tlu_ctl.scala 350:119] + node _T_57 = not(_T_56) @[dec_tlu_ctl.scala 350:98] + node _T_58 = and(_T_54, _T_57) @[dec_tlu_ctl.scala 350:96] + node _T_59 = not(take_nmi_r_d1) @[dec_tlu_ctl.scala 350:164] + node _T_60 = and(nmi_lsu_store_type_f, _T_59) @[dec_tlu_ctl.scala 350:162] + node _T_61 = or(_T_58, _T_60) @[dec_tlu_ctl.scala 350:138] + nmi_lsu_store_type <= _T_61 @[dec_tlu_ctl.scala 350:28] + node _T_62 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 357:69] + node mpc_debug_halt_req_sync = and(mpc_debug_halt_req_sync_raw, _T_62) @[dec_tlu_ctl.scala 357:67] + reg mpc_debug_halt_req_sync_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 358:72] + mpc_debug_halt_req_sync_f <= mpc_debug_halt_req_sync @[dec_tlu_ctl.scala 358:72] + reg mpc_debug_run_req_sync_f : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 359:72] + mpc_debug_run_req_sync_f <= mpc_debug_run_req_sync @[dec_tlu_ctl.scala 359:72] + reg _T_63 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 360:89] + _T_63 <= mpc_halt_state_ns @[dec_tlu_ctl.scala 360:89] + mpc_halt_state_f <= _T_63 @[dec_tlu_ctl.scala 360:57] + reg mpc_run_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 361:88] + mpc_run_state_f <= mpc_run_state_ns @[dec_tlu_ctl.scala 361:88] + reg debug_brkpt_status_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 362:80] + debug_brkpt_status_f <= debug_brkpt_status_ns @[dec_tlu_ctl.scala 362:80] + reg mpc_debug_halt_ack_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 363:80] + mpc_debug_halt_ack_f <= mpc_debug_halt_ack_ns @[dec_tlu_ctl.scala 363:80] + reg mpc_debug_run_ack_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 364:80] + mpc_debug_run_ack_f <= mpc_debug_run_ack_ns @[dec_tlu_ctl.scala 364:80] + reg _T_64 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 365:89] + _T_64 <= dbg_halt_state_ns @[dec_tlu_ctl.scala 365:89] + dbg_halt_state_f <= _T_64 @[dec_tlu_ctl.scala 365:57] + reg dbg_run_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 366:88] + dbg_run_state_f <= dbg_run_state_ns @[dec_tlu_ctl.scala 366:88] + reg _T_65 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 367:81] + _T_65 <= dec_tlu_mpc_halted_only_ns @[dec_tlu_ctl.scala 367:81] + io.dec_tlu_mpc_halted_only <= _T_65 @[dec_tlu_ctl.scala 367:49] + node _T_66 = not(mpc_debug_halt_req_sync_f) @[dec_tlu_ctl.scala 371:71] + node mpc_debug_halt_req_sync_pulse = and(mpc_debug_halt_req_sync, _T_66) @[dec_tlu_ctl.scala 371:69] + node _T_67 = not(mpc_debug_run_req_sync_f) @[dec_tlu_ctl.scala 372:70] + node mpc_debug_run_req_sync_pulse = and(mpc_debug_run_req_sync, _T_67) @[dec_tlu_ctl.scala 372:68] + node _T_68 = or(mpc_halt_state_f, mpc_debug_halt_req_sync_pulse) @[dec_tlu_ctl.scala 374:48] + node _T_69 = not(io.mpc_reset_run_req) @[dec_tlu_ctl.scala 374:99] + node _T_70 = and(reset_delayed, _T_69) @[dec_tlu_ctl.scala 374:97] + node _T_71 = or(_T_68, _T_70) @[dec_tlu_ctl.scala 374:80] + node _T_72 = not(mpc_debug_run_req_sync) @[dec_tlu_ctl.scala 374:125] + node _T_73 = and(_T_71, _T_72) @[dec_tlu_ctl.scala 374:123] + mpc_halt_state_ns <= _T_73 @[dec_tlu_ctl.scala 374:27] + node _T_74 = not(mpc_debug_run_ack_f) @[dec_tlu_ctl.scala 375:80] + node _T_75 = and(mpc_debug_run_req_sync_pulse, _T_74) @[dec_tlu_ctl.scala 375:78] + node _T_76 = or(mpc_run_state_f, _T_75) @[dec_tlu_ctl.scala 375:46] + node _T_77 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 375:133] + node _T_78 = and(debug_mode_status, _T_77) @[dec_tlu_ctl.scala 375:131] + node _T_79 = and(_T_76, _T_78) @[dec_tlu_ctl.scala 375:103] + mpc_run_state_ns <= _T_79 @[dec_tlu_ctl.scala 375:26] + node _T_80 = or(dbg_halt_req_final, dcsr_single_step_done_f) @[dec_tlu_ctl.scala 377:70] + node _T_81 = or(_T_80, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 377:96] + node _T_82 = or(_T_81, ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 377:121] + node _T_83 = or(dbg_halt_state_f, _T_82) @[dec_tlu_ctl.scala 377:48] + node _T_84 = not(io.dbg_resume_req) @[dec_tlu_ctl.scala 377:153] + node _T_85 = and(_T_83, _T_84) @[dec_tlu_ctl.scala 377:151] + dbg_halt_state_ns <= _T_85 @[dec_tlu_ctl.scala 377:27] + node _T_86 = or(dbg_run_state_f, io.dbg_resume_req) @[dec_tlu_ctl.scala 378:46] + node _T_87 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 378:97] + node _T_88 = and(debug_mode_status, _T_87) @[dec_tlu_ctl.scala 378:95] + node _T_89 = and(_T_86, _T_88) @[dec_tlu_ctl.scala 378:67] + dbg_run_state_ns <= _T_89 @[dec_tlu_ctl.scala 378:26] + node _T_90 = not(dbg_halt_state_f) @[dec_tlu_ctl.scala 381:39] + node _T_91 = and(_T_90, mpc_halt_state_f) @[dec_tlu_ctl.scala 381:57] + dec_tlu_mpc_halted_only_ns <= _T_91 @[dec_tlu_ctl.scala 381:36] + node debug_brkpt_valid = or(ebreak_to_debug_mode_r_d1, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 384:59] + node _T_92 = or(debug_brkpt_valid, debug_brkpt_status_f) @[dec_tlu_ctl.scala 385:53] + node _T_93 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 385:105] + node _T_94 = and(internal_dbg_halt_mode, _T_93) @[dec_tlu_ctl.scala 385:103] + node _T_95 = and(_T_92, _T_94) @[dec_tlu_ctl.scala 385:77] + debug_brkpt_status_ns <= _T_95 @[dec_tlu_ctl.scala 385:31] + node _T_96 = and(mpc_halt_state_f, debug_mode_status) @[dec_tlu_ctl.scala 388:51] + node _T_97 = and(_T_96, mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 388:78] + node _T_98 = and(_T_97, core_empty) @[dec_tlu_ctl.scala 388:104] + mpc_debug_halt_ack_ns <= _T_98 @[dec_tlu_ctl.scala 388:31] + node _T_99 = not(dbg_halt_state_ns) @[dec_tlu_ctl.scala 389:59] + node _T_100 = and(mpc_debug_run_req_sync, _T_99) @[dec_tlu_ctl.scala 389:57] + node _T_101 = not(mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 389:80] + node _T_102 = and(_T_100, _T_101) @[dec_tlu_ctl.scala 389:78] + node _T_103 = and(mpc_debug_run_ack_f, mpc_debug_run_req_sync) @[dec_tlu_ctl.scala 389:129] + node _T_104 = or(_T_102, _T_103) @[dec_tlu_ctl.scala 389:106] + mpc_debug_run_ack_ns <= _T_104 @[dec_tlu_ctl.scala 389:30] + io.mpc_debug_halt_ack <= mpc_debug_halt_ack_f @[dec_tlu_ctl.scala 392:31] + io.mpc_debug_run_ack <= mpc_debug_run_ack_f @[dec_tlu_ctl.scala 393:31] + io.debug_brkpt_status <= debug_brkpt_status_f @[dec_tlu_ctl.scala 394:31] + node _T_105 = or(io.dbg_halt_req, dbg_halt_req_held) @[dec_tlu_ctl.scala 397:53] + node dbg_halt_req_held_ns = and(_T_105, ext_int_freeze_d1) @[dec_tlu_ctl.scala 397:74] + node _T_106 = or(io.dbg_halt_req, dbg_halt_req_held) @[dec_tlu_ctl.scala 398:48] + node _T_107 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 398:71] + node _T_108 = and(_T_106, _T_107) @[dec_tlu_ctl.scala 398:69] + dbg_halt_req_final <= _T_108 @[dec_tlu_ctl.scala 398:28] + node _T_109 = or(dbg_halt_req_final, mpc_debug_halt_req_sync) @[dec_tlu_ctl.scala 401:50] + node _T_110 = not(io.mpc_reset_run_req) @[dec_tlu_ctl.scala 401:95] + node _T_111 = and(reset_delayed, _T_110) @[dec_tlu_ctl.scala 401:93] + node _T_112 = or(_T_109, _T_111) @[dec_tlu_ctl.scala 401:76] + node _T_113 = not(debug_mode_status) @[dec_tlu_ctl.scala 401:121] + node _T_114 = and(_T_112, _T_113) @[dec_tlu_ctl.scala 401:119] + node _T_115 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 401:149] + node debug_halt_req = and(_T_114, _T_115) @[dec_tlu_ctl.scala 401:147] + node _T_116 = not(debug_resume_req_f) @[dec_tlu_ctl.scala 403:32] + node _T_117 = not(dbg_halt_state_ns) @[dec_tlu_ctl.scala 403:75] + node _T_118 = and(mpc_run_state_ns, _T_117) @[dec_tlu_ctl.scala 403:73] + node _T_119 = not(mpc_halt_state_ns) @[dec_tlu_ctl.scala 403:117] + node _T_120 = and(dbg_run_state_ns, _T_119) @[dec_tlu_ctl.scala 403:115] + node _T_121 = or(_T_118, _T_120) @[dec_tlu_ctl.scala 403:95] + node debug_resume_req = and(_T_116, _T_121) @[dec_tlu_ctl.scala 403:52] + node _T_122 = or(debug_halt_req_f, pmu_fw_halt_req_f) @[dec_tlu_ctl.scala 408:43] + node _T_123 = not(synchronous_flush_r) @[dec_tlu_ctl.scala 408:66] + node _T_124 = and(_T_122, _T_123) @[dec_tlu_ctl.scala 408:64] + node _T_125 = not(mret_r) @[dec_tlu_ctl.scala 408:89] + node _T_126 = and(_T_124, _T_125) @[dec_tlu_ctl.scala 408:87] + node _T_127 = not(halt_taken_f) @[dec_tlu_ctl.scala 408:99] + node _T_128 = and(_T_126, _T_127) @[dec_tlu_ctl.scala 408:97] + node _T_129 = not(dec_tlu_flush_noredir_r_d1) @[dec_tlu_ctl.scala 408:115] + node _T_130 = and(_T_128, _T_129) @[dec_tlu_ctl.scala 408:113] + node _T_131 = not(take_reset) @[dec_tlu_ctl.scala 408:145] + node take_halt = and(_T_130, _T_131) @[dec_tlu_ctl.scala 408:143] + node _T_132 = not(dec_tlu_flush_pause_r_d1) @[dec_tlu_ctl.scala 411:56] + node _T_133 = and(dec_tlu_flush_noredir_r_d1, _T_132) @[dec_tlu_ctl.scala 411:54] + node _T_134 = not(take_ext_int_start_d1) @[dec_tlu_ctl.scala 411:84] + node _T_135 = and(_T_133, _T_134) @[dec_tlu_ctl.scala 411:82] + node _T_136 = not(dbg_tlu_halted_f) @[dec_tlu_ctl.scala 411:126] + node _T_137 = and(halt_taken_f, _T_136) @[dec_tlu_ctl.scala 411:124] + node _T_138 = not(pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 411:146] + node _T_139 = and(_T_137, _T_138) @[dec_tlu_ctl.scala 411:144] + node _T_140 = not(interrupt_valid_r_d1) @[dec_tlu_ctl.scala 411:169] + node _T_141 = and(_T_139, _T_140) @[dec_tlu_ctl.scala 411:167] + node halt_taken = or(_T_135, _T_141) @[dec_tlu_ctl.scala 411:108] + node _T_142 = and(io.lsu_idle_any, lsu_idle_any_f) @[dec_tlu_ctl.scala 415:53] + node _T_143 = and(_T_142, io.tlu_mem.ifu_miss_state_idle) @[dec_tlu_ctl.scala 415:70] + node _T_144 = and(_T_143, ifu_miss_state_idle_f) @[dec_tlu_ctl.scala 415:103] + node _T_145 = not(debug_halt_req) @[dec_tlu_ctl.scala 415:129] + node _T_146 = and(_T_144, _T_145) @[dec_tlu_ctl.scala 415:127] + node _T_147 = not(debug_halt_req_d1) @[dec_tlu_ctl.scala 415:147] + node _T_148 = and(_T_146, _T_147) @[dec_tlu_ctl.scala 415:145] + node _T_149 = not(io.dec_div_active) @[dec_tlu_ctl.scala 415:168] + node _T_150 = and(_T_148, _T_149) @[dec_tlu_ctl.scala 415:166] + node _T_151 = or(force_halt, _T_150) @[dec_tlu_ctl.scala 415:34] + core_empty <= _T_151 @[dec_tlu_ctl.scala 415:20] + node _T_152 = not(debug_mode_status) @[dec_tlu_ctl.scala 421:37] + node _T_153 = and(_T_152, debug_halt_req) @[dec_tlu_ctl.scala 421:63] + node _T_154 = or(_T_153, dcsr_single_step_done_f) @[dec_tlu_ctl.scala 421:81] + node _T_155 = or(_T_154, trigger_hit_dmode_r_d1) @[dec_tlu_ctl.scala 421:107] + node enter_debug_halt_req = or(_T_155, ebreak_to_debug_mode_r_d1) @[dec_tlu_ctl.scala 421:132] + node _T_156 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 424:111] + node _T_157 = not(_T_156) @[dec_tlu_ctl.scala 424:106] + node _T_158 = and(debug_resume_req_f, _T_157) @[dec_tlu_ctl.scala 424:104] + node _T_159 = not(_T_158) @[dec_tlu_ctl.scala 424:83] + node _T_160 = and(debug_mode_status, _T_159) @[dec_tlu_ctl.scala 424:81] + node _T_161 = or(debug_halt_req_ns, _T_160) @[dec_tlu_ctl.scala 424:53] + internal_dbg_halt_mode <= _T_161 @[dec_tlu_ctl.scala 424:32] + node _T_162 = not(dcsr_single_step_running_f) @[dec_tlu_ctl.scala 426:67] + node allow_dbg_halt_csr_write = and(debug_mode_status, _T_162) @[dec_tlu_ctl.scala 426:65] + node _T_163 = and(debug_halt_req_f, core_empty) @[dec_tlu_ctl.scala 431:48] + node _T_164 = and(_T_163, halt_taken) @[dec_tlu_ctl.scala 431:61] + node _T_165 = not(debug_resume_req_f) @[dec_tlu_ctl.scala 431:97] + node _T_166 = and(dbg_tlu_halted_f, _T_165) @[dec_tlu_ctl.scala 431:95] + node dbg_tlu_halted = or(_T_164, _T_166) @[dec_tlu_ctl.scala 431:75] + node _T_167 = not(dbg_tlu_halted) @[dec_tlu_ctl.scala 432:73] + node _T_168 = and(debug_halt_req_f, _T_167) @[dec_tlu_ctl.scala 432:71] + node _T_169 = or(enter_debug_halt_req, _T_168) @[dec_tlu_ctl.scala 432:51] + debug_halt_req_ns <= _T_169 @[dec_tlu_ctl.scala 432:27] + node _T_170 = and(debug_resume_req_f, dbg_tlu_halted_f) @[dec_tlu_ctl.scala 433:49] + node resume_ack_ns = and(_T_170, dbg_run_state_ns) @[dec_tlu_ctl.scala 433:68] + node _T_171 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 435:61] + node _T_172 = and(io.dec_tlu_i0_valid_r, _T_171) @[dec_tlu_ctl.scala 435:59] + node _T_173 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 435:90] + node _T_174 = and(_T_172, _T_173) @[dec_tlu_ctl.scala 435:84] + node _T_175 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 435:104] + node dcsr_single_step_done = and(_T_174, _T_175) @[dec_tlu_ctl.scala 435:102] + node _T_176 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 437:66] + node _T_177 = and(debug_resume_req_f, _T_176) @[dec_tlu_ctl.scala 437:60] + node _T_178 = not(dcsr_single_step_done_f) @[dec_tlu_ctl.scala 437:111] + node _T_179 = and(dcsr_single_step_running_f, _T_178) @[dec_tlu_ctl.scala 437:109] + node dcsr_single_step_running = or(_T_177, _T_179) @[dec_tlu_ctl.scala 437:79] + node dbg_cmd_done_ns = and(io.dec_tlu_i0_valid_r, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 439:53] + node _T_180 = or(trigger_hit_dmode_r, ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 442:57] + node _T_181 = not(io.dec_tlu_flush_lower_wb) @[dec_tlu_ctl.scala 442:112] + node _T_182 = and(request_debug_mode_r_d1, _T_181) @[dec_tlu_ctl.scala 442:110] + node request_debug_mode_r = or(_T_180, _T_182) @[dec_tlu_ctl.scala 442:83] + node _T_183 = or(request_debug_mode_r_d1, request_debug_mode_done_f) @[dec_tlu_ctl.scala 444:64] + node _T_184 = not(dbg_tlu_halted_f) @[dec_tlu_ctl.scala 444:95] + node request_debug_mode_done = and(_T_183, _T_184) @[dec_tlu_ctl.scala 444:93] + reg _T_185 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 447:81] + _T_185 <= io.tlu_ifc.dec_tlu_flush_noredir_wb @[dec_tlu_ctl.scala 447:81] + dec_tlu_flush_noredir_r_d1 <= _T_185 @[dec_tlu_ctl.scala 447:49] + reg _T_186 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 448:89] + _T_186 <= halt_taken @[dec_tlu_ctl.scala 448:89] + halt_taken_f <= _T_186 @[dec_tlu_ctl.scala 448:57] + reg _T_187 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 449:89] + _T_187 <= io.lsu_idle_any @[dec_tlu_ctl.scala 449:89] + lsu_idle_any_f <= _T_187 @[dec_tlu_ctl.scala 449:57] + reg _T_188 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 450:81] + _T_188 <= io.tlu_mem.ifu_miss_state_idle @[dec_tlu_ctl.scala 450:81] + ifu_miss_state_idle_f <= _T_188 @[dec_tlu_ctl.scala 450:49] + reg _T_189 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 451:89] + _T_189 <= dbg_tlu_halted @[dec_tlu_ctl.scala 451:89] + dbg_tlu_halted_f <= _T_189 @[dec_tlu_ctl.scala 451:57] + reg _T_190 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 452:81] + _T_190 <= resume_ack_ns @[dec_tlu_ctl.scala 452:81] + io.dec_tlu_resume_ack <= _T_190 @[dec_tlu_ctl.scala 452:49] + reg _T_191 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 453:89] + _T_191 <= debug_halt_req_ns @[dec_tlu_ctl.scala 453:89] + debug_halt_req_f <= _T_191 @[dec_tlu_ctl.scala 453:57] + reg _T_192 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 454:89] + _T_192 <= debug_resume_req @[dec_tlu_ctl.scala 454:89] + debug_resume_req_f <= _T_192 @[dec_tlu_ctl.scala 454:57] + reg _T_193 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 455:81] + _T_193 <= trigger_hit_dmode_r @[dec_tlu_ctl.scala 455:81] + trigger_hit_dmode_r_d1 <= _T_193 @[dec_tlu_ctl.scala 455:49] + reg _T_194 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 456:81] + _T_194 <= dcsr_single_step_done @[dec_tlu_ctl.scala 456:81] + dcsr_single_step_done_f <= _T_194 @[dec_tlu_ctl.scala 456:49] + reg _T_195 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 457:89] + _T_195 <= debug_halt_req @[dec_tlu_ctl.scala 457:89] + debug_halt_req_d1 <= _T_195 @[dec_tlu_ctl.scala 457:57] + reg dec_tlu_wr_pause_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 458:81] + dec_tlu_wr_pause_r_d1 <= io.dec_tlu_wr_pause_r @[dec_tlu_ctl.scala 458:81] + reg dec_pause_state_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 459:81] + dec_pause_state_f <= io.dec_pause_state @[dec_tlu_ctl.scala 459:81] + reg _T_196 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 460:81] + _T_196 <= request_debug_mode_r @[dec_tlu_ctl.scala 460:81] + request_debug_mode_r_d1 <= _T_196 @[dec_tlu_ctl.scala 460:49] + reg _T_197 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 461:73] + _T_197 <= request_debug_mode_done @[dec_tlu_ctl.scala 461:73] + request_debug_mode_done_f <= _T_197 @[dec_tlu_ctl.scala 461:41] + reg _T_198 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 462:73] + _T_198 <= dcsr_single_step_running @[dec_tlu_ctl.scala 462:73] + dcsr_single_step_running_f <= _T_198 @[dec_tlu_ctl.scala 462:41] + reg _T_199 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 463:73] + _T_199 <= io.dec_tlu_flush_pause_r @[dec_tlu_ctl.scala 463:73] + dec_tlu_flush_pause_r_d1 <= _T_199 @[dec_tlu_ctl.scala 463:41] + reg _T_200 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 464:81] + _T_200 <= dbg_halt_req_held_ns @[dec_tlu_ctl.scala 464:81] + dbg_halt_req_held <= _T_200 @[dec_tlu_ctl.scala 464:49] + io.dec_tlu_debug_stall <= debug_halt_req_f @[dec_tlu_ctl.scala 467:41] + io.dec_tlu_dbg_halted <= dbg_tlu_halted_f @[dec_tlu_ctl.scala 468:41] + io.dec_tlu_debug_mode <= debug_mode_status @[dec_tlu_ctl.scala 469:41] + dec_tlu_pmu_fw_halted <= pmu_fw_tlu_halted_f @[dec_tlu_ctl.scala 470:41] + node _T_201 = and(fence_i_r, internal_dbg_halt_mode) @[dec_tlu_ctl.scala 473:71] + node _T_202 = or(take_halt, _T_201) @[dec_tlu_ctl.scala 473:58] + node _T_203 = or(_T_202, io.dec_tlu_flush_pause_r) @[dec_tlu_ctl.scala 473:97] + node _T_204 = and(i0_trigger_hit_r, trigger_hit_dmode_r) @[dec_tlu_ctl.scala 473:144] + node _T_205 = or(_T_203, _T_204) @[dec_tlu_ctl.scala 473:124] + node _T_206 = or(_T_205, take_ext_int_start) @[dec_tlu_ctl.scala 473:167] + io.tlu_ifc.dec_tlu_flush_noredir_wb <= _T_206 @[dec_tlu_ctl.scala 473:45] + io.dec_tlu_flush_extint <= take_ext_int_start @[dec_tlu_ctl.scala 475:33] + node _T_207 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 478:61] + node _T_208 = and(dec_tlu_wr_pause_r_d1, _T_207) @[dec_tlu_ctl.scala 478:59] + node _T_209 = not(take_ext_int_start) @[dec_tlu_ctl.scala 478:82] + node _T_210 = and(_T_208, _T_209) @[dec_tlu_ctl.scala 478:80] + io.dec_tlu_flush_pause_r <= _T_210 @[dec_tlu_ctl.scala 478:34] + node _T_211 = not(io.dec_pause_state) @[dec_tlu_ctl.scala 480:28] + node _T_212 = and(_T_211, dec_pause_state_f) @[dec_tlu_ctl.scala 480:48] + node _T_213 = or(ext_int_ready, ce_int_ready) @[dec_tlu_ctl.scala 480:86] + node _T_214 = or(_T_213, timer_int_ready) @[dec_tlu_ctl.scala 480:101] + node _T_215 = or(_T_214, soft_int_ready) @[dec_tlu_ctl.scala 480:119] + node _T_216 = or(_T_215, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 480:136] + node _T_217 = or(_T_216, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 480:160] + node _T_218 = or(_T_217, nmi_int_detected) @[dec_tlu_ctl.scala 480:184] + node _T_219 = or(_T_218, ext_int_freeze_d1) @[dec_tlu_ctl.scala 480:203] + node _T_220 = not(_T_219) @[dec_tlu_ctl.scala 480:70] + node _T_221 = and(_T_212, _T_220) @[dec_tlu_ctl.scala 480:68] + node _T_222 = not(interrupt_valid_r_d1) @[dec_tlu_ctl.scala 480:226] + node _T_223 = and(_T_221, _T_222) @[dec_tlu_ctl.scala 480:224] + node _T_224 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 480:250] + node _T_225 = and(_T_223, _T_224) @[dec_tlu_ctl.scala 480:248] + node _T_226 = not(pmu_fw_halt_req_f) @[dec_tlu_ctl.scala 480:270] + node _T_227 = and(_T_225, _T_226) @[dec_tlu_ctl.scala 480:268] + node _T_228 = not(halt_taken_f) @[dec_tlu_ctl.scala 480:291] + node _T_229 = and(_T_227, _T_228) @[dec_tlu_ctl.scala 480:289] + pause_expired_r <= _T_229 @[dec_tlu_ctl.scala 480:25] + node _T_230 = bits(dcsr, 2, 2) @[dec_tlu_ctl.scala 482:88] + node _T_231 = and(io.tlu_exu.dec_tlu_flush_lower_r, _T_230) @[dec_tlu_ctl.scala 482:82] + node _T_232 = or(io.dec_tlu_resume_ack, dcsr_single_step_running) @[dec_tlu_ctl.scala 482:125] + node _T_233 = and(_T_231, _T_232) @[dec_tlu_ctl.scala 482:100] + node _T_234 = not(io.tlu_ifc.dec_tlu_flush_noredir_wb) @[dec_tlu_ctl.scala 482:155] + node _T_235 = and(_T_233, _T_234) @[dec_tlu_ctl.scala 482:153] + io.tlu_bp.dec_tlu_flush_leak_one_wb <= _T_235 @[dec_tlu_ctl.scala 482:45] + node _T_236 = or(ic_perr_r_d1, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 483:93] + node _T_237 = and(io.tlu_exu.dec_tlu_flush_lower_r, _T_236) @[dec_tlu_ctl.scala 483:77] + io.tlu_mem.dec_tlu_flush_err_wb <= _T_237 @[dec_tlu_ctl.scala 483:41] + io.dec_dbg_cmd_done <= dbg_cmd_done_ns @[dec_tlu_ctl.scala 486:29] + node _T_238 = and(illegal_r, io.dec_dbg_cmd_done) @[dec_tlu_ctl.scala 487:42] + io.dec_dbg_cmd_fail <= _T_238 @[dec_tlu_ctl.scala 487:29] + node _T_239 = bits(mtdata1_t[3], 2, 2) @[dec_tlu_ctl.scala 500:48] + node _T_240 = bits(mtdata1_t[2], 2, 2) @[dec_tlu_ctl.scala 500:75] + node _T_241 = bits(mtdata1_t[1], 2, 2) @[dec_tlu_ctl.scala 500:102] + node _T_242 = bits(mtdata1_t[0], 2, 2) @[dec_tlu_ctl.scala 500:129] node _T_243 = cat(_T_241, _T_242) @[Cat.scala 29:58] node _T_244 = cat(_T_239, _T_240) @[Cat.scala 29:58] node trigger_execute = cat(_T_244, _T_243) @[Cat.scala 29:58] - node _T_245 = bits(mtdata1_t[3], 7, 7) @[dec_tlu_ctl.scala 503:52] - node _T_246 = bits(mtdata1_t[2], 7, 7) @[dec_tlu_ctl.scala 503:79] - node _T_247 = bits(mtdata1_t[1], 7, 7) @[dec_tlu_ctl.scala 503:106] - node _T_248 = bits(mtdata1_t[0], 7, 7) @[dec_tlu_ctl.scala 503:133] + node _T_245 = bits(mtdata1_t[3], 7, 7) @[dec_tlu_ctl.scala 501:52] + node _T_246 = bits(mtdata1_t[2], 7, 7) @[dec_tlu_ctl.scala 501:79] + node _T_247 = bits(mtdata1_t[1], 7, 7) @[dec_tlu_ctl.scala 501:106] + node _T_248 = bits(mtdata1_t[0], 7, 7) @[dec_tlu_ctl.scala 501:133] node _T_249 = cat(_T_247, _T_248) @[Cat.scala 29:58] node _T_250 = cat(_T_245, _T_246) @[Cat.scala 29:58] node trigger_data = cat(_T_250, _T_249) @[Cat.scala 29:58] - node _T_251 = bits(mtdata1_t[3], 1, 1) @[dec_tlu_ctl.scala 504:52] - node _T_252 = bits(mtdata1_t[2], 1, 1) @[dec_tlu_ctl.scala 504:79] - node _T_253 = bits(mtdata1_t[1], 1, 1) @[dec_tlu_ctl.scala 504:106] - node _T_254 = bits(mtdata1_t[0], 1, 1) @[dec_tlu_ctl.scala 504:133] + node _T_251 = bits(mtdata1_t[3], 1, 1) @[dec_tlu_ctl.scala 502:52] + node _T_252 = bits(mtdata1_t[2], 1, 1) @[dec_tlu_ctl.scala 502:79] + node _T_253 = bits(mtdata1_t[1], 1, 1) @[dec_tlu_ctl.scala 502:106] + node _T_254 = bits(mtdata1_t[0], 1, 1) @[dec_tlu_ctl.scala 502:133] node _T_255 = cat(_T_253, _T_254) @[Cat.scala 29:58] node _T_256 = cat(_T_251, _T_252) @[Cat.scala 29:58] node trigger_store = cat(_T_256, _T_255) @[Cat.scala 29:58] - node _T_257 = bits(mtdata1_t[3], 6, 6) @[dec_tlu_ctl.scala 507:45] - node _T_258 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 507:71] - node _T_259 = or(_T_257, _T_258) @[dec_tlu_ctl.scala 507:62] - node _T_260 = bits(mtdata1_t[3], 3, 3) @[dec_tlu_ctl.scala 507:100] - node _T_261 = and(_T_259, _T_260) @[dec_tlu_ctl.scala 507:86] - node _T_262 = bits(mtdata1_t[2], 6, 6) @[dec_tlu_ctl.scala 507:133] - node _T_263 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 507:159] - node _T_264 = or(_T_262, _T_263) @[dec_tlu_ctl.scala 507:150] - node _T_265 = bits(mtdata1_t[2], 3, 3) @[dec_tlu_ctl.scala 507:188] - node _T_266 = and(_T_264, _T_265) @[dec_tlu_ctl.scala 507:174] - node _T_267 = bits(mtdata1_t[1], 6, 6) @[dec_tlu_ctl.scala 507:222] - node _T_268 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 507:248] - node _T_269 = or(_T_267, _T_268) @[dec_tlu_ctl.scala 507:239] - node _T_270 = bits(mtdata1_t[1], 3, 3) @[dec_tlu_ctl.scala 507:277] - node _T_271 = and(_T_269, _T_270) @[dec_tlu_ctl.scala 507:263] - node _T_272 = bits(mtdata1_t[0], 6, 6) @[dec_tlu_ctl.scala 507:311] - node _T_273 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 507:337] - node _T_274 = or(_T_272, _T_273) @[dec_tlu_ctl.scala 507:328] - node _T_275 = bits(mtdata1_t[0], 3, 3) @[dec_tlu_ctl.scala 507:366] - node _T_276 = and(_T_274, _T_275) @[dec_tlu_ctl.scala 507:352] + node _T_257 = bits(mtdata1_t[3], 6, 6) @[dec_tlu_ctl.scala 505:45] + node _T_258 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 505:71] + node _T_259 = or(_T_257, _T_258) @[dec_tlu_ctl.scala 505:62] + node _T_260 = bits(mtdata1_t[3], 3, 3) @[dec_tlu_ctl.scala 505:100] + node _T_261 = and(_T_259, _T_260) @[dec_tlu_ctl.scala 505:86] + node _T_262 = bits(mtdata1_t[2], 6, 6) @[dec_tlu_ctl.scala 505:133] + node _T_263 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 505:159] + node _T_264 = or(_T_262, _T_263) @[dec_tlu_ctl.scala 505:150] + node _T_265 = bits(mtdata1_t[2], 3, 3) @[dec_tlu_ctl.scala 505:188] + node _T_266 = and(_T_264, _T_265) @[dec_tlu_ctl.scala 505:174] + node _T_267 = bits(mtdata1_t[1], 6, 6) @[dec_tlu_ctl.scala 505:222] + node _T_268 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 505:248] + node _T_269 = or(_T_267, _T_268) @[dec_tlu_ctl.scala 505:239] + node _T_270 = bits(mtdata1_t[1], 3, 3) @[dec_tlu_ctl.scala 505:277] + node _T_271 = and(_T_269, _T_270) @[dec_tlu_ctl.scala 505:263] + node _T_272 = bits(mtdata1_t[0], 6, 6) @[dec_tlu_ctl.scala 505:311] + node _T_273 = bits(mstatus, 0, 0) @[dec_tlu_ctl.scala 505:337] + node _T_274 = or(_T_272, _T_273) @[dec_tlu_ctl.scala 505:328] + node _T_275 = bits(mtdata1_t[0], 3, 3) @[dec_tlu_ctl.scala 505:366] + node _T_276 = and(_T_274, _T_275) @[dec_tlu_ctl.scala 505:352] node _T_277 = cat(_T_271, _T_276) @[Cat.scala 29:58] node _T_278 = cat(_T_261, _T_266) @[Cat.scala 29:58] node trigger_enabled = cat(_T_278, _T_277) @[Cat.scala 29:58] - node _T_279 = and(trigger_execute, trigger_data) @[dec_tlu_ctl.scala 510:57] + node _T_279 = and(trigger_execute, trigger_data) @[dec_tlu_ctl.scala 508:57] node _T_280 = bits(inst_acc_r_raw, 0, 0) @[Bitwise.scala 72:15] node _T_281 = mux(_T_280, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_282 = and(_T_279, _T_281) @[dec_tlu_ctl.scala 510:72] - node _T_283 = or(io.tlu_exu.exu_i0_br_error_r, io.tlu_exu.exu_i0_br_start_error_r) @[dec_tlu_ctl.scala 510:137] + node _T_282 = and(_T_279, _T_281) @[dec_tlu_ctl.scala 508:72] + node _T_283 = or(io.tlu_exu.exu_i0_br_error_r, io.tlu_exu.exu_i0_br_start_error_r) @[dec_tlu_ctl.scala 508:137] node _T_284 = bits(_T_283, 0, 0) @[Bitwise.scala 72:15] node _T_285 = mux(_T_284, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_286 = or(_T_282, _T_285) @[dec_tlu_ctl.scala 510:98] - node i0_iside_trigger_has_pri_r = not(_T_286) @[dec_tlu_ctl.scala 510:38] - node _T_287 = and(trigger_store, trigger_data) @[dec_tlu_ctl.scala 513:51] + node _T_286 = or(_T_282, _T_285) @[dec_tlu_ctl.scala 508:98] + node i0_iside_trigger_has_pri_r = not(_T_286) @[dec_tlu_ctl.scala 508:38] + node _T_287 = and(trigger_store, trigger_data) @[dec_tlu_ctl.scala 511:51] node _T_288 = bits(lsu_i0_exc_r_raw, 0, 0) @[Bitwise.scala 72:15] node _T_289 = mux(_T_288, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_290 = and(_T_287, _T_289) @[dec_tlu_ctl.scala 513:66] - node i0_lsu_trigger_has_pri_r = not(_T_290) @[dec_tlu_ctl.scala 513:35] + node _T_290 = and(_T_287, _T_289) @[dec_tlu_ctl.scala 511:66] + node i0_lsu_trigger_has_pri_r = not(_T_290) @[dec_tlu_ctl.scala 511:35] node _T_291 = bits(io.dec_tlu_i0_valid_r, 0, 0) @[Bitwise.scala 72:15] node _T_292 = mux(_T_291, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_293 = bits(io.dec_tlu_packet_r.i0trigger, 3, 0) @[dec_tlu_ctl.scala 518:84] - node _T_294 = and(_T_292, _T_293) @[dec_tlu_ctl.scala 518:53] - node _T_295 = and(_T_294, i0_iside_trigger_has_pri_r) @[dec_tlu_ctl.scala 518:90] - node _T_296 = and(_T_295, i0_lsu_trigger_has_pri_r) @[dec_tlu_ctl.scala 518:119] - node i0trigger_qual_r = and(_T_296, trigger_enabled) @[dec_tlu_ctl.scala 518:146] - node _T_297 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 520:58] + node _T_293 = bits(io.dec_tlu_packet_r.i0trigger, 3, 0) @[dec_tlu_ctl.scala 516:84] + node _T_294 = and(_T_292, _T_293) @[dec_tlu_ctl.scala 516:53] + node _T_295 = and(_T_294, i0_iside_trigger_has_pri_r) @[dec_tlu_ctl.scala 516:90] + node _T_296 = and(_T_295, i0_lsu_trigger_has_pri_r) @[dec_tlu_ctl.scala 516:119] + node i0trigger_qual_r = and(_T_296, trigger_enabled) @[dec_tlu_ctl.scala 516:146] + node _T_297 = or(io.dec_tlu_flush_lower_wb, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 518:58] node _T_298 = bits(_T_297, 0, 0) @[Bitwise.scala 72:15] node _T_299 = mux(_T_298, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_300 = not(_T_299) @[dec_tlu_ctl.scala 520:23] - node i0_trigger_r = and(_T_300, i0trigger_qual_r) @[dec_tlu_ctl.scala 520:84] - node _T_301 = bits(i0_trigger_r, 3, 3) @[dec_tlu_ctl.scala 523:53] - node _T_302 = bits(mtdata1_t[2], 5, 5) @[dec_tlu_ctl.scala 523:73] - node _T_303 = not(_T_302) @[dec_tlu_ctl.scala 523:60] - node _T_304 = bits(i0_trigger_r, 2, 2) @[dec_tlu_ctl.scala 523:103] - node _T_305 = or(_T_303, _T_304) @[dec_tlu_ctl.scala 523:89] - node _T_306 = and(_T_301, _T_305) @[dec_tlu_ctl.scala 523:57] - node _T_307 = bits(i0_trigger_r, 2, 2) @[dec_tlu_ctl.scala 523:121] - node _T_308 = bits(mtdata1_t[2], 5, 5) @[dec_tlu_ctl.scala 523:141] - node _T_309 = not(_T_308) @[dec_tlu_ctl.scala 523:128] - node _T_310 = bits(i0_trigger_r, 3, 3) @[dec_tlu_ctl.scala 523:171] - node _T_311 = or(_T_309, _T_310) @[dec_tlu_ctl.scala 523:157] - node _T_312 = and(_T_307, _T_311) @[dec_tlu_ctl.scala 523:125] - node _T_313 = bits(i0_trigger_r, 1, 1) @[dec_tlu_ctl.scala 523:189] - node _T_314 = bits(mtdata1_t[0], 5, 5) @[dec_tlu_ctl.scala 523:209] - node _T_315 = not(_T_314) @[dec_tlu_ctl.scala 523:196] - node _T_316 = bits(i0_trigger_r, 0, 0) @[dec_tlu_ctl.scala 523:239] - node _T_317 = or(_T_315, _T_316) @[dec_tlu_ctl.scala 523:225] - node _T_318 = and(_T_313, _T_317) @[dec_tlu_ctl.scala 523:193] - node _T_319 = bits(i0_trigger_r, 0, 0) @[dec_tlu_ctl.scala 523:257] - node _T_320 = bits(mtdata1_t[0], 5, 5) @[dec_tlu_ctl.scala 523:277] - node _T_321 = not(_T_320) @[dec_tlu_ctl.scala 523:264] - node _T_322 = bits(i0_trigger_r, 1, 1) @[dec_tlu_ctl.scala 523:307] - node _T_323 = or(_T_321, _T_322) @[dec_tlu_ctl.scala 523:293] - node _T_324 = and(_T_319, _T_323) @[dec_tlu_ctl.scala 523:261] + node _T_300 = not(_T_299) @[dec_tlu_ctl.scala 518:23] + node i0_trigger_r = and(_T_300, i0trigger_qual_r) @[dec_tlu_ctl.scala 518:84] + node _T_301 = bits(i0_trigger_r, 3, 3) @[dec_tlu_ctl.scala 521:53] + node _T_302 = bits(mtdata1_t[2], 5, 5) @[dec_tlu_ctl.scala 521:73] + node _T_303 = not(_T_302) @[dec_tlu_ctl.scala 521:60] + node _T_304 = bits(i0_trigger_r, 2, 2) @[dec_tlu_ctl.scala 521:103] + node _T_305 = or(_T_303, _T_304) @[dec_tlu_ctl.scala 521:89] + node _T_306 = and(_T_301, _T_305) @[dec_tlu_ctl.scala 521:57] + node _T_307 = bits(i0_trigger_r, 2, 2) @[dec_tlu_ctl.scala 521:121] + node _T_308 = bits(mtdata1_t[2], 5, 5) @[dec_tlu_ctl.scala 521:141] + node _T_309 = not(_T_308) @[dec_tlu_ctl.scala 521:128] + node _T_310 = bits(i0_trigger_r, 3, 3) @[dec_tlu_ctl.scala 521:171] + node _T_311 = or(_T_309, _T_310) @[dec_tlu_ctl.scala 521:157] + node _T_312 = and(_T_307, _T_311) @[dec_tlu_ctl.scala 521:125] + node _T_313 = bits(i0_trigger_r, 1, 1) @[dec_tlu_ctl.scala 521:189] + node _T_314 = bits(mtdata1_t[0], 5, 5) @[dec_tlu_ctl.scala 521:209] + node _T_315 = not(_T_314) @[dec_tlu_ctl.scala 521:196] + node _T_316 = bits(i0_trigger_r, 0, 0) @[dec_tlu_ctl.scala 521:239] + node _T_317 = or(_T_315, _T_316) @[dec_tlu_ctl.scala 521:225] + node _T_318 = and(_T_313, _T_317) @[dec_tlu_ctl.scala 521:193] + node _T_319 = bits(i0_trigger_r, 0, 0) @[dec_tlu_ctl.scala 521:257] + node _T_320 = bits(mtdata1_t[0], 5, 5) @[dec_tlu_ctl.scala 521:277] + node _T_321 = not(_T_320) @[dec_tlu_ctl.scala 521:264] + node _T_322 = bits(i0_trigger_r, 1, 1) @[dec_tlu_ctl.scala 521:307] + node _T_323 = or(_T_321, _T_322) @[dec_tlu_ctl.scala 521:293] + node _T_324 = and(_T_319, _T_323) @[dec_tlu_ctl.scala 521:261] node _T_325 = cat(_T_318, _T_324) @[Cat.scala 29:58] node _T_326 = cat(_T_306, _T_312) @[Cat.scala 29:58] node i0_trigger_chain_masked_r = cat(_T_326, _T_325) @[Cat.scala 29:58] - node i0_trigger_hit_raw_r = orr(i0_trigger_chain_masked_r) @[dec_tlu_ctl.scala 526:57] - i0_trigger_hit_r <= i0_trigger_hit_raw_r @[dec_tlu_ctl.scala 528:25] - node _T_327 = bits(mtdata1_t[3], 6, 6) @[dec_tlu_ctl.scala 532:44] - node _T_328 = bits(mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 532:75] - node _T_329 = and(_T_327, _T_328) @[dec_tlu_ctl.scala 532:61] - node _T_330 = bits(mtdata1_t[2], 6, 6) @[dec_tlu_ctl.scala 532:104] - node _T_331 = bits(mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 532:135] - node _T_332 = and(_T_330, _T_331) @[dec_tlu_ctl.scala 532:121] - node _T_333 = bits(mtdata1_t[1], 6, 6) @[dec_tlu_ctl.scala 532:164] - node _T_334 = bits(mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 532:195] - node _T_335 = and(_T_333, _T_334) @[dec_tlu_ctl.scala 532:181] - node _T_336 = bits(mtdata1_t[0], 6, 6) @[dec_tlu_ctl.scala 532:224] - node _T_337 = bits(mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 532:255] - node _T_338 = and(_T_336, _T_337) @[dec_tlu_ctl.scala 532:241] + node i0_trigger_hit_raw_r = orr(i0_trigger_chain_masked_r) @[dec_tlu_ctl.scala 524:57] + i0_trigger_hit_r <= i0_trigger_hit_raw_r @[dec_tlu_ctl.scala 526:25] + node _T_327 = bits(mtdata1_t[3], 6, 6) @[dec_tlu_ctl.scala 530:44] + node _T_328 = bits(mtdata1_t[3], 9, 9) @[dec_tlu_ctl.scala 530:75] + node _T_329 = and(_T_327, _T_328) @[dec_tlu_ctl.scala 530:61] + node _T_330 = bits(mtdata1_t[2], 6, 6) @[dec_tlu_ctl.scala 530:104] + node _T_331 = bits(mtdata1_t[2], 9, 9) @[dec_tlu_ctl.scala 530:135] + node _T_332 = and(_T_330, _T_331) @[dec_tlu_ctl.scala 530:121] + node _T_333 = bits(mtdata1_t[1], 6, 6) @[dec_tlu_ctl.scala 530:164] + node _T_334 = bits(mtdata1_t[1], 9, 9) @[dec_tlu_ctl.scala 530:195] + node _T_335 = and(_T_333, _T_334) @[dec_tlu_ctl.scala 530:181] + node _T_336 = bits(mtdata1_t[0], 6, 6) @[dec_tlu_ctl.scala 530:224] + node _T_337 = bits(mtdata1_t[0], 9, 9) @[dec_tlu_ctl.scala 530:255] + node _T_338 = and(_T_336, _T_337) @[dec_tlu_ctl.scala 530:241] node _T_339 = cat(_T_335, _T_338) @[Cat.scala 29:58] node _T_340 = cat(_T_329, _T_332) @[Cat.scala 29:58] node trigger_action = cat(_T_340, _T_339) @[Cat.scala 29:58] node _T_341 = bits(i0_trigger_hit_r, 0, 0) @[Bitwise.scala 72:15] node _T_342 = mux(_T_341, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node update_hit_bit_r = and(_T_342, i0_trigger_chain_masked_r) @[dec_tlu_ctl.scala 535:56] - node _T_343 = and(i0_trigger_chain_masked_r, trigger_action) @[dec_tlu_ctl.scala 538:57] - node i0_trigger_action_r = orr(_T_343) @[dec_tlu_ctl.scala 538:75] - node _T_344 = and(i0_trigger_hit_r, i0_trigger_action_r) @[dec_tlu_ctl.scala 540:45] - trigger_hit_dmode_r <= _T_344 @[dec_tlu_ctl.scala 540:24] - node _T_345 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 542:55] - node mepc_trigger_hit_sel_pc_r = and(i0_trigger_hit_r, _T_345) @[dec_tlu_ctl.scala 542:53] - node _T_346 = not(io.dec_tlu_debug_mode) @[dec_tlu_ctl.scala 569:62] - node _T_347 = and(i_cpu_halt_req_sync, _T_346) @[dec_tlu_ctl.scala 569:60] - node _T_348 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 569:87] - node i_cpu_halt_req_sync_qual = and(_T_347, _T_348) @[dec_tlu_ctl.scala 569:85] - node _T_349 = not(io.dec_tlu_debug_mode) @[dec_tlu_ctl.scala 570:60] - node _T_350 = and(i_cpu_run_req_sync, _T_349) @[dec_tlu_ctl.scala 570:58] - node _T_351 = and(_T_350, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 570:83] - node _T_352 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 570:107] - node i_cpu_run_req_sync_qual = and(_T_351, _T_352) @[dec_tlu_ctl.scala 570:105] - reg i_cpu_halt_req_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 572:80] - i_cpu_halt_req_d1 <= i_cpu_halt_req_sync_qual @[dec_tlu_ctl.scala 572:80] - reg i_cpu_run_req_d1_raw : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 573:80] - i_cpu_run_req_d1_raw <= i_cpu_run_req_sync_qual @[dec_tlu_ctl.scala 573:80] - reg _T_353 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 574:81] - _T_353 <= cpu_halt_status @[dec_tlu_ctl.scala 574:81] - io.o_cpu_halt_status <= _T_353 @[dec_tlu_ctl.scala 574:49] - reg _T_354 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 575:81] - _T_354 <= cpu_halt_ack @[dec_tlu_ctl.scala 575:81] - io.o_cpu_halt_ack <= _T_354 @[dec_tlu_ctl.scala 575:49] - reg _T_355 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 576:81] - _T_355 <= cpu_run_ack @[dec_tlu_ctl.scala 576:81] - io.o_cpu_run_ack <= _T_355 @[dec_tlu_ctl.scala 576:49] - reg internal_pmu_fw_halt_mode_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 577:68] - internal_pmu_fw_halt_mode_f <= internal_pmu_fw_halt_mode @[dec_tlu_ctl.scala 577:68] - reg _T_356 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 578:73] - _T_356 <= pmu_fw_halt_req_ns @[dec_tlu_ctl.scala 578:73] - pmu_fw_halt_req_f <= _T_356 @[dec_tlu_ctl.scala 578:41] - reg _T_357 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 579:73] - _T_357 <= pmu_fw_tlu_halted @[dec_tlu_ctl.scala 579:73] - pmu_fw_tlu_halted_f <= _T_357 @[dec_tlu_ctl.scala 579:41] - reg _T_358 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 580:73] - _T_358 <= int_timer0_int_hold @[dec_tlu_ctl.scala 580:73] - int_timer0_int_hold_f <= _T_358 @[dec_tlu_ctl.scala 580:41] - reg _T_359 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 581:73] - _T_359 <= int_timer1_int_hold @[dec_tlu_ctl.scala 581:73] - int_timer1_int_hold_f <= _T_359 @[dec_tlu_ctl.scala 581:41] - node _T_360 = not(i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 585:52] - node ext_halt_pulse = and(i_cpu_halt_req_sync_qual, _T_360) @[dec_tlu_ctl.scala 585:50] - node enter_pmu_fw_halt_req = or(ext_halt_pulse, fw_halt_req) @[dec_tlu_ctl.scala 586:48] - node _T_361 = not(pmu_fw_tlu_halted) @[dec_tlu_ctl.scala 587:72] - node _T_362 = and(pmu_fw_halt_req_f, _T_361) @[dec_tlu_ctl.scala 587:70] - node _T_363 = or(enter_pmu_fw_halt_req, _T_362) @[dec_tlu_ctl.scala 587:49] - node _T_364 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 587:95] - node _T_365 = and(_T_363, _T_364) @[dec_tlu_ctl.scala 587:93] - pmu_fw_halt_req_ns <= _T_365 @[dec_tlu_ctl.scala 587:23] - node _T_366 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 588:85] - node _T_367 = and(internal_pmu_fw_halt_mode_f, _T_366) @[dec_tlu_ctl.scala 588:83] - node _T_368 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 588:105] - node _T_369 = and(_T_367, _T_368) @[dec_tlu_ctl.scala 588:103] - node _T_370 = or(pmu_fw_halt_req_ns, _T_369) @[dec_tlu_ctl.scala 588:52] - internal_pmu_fw_halt_mode <= _T_370 @[dec_tlu_ctl.scala 588:30] - node _T_371 = and(pmu_fw_halt_req_f, core_empty) @[dec_tlu_ctl.scala 591:45] - node _T_372 = and(_T_371, halt_taken) @[dec_tlu_ctl.scala 591:58] - node _T_373 = not(enter_debug_halt_req) @[dec_tlu_ctl.scala 591:73] - node _T_374 = and(_T_372, _T_373) @[dec_tlu_ctl.scala 591:71] - node _T_375 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 591:121] - node _T_376 = and(pmu_fw_tlu_halted_f, _T_375) @[dec_tlu_ctl.scala 591:119] - node _T_377 = or(_T_374, _T_376) @[dec_tlu_ctl.scala 591:96] - node _T_378 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 591:143] - node _T_379 = and(_T_377, _T_378) @[dec_tlu_ctl.scala 591:141] - pmu_fw_tlu_halted <= _T_379 @[dec_tlu_ctl.scala 591:22] - node _T_380 = and(i_cpu_halt_req_d1, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 593:38] - cpu_halt_ack <= _T_380 @[dec_tlu_ctl.scala 593:17] - node _T_381 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 594:46] - node _T_382 = and(pmu_fw_tlu_halted_f, _T_381) @[dec_tlu_ctl.scala 594:44] - node _T_383 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 594:91] - node _T_384 = and(io.o_cpu_halt_status, _T_383) @[dec_tlu_ctl.scala 594:89] - node _T_385 = not(debug_mode_status) @[dec_tlu_ctl.scala 594:111] - node _T_386 = and(_T_384, _T_385) @[dec_tlu_ctl.scala 594:109] - node _T_387 = or(_T_382, _T_386) @[dec_tlu_ctl.scala 594:65] - cpu_halt_status <= _T_387 @[dec_tlu_ctl.scala 594:20] - node _T_388 = and(io.o_cpu_halt_status, i_cpu_run_req_sync_qual) @[dec_tlu_ctl.scala 595:41] - node _T_389 = and(io.o_cpu_run_ack, i_cpu_run_req_sync_qual) @[dec_tlu_ctl.scala 595:88] - node _T_390 = or(_T_388, _T_389) @[dec_tlu_ctl.scala 595:68] - cpu_run_ack <= _T_390 @[dec_tlu_ctl.scala 595:16] - io.o_debug_mode_status <= debug_mode_status @[dec_tlu_ctl.scala 597:27] - node _T_391 = or(nmi_int_detected, timer_int_ready) @[dec_tlu_ctl.scala 600:66] - node _T_392 = or(_T_391, soft_int_ready) @[dec_tlu_ctl.scala 600:84] - node _T_393 = or(_T_392, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 600:101] - node _T_394 = or(_T_393, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 600:125] - node _T_395 = and(io.dec_pic.mhwakeup, mhwakeup_ready) @[dec_tlu_ctl.scala 600:172] - node _T_396 = or(_T_394, _T_395) @[dec_tlu_ctl.scala 600:149] - node _T_397 = and(_T_396, io.o_cpu_halt_status) @[dec_tlu_ctl.scala 600:191] - node _T_398 = not(i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 600:216] - node _T_399 = and(_T_397, _T_398) @[dec_tlu_ctl.scala 600:214] - node _T_400 = or(i_cpu_run_req_d1_raw, _T_399) @[dec_tlu_ctl.scala 600:45] - i_cpu_run_req_d1 <= _T_400 @[dec_tlu_ctl.scala 600:21] - reg _T_401 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 606:89] - _T_401 <= mdseac_locked_ns @[dec_tlu_ctl.scala 606:89] - mdseac_locked_f <= _T_401 @[dec_tlu_ctl.scala 606:57] - reg lsu_single_ecc_error_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 607:72] - lsu_single_ecc_error_r_d1 <= io.lsu_single_ecc_error_incr @[dec_tlu_ctl.scala 607:72] - node _T_402 = not(io.dec_tlu_flush_lower_wb) @[dec_tlu_ctl.scala 609:57] - node lsu_exc_valid_r_raw = and(io.lsu_error_pkt_r.valid, _T_402) @[dec_tlu_ctl.scala 609:55] - lsu_i0_exc_r_raw <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 610:21] - node _T_403 = and(lsu_i0_exc_r_raw, lsu_exc_valid_r_raw) @[dec_tlu_ctl.scala 611:40] - node _T_404 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 611:64] - node _T_405 = and(_T_403, _T_404) @[dec_tlu_ctl.scala 611:62] - node _T_406 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 611:84] - node lsu_exc_valid_r = and(_T_405, _T_406) @[dec_tlu_ctl.scala 611:82] - reg _T_407 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 613:74] - _T_407 <= lsu_exc_valid_r @[dec_tlu_ctl.scala 613:74] - lsu_exc_valid_r_d1 <= _T_407 @[dec_tlu_ctl.scala 613:41] - reg lsu_i0_exc_r_d1 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 614:73] - lsu_i0_exc_r_d1 <= lsu_exc_valid_r @[dec_tlu_ctl.scala 614:73] - node _T_408 = not(io.lsu_error_pkt_r.bits.exc_type) @[dec_tlu_ctl.scala 615:40] - node lsu_exc_ma_r = and(lsu_exc_valid_r, _T_408) @[dec_tlu_ctl.scala 615:38] - node lsu_exc_acc_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.bits.exc_type) @[dec_tlu_ctl.scala 616:38] - node lsu_exc_st_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.bits.inst_type) @[dec_tlu_ctl.scala 617:38] - node _T_409 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 621:49] - node _T_410 = and(io.dec_tlu_i0_valid_r, _T_409) @[dec_tlu_ctl.scala 621:47] - node _T_411 = not(io.lsu_error_pkt_r.bits.inst_type) @[dec_tlu_ctl.scala 621:70] - node _T_412 = and(_T_411, io.lsu_error_pkt_r.bits.single_ecc_error) @[dec_tlu_ctl.scala 621:105] - node lsu_i0_rfnpc_r = and(_T_410, _T_412) @[dec_tlu_ctl.scala 621:67] - node _T_413 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 624:52] - node _T_414 = and(io.dec_tlu_i0_valid_r, _T_413) @[dec_tlu_ctl.scala 624:50] - node _T_415 = not(lsu_exc_valid_r) @[dec_tlu_ctl.scala 624:65] - node _T_416 = and(_T_414, _T_415) @[dec_tlu_ctl.scala 624:63] - node _T_417 = not(inst_acc_r) @[dec_tlu_ctl.scala 624:82] - node _T_418 = and(_T_416, _T_417) @[dec_tlu_ctl.scala 624:79] - node _T_419 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 624:96] - node _T_420 = and(_T_418, _T_419) @[dec_tlu_ctl.scala 624:94] - node _T_421 = not(request_debug_mode_r_d1) @[dec_tlu_ctl.scala 624:121] - node _T_422 = and(_T_420, _T_421) @[dec_tlu_ctl.scala 624:119] - node _T_423 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 624:148] - node tlu_i0_commit_cmt = and(_T_422, _T_423) @[dec_tlu_ctl.scala 624:146] - node _T_424 = or(rfpc_i0_r, lsu_exc_valid_r) @[dec_tlu_ctl.scala 627:38] - node _T_425 = or(_T_424, inst_acc_r) @[dec_tlu_ctl.scala 627:53] - node _T_426 = and(illegal_r, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 627:79] - node _T_427 = or(_T_425, _T_426) @[dec_tlu_ctl.scala 627:66] - node _T_428 = or(_T_427, i0_trigger_hit_r) @[dec_tlu_ctl.scala 627:104] - tlu_i0_kill_writeb_r <= _T_428 @[dec_tlu_ctl.scala 627:25] - io.tlu_mem.dec_tlu_i0_commit_cmt <= tlu_i0_commit_cmt @[dec_tlu_ctl.scala 628:37] - node _T_429 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 633:44] - node _T_430 = and(io.dec_tlu_i0_valid_r, _T_429) @[dec_tlu_ctl.scala 633:42] - node _T_431 = or(io.tlu_exu.exu_i0_br_error_r, io.tlu_exu.exu_i0_br_start_error_r) @[dec_tlu_ctl.scala 633:98] - node _T_432 = and(_T_430, _T_431) @[dec_tlu_ctl.scala 633:66] - node _T_433 = or(ic_perr_r_d1, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 633:154] - node _T_434 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 633:175] - node _T_435 = and(_T_433, _T_434) @[dec_tlu_ctl.scala 633:173] - node _T_436 = or(_T_432, _T_435) @[dec_tlu_ctl.scala 633:137] - node _T_437 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 633:199] - node _T_438 = and(_T_436, _T_437) @[dec_tlu_ctl.scala 633:196] - node _T_439 = not(lsu_i0_rfnpc_r) @[dec_tlu_ctl.scala 633:220] - node _T_440 = and(_T_438, _T_439) @[dec_tlu_ctl.scala 633:217] - rfpc_i0_r <= _T_440 @[dec_tlu_ctl.scala 633:14] - node _T_441 = not(io.tlu_exu.dec_tlu_flush_lower_r) @[dec_tlu_ctl.scala 636:70] - node _T_442 = and(iccm_repair_state_d1, _T_441) @[dec_tlu_ctl.scala 636:68] - node _T_443 = or(iccm_sbecc_r_d1, _T_442) @[dec_tlu_ctl.scala 636:44] - iccm_repair_state_ns <= _T_443 @[dec_tlu_ctl.scala 636:25] - node _T_444 = and(tlu_i0_commit_cmt, iccm_repair_state_d1) @[dec_tlu_ctl.scala 642:52] - node _T_445 = or(ebreak_r, ecall_r) @[dec_tlu_ctl.scala 642:88] - node _T_446 = or(_T_445, mret_r) @[dec_tlu_ctl.scala 642:98] - node _T_447 = or(_T_446, take_reset) @[dec_tlu_ctl.scala 642:107] - node _T_448 = or(_T_447, illegal_r) @[dec_tlu_ctl.scala 642:120] - node _T_449 = eq(io.dec_csr_wraddr_r, UInt<12>("h07c2")) @[dec_tlu_ctl.scala 642:176] - node _T_450 = and(dec_csr_wen_r_mod, _T_449) @[dec_tlu_ctl.scala 642:153] - node _T_451 = or(_T_448, _T_450) @[dec_tlu_ctl.scala 642:132] - node _T_452 = not(_T_451) @[dec_tlu_ctl.scala 642:77] - node iccm_repair_state_rfnpc = and(_T_444, _T_452) @[dec_tlu_ctl.scala 642:75] - node _T_453 = and(io.tlu_exu.exu_i0_br_error_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 645:59] - node _T_454 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 645:85] - node dec_tlu_br0_error_r = and(_T_453, _T_454) @[dec_tlu_ctl.scala 645:83] - node _T_455 = and(io.tlu_exu.exu_i0_br_start_error_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 646:71] - node _T_456 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 646:97] - node dec_tlu_br0_start_error_r = and(_T_455, _T_456) @[dec_tlu_ctl.scala 646:95] - node _T_457 = and(io.tlu_exu.exu_i0_br_valid_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 647:55] - node _T_458 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 647:81] - node _T_459 = and(_T_457, _T_458) @[dec_tlu_ctl.scala 647:79] - node _T_460 = not(io.tlu_exu.exu_i0_br_mp_r) @[dec_tlu_ctl.scala 647:106] - node _T_461 = not(io.tlu_exu.exu_pmu_i0_br_ataken) @[dec_tlu_ctl.scala 647:135] - node _T_462 = or(_T_460, _T_461) @[dec_tlu_ctl.scala 647:133] - node dec_tlu_br0_v_r = and(_T_459, _T_462) @[dec_tlu_ctl.scala 647:103] - io.tlu_bp.dec_tlu_br0_r_pkt.bits.hist <= io.tlu_exu.exu_i0_br_hist_r @[dec_tlu_ctl.scala 650:65] - io.tlu_bp.dec_tlu_br0_r_pkt.bits.br_error <= dec_tlu_br0_error_r @[dec_tlu_ctl.scala 651:57] - io.tlu_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= dec_tlu_br0_start_error_r @[dec_tlu_ctl.scala 652:57] - io.tlu_bp.dec_tlu_br0_r_pkt.valid <= dec_tlu_br0_v_r @[dec_tlu_ctl.scala 653:57] - io.tlu_bp.dec_tlu_br0_r_pkt.bits.way <= io.exu_i0_br_way_r @[dec_tlu_ctl.scala 654:65] - io.tlu_bp.dec_tlu_br0_r_pkt.bits.middle <= io.tlu_exu.exu_i0_br_middle_r @[dec_tlu_ctl.scala 655:65] - node _T_463 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h08")) @[dec_tlu_ctl.scala 658:51] - node _T_464 = and(_T_463, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 658:64] - node _T_465 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 658:90] - node _T_466 = and(_T_464, _T_465) @[dec_tlu_ctl.scala 658:88] - node _T_467 = bits(dcsr, 15, 15) @[dec_tlu_ctl.scala 658:115] - node _T_468 = not(_T_467) @[dec_tlu_ctl.scala 658:110] - node _T_469 = and(_T_466, _T_468) @[dec_tlu_ctl.scala 658:108] - node _T_470 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 658:132] - node _T_471 = and(_T_469, _T_470) @[dec_tlu_ctl.scala 658:130] - ebreak_r <= _T_471 @[dec_tlu_ctl.scala 658:13] - node _T_472 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h09")) @[dec_tlu_ctl.scala 659:51] - node _T_473 = and(_T_472, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 659:64] - node _T_474 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 659:90] - node _T_475 = and(_T_473, _T_474) @[dec_tlu_ctl.scala 659:88] - node _T_476 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 659:110] - node _T_477 = and(_T_475, _T_476) @[dec_tlu_ctl.scala 659:108] - ecall_r <= _T_477 @[dec_tlu_ctl.scala 659:13] - node _T_478 = not(io.dec_tlu_packet_r.legal) @[dec_tlu_ctl.scala 660:17] - node _T_479 = and(_T_478, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 660:46] - node _T_480 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 660:72] - node _T_481 = and(_T_479, _T_480) @[dec_tlu_ctl.scala 660:70] - node _T_482 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 660:92] - node _T_483 = and(_T_481, _T_482) @[dec_tlu_ctl.scala 660:90] - illegal_r <= _T_483 @[dec_tlu_ctl.scala 660:13] - node _T_484 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h0c")) @[dec_tlu_ctl.scala 661:51] - node _T_485 = and(_T_484, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 661:64] - node _T_486 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 661:90] - node _T_487 = and(_T_485, _T_486) @[dec_tlu_ctl.scala 661:88] - node _T_488 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 661:110] - node _T_489 = and(_T_487, _T_488) @[dec_tlu_ctl.scala 661:108] - mret_r <= _T_489 @[dec_tlu_ctl.scala 661:13] - node _T_490 = and(io.dec_tlu_packet_r.fence_i, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 663:50] - node _T_491 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 663:76] - node _T_492 = and(_T_490, _T_491) @[dec_tlu_ctl.scala 663:74] - node _T_493 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 663:97] - node _T_494 = and(_T_492, _T_493) @[dec_tlu_ctl.scala 663:95] - fence_i_r <= _T_494 @[dec_tlu_ctl.scala 663:17] - node _T_495 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 664:53] - node _T_496 = and(io.tlu_mem.ifu_ic_error_start, _T_495) @[dec_tlu_ctl.scala 664:51] - node _T_497 = not(debug_mode_status) @[dec_tlu_ctl.scala 664:75] - node _T_498 = or(_T_497, dcsr_single_step_running) @[dec_tlu_ctl.scala 664:101] - node _T_499 = and(_T_496, _T_498) @[dec_tlu_ctl.scala 664:72] - node _T_500 = not(internal_pmu_fw_halt_mode_f) @[dec_tlu_ctl.scala 664:131] - node _T_501 = and(_T_499, _T_500) @[dec_tlu_ctl.scala 664:129] - ic_perr_r <= _T_501 @[dec_tlu_ctl.scala 664:17] - node _T_502 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 665:61] - node _T_503 = and(io.tlu_mem.ifu_iccm_rd_ecc_single_err, _T_502) @[dec_tlu_ctl.scala 665:59] - node _T_504 = not(debug_mode_status) @[dec_tlu_ctl.scala 665:83] - node _T_505 = or(_T_504, dcsr_single_step_running) @[dec_tlu_ctl.scala 665:109] - node _T_506 = and(_T_503, _T_505) @[dec_tlu_ctl.scala 665:80] - node _T_507 = not(internal_pmu_fw_halt_mode_f) @[dec_tlu_ctl.scala 665:139] - node _T_508 = and(_T_506, _T_507) @[dec_tlu_ctl.scala 665:137] - iccm_sbecc_r <= _T_508 @[dec_tlu_ctl.scala 665:17] - node _T_509 = and(io.dec_tlu_packet_r.icaf, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 666:49] - inst_acc_r_raw <= _T_509 @[dec_tlu_ctl.scala 666:20] - node _T_510 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 667:35] - node _T_511 = and(inst_acc_r_raw, _T_510) @[dec_tlu_ctl.scala 667:33] - node _T_512 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 667:48] - node _T_513 = and(_T_511, _T_512) @[dec_tlu_ctl.scala 667:46] - inst_acc_r <= _T_513 @[dec_tlu_ctl.scala 667:15] - node _T_514 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h08")) @[dec_tlu_ctl.scala 670:64] - node _T_515 = and(_T_514, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 670:77] - node _T_516 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 670:103] - node _T_517 = and(_T_515, _T_516) @[dec_tlu_ctl.scala 670:101] - node _T_518 = bits(dcsr, 15, 15) @[dec_tlu_ctl.scala 670:127] - node _T_519 = and(_T_517, _T_518) @[dec_tlu_ctl.scala 670:121] - node _T_520 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 670:144] - node _T_521 = and(_T_519, _T_520) @[dec_tlu_ctl.scala 670:142] - ebreak_to_debug_mode_r <= _T_521 @[dec_tlu_ctl.scala 670:27] - reg _T_522 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 672:64] - _T_522 <= ebreak_to_debug_mode_r @[dec_tlu_ctl.scala 672:64] - ebreak_to_debug_mode_r_d1 <= _T_522 @[dec_tlu_ctl.scala 672:34] - io.tlu_mem.dec_tlu_fence_i_wb <= fence_i_r @[dec_tlu_ctl.scala 673:39] - node _T_523 = or(ebreak_r, ecall_r) @[dec_tlu_ctl.scala 686:41] - node _T_524 = or(_T_523, illegal_r) @[dec_tlu_ctl.scala 686:51] - node _T_525 = or(_T_524, inst_acc_r) @[dec_tlu_ctl.scala 686:63] - node _T_526 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 686:79] - node _T_527 = and(_T_525, _T_526) @[dec_tlu_ctl.scala 686:77] - node _T_528 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 686:92] - node i0_exception_valid_r = and(_T_527, _T_528) @[dec_tlu_ctl.scala 686:90] - node _T_529 = not(take_nmi) @[dec_tlu_ctl.scala 695:33] - node _T_530 = and(take_ext_int, _T_529) @[dec_tlu_ctl.scala 695:31] - node _T_531 = bits(_T_530, 0, 0) @[dec_tlu_ctl.scala 695:44] - node _T_532 = not(take_nmi) @[dec_tlu_ctl.scala 696:27] - node _T_533 = and(take_timer_int, _T_532) @[dec_tlu_ctl.scala 696:25] - node _T_534 = bits(_T_533, 0, 0) @[dec_tlu_ctl.scala 696:38] - node _T_535 = not(take_nmi) @[dec_tlu_ctl.scala 697:26] - node _T_536 = and(take_soft_int, _T_535) @[dec_tlu_ctl.scala 697:24] - node _T_537 = bits(_T_536, 0, 0) @[dec_tlu_ctl.scala 697:37] - node _T_538 = not(take_nmi) @[dec_tlu_ctl.scala 698:32] - node _T_539 = and(take_int_timer0_int, _T_538) @[dec_tlu_ctl.scala 698:30] - node _T_540 = bits(_T_539, 0, 0) @[dec_tlu_ctl.scala 698:43] - node _T_541 = not(take_nmi) @[dec_tlu_ctl.scala 699:32] - node _T_542 = and(take_int_timer1_int, _T_541) @[dec_tlu_ctl.scala 699:30] - node _T_543 = bits(_T_542, 0, 0) @[dec_tlu_ctl.scala 699:43] - node _T_544 = not(take_nmi) @[dec_tlu_ctl.scala 700:24] - node _T_545 = and(take_ce_int, _T_544) @[dec_tlu_ctl.scala 700:22] - node _T_546 = bits(_T_545, 0, 0) @[dec_tlu_ctl.scala 700:35] - node _T_547 = not(take_nmi) @[dec_tlu_ctl.scala 701:22] - node _T_548 = and(illegal_r, _T_547) @[dec_tlu_ctl.scala 701:20] - node _T_549 = bits(_T_548, 0, 0) @[dec_tlu_ctl.scala 701:33] - node _T_550 = not(take_nmi) @[dec_tlu_ctl.scala 702:21] - node _T_551 = and(ecall_r, _T_550) @[dec_tlu_ctl.scala 702:19] - node _T_552 = bits(_T_551, 0, 0) @[dec_tlu_ctl.scala 702:32] - node _T_553 = not(take_nmi) @[dec_tlu_ctl.scala 703:24] - node _T_554 = and(inst_acc_r, _T_553) @[dec_tlu_ctl.scala 703:22] - node _T_555 = bits(_T_554, 0, 0) @[dec_tlu_ctl.scala 703:35] - node _T_556 = or(ebreak_r, i0_trigger_hit_r) @[dec_tlu_ctl.scala 704:20] - node _T_557 = not(take_nmi) @[dec_tlu_ctl.scala 704:42] - node _T_558 = and(_T_556, _T_557) @[dec_tlu_ctl.scala 704:40] - node _T_559 = bits(_T_558, 0, 0) @[dec_tlu_ctl.scala 704:53] - node _T_560 = not(lsu_exc_st_r) @[dec_tlu_ctl.scala 705:25] - node _T_561 = and(lsu_exc_ma_r, _T_560) @[dec_tlu_ctl.scala 705:23] - node _T_562 = not(take_nmi) @[dec_tlu_ctl.scala 705:41] - node _T_563 = and(_T_561, _T_562) @[dec_tlu_ctl.scala 705:39] - node _T_564 = bits(_T_563, 0, 0) @[dec_tlu_ctl.scala 705:52] - node _T_565 = not(lsu_exc_st_r) @[dec_tlu_ctl.scala 706:26] - node _T_566 = and(lsu_exc_acc_r, _T_565) @[dec_tlu_ctl.scala 706:24] - node _T_567 = not(take_nmi) @[dec_tlu_ctl.scala 706:42] - node _T_568 = and(_T_566, _T_567) @[dec_tlu_ctl.scala 706:40] - node _T_569 = bits(_T_568, 0, 0) @[dec_tlu_ctl.scala 706:53] - node _T_570 = and(lsu_exc_ma_r, lsu_exc_st_r) @[dec_tlu_ctl.scala 707:23] - node _T_571 = not(take_nmi) @[dec_tlu_ctl.scala 707:40] - node _T_572 = and(_T_570, _T_571) @[dec_tlu_ctl.scala 707:38] - node _T_573 = bits(_T_572, 0, 0) @[dec_tlu_ctl.scala 707:51] - node _T_574 = and(lsu_exc_acc_r, lsu_exc_st_r) @[dec_tlu_ctl.scala 708:24] - node _T_575 = not(take_nmi) @[dec_tlu_ctl.scala 708:41] - node _T_576 = and(_T_574, _T_575) @[dec_tlu_ctl.scala 708:39] - node _T_577 = bits(_T_576, 0, 0) @[dec_tlu_ctl.scala 708:52] + node update_hit_bit_r = and(_T_342, i0_trigger_chain_masked_r) @[dec_tlu_ctl.scala 533:56] + node _T_343 = and(i0_trigger_chain_masked_r, trigger_action) @[dec_tlu_ctl.scala 536:57] + node i0_trigger_action_r = orr(_T_343) @[dec_tlu_ctl.scala 536:75] + node _T_344 = and(i0_trigger_hit_r, i0_trigger_action_r) @[dec_tlu_ctl.scala 538:45] + trigger_hit_dmode_r <= _T_344 @[dec_tlu_ctl.scala 538:24] + node _T_345 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 540:55] + node mepc_trigger_hit_sel_pc_r = and(i0_trigger_hit_r, _T_345) @[dec_tlu_ctl.scala 540:53] + node _T_346 = not(io.dec_tlu_debug_mode) @[dec_tlu_ctl.scala 567:62] + node _T_347 = and(i_cpu_halt_req_sync, _T_346) @[dec_tlu_ctl.scala 567:60] + node _T_348 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 567:87] + node i_cpu_halt_req_sync_qual = and(_T_347, _T_348) @[dec_tlu_ctl.scala 567:85] + node _T_349 = not(io.dec_tlu_debug_mode) @[dec_tlu_ctl.scala 568:60] + node _T_350 = and(i_cpu_run_req_sync, _T_349) @[dec_tlu_ctl.scala 568:58] + node _T_351 = and(_T_350, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 568:83] + node _T_352 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 568:107] + node i_cpu_run_req_sync_qual = and(_T_351, _T_352) @[dec_tlu_ctl.scala 568:105] + reg i_cpu_halt_req_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 570:80] + i_cpu_halt_req_d1 <= i_cpu_halt_req_sync_qual @[dec_tlu_ctl.scala 570:80] + reg i_cpu_run_req_d1_raw : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 571:80] + i_cpu_run_req_d1_raw <= i_cpu_run_req_sync_qual @[dec_tlu_ctl.scala 571:80] + reg _T_353 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 572:81] + _T_353 <= cpu_halt_status @[dec_tlu_ctl.scala 572:81] + io.o_cpu_halt_status <= _T_353 @[dec_tlu_ctl.scala 572:49] + reg _T_354 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 573:81] + _T_354 <= cpu_halt_ack @[dec_tlu_ctl.scala 573:81] + io.o_cpu_halt_ack <= _T_354 @[dec_tlu_ctl.scala 573:49] + reg _T_355 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 574:81] + _T_355 <= cpu_run_ack @[dec_tlu_ctl.scala 574:81] + io.o_cpu_run_ack <= _T_355 @[dec_tlu_ctl.scala 574:49] + reg internal_pmu_fw_halt_mode_f : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 575:68] + internal_pmu_fw_halt_mode_f <= internal_pmu_fw_halt_mode @[dec_tlu_ctl.scala 575:68] + reg _T_356 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 576:73] + _T_356 <= pmu_fw_halt_req_ns @[dec_tlu_ctl.scala 576:73] + pmu_fw_halt_req_f <= _T_356 @[dec_tlu_ctl.scala 576:41] + reg _T_357 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 577:73] + _T_357 <= pmu_fw_tlu_halted @[dec_tlu_ctl.scala 577:73] + pmu_fw_tlu_halted_f <= _T_357 @[dec_tlu_ctl.scala 577:41] + reg _T_358 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 578:73] + _T_358 <= int_timer0_int_hold @[dec_tlu_ctl.scala 578:73] + int_timer0_int_hold_f <= _T_358 @[dec_tlu_ctl.scala 578:41] + reg _T_359 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 579:73] + _T_359 <= int_timer1_int_hold @[dec_tlu_ctl.scala 579:73] + int_timer1_int_hold_f <= _T_359 @[dec_tlu_ctl.scala 579:41] + node _T_360 = not(i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 583:52] + node ext_halt_pulse = and(i_cpu_halt_req_sync_qual, _T_360) @[dec_tlu_ctl.scala 583:50] + node enter_pmu_fw_halt_req = or(ext_halt_pulse, fw_halt_req) @[dec_tlu_ctl.scala 584:48] + node _T_361 = not(pmu_fw_tlu_halted) @[dec_tlu_ctl.scala 585:72] + node _T_362 = and(pmu_fw_halt_req_f, _T_361) @[dec_tlu_ctl.scala 585:70] + node _T_363 = or(enter_pmu_fw_halt_req, _T_362) @[dec_tlu_ctl.scala 585:49] + node _T_364 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 585:95] + node _T_365 = and(_T_363, _T_364) @[dec_tlu_ctl.scala 585:93] + pmu_fw_halt_req_ns <= _T_365 @[dec_tlu_ctl.scala 585:23] + node _T_366 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 586:85] + node _T_367 = and(internal_pmu_fw_halt_mode_f, _T_366) @[dec_tlu_ctl.scala 586:83] + node _T_368 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 586:105] + node _T_369 = and(_T_367, _T_368) @[dec_tlu_ctl.scala 586:103] + node _T_370 = or(pmu_fw_halt_req_ns, _T_369) @[dec_tlu_ctl.scala 586:52] + internal_pmu_fw_halt_mode <= _T_370 @[dec_tlu_ctl.scala 586:30] + node _T_371 = and(pmu_fw_halt_req_f, core_empty) @[dec_tlu_ctl.scala 589:45] + node _T_372 = and(_T_371, halt_taken) @[dec_tlu_ctl.scala 589:58] + node _T_373 = not(enter_debug_halt_req) @[dec_tlu_ctl.scala 589:73] + node _T_374 = and(_T_372, _T_373) @[dec_tlu_ctl.scala 589:71] + node _T_375 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 589:121] + node _T_376 = and(pmu_fw_tlu_halted_f, _T_375) @[dec_tlu_ctl.scala 589:119] + node _T_377 = or(_T_374, _T_376) @[dec_tlu_ctl.scala 589:96] + node _T_378 = not(debug_halt_req_f) @[dec_tlu_ctl.scala 589:143] + node _T_379 = and(_T_377, _T_378) @[dec_tlu_ctl.scala 589:141] + pmu_fw_tlu_halted <= _T_379 @[dec_tlu_ctl.scala 589:22] + node _T_380 = and(i_cpu_halt_req_d1, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 591:38] + cpu_halt_ack <= _T_380 @[dec_tlu_ctl.scala 591:17] + node _T_381 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 592:46] + node _T_382 = and(pmu_fw_tlu_halted_f, _T_381) @[dec_tlu_ctl.scala 592:44] + node _T_383 = not(i_cpu_run_req_d1) @[dec_tlu_ctl.scala 592:91] + node _T_384 = and(io.o_cpu_halt_status, _T_383) @[dec_tlu_ctl.scala 592:89] + node _T_385 = not(debug_mode_status) @[dec_tlu_ctl.scala 592:111] + node _T_386 = and(_T_384, _T_385) @[dec_tlu_ctl.scala 592:109] + node _T_387 = or(_T_382, _T_386) @[dec_tlu_ctl.scala 592:65] + cpu_halt_status <= _T_387 @[dec_tlu_ctl.scala 592:20] + node _T_388 = and(io.o_cpu_halt_status, i_cpu_run_req_sync_qual) @[dec_tlu_ctl.scala 593:41] + node _T_389 = and(io.o_cpu_run_ack, i_cpu_run_req_sync_qual) @[dec_tlu_ctl.scala 593:88] + node _T_390 = or(_T_388, _T_389) @[dec_tlu_ctl.scala 593:68] + cpu_run_ack <= _T_390 @[dec_tlu_ctl.scala 593:16] + io.o_debug_mode_status <= debug_mode_status @[dec_tlu_ctl.scala 595:27] + node _T_391 = or(nmi_int_detected, timer_int_ready) @[dec_tlu_ctl.scala 598:66] + node _T_392 = or(_T_391, soft_int_ready) @[dec_tlu_ctl.scala 598:84] + node _T_393 = or(_T_392, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 598:101] + node _T_394 = or(_T_393, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 598:125] + node _T_395 = and(io.dec_pic.mhwakeup, mhwakeup_ready) @[dec_tlu_ctl.scala 598:172] + node _T_396 = or(_T_394, _T_395) @[dec_tlu_ctl.scala 598:149] + node _T_397 = and(_T_396, io.o_cpu_halt_status) @[dec_tlu_ctl.scala 598:191] + node _T_398 = not(i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 598:216] + node _T_399 = and(_T_397, _T_398) @[dec_tlu_ctl.scala 598:214] + node _T_400 = or(i_cpu_run_req_d1_raw, _T_399) @[dec_tlu_ctl.scala 598:45] + i_cpu_run_req_d1 <= _T_400 @[dec_tlu_ctl.scala 598:21] + reg _T_401 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 604:89] + _T_401 <= mdseac_locked_ns @[dec_tlu_ctl.scala 604:89] + mdseac_locked_f <= _T_401 @[dec_tlu_ctl.scala 604:57] + reg lsu_single_ecc_error_r_d1 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 605:72] + lsu_single_ecc_error_r_d1 <= io.lsu_single_ecc_error_incr @[dec_tlu_ctl.scala 605:72] + node _T_402 = not(io.dec_tlu_flush_lower_wb) @[dec_tlu_ctl.scala 607:57] + node lsu_exc_valid_r_raw = and(io.lsu_error_pkt_r.valid, _T_402) @[dec_tlu_ctl.scala 607:55] + lsu_i0_exc_r_raw <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 608:21] + node _T_403 = and(lsu_i0_exc_r_raw, lsu_exc_valid_r_raw) @[dec_tlu_ctl.scala 609:40] + node _T_404 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 609:64] + node _T_405 = and(_T_403, _T_404) @[dec_tlu_ctl.scala 609:62] + node _T_406 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 609:84] + node lsu_exc_valid_r = and(_T_405, _T_406) @[dec_tlu_ctl.scala 609:82] + reg _T_407 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 611:74] + _T_407 <= lsu_exc_valid_r @[dec_tlu_ctl.scala 611:74] + lsu_exc_valid_r_d1 <= _T_407 @[dec_tlu_ctl.scala 611:41] + reg lsu_i0_exc_r_d1 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 612:73] + lsu_i0_exc_r_d1 <= lsu_exc_valid_r @[dec_tlu_ctl.scala 612:73] + node _T_408 = not(io.lsu_error_pkt_r.bits.exc_type) @[dec_tlu_ctl.scala 613:40] + node lsu_exc_ma_r = and(lsu_exc_valid_r, _T_408) @[dec_tlu_ctl.scala 613:38] + node lsu_exc_acc_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.bits.exc_type) @[dec_tlu_ctl.scala 614:38] + node lsu_exc_st_r = and(lsu_exc_valid_r, io.lsu_error_pkt_r.bits.inst_type) @[dec_tlu_ctl.scala 615:38] + node _T_409 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 619:49] + node _T_410 = and(io.dec_tlu_i0_valid_r, _T_409) @[dec_tlu_ctl.scala 619:47] + node _T_411 = not(io.lsu_error_pkt_r.bits.inst_type) @[dec_tlu_ctl.scala 619:70] + node _T_412 = and(_T_411, io.lsu_error_pkt_r.bits.single_ecc_error) @[dec_tlu_ctl.scala 619:105] + node lsu_i0_rfnpc_r = and(_T_410, _T_412) @[dec_tlu_ctl.scala 619:67] + node _T_413 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 622:52] + node _T_414 = and(io.dec_tlu_i0_valid_r, _T_413) @[dec_tlu_ctl.scala 622:50] + node _T_415 = not(lsu_exc_valid_r) @[dec_tlu_ctl.scala 622:65] + node _T_416 = and(_T_414, _T_415) @[dec_tlu_ctl.scala 622:63] + node _T_417 = not(inst_acc_r) @[dec_tlu_ctl.scala 622:82] + node _T_418 = and(_T_416, _T_417) @[dec_tlu_ctl.scala 622:79] + node _T_419 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 622:96] + node _T_420 = and(_T_418, _T_419) @[dec_tlu_ctl.scala 622:94] + node _T_421 = not(request_debug_mode_r_d1) @[dec_tlu_ctl.scala 622:121] + node _T_422 = and(_T_420, _T_421) @[dec_tlu_ctl.scala 622:119] + node _T_423 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 622:148] + node tlu_i0_commit_cmt = and(_T_422, _T_423) @[dec_tlu_ctl.scala 622:146] + node _T_424 = or(rfpc_i0_r, lsu_exc_valid_r) @[dec_tlu_ctl.scala 625:38] + node _T_425 = or(_T_424, inst_acc_r) @[dec_tlu_ctl.scala 625:53] + node _T_426 = and(illegal_r, io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 625:79] + node _T_427 = or(_T_425, _T_426) @[dec_tlu_ctl.scala 625:66] + node _T_428 = or(_T_427, i0_trigger_hit_r) @[dec_tlu_ctl.scala 625:104] + tlu_i0_kill_writeb_r <= _T_428 @[dec_tlu_ctl.scala 625:25] + io.tlu_mem.dec_tlu_i0_commit_cmt <= tlu_i0_commit_cmt @[dec_tlu_ctl.scala 626:37] + node _T_429 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 631:44] + node _T_430 = and(io.dec_tlu_i0_valid_r, _T_429) @[dec_tlu_ctl.scala 631:42] + node _T_431 = or(io.tlu_exu.exu_i0_br_error_r, io.tlu_exu.exu_i0_br_start_error_r) @[dec_tlu_ctl.scala 631:98] + node _T_432 = and(_T_430, _T_431) @[dec_tlu_ctl.scala 631:66] + node _T_433 = or(ic_perr_r_d1, iccm_sbecc_r_d1) @[dec_tlu_ctl.scala 631:154] + node _T_434 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 631:175] + node _T_435 = and(_T_433, _T_434) @[dec_tlu_ctl.scala 631:173] + node _T_436 = or(_T_432, _T_435) @[dec_tlu_ctl.scala 631:137] + node _T_437 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 631:199] + node _T_438 = and(_T_436, _T_437) @[dec_tlu_ctl.scala 631:196] + node _T_439 = not(lsu_i0_rfnpc_r) @[dec_tlu_ctl.scala 631:220] + node _T_440 = and(_T_438, _T_439) @[dec_tlu_ctl.scala 631:217] + rfpc_i0_r <= _T_440 @[dec_tlu_ctl.scala 631:14] + node _T_441 = not(io.tlu_exu.dec_tlu_flush_lower_r) @[dec_tlu_ctl.scala 634:70] + node _T_442 = and(iccm_repair_state_d1, _T_441) @[dec_tlu_ctl.scala 634:68] + node _T_443 = or(iccm_sbecc_r_d1, _T_442) @[dec_tlu_ctl.scala 634:44] + iccm_repair_state_ns <= _T_443 @[dec_tlu_ctl.scala 634:25] + node _T_444 = and(tlu_i0_commit_cmt, iccm_repair_state_d1) @[dec_tlu_ctl.scala 640:52] + node _T_445 = or(ebreak_r, ecall_r) @[dec_tlu_ctl.scala 640:88] + node _T_446 = or(_T_445, mret_r) @[dec_tlu_ctl.scala 640:98] + node _T_447 = or(_T_446, take_reset) @[dec_tlu_ctl.scala 640:107] + node _T_448 = or(_T_447, illegal_r) @[dec_tlu_ctl.scala 640:120] + node _T_449 = eq(io.dec_csr_wraddr_r, UInt<12>("h07c2")) @[dec_tlu_ctl.scala 640:176] + node _T_450 = and(dec_csr_wen_r_mod, _T_449) @[dec_tlu_ctl.scala 640:153] + node _T_451 = or(_T_448, _T_450) @[dec_tlu_ctl.scala 640:132] + node _T_452 = not(_T_451) @[dec_tlu_ctl.scala 640:77] + node iccm_repair_state_rfnpc = and(_T_444, _T_452) @[dec_tlu_ctl.scala 640:75] + node _T_453 = and(io.tlu_exu.exu_i0_br_error_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 643:59] + node _T_454 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 643:85] + node dec_tlu_br0_error_r = and(_T_453, _T_454) @[dec_tlu_ctl.scala 643:83] + node _T_455 = and(io.tlu_exu.exu_i0_br_start_error_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 644:71] + node _T_456 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 644:97] + node dec_tlu_br0_start_error_r = and(_T_455, _T_456) @[dec_tlu_ctl.scala 644:95] + node _T_457 = and(io.tlu_exu.exu_i0_br_valid_r, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 645:55] + node _T_458 = not(tlu_flush_lower_r_d1) @[dec_tlu_ctl.scala 645:81] + node _T_459 = and(_T_457, _T_458) @[dec_tlu_ctl.scala 645:79] + node _T_460 = not(io.tlu_exu.exu_i0_br_mp_r) @[dec_tlu_ctl.scala 645:106] + node _T_461 = not(io.tlu_exu.exu_pmu_i0_br_ataken) @[dec_tlu_ctl.scala 645:135] + node _T_462 = or(_T_460, _T_461) @[dec_tlu_ctl.scala 645:133] + node dec_tlu_br0_v_r = and(_T_459, _T_462) @[dec_tlu_ctl.scala 645:103] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.hist <= io.tlu_exu.exu_i0_br_hist_r @[dec_tlu_ctl.scala 648:65] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.br_error <= dec_tlu_br0_error_r @[dec_tlu_ctl.scala 649:57] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= dec_tlu_br0_start_error_r @[dec_tlu_ctl.scala 650:57] + io.tlu_bp.dec_tlu_br0_r_pkt.valid <= dec_tlu_br0_v_r @[dec_tlu_ctl.scala 651:57] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.way <= io.exu_i0_br_way_r @[dec_tlu_ctl.scala 652:65] + io.tlu_bp.dec_tlu_br0_r_pkt.bits.middle <= io.tlu_exu.exu_i0_br_middle_r @[dec_tlu_ctl.scala 653:65] + node _T_463 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h08")) @[dec_tlu_ctl.scala 656:51] + node _T_464 = and(_T_463, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 656:64] + node _T_465 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 656:90] + node _T_466 = and(_T_464, _T_465) @[dec_tlu_ctl.scala 656:88] + node _T_467 = bits(dcsr, 15, 15) @[dec_tlu_ctl.scala 656:115] + node _T_468 = not(_T_467) @[dec_tlu_ctl.scala 656:110] + node _T_469 = and(_T_466, _T_468) @[dec_tlu_ctl.scala 656:108] + node _T_470 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 656:132] + node _T_471 = and(_T_469, _T_470) @[dec_tlu_ctl.scala 656:130] + ebreak_r <= _T_471 @[dec_tlu_ctl.scala 656:13] + node _T_472 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h09")) @[dec_tlu_ctl.scala 657:51] + node _T_473 = and(_T_472, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 657:64] + node _T_474 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 657:90] + node _T_475 = and(_T_473, _T_474) @[dec_tlu_ctl.scala 657:88] + node _T_476 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 657:110] + node _T_477 = and(_T_475, _T_476) @[dec_tlu_ctl.scala 657:108] + ecall_r <= _T_477 @[dec_tlu_ctl.scala 657:13] + node _T_478 = not(io.dec_tlu_packet_r.legal) @[dec_tlu_ctl.scala 658:17] + node _T_479 = and(_T_478, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 658:46] + node _T_480 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 658:72] + node _T_481 = and(_T_479, _T_480) @[dec_tlu_ctl.scala 658:70] + node _T_482 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 658:92] + node _T_483 = and(_T_481, _T_482) @[dec_tlu_ctl.scala 658:90] + illegal_r <= _T_483 @[dec_tlu_ctl.scala 658:13] + node _T_484 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h0c")) @[dec_tlu_ctl.scala 659:51] + node _T_485 = and(_T_484, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 659:64] + node _T_486 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 659:90] + node _T_487 = and(_T_485, _T_486) @[dec_tlu_ctl.scala 659:88] + node _T_488 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 659:110] + node _T_489 = and(_T_487, _T_488) @[dec_tlu_ctl.scala 659:108] + mret_r <= _T_489 @[dec_tlu_ctl.scala 659:13] + node _T_490 = and(io.dec_tlu_packet_r.fence_i, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 661:50] + node _T_491 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 661:76] + node _T_492 = and(_T_490, _T_491) @[dec_tlu_ctl.scala 661:74] + node _T_493 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 661:97] + node _T_494 = and(_T_492, _T_493) @[dec_tlu_ctl.scala 661:95] + fence_i_r <= _T_494 @[dec_tlu_ctl.scala 661:17] + node _T_495 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 662:53] + node _T_496 = and(io.tlu_mem.ifu_ic_error_start, _T_495) @[dec_tlu_ctl.scala 662:51] + node _T_497 = not(debug_mode_status) @[dec_tlu_ctl.scala 662:75] + node _T_498 = or(_T_497, dcsr_single_step_running) @[dec_tlu_ctl.scala 662:101] + node _T_499 = and(_T_496, _T_498) @[dec_tlu_ctl.scala 662:72] + node _T_500 = not(internal_pmu_fw_halt_mode_f) @[dec_tlu_ctl.scala 662:131] + node _T_501 = and(_T_499, _T_500) @[dec_tlu_ctl.scala 662:129] + ic_perr_r <= _T_501 @[dec_tlu_ctl.scala 662:17] + node _T_502 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 663:61] + node _T_503 = and(io.tlu_mem.ifu_iccm_rd_ecc_single_err, _T_502) @[dec_tlu_ctl.scala 663:59] + node _T_504 = not(debug_mode_status) @[dec_tlu_ctl.scala 663:83] + node _T_505 = or(_T_504, dcsr_single_step_running) @[dec_tlu_ctl.scala 663:109] + node _T_506 = and(_T_503, _T_505) @[dec_tlu_ctl.scala 663:80] + node _T_507 = not(internal_pmu_fw_halt_mode_f) @[dec_tlu_ctl.scala 663:139] + node _T_508 = and(_T_506, _T_507) @[dec_tlu_ctl.scala 663:137] + iccm_sbecc_r <= _T_508 @[dec_tlu_ctl.scala 663:17] + node _T_509 = and(io.dec_tlu_packet_r.icaf, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 664:49] + inst_acc_r_raw <= _T_509 @[dec_tlu_ctl.scala 664:20] + node _T_510 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 665:35] + node _T_511 = and(inst_acc_r_raw, _T_510) @[dec_tlu_ctl.scala 665:33] + node _T_512 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 665:48] + node _T_513 = and(_T_511, _T_512) @[dec_tlu_ctl.scala 665:46] + inst_acc_r <= _T_513 @[dec_tlu_ctl.scala 665:15] + node _T_514 = eq(io.dec_tlu_packet_r.pmu_i0_itype, UInt<4>("h08")) @[dec_tlu_ctl.scala 668:64] + node _T_515 = and(_T_514, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 668:77] + node _T_516 = not(i0_trigger_hit_r) @[dec_tlu_ctl.scala 668:103] + node _T_517 = and(_T_515, _T_516) @[dec_tlu_ctl.scala 668:101] + node _T_518 = bits(dcsr, 15, 15) @[dec_tlu_ctl.scala 668:127] + node _T_519 = and(_T_517, _T_518) @[dec_tlu_ctl.scala 668:121] + node _T_520 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 668:144] + node _T_521 = and(_T_519, _T_520) @[dec_tlu_ctl.scala 668:142] + ebreak_to_debug_mode_r <= _T_521 @[dec_tlu_ctl.scala 668:27] + reg _T_522 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 670:64] + _T_522 <= ebreak_to_debug_mode_r @[dec_tlu_ctl.scala 670:64] + ebreak_to_debug_mode_r_d1 <= _T_522 @[dec_tlu_ctl.scala 670:34] + io.tlu_mem.dec_tlu_fence_i_wb <= fence_i_r @[dec_tlu_ctl.scala 671:39] + node _T_523 = or(ebreak_r, ecall_r) @[dec_tlu_ctl.scala 684:41] + node _T_524 = or(_T_523, illegal_r) @[dec_tlu_ctl.scala 684:51] + node _T_525 = or(_T_524, inst_acc_r) @[dec_tlu_ctl.scala 684:63] + node _T_526 = not(rfpc_i0_r) @[dec_tlu_ctl.scala 684:79] + node _T_527 = and(_T_525, _T_526) @[dec_tlu_ctl.scala 684:77] + node _T_528 = not(io.dec_tlu_dbg_halted) @[dec_tlu_ctl.scala 684:92] + node i0_exception_valid_r = and(_T_527, _T_528) @[dec_tlu_ctl.scala 684:90] + node _T_529 = not(take_nmi) @[dec_tlu_ctl.scala 693:33] + node _T_530 = and(take_ext_int, _T_529) @[dec_tlu_ctl.scala 693:31] + node _T_531 = bits(_T_530, 0, 0) @[dec_tlu_ctl.scala 693:44] + node _T_532 = not(take_nmi) @[dec_tlu_ctl.scala 694:27] + node _T_533 = and(take_timer_int, _T_532) @[dec_tlu_ctl.scala 694:25] + node _T_534 = bits(_T_533, 0, 0) @[dec_tlu_ctl.scala 694:38] + node _T_535 = not(take_nmi) @[dec_tlu_ctl.scala 695:26] + node _T_536 = and(take_soft_int, _T_535) @[dec_tlu_ctl.scala 695:24] + node _T_537 = bits(_T_536, 0, 0) @[dec_tlu_ctl.scala 695:37] + node _T_538 = not(take_nmi) @[dec_tlu_ctl.scala 696:32] + node _T_539 = and(take_int_timer0_int, _T_538) @[dec_tlu_ctl.scala 696:30] + node _T_540 = bits(_T_539, 0, 0) @[dec_tlu_ctl.scala 696:43] + node _T_541 = not(take_nmi) @[dec_tlu_ctl.scala 697:32] + node _T_542 = and(take_int_timer1_int, _T_541) @[dec_tlu_ctl.scala 697:30] + node _T_543 = bits(_T_542, 0, 0) @[dec_tlu_ctl.scala 697:43] + node _T_544 = not(take_nmi) @[dec_tlu_ctl.scala 698:24] + node _T_545 = and(take_ce_int, _T_544) @[dec_tlu_ctl.scala 698:22] + node _T_546 = bits(_T_545, 0, 0) @[dec_tlu_ctl.scala 698:35] + node _T_547 = not(take_nmi) @[dec_tlu_ctl.scala 699:22] + node _T_548 = and(illegal_r, _T_547) @[dec_tlu_ctl.scala 699:20] + node _T_549 = bits(_T_548, 0, 0) @[dec_tlu_ctl.scala 699:33] + node _T_550 = not(take_nmi) @[dec_tlu_ctl.scala 700:21] + node _T_551 = and(ecall_r, _T_550) @[dec_tlu_ctl.scala 700:19] + node _T_552 = bits(_T_551, 0, 0) @[dec_tlu_ctl.scala 700:32] + node _T_553 = not(take_nmi) @[dec_tlu_ctl.scala 701:24] + node _T_554 = and(inst_acc_r, _T_553) @[dec_tlu_ctl.scala 701:22] + node _T_555 = bits(_T_554, 0, 0) @[dec_tlu_ctl.scala 701:35] + node _T_556 = or(ebreak_r, i0_trigger_hit_r) @[dec_tlu_ctl.scala 702:20] + node _T_557 = not(take_nmi) @[dec_tlu_ctl.scala 702:42] + node _T_558 = and(_T_556, _T_557) @[dec_tlu_ctl.scala 702:40] + node _T_559 = bits(_T_558, 0, 0) @[dec_tlu_ctl.scala 702:53] + node _T_560 = not(lsu_exc_st_r) @[dec_tlu_ctl.scala 703:25] + node _T_561 = and(lsu_exc_ma_r, _T_560) @[dec_tlu_ctl.scala 703:23] + node _T_562 = not(take_nmi) @[dec_tlu_ctl.scala 703:41] + node _T_563 = and(_T_561, _T_562) @[dec_tlu_ctl.scala 703:39] + node _T_564 = bits(_T_563, 0, 0) @[dec_tlu_ctl.scala 703:52] + node _T_565 = not(lsu_exc_st_r) @[dec_tlu_ctl.scala 704:26] + node _T_566 = and(lsu_exc_acc_r, _T_565) @[dec_tlu_ctl.scala 704:24] + node _T_567 = not(take_nmi) @[dec_tlu_ctl.scala 704:42] + node _T_568 = and(_T_566, _T_567) @[dec_tlu_ctl.scala 704:40] + node _T_569 = bits(_T_568, 0, 0) @[dec_tlu_ctl.scala 704:53] + node _T_570 = and(lsu_exc_ma_r, lsu_exc_st_r) @[dec_tlu_ctl.scala 705:23] + node _T_571 = not(take_nmi) @[dec_tlu_ctl.scala 705:40] + node _T_572 = and(_T_570, _T_571) @[dec_tlu_ctl.scala 705:38] + node _T_573 = bits(_T_572, 0, 0) @[dec_tlu_ctl.scala 705:51] + node _T_574 = and(lsu_exc_acc_r, lsu_exc_st_r) @[dec_tlu_ctl.scala 706:24] + node _T_575 = not(take_nmi) @[dec_tlu_ctl.scala 706:41] + node _T_576 = and(_T_574, _T_575) @[dec_tlu_ctl.scala 706:39] + node _T_577 = bits(_T_576, 0, 0) @[dec_tlu_ctl.scala 706:52] node _T_578 = mux(_T_531, UInt<5>("h0b"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_579 = mux(_T_534, UInt<5>("h07"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_580 = mux(_T_537, UInt<5>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -78982,281 +78992,281 @@ circuit quasar_wrapper : node _T_604 = or(_T_603, _T_591) @[Mux.scala 27:72] wire exc_cause_r : UInt<5> @[Mux.scala 27:72] exc_cause_r <= _T_604 @[Mux.scala 27:72] - node _T_605 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 719:24] - node _T_606 = and(_T_605, mstatus_mie_ns) @[dec_tlu_ctl.scala 719:49] - node _T_607 = bits(mip, 2, 2) @[dec_tlu_ctl.scala 719:71] - node _T_608 = and(_T_606, _T_607) @[dec_tlu_ctl.scala 719:66] - node _T_609 = bits(mie_ns, 2, 2) @[dec_tlu_ctl.scala 719:92] - node _T_610 = and(_T_608, _T_609) @[dec_tlu_ctl.scala 719:84] - mhwakeup_ready <= _T_610 @[dec_tlu_ctl.scala 719:20] - node _T_611 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 720:23] - node _T_612 = and(_T_611, mstatus_mie_ns) @[dec_tlu_ctl.scala 720:48] - node _T_613 = bits(mip, 2, 2) @[dec_tlu_ctl.scala 720:70] - node _T_614 = and(_T_612, _T_613) @[dec_tlu_ctl.scala 720:65] - node _T_615 = bits(mie_ns, 2, 2) @[dec_tlu_ctl.scala 720:91] - node _T_616 = and(_T_614, _T_615) @[dec_tlu_ctl.scala 720:83] - node _T_617 = not(ignore_ext_int_due_to_lsu_stall) @[dec_tlu_ctl.scala 720:104] - node _T_618 = and(_T_616, _T_617) @[dec_tlu_ctl.scala 720:102] - ext_int_ready <= _T_618 @[dec_tlu_ctl.scala 720:20] - node _T_619 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 721:23] - node _T_620 = and(_T_619, mstatus_mie_ns) @[dec_tlu_ctl.scala 721:48] - node _T_621 = bits(mip, 5, 5) @[dec_tlu_ctl.scala 721:70] - node _T_622 = and(_T_620, _T_621) @[dec_tlu_ctl.scala 721:65] - node _T_623 = bits(mie_ns, 5, 5) @[dec_tlu_ctl.scala 721:91] - node _T_624 = and(_T_622, _T_623) @[dec_tlu_ctl.scala 721:83] - ce_int_ready <= _T_624 @[dec_tlu_ctl.scala 721:20] - node _T_625 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 722:23] - node _T_626 = and(_T_625, mstatus_mie_ns) @[dec_tlu_ctl.scala 722:48] - node _T_627 = bits(mip, 0, 0) @[dec_tlu_ctl.scala 722:70] - node _T_628 = and(_T_626, _T_627) @[dec_tlu_ctl.scala 722:65] - node _T_629 = bits(mie_ns, 0, 0) @[dec_tlu_ctl.scala 722:91] - node _T_630 = and(_T_628, _T_629) @[dec_tlu_ctl.scala 722:83] - soft_int_ready <= _T_630 @[dec_tlu_ctl.scala 722:20] - node _T_631 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 723:23] - node _T_632 = and(_T_631, mstatus_mie_ns) @[dec_tlu_ctl.scala 723:48] - node _T_633 = bits(mip, 1, 1) @[dec_tlu_ctl.scala 723:70] - node _T_634 = and(_T_632, _T_633) @[dec_tlu_ctl.scala 723:65] - node _T_635 = bits(mie_ns, 1, 1) @[dec_tlu_ctl.scala 723:91] - node _T_636 = and(_T_634, _T_635) @[dec_tlu_ctl.scala 723:83] - timer_int_ready <= _T_636 @[dec_tlu_ctl.scala 723:20] - node _T_637 = bits(mie_ns, 4, 4) @[dec_tlu_ctl.scala 726:57] - node int_timer0_int_possible = and(mstatus_mie_ns, _T_637) @[dec_tlu_ctl.scala 726:49] - node _T_638 = bits(mip, 4, 4) @[dec_tlu_ctl.scala 727:34] - node int_timer0_int_ready = and(_T_638, int_timer0_int_possible) @[dec_tlu_ctl.scala 727:47] - node _T_639 = bits(mie_ns, 3, 3) @[dec_tlu_ctl.scala 728:57] - node int_timer1_int_possible = and(mstatus_mie_ns, _T_639) @[dec_tlu_ctl.scala 728:49] - node _T_640 = bits(mip, 3, 3) @[dec_tlu_ctl.scala 729:34] - node int_timer1_int_ready = and(_T_640, int_timer1_int_possible) @[dec_tlu_ctl.scala 729:47] - node _T_641 = or(io.dec_csr_stall_int_ff, synchronous_flush_r) @[dec_tlu_ctl.scala 733:52] - node _T_642 = or(_T_641, exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 733:74] - node int_timer_stalled = or(_T_642, mret_r) @[dec_tlu_ctl.scala 733:98] - node _T_643 = or(pmu_fw_tlu_halted_f, int_timer_stalled) @[dec_tlu_ctl.scala 735:72] - node _T_644 = and(int_timer0_int_ready, _T_643) @[dec_tlu_ctl.scala 735:49] - node _T_645 = and(int_timer0_int_possible, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 735:121] - node _T_646 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 735:147] - node _T_647 = and(_T_645, _T_646) @[dec_tlu_ctl.scala 735:145] - node _T_648 = not(take_ext_int_start) @[dec_tlu_ctl.scala 735:168] - node _T_649 = and(_T_647, _T_648) @[dec_tlu_ctl.scala 735:166] - node _T_650 = not(debug_mode_status) @[dec_tlu_ctl.scala 735:190] - node _T_651 = and(_T_649, _T_650) @[dec_tlu_ctl.scala 735:188] - node _T_652 = or(_T_644, _T_651) @[dec_tlu_ctl.scala 735:94] - int_timer0_int_hold <= _T_652 @[dec_tlu_ctl.scala 735:24] - node _T_653 = or(pmu_fw_tlu_halted_f, int_timer_stalled) @[dec_tlu_ctl.scala 736:72] - node _T_654 = and(int_timer1_int_ready, _T_653) @[dec_tlu_ctl.scala 736:49] - node _T_655 = and(int_timer1_int_possible, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 736:121] - node _T_656 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 736:147] - node _T_657 = and(_T_655, _T_656) @[dec_tlu_ctl.scala 736:145] - node _T_658 = not(take_ext_int_start) @[dec_tlu_ctl.scala 736:168] - node _T_659 = and(_T_657, _T_658) @[dec_tlu_ctl.scala 736:166] - node _T_660 = not(debug_mode_status) @[dec_tlu_ctl.scala 736:190] - node _T_661 = and(_T_659, _T_660) @[dec_tlu_ctl.scala 736:188] - node _T_662 = or(_T_654, _T_661) @[dec_tlu_ctl.scala 736:94] - int_timer1_int_hold <= _T_662 @[dec_tlu_ctl.scala 736:24] - node _T_663 = not(dcsr_single_step_running) @[dec_tlu_ctl.scala 738:59] - node _T_664 = and(debug_mode_status, _T_663) @[dec_tlu_ctl.scala 738:57] - internal_dbg_halt_timers <= _T_664 @[dec_tlu_ctl.scala 738:29] - node _T_665 = not(dcsr_single_step_running) @[dec_tlu_ctl.scala 740:55] - node _T_666 = or(_T_665, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 740:81] - node _T_667 = and(internal_dbg_halt_mode, _T_666) @[dec_tlu_ctl.scala 740:52] - node _T_668 = or(_T_667, internal_pmu_fw_halt_mode) @[dec_tlu_ctl.scala 740:107] - node _T_669 = or(_T_668, i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 740:135] - node _T_670 = or(_T_669, take_nmi) @[dec_tlu_ctl.scala 740:155] - node _T_671 = or(_T_670, ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 740:166] - node _T_672 = or(_T_671, synchronous_flush_r) @[dec_tlu_ctl.scala 740:191] - node _T_673 = or(_T_672, exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 740:214] - node _T_674 = or(_T_673, mret_r) @[dec_tlu_ctl.scala 740:238] - node block_interrupts = or(_T_674, ext_int_freeze_d1) @[dec_tlu_ctl.scala 740:247] - reg _T_675 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 744:62] - _T_675 <= take_ext_int_start @[dec_tlu_ctl.scala 744:62] - take_ext_int_start_d1 <= _T_675 @[dec_tlu_ctl.scala 744:30] - reg _T_676 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 745:62] - _T_676 <= take_ext_int_start_d1 @[dec_tlu_ctl.scala 745:62] - take_ext_int_start_d2 <= _T_676 @[dec_tlu_ctl.scala 745:30] - reg _T_677 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 746:62] - _T_677 <= take_ext_int_start_d2 @[dec_tlu_ctl.scala 746:62] - take_ext_int_start_d3 <= _T_677 @[dec_tlu_ctl.scala 746:30] - reg _T_678 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 747:66] - _T_678 <= ext_int_freeze @[dec_tlu_ctl.scala 747:66] - ext_int_freeze_d1 <= _T_678 @[dec_tlu_ctl.scala 747:34] - node _T_679 = not(block_interrupts) @[dec_tlu_ctl.scala 748:47] - node _T_680 = and(ext_int_ready, _T_679) @[dec_tlu_ctl.scala 748:45] - take_ext_int_start <= _T_680 @[dec_tlu_ctl.scala 748:28] - node _T_681 = or(take_ext_int_start, take_ext_int_start_d1) @[dec_tlu_ctl.scala 750:46] - node _T_682 = or(_T_681, take_ext_int_start_d2) @[dec_tlu_ctl.scala 750:70] - node _T_683 = or(_T_682, take_ext_int_start_d3) @[dec_tlu_ctl.scala 750:94] - ext_int_freeze <= _T_683 @[dec_tlu_ctl.scala 750:24] - node _T_684 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 751:67] - node _T_685 = not(_T_684) @[dec_tlu_ctl.scala 751:49] - node _T_686 = and(take_ext_int_start_d3, _T_685) @[dec_tlu_ctl.scala 751:47] - take_ext_int <= _T_686 @[dec_tlu_ctl.scala 751:22] - node _T_687 = and(csr_pkt.csr_meicpct, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 752:49] - fast_int_meicpct <= _T_687 @[dec_tlu_ctl.scala 752:26] - ignore_ext_int_due_to_lsu_stall <= io.lsu_fastint_stall_any @[dec_tlu_ctl.scala 753:41] - node _T_688 = not(ext_int_ready) @[dec_tlu_ctl.scala 766:35] - node _T_689 = and(ce_int_ready, _T_688) @[dec_tlu_ctl.scala 766:33] - node _T_690 = not(block_interrupts) @[dec_tlu_ctl.scala 766:52] - node _T_691 = and(_T_689, _T_690) @[dec_tlu_ctl.scala 766:50] - take_ce_int <= _T_691 @[dec_tlu_ctl.scala 766:17] - node _T_692 = not(ext_int_ready) @[dec_tlu_ctl.scala 767:38] - node _T_693 = and(soft_int_ready, _T_692) @[dec_tlu_ctl.scala 767:36] - node _T_694 = not(ce_int_ready) @[dec_tlu_ctl.scala 767:55] - node _T_695 = and(_T_693, _T_694) @[dec_tlu_ctl.scala 767:53] - node _T_696 = not(block_interrupts) @[dec_tlu_ctl.scala 767:71] - node _T_697 = and(_T_695, _T_696) @[dec_tlu_ctl.scala 767:69] - take_soft_int <= _T_697 @[dec_tlu_ctl.scala 767:18] - node _T_698 = not(soft_int_ready) @[dec_tlu_ctl.scala 768:40] - node _T_699 = and(timer_int_ready, _T_698) @[dec_tlu_ctl.scala 768:38] - node _T_700 = not(ext_int_ready) @[dec_tlu_ctl.scala 768:58] - node _T_701 = and(_T_699, _T_700) @[dec_tlu_ctl.scala 768:56] - node _T_702 = not(ce_int_ready) @[dec_tlu_ctl.scala 768:75] - node _T_703 = and(_T_701, _T_702) @[dec_tlu_ctl.scala 768:73] - node _T_704 = not(block_interrupts) @[dec_tlu_ctl.scala 768:91] - node _T_705 = and(_T_703, _T_704) @[dec_tlu_ctl.scala 768:89] - take_timer_int <= _T_705 @[dec_tlu_ctl.scala 768:19] - node _T_706 = or(int_timer0_int_ready, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 769:49] - node _T_707 = and(_T_706, int_timer0_int_possible) @[dec_tlu_ctl.scala 769:74] - node _T_708 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 769:102] - node _T_709 = and(_T_707, _T_708) @[dec_tlu_ctl.scala 769:100] - node _T_710 = not(timer_int_ready) @[dec_tlu_ctl.scala 769:129] - node _T_711 = and(_T_709, _T_710) @[dec_tlu_ctl.scala 769:127] - node _T_712 = not(soft_int_ready) @[dec_tlu_ctl.scala 769:148] - node _T_713 = and(_T_711, _T_712) @[dec_tlu_ctl.scala 769:146] - node _T_714 = not(ext_int_ready) @[dec_tlu_ctl.scala 769:166] - node _T_715 = and(_T_713, _T_714) @[dec_tlu_ctl.scala 769:164] - node _T_716 = not(ce_int_ready) @[dec_tlu_ctl.scala 769:183] - node _T_717 = and(_T_715, _T_716) @[dec_tlu_ctl.scala 769:181] - node _T_718 = not(block_interrupts) @[dec_tlu_ctl.scala 769:199] - node _T_719 = and(_T_717, _T_718) @[dec_tlu_ctl.scala 769:197] - take_int_timer0_int <= _T_719 @[dec_tlu_ctl.scala 769:24] - node _T_720 = or(int_timer1_int_ready, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 770:49] - node _T_721 = and(_T_720, int_timer1_int_possible) @[dec_tlu_ctl.scala 770:74] - node _T_722 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 770:102] - node _T_723 = and(_T_721, _T_722) @[dec_tlu_ctl.scala 770:100] - node _T_724 = or(int_timer0_int_ready, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 770:152] - node _T_725 = not(_T_724) @[dec_tlu_ctl.scala 770:129] - node _T_726 = and(_T_723, _T_725) @[dec_tlu_ctl.scala 770:127] - node _T_727 = not(timer_int_ready) @[dec_tlu_ctl.scala 770:179] - node _T_728 = and(_T_726, _T_727) @[dec_tlu_ctl.scala 770:177] - node _T_729 = not(soft_int_ready) @[dec_tlu_ctl.scala 770:198] - node _T_730 = and(_T_728, _T_729) @[dec_tlu_ctl.scala 770:196] - node _T_731 = not(ext_int_ready) @[dec_tlu_ctl.scala 770:216] - node _T_732 = and(_T_730, _T_731) @[dec_tlu_ctl.scala 770:214] - node _T_733 = not(ce_int_ready) @[dec_tlu_ctl.scala 770:233] - node _T_734 = and(_T_732, _T_733) @[dec_tlu_ctl.scala 770:231] - node _T_735 = not(block_interrupts) @[dec_tlu_ctl.scala 770:249] - node _T_736 = and(_T_734, _T_735) @[dec_tlu_ctl.scala 770:247] - take_int_timer1_int <= _T_736 @[dec_tlu_ctl.scala 770:24] - node _T_737 = and(reset_delayed, io.mpc_reset_run_req) @[dec_tlu_ctl.scala 771:32] - take_reset <= _T_737 @[dec_tlu_ctl.scala 771:15] - node _T_738 = not(internal_pmu_fw_halt_mode) @[dec_tlu_ctl.scala 772:35] - node _T_739 = and(nmi_int_detected, _T_738) @[dec_tlu_ctl.scala 772:33] - node _T_740 = not(internal_dbg_halt_mode) @[dec_tlu_ctl.scala 772:65] - node _T_741 = bits(dcsr, 11, 11) @[dec_tlu_ctl.scala 772:125] - node _T_742 = and(dcsr_single_step_running_f, _T_741) @[dec_tlu_ctl.scala 772:119] - node _T_743 = not(io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 772:141] - node _T_744 = and(_T_742, _T_743) @[dec_tlu_ctl.scala 772:139] - node _T_745 = not(dcsr_single_step_done_f) @[dec_tlu_ctl.scala 772:166] - node _T_746 = and(_T_744, _T_745) @[dec_tlu_ctl.scala 772:164] - node _T_747 = or(_T_740, _T_746) @[dec_tlu_ctl.scala 772:89] - node _T_748 = and(_T_739, _T_747) @[dec_tlu_ctl.scala 772:62] - node _T_749 = not(synchronous_flush_r) @[dec_tlu_ctl.scala 772:195] - node _T_750 = and(_T_748, _T_749) @[dec_tlu_ctl.scala 772:193] - node _T_751 = not(mret_r) @[dec_tlu_ctl.scala 772:218] - node _T_752 = and(_T_750, _T_751) @[dec_tlu_ctl.scala 772:216] - node _T_753 = not(take_reset) @[dec_tlu_ctl.scala 772:228] - node _T_754 = and(_T_752, _T_753) @[dec_tlu_ctl.scala 772:226] - node _T_755 = not(ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 772:242] - node _T_756 = and(_T_754, _T_755) @[dec_tlu_ctl.scala 772:240] - node _T_757 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 772:269] - node _T_758 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 772:332] - node _T_759 = and(take_ext_int_start_d3, _T_758) @[dec_tlu_ctl.scala 772:313] - node _T_760 = or(_T_757, _T_759) @[dec_tlu_ctl.scala 772:288] - node _T_761 = and(_T_756, _T_760) @[dec_tlu_ctl.scala 772:266] - take_nmi <= _T_761 @[dec_tlu_ctl.scala 772:13] - node _T_762 = or(take_ext_int, take_timer_int) @[dec_tlu_ctl.scala 775:38] - node _T_763 = or(_T_762, take_soft_int) @[dec_tlu_ctl.scala 775:55] - node _T_764 = or(_T_763, take_nmi) @[dec_tlu_ctl.scala 775:71] - node _T_765 = or(_T_764, take_ce_int) @[dec_tlu_ctl.scala 775:82] - node _T_766 = or(_T_765, take_int_timer0_int) @[dec_tlu_ctl.scala 775:96] - node _T_767 = or(_T_766, take_int_timer1_int) @[dec_tlu_ctl.scala 775:118] - interrupt_valid_r <= _T_767 @[dec_tlu_ctl.scala 775:22] - node _T_768 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 780:34] + node _T_605 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 717:24] + node _T_606 = and(_T_605, mstatus_mie_ns) @[dec_tlu_ctl.scala 717:49] + node _T_607 = bits(mip, 2, 2) @[dec_tlu_ctl.scala 717:71] + node _T_608 = and(_T_606, _T_607) @[dec_tlu_ctl.scala 717:66] + node _T_609 = bits(mie_ns, 2, 2) @[dec_tlu_ctl.scala 717:92] + node _T_610 = and(_T_608, _T_609) @[dec_tlu_ctl.scala 717:84] + mhwakeup_ready <= _T_610 @[dec_tlu_ctl.scala 717:20] + node _T_611 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 718:23] + node _T_612 = and(_T_611, mstatus_mie_ns) @[dec_tlu_ctl.scala 718:48] + node _T_613 = bits(mip, 2, 2) @[dec_tlu_ctl.scala 718:70] + node _T_614 = and(_T_612, _T_613) @[dec_tlu_ctl.scala 718:65] + node _T_615 = bits(mie_ns, 2, 2) @[dec_tlu_ctl.scala 718:91] + node _T_616 = and(_T_614, _T_615) @[dec_tlu_ctl.scala 718:83] + node _T_617 = not(ignore_ext_int_due_to_lsu_stall) @[dec_tlu_ctl.scala 718:104] + node _T_618 = and(_T_616, _T_617) @[dec_tlu_ctl.scala 718:102] + ext_int_ready <= _T_618 @[dec_tlu_ctl.scala 718:20] + node _T_619 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 719:23] + node _T_620 = and(_T_619, mstatus_mie_ns) @[dec_tlu_ctl.scala 719:48] + node _T_621 = bits(mip, 5, 5) @[dec_tlu_ctl.scala 719:70] + node _T_622 = and(_T_620, _T_621) @[dec_tlu_ctl.scala 719:65] + node _T_623 = bits(mie_ns, 5, 5) @[dec_tlu_ctl.scala 719:91] + node _T_624 = and(_T_622, _T_623) @[dec_tlu_ctl.scala 719:83] + ce_int_ready <= _T_624 @[dec_tlu_ctl.scala 719:20] + node _T_625 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 720:23] + node _T_626 = and(_T_625, mstatus_mie_ns) @[dec_tlu_ctl.scala 720:48] + node _T_627 = bits(mip, 0, 0) @[dec_tlu_ctl.scala 720:70] + node _T_628 = and(_T_626, _T_627) @[dec_tlu_ctl.scala 720:65] + node _T_629 = bits(mie_ns, 0, 0) @[dec_tlu_ctl.scala 720:91] + node _T_630 = and(_T_628, _T_629) @[dec_tlu_ctl.scala 720:83] + soft_int_ready <= _T_630 @[dec_tlu_ctl.scala 720:20] + node _T_631 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 721:23] + node _T_632 = and(_T_631, mstatus_mie_ns) @[dec_tlu_ctl.scala 721:48] + node _T_633 = bits(mip, 1, 1) @[dec_tlu_ctl.scala 721:70] + node _T_634 = and(_T_632, _T_633) @[dec_tlu_ctl.scala 721:65] + node _T_635 = bits(mie_ns, 1, 1) @[dec_tlu_ctl.scala 721:91] + node _T_636 = and(_T_634, _T_635) @[dec_tlu_ctl.scala 721:83] + timer_int_ready <= _T_636 @[dec_tlu_ctl.scala 721:20] + node _T_637 = bits(mie_ns, 4, 4) @[dec_tlu_ctl.scala 724:57] + node int_timer0_int_possible = and(mstatus_mie_ns, _T_637) @[dec_tlu_ctl.scala 724:49] + node _T_638 = bits(mip, 4, 4) @[dec_tlu_ctl.scala 725:34] + node int_timer0_int_ready = and(_T_638, int_timer0_int_possible) @[dec_tlu_ctl.scala 725:47] + node _T_639 = bits(mie_ns, 3, 3) @[dec_tlu_ctl.scala 726:57] + node int_timer1_int_possible = and(mstatus_mie_ns, _T_639) @[dec_tlu_ctl.scala 726:49] + node _T_640 = bits(mip, 3, 3) @[dec_tlu_ctl.scala 727:34] + node int_timer1_int_ready = and(_T_640, int_timer1_int_possible) @[dec_tlu_ctl.scala 727:47] + node _T_641 = or(io.dec_csr_stall_int_ff, synchronous_flush_r) @[dec_tlu_ctl.scala 731:52] + node _T_642 = or(_T_641, exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 731:74] + node int_timer_stalled = or(_T_642, mret_r) @[dec_tlu_ctl.scala 731:98] + node _T_643 = or(pmu_fw_tlu_halted_f, int_timer_stalled) @[dec_tlu_ctl.scala 733:72] + node _T_644 = and(int_timer0_int_ready, _T_643) @[dec_tlu_ctl.scala 733:49] + node _T_645 = and(int_timer0_int_possible, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 733:121] + node _T_646 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 733:147] + node _T_647 = and(_T_645, _T_646) @[dec_tlu_ctl.scala 733:145] + node _T_648 = not(take_ext_int_start) @[dec_tlu_ctl.scala 733:168] + node _T_649 = and(_T_647, _T_648) @[dec_tlu_ctl.scala 733:166] + node _T_650 = not(debug_mode_status) @[dec_tlu_ctl.scala 733:190] + node _T_651 = and(_T_649, _T_650) @[dec_tlu_ctl.scala 733:188] + node _T_652 = or(_T_644, _T_651) @[dec_tlu_ctl.scala 733:94] + int_timer0_int_hold <= _T_652 @[dec_tlu_ctl.scala 733:24] + node _T_653 = or(pmu_fw_tlu_halted_f, int_timer_stalled) @[dec_tlu_ctl.scala 734:72] + node _T_654 = and(int_timer1_int_ready, _T_653) @[dec_tlu_ctl.scala 734:49] + node _T_655 = and(int_timer1_int_possible, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 734:121] + node _T_656 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 734:147] + node _T_657 = and(_T_655, _T_656) @[dec_tlu_ctl.scala 734:145] + node _T_658 = not(take_ext_int_start) @[dec_tlu_ctl.scala 734:168] + node _T_659 = and(_T_657, _T_658) @[dec_tlu_ctl.scala 734:166] + node _T_660 = not(debug_mode_status) @[dec_tlu_ctl.scala 734:190] + node _T_661 = and(_T_659, _T_660) @[dec_tlu_ctl.scala 734:188] + node _T_662 = or(_T_654, _T_661) @[dec_tlu_ctl.scala 734:94] + int_timer1_int_hold <= _T_662 @[dec_tlu_ctl.scala 734:24] + node _T_663 = not(dcsr_single_step_running) @[dec_tlu_ctl.scala 736:59] + node _T_664 = and(debug_mode_status, _T_663) @[dec_tlu_ctl.scala 736:57] + internal_dbg_halt_timers <= _T_664 @[dec_tlu_ctl.scala 736:29] + node _T_665 = not(dcsr_single_step_running) @[dec_tlu_ctl.scala 738:55] + node _T_666 = or(_T_665, io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 738:81] + node _T_667 = and(internal_dbg_halt_mode, _T_666) @[dec_tlu_ctl.scala 738:52] + node _T_668 = or(_T_667, internal_pmu_fw_halt_mode) @[dec_tlu_ctl.scala 738:107] + node _T_669 = or(_T_668, i_cpu_halt_req_d1) @[dec_tlu_ctl.scala 738:135] + node _T_670 = or(_T_669, take_nmi) @[dec_tlu_ctl.scala 738:155] + node _T_671 = or(_T_670, ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 738:166] + node _T_672 = or(_T_671, synchronous_flush_r) @[dec_tlu_ctl.scala 738:191] + node _T_673 = or(_T_672, exc_or_int_valid_r_d1) @[dec_tlu_ctl.scala 738:214] + node _T_674 = or(_T_673, mret_r) @[dec_tlu_ctl.scala 738:238] + node block_interrupts = or(_T_674, ext_int_freeze_d1) @[dec_tlu_ctl.scala 738:247] + reg _T_675 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 742:62] + _T_675 <= take_ext_int_start @[dec_tlu_ctl.scala 742:62] + take_ext_int_start_d1 <= _T_675 @[dec_tlu_ctl.scala 742:30] + reg _T_676 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 743:62] + _T_676 <= take_ext_int_start_d1 @[dec_tlu_ctl.scala 743:62] + take_ext_int_start_d2 <= _T_676 @[dec_tlu_ctl.scala 743:30] + reg _T_677 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 744:62] + _T_677 <= take_ext_int_start_d2 @[dec_tlu_ctl.scala 744:62] + take_ext_int_start_d3 <= _T_677 @[dec_tlu_ctl.scala 744:30] + reg _T_678 : UInt, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 745:66] + _T_678 <= ext_int_freeze @[dec_tlu_ctl.scala 745:66] + ext_int_freeze_d1 <= _T_678 @[dec_tlu_ctl.scala 745:34] + node _T_679 = not(block_interrupts) @[dec_tlu_ctl.scala 746:47] + node _T_680 = and(ext_int_ready, _T_679) @[dec_tlu_ctl.scala 746:45] + take_ext_int_start <= _T_680 @[dec_tlu_ctl.scala 746:28] + node _T_681 = or(take_ext_int_start, take_ext_int_start_d1) @[dec_tlu_ctl.scala 748:46] + node _T_682 = or(_T_681, take_ext_int_start_d2) @[dec_tlu_ctl.scala 748:70] + node _T_683 = or(_T_682, take_ext_int_start_d3) @[dec_tlu_ctl.scala 748:94] + ext_int_freeze <= _T_683 @[dec_tlu_ctl.scala 748:24] + node _T_684 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 749:67] + node _T_685 = not(_T_684) @[dec_tlu_ctl.scala 749:49] + node _T_686 = and(take_ext_int_start_d3, _T_685) @[dec_tlu_ctl.scala 749:47] + take_ext_int <= _T_686 @[dec_tlu_ctl.scala 749:22] + node _T_687 = and(csr_pkt.csr_meicpct, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 750:49] + fast_int_meicpct <= _T_687 @[dec_tlu_ctl.scala 750:26] + ignore_ext_int_due_to_lsu_stall <= io.lsu_fastint_stall_any @[dec_tlu_ctl.scala 751:41] + node _T_688 = not(ext_int_ready) @[dec_tlu_ctl.scala 764:35] + node _T_689 = and(ce_int_ready, _T_688) @[dec_tlu_ctl.scala 764:33] + node _T_690 = not(block_interrupts) @[dec_tlu_ctl.scala 764:52] + node _T_691 = and(_T_689, _T_690) @[dec_tlu_ctl.scala 764:50] + take_ce_int <= _T_691 @[dec_tlu_ctl.scala 764:17] + node _T_692 = not(ext_int_ready) @[dec_tlu_ctl.scala 765:38] + node _T_693 = and(soft_int_ready, _T_692) @[dec_tlu_ctl.scala 765:36] + node _T_694 = not(ce_int_ready) @[dec_tlu_ctl.scala 765:55] + node _T_695 = and(_T_693, _T_694) @[dec_tlu_ctl.scala 765:53] + node _T_696 = not(block_interrupts) @[dec_tlu_ctl.scala 765:71] + node _T_697 = and(_T_695, _T_696) @[dec_tlu_ctl.scala 765:69] + take_soft_int <= _T_697 @[dec_tlu_ctl.scala 765:18] + node _T_698 = not(soft_int_ready) @[dec_tlu_ctl.scala 766:40] + node _T_699 = and(timer_int_ready, _T_698) @[dec_tlu_ctl.scala 766:38] + node _T_700 = not(ext_int_ready) @[dec_tlu_ctl.scala 766:58] + node _T_701 = and(_T_699, _T_700) @[dec_tlu_ctl.scala 766:56] + node _T_702 = not(ce_int_ready) @[dec_tlu_ctl.scala 766:75] + node _T_703 = and(_T_701, _T_702) @[dec_tlu_ctl.scala 766:73] + node _T_704 = not(block_interrupts) @[dec_tlu_ctl.scala 766:91] + node _T_705 = and(_T_703, _T_704) @[dec_tlu_ctl.scala 766:89] + take_timer_int <= _T_705 @[dec_tlu_ctl.scala 766:19] + node _T_706 = or(int_timer0_int_ready, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 767:49] + node _T_707 = and(_T_706, int_timer0_int_possible) @[dec_tlu_ctl.scala 767:74] + node _T_708 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 767:102] + node _T_709 = and(_T_707, _T_708) @[dec_tlu_ctl.scala 767:100] + node _T_710 = not(timer_int_ready) @[dec_tlu_ctl.scala 767:129] + node _T_711 = and(_T_709, _T_710) @[dec_tlu_ctl.scala 767:127] + node _T_712 = not(soft_int_ready) @[dec_tlu_ctl.scala 767:148] + node _T_713 = and(_T_711, _T_712) @[dec_tlu_ctl.scala 767:146] + node _T_714 = not(ext_int_ready) @[dec_tlu_ctl.scala 767:166] + node _T_715 = and(_T_713, _T_714) @[dec_tlu_ctl.scala 767:164] + node _T_716 = not(ce_int_ready) @[dec_tlu_ctl.scala 767:183] + node _T_717 = and(_T_715, _T_716) @[dec_tlu_ctl.scala 767:181] + node _T_718 = not(block_interrupts) @[dec_tlu_ctl.scala 767:199] + node _T_719 = and(_T_717, _T_718) @[dec_tlu_ctl.scala 767:197] + take_int_timer0_int <= _T_719 @[dec_tlu_ctl.scala 767:24] + node _T_720 = or(int_timer1_int_ready, int_timer1_int_hold_f) @[dec_tlu_ctl.scala 768:49] + node _T_721 = and(_T_720, int_timer1_int_possible) @[dec_tlu_ctl.scala 768:74] + node _T_722 = not(io.dec_csr_stall_int_ff) @[dec_tlu_ctl.scala 768:102] + node _T_723 = and(_T_721, _T_722) @[dec_tlu_ctl.scala 768:100] + node _T_724 = or(int_timer0_int_ready, int_timer0_int_hold_f) @[dec_tlu_ctl.scala 768:152] + node _T_725 = not(_T_724) @[dec_tlu_ctl.scala 768:129] + node _T_726 = and(_T_723, _T_725) @[dec_tlu_ctl.scala 768:127] + node _T_727 = not(timer_int_ready) @[dec_tlu_ctl.scala 768:179] + node _T_728 = and(_T_726, _T_727) @[dec_tlu_ctl.scala 768:177] + node _T_729 = not(soft_int_ready) @[dec_tlu_ctl.scala 768:198] + node _T_730 = and(_T_728, _T_729) @[dec_tlu_ctl.scala 768:196] + node _T_731 = not(ext_int_ready) @[dec_tlu_ctl.scala 768:216] + node _T_732 = and(_T_730, _T_731) @[dec_tlu_ctl.scala 768:214] + node _T_733 = not(ce_int_ready) @[dec_tlu_ctl.scala 768:233] + node _T_734 = and(_T_732, _T_733) @[dec_tlu_ctl.scala 768:231] + node _T_735 = not(block_interrupts) @[dec_tlu_ctl.scala 768:249] + node _T_736 = and(_T_734, _T_735) @[dec_tlu_ctl.scala 768:247] + take_int_timer1_int <= _T_736 @[dec_tlu_ctl.scala 768:24] + node _T_737 = and(reset_delayed, io.mpc_reset_run_req) @[dec_tlu_ctl.scala 769:32] + take_reset <= _T_737 @[dec_tlu_ctl.scala 769:15] + node _T_738 = not(internal_pmu_fw_halt_mode) @[dec_tlu_ctl.scala 770:35] + node _T_739 = and(nmi_int_detected, _T_738) @[dec_tlu_ctl.scala 770:33] + node _T_740 = not(internal_dbg_halt_mode) @[dec_tlu_ctl.scala 770:65] + node _T_741 = bits(dcsr, 11, 11) @[dec_tlu_ctl.scala 770:125] + node _T_742 = and(dcsr_single_step_running_f, _T_741) @[dec_tlu_ctl.scala 770:119] + node _T_743 = not(io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 770:141] + node _T_744 = and(_T_742, _T_743) @[dec_tlu_ctl.scala 770:139] + node _T_745 = not(dcsr_single_step_done_f) @[dec_tlu_ctl.scala 770:166] + node _T_746 = and(_T_744, _T_745) @[dec_tlu_ctl.scala 770:164] + node _T_747 = or(_T_740, _T_746) @[dec_tlu_ctl.scala 770:89] + node _T_748 = and(_T_739, _T_747) @[dec_tlu_ctl.scala 770:62] + node _T_749 = not(synchronous_flush_r) @[dec_tlu_ctl.scala 770:195] + node _T_750 = and(_T_748, _T_749) @[dec_tlu_ctl.scala 770:193] + node _T_751 = not(mret_r) @[dec_tlu_ctl.scala 770:218] + node _T_752 = and(_T_750, _T_751) @[dec_tlu_ctl.scala 770:216] + node _T_753 = not(take_reset) @[dec_tlu_ctl.scala 770:228] + node _T_754 = and(_T_752, _T_753) @[dec_tlu_ctl.scala 770:226] + node _T_755 = not(ebreak_to_debug_mode_r) @[dec_tlu_ctl.scala 770:242] + node _T_756 = and(_T_754, _T_755) @[dec_tlu_ctl.scala 770:240] + node _T_757 = not(ext_int_freeze_d1) @[dec_tlu_ctl.scala 770:269] + node _T_758 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 770:332] + node _T_759 = and(take_ext_int_start_d3, _T_758) @[dec_tlu_ctl.scala 770:313] + node _T_760 = or(_T_757, _T_759) @[dec_tlu_ctl.scala 770:288] + node _T_761 = and(_T_756, _T_760) @[dec_tlu_ctl.scala 770:266] + take_nmi <= _T_761 @[dec_tlu_ctl.scala 770:13] + node _T_762 = or(take_ext_int, take_timer_int) @[dec_tlu_ctl.scala 773:38] + node _T_763 = or(_T_762, take_soft_int) @[dec_tlu_ctl.scala 773:55] + node _T_764 = or(_T_763, take_nmi) @[dec_tlu_ctl.scala 773:71] + node _T_765 = or(_T_764, take_ce_int) @[dec_tlu_ctl.scala 773:82] + node _T_766 = or(_T_765, take_int_timer0_int) @[dec_tlu_ctl.scala 773:96] + node _T_767 = or(_T_766, take_int_timer1_int) @[dec_tlu_ctl.scala 773:118] + interrupt_valid_r <= _T_767 @[dec_tlu_ctl.scala 773:22] + node _T_768 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 778:34] node _T_769 = cat(_T_768, UInt<1>("h00")) @[Cat.scala 29:58] node _T_770 = cat(UInt<25>("h00"), exc_cause_r) @[Cat.scala 29:58] node _T_771 = cat(_T_770, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_772 = add(_T_769, _T_771) @[dec_tlu_ctl.scala 780:51] - node vectored_path = tail(_T_772, 1) @[dec_tlu_ctl.scala 780:51] - node _T_773 = bits(take_nmi, 0, 0) @[dec_tlu_ctl.scala 781:38] - node _T_774 = bits(mtvec, 0, 0) @[dec_tlu_ctl.scala 781:67] - node _T_775 = eq(_T_774, UInt<1>("h01")) @[dec_tlu_ctl.scala 781:71] - node _T_776 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 781:104] + node _T_772 = add(_T_769, _T_771) @[dec_tlu_ctl.scala 778:51] + node vectored_path = tail(_T_772, 1) @[dec_tlu_ctl.scala 778:51] + node _T_773 = bits(take_nmi, 0, 0) @[dec_tlu_ctl.scala 779:38] + node _T_774 = bits(mtvec, 0, 0) @[dec_tlu_ctl.scala 779:67] + node _T_775 = eq(_T_774, UInt<1>("h01")) @[dec_tlu_ctl.scala 779:71] + node _T_776 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 779:104] node _T_777 = cat(_T_776, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_778 = mux(_T_775, vectored_path, _T_777) @[dec_tlu_ctl.scala 781:61] - node interrupt_path = mux(_T_773, io.nmi_vec, _T_778) @[dec_tlu_ctl.scala 781:28] - node _T_779 = or(lsu_i0_rfnpc_r, fence_i_r) @[dec_tlu_ctl.scala 782:36] - node _T_780 = or(_T_779, iccm_repair_state_rfnpc) @[dec_tlu_ctl.scala 782:48] - node _T_781 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 782:96] - node _T_782 = and(i_cpu_run_req_d1, _T_781) @[dec_tlu_ctl.scala 782:94] - node _T_783 = or(_T_780, _T_782) @[dec_tlu_ctl.scala 782:74] - node _T_784 = not(io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 782:131] - node _T_785 = and(rfpc_i0_r, _T_784) @[dec_tlu_ctl.scala 782:129] - node sel_npc_r = or(_T_783, _T_785) @[dec_tlu_ctl.scala 782:116] - node _T_786 = and(i_cpu_run_req_d1, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 783:43] - node sel_npc_resume = or(_T_786, pause_expired_r) @[dec_tlu_ctl.scala 783:66] - node _T_787 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 784:65] - node _T_788 = not(_T_787) @[dec_tlu_ctl.scala 784:47] - node sel_fir_addr = and(take_ext_int_start_d3, _T_788) @[dec_tlu_ctl.scala 784:45] - node _T_789 = or(i0_exception_valid_r, rfpc_i0_r) @[dec_tlu_ctl.scala 785:49] - node _T_790 = or(_T_789, lsu_exc_valid_r) @[dec_tlu_ctl.scala 785:61] - node _T_791 = or(_T_790, fence_i_r) @[dec_tlu_ctl.scala 785:79] - node _T_792 = or(_T_791, lsu_i0_rfnpc_r) @[dec_tlu_ctl.scala 785:91] - node _T_793 = or(_T_792, iccm_repair_state_rfnpc) @[dec_tlu_ctl.scala 785:108] - node _T_794 = or(_T_793, debug_resume_req_f) @[dec_tlu_ctl.scala 785:135] - node _T_795 = or(_T_794, sel_npc_resume) @[dec_tlu_ctl.scala 785:157] - node _T_796 = or(_T_795, dec_tlu_wr_pause_r_d1) @[dec_tlu_ctl.scala 785:175] - node _T_797 = or(_T_796, i0_trigger_hit_r) @[dec_tlu_ctl.scala 785:201] - synchronous_flush_r <= _T_797 @[dec_tlu_ctl.scala 785:25] - node _T_798 = or(interrupt_valid_r, mret_r) @[dec_tlu_ctl.scala 786:43] - node _T_799 = or(_T_798, synchronous_flush_r) @[dec_tlu_ctl.scala 786:52] - node _T_800 = or(_T_799, take_halt) @[dec_tlu_ctl.scala 786:74] - node _T_801 = or(_T_800, take_reset) @[dec_tlu_ctl.scala 786:86] - node _T_802 = or(_T_801, take_ext_int_start) @[dec_tlu_ctl.scala 786:99] - tlu_flush_lower_r <= _T_802 @[dec_tlu_ctl.scala 786:22] - node _T_803 = bits(take_reset, 0, 0) @[dec_tlu_ctl.scala 788:42] - node _T_804 = bits(sel_fir_addr, 0, 0) @[dec_tlu_ctl.scala 789:72] - node _T_805 = eq(take_nmi, UInt<1>("h00")) @[dec_tlu_ctl.scala 790:66] - node _T_806 = eq(sel_npc_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 790:84] - node _T_807 = and(_T_805, _T_806) @[dec_tlu_ctl.scala 790:73] - node _T_808 = eq(take_nmi, UInt<1>("h00")) @[dec_tlu_ctl.scala 791:66] - node _T_809 = eq(rfpc_i0_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 791:84] - node _T_810 = and(_T_808, _T_809) @[dec_tlu_ctl.scala 791:73] - node _T_811 = eq(io.dec_tlu_i0_valid_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 791:114] - node _T_812 = and(_T_810, _T_811) @[dec_tlu_ctl.scala 791:91] - node _T_813 = eq(sel_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 791:132] - node _T_814 = and(_T_812, _T_813) @[dec_tlu_ctl.scala 791:121] - node _T_815 = eq(interrupt_valid_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 792:75] - node _T_816 = eq(sel_fir_addr, UInt<1>("h00")) @[dec_tlu_ctl.scala 792:96] - node _T_817 = and(_T_815, _T_816) @[dec_tlu_ctl.scala 792:82] - node _T_818 = or(i0_exception_valid_r, lsu_exc_valid_r) @[dec_tlu_ctl.scala 793:80] - node _T_819 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 793:120] - node _T_820 = and(i0_trigger_hit_r, _T_819) @[dec_tlu_ctl.scala 793:118] - node _T_821 = or(_T_818, _T_820) @[dec_tlu_ctl.scala 793:98] - node _T_822 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 793:145] - node _T_823 = and(_T_821, _T_822) @[dec_tlu_ctl.scala 793:143] - node _T_824 = not(sel_fir_addr) @[dec_tlu_ctl.scala 793:166] - node _T_825 = and(_T_823, _T_824) @[dec_tlu_ctl.scala 793:164] - node _T_826 = bits(_T_825, 0, 0) @[dec_tlu_ctl.scala 793:181] - node _T_827 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 793:205] + node _T_778 = mux(_T_775, vectored_path, _T_777) @[dec_tlu_ctl.scala 779:61] + node interrupt_path = mux(_T_773, io.nmi_vec, _T_778) @[dec_tlu_ctl.scala 779:28] + node _T_779 = or(lsu_i0_rfnpc_r, fence_i_r) @[dec_tlu_ctl.scala 780:36] + node _T_780 = or(_T_779, iccm_repair_state_rfnpc) @[dec_tlu_ctl.scala 780:48] + node _T_781 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 780:96] + node _T_782 = and(i_cpu_run_req_d1, _T_781) @[dec_tlu_ctl.scala 780:94] + node _T_783 = or(_T_780, _T_782) @[dec_tlu_ctl.scala 780:74] + node _T_784 = not(io.dec_tlu_i0_valid_r) @[dec_tlu_ctl.scala 780:131] + node _T_785 = and(rfpc_i0_r, _T_784) @[dec_tlu_ctl.scala 780:129] + node sel_npc_r = or(_T_783, _T_785) @[dec_tlu_ctl.scala 780:116] + node _T_786 = and(i_cpu_run_req_d1, pmu_fw_tlu_halted_f) @[dec_tlu_ctl.scala 781:43] + node sel_npc_resume = or(_T_786, pause_expired_r) @[dec_tlu_ctl.scala 781:66] + node _T_787 = orr(io.lsu_fir_error) @[dec_tlu_ctl.scala 782:65] + node _T_788 = not(_T_787) @[dec_tlu_ctl.scala 782:47] + node sel_fir_addr = and(take_ext_int_start_d3, _T_788) @[dec_tlu_ctl.scala 782:45] + node _T_789 = or(i0_exception_valid_r, rfpc_i0_r) @[dec_tlu_ctl.scala 783:49] + node _T_790 = or(_T_789, lsu_exc_valid_r) @[dec_tlu_ctl.scala 783:61] + node _T_791 = or(_T_790, fence_i_r) @[dec_tlu_ctl.scala 783:79] + node _T_792 = or(_T_791, lsu_i0_rfnpc_r) @[dec_tlu_ctl.scala 783:91] + node _T_793 = or(_T_792, iccm_repair_state_rfnpc) @[dec_tlu_ctl.scala 783:108] + node _T_794 = or(_T_793, debug_resume_req_f) @[dec_tlu_ctl.scala 783:135] + node _T_795 = or(_T_794, sel_npc_resume) @[dec_tlu_ctl.scala 783:157] + node _T_796 = or(_T_795, dec_tlu_wr_pause_r_d1) @[dec_tlu_ctl.scala 783:175] + node _T_797 = or(_T_796, i0_trigger_hit_r) @[dec_tlu_ctl.scala 783:201] + synchronous_flush_r <= _T_797 @[dec_tlu_ctl.scala 783:25] + node _T_798 = or(interrupt_valid_r, mret_r) @[dec_tlu_ctl.scala 784:43] + node _T_799 = or(_T_798, synchronous_flush_r) @[dec_tlu_ctl.scala 784:52] + node _T_800 = or(_T_799, take_halt) @[dec_tlu_ctl.scala 784:74] + node _T_801 = or(_T_800, take_reset) @[dec_tlu_ctl.scala 784:86] + node _T_802 = or(_T_801, take_ext_int_start) @[dec_tlu_ctl.scala 784:99] + tlu_flush_lower_r <= _T_802 @[dec_tlu_ctl.scala 784:22] + node _T_803 = bits(take_reset, 0, 0) @[dec_tlu_ctl.scala 786:42] + node _T_804 = bits(sel_fir_addr, 0, 0) @[dec_tlu_ctl.scala 787:72] + node _T_805 = eq(take_nmi, UInt<1>("h00")) @[dec_tlu_ctl.scala 788:66] + node _T_806 = eq(sel_npc_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 788:84] + node _T_807 = and(_T_805, _T_806) @[dec_tlu_ctl.scala 788:73] + node _T_808 = eq(take_nmi, UInt<1>("h00")) @[dec_tlu_ctl.scala 789:66] + node _T_809 = eq(rfpc_i0_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 789:84] + node _T_810 = and(_T_808, _T_809) @[dec_tlu_ctl.scala 789:73] + node _T_811 = eq(io.dec_tlu_i0_valid_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 789:114] + node _T_812 = and(_T_810, _T_811) @[dec_tlu_ctl.scala 789:91] + node _T_813 = eq(sel_npc_r, UInt<1>("h00")) @[dec_tlu_ctl.scala 789:132] + node _T_814 = and(_T_812, _T_813) @[dec_tlu_ctl.scala 789:121] + node _T_815 = eq(interrupt_valid_r, UInt<1>("h01")) @[dec_tlu_ctl.scala 790:75] + node _T_816 = eq(sel_fir_addr, UInt<1>("h00")) @[dec_tlu_ctl.scala 790:96] + node _T_817 = and(_T_815, _T_816) @[dec_tlu_ctl.scala 790:82] + node _T_818 = or(i0_exception_valid_r, lsu_exc_valid_r) @[dec_tlu_ctl.scala 791:80] + node _T_819 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 791:120] + node _T_820 = and(i0_trigger_hit_r, _T_819) @[dec_tlu_ctl.scala 791:118] + node _T_821 = or(_T_818, _T_820) @[dec_tlu_ctl.scala 791:98] + node _T_822 = not(interrupt_valid_r) @[dec_tlu_ctl.scala 791:145] + node _T_823 = and(_T_821, _T_822) @[dec_tlu_ctl.scala 791:143] + node _T_824 = not(sel_fir_addr) @[dec_tlu_ctl.scala 791:166] + node _T_825 = and(_T_823, _T_824) @[dec_tlu_ctl.scala 791:164] + node _T_826 = bits(_T_825, 0, 0) @[dec_tlu_ctl.scala 791:181] + node _T_827 = bits(mtvec, 30, 1) @[dec_tlu_ctl.scala 791:205] node _T_828 = cat(_T_827, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_829 = not(take_nmi) @[dec_tlu_ctl.scala 794:58] - node _T_830 = and(_T_829, mret_r) @[dec_tlu_ctl.scala 794:68] - node _T_831 = bits(_T_830, 0, 0) @[dec_tlu_ctl.scala 794:78] - node _T_832 = not(take_nmi) @[dec_tlu_ctl.scala 795:58] - node _T_833 = and(_T_832, debug_resume_req_f) @[dec_tlu_ctl.scala 795:68] - node _T_834 = bits(_T_833, 0, 0) @[dec_tlu_ctl.scala 795:90] - node _T_835 = not(take_nmi) @[dec_tlu_ctl.scala 796:58] - node _T_836 = and(_T_835, sel_npc_resume) @[dec_tlu_ctl.scala 796:68] - node _T_837 = bits(_T_836, 0, 0) @[dec_tlu_ctl.scala 796:86] + node _T_829 = not(take_nmi) @[dec_tlu_ctl.scala 792:58] + node _T_830 = and(_T_829, mret_r) @[dec_tlu_ctl.scala 792:68] + node _T_831 = bits(_T_830, 0, 0) @[dec_tlu_ctl.scala 792:78] + node _T_832 = not(take_nmi) @[dec_tlu_ctl.scala 793:58] + node _T_833 = and(_T_832, debug_resume_req_f) @[dec_tlu_ctl.scala 793:68] + node _T_834 = bits(_T_833, 0, 0) @[dec_tlu_ctl.scala 793:90] + node _T_835 = not(take_nmi) @[dec_tlu_ctl.scala 794:58] + node _T_836 = and(_T_835, sel_npc_resume) @[dec_tlu_ctl.scala 794:68] + node _T_837 = bits(_T_836, 0, 0) @[dec_tlu_ctl.scala 794:86] node _T_838 = mux(_T_804, io.lsu_fir_addr, UInt<1>("h00")) @[Mux.scala 27:72] node _T_839 = mux(_T_807, npc_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_840 = mux(_T_814, io.dec_tlu_i0_pc_r, UInt<1>("h00")) @[Mux.scala 27:72] @@ -79274,461 +79284,461 @@ circuit quasar_wrapper : node _T_852 = or(_T_851, _T_845) @[Mux.scala 27:72] wire _T_853 : UInt<31> @[Mux.scala 27:72] _T_853 <= _T_852 @[Mux.scala 27:72] - node tlu_flush_path_r = mux(_T_803, io.rst_vec, _T_853) @[dec_tlu_ctl.scala 788:30] - reg tlu_flush_path_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 799:64] - tlu_flush_path_r_d1 <= tlu_flush_path_r @[dec_tlu_ctl.scala 799:64] - io.dec_tlu_flush_lower_wb <= tlu_flush_lower_r_d1 @[dec_tlu_ctl.scala 801:41] - io.tlu_exu.dec_tlu_flush_lower_r <= tlu_flush_lower_r @[dec_tlu_ctl.scala 803:49] - io.tlu_exu.dec_tlu_flush_path_r <= tlu_flush_path_r @[dec_tlu_ctl.scala 804:49] - node _T_854 = or(lsu_exc_valid_r, i0_exception_valid_r) @[dec_tlu_ctl.scala 807:45] - node _T_855 = or(_T_854, interrupt_valid_r) @[dec_tlu_ctl.scala 807:68] - node _T_856 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 807:110] - node _T_857 = and(i0_trigger_hit_r, _T_856) @[dec_tlu_ctl.scala 807:108] - node exc_or_int_valid_r = or(_T_855, _T_857) @[dec_tlu_ctl.scala 807:88] - reg _T_858 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 809:90] - _T_858 <= interrupt_valid_r @[dec_tlu_ctl.scala 809:90] - interrupt_valid_r_d1 <= _T_858 @[dec_tlu_ctl.scala 809:57] - reg i0_exception_valid_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 810:89] - i0_exception_valid_r_d1 <= i0_exception_valid_r @[dec_tlu_ctl.scala 810:89] - reg _T_859 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 811:90] - _T_859 <= exc_or_int_valid_r @[dec_tlu_ctl.scala 811:90] - exc_or_int_valid_r_d1 <= _T_859 @[dec_tlu_ctl.scala 811:57] - reg exc_cause_wb : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 812:89] - exc_cause_wb <= exc_cause_r @[dec_tlu_ctl.scala 812:89] - node _T_860 = not(illegal_r) @[dec_tlu_ctl.scala 813:119] - node _T_861 = and(tlu_i0_commit_cmt, _T_860) @[dec_tlu_ctl.scala 813:117] - reg i0_valid_wb : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 813:97] - i0_valid_wb <= _T_861 @[dec_tlu_ctl.scala 813:97] - reg trigger_hit_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 814:89] - trigger_hit_r_d1 <= i0_trigger_hit_r @[dec_tlu_ctl.scala 814:89] - reg _T_862 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 815:98] - _T_862 <= take_nmi @[dec_tlu_ctl.scala 815:98] - take_nmi_r_d1 <= _T_862 @[dec_tlu_ctl.scala 815:65] - reg _T_863 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 816:90] - _T_863 <= pause_expired_r @[dec_tlu_ctl.scala 816:90] - pause_expired_wb <= _T_863 @[dec_tlu_ctl.scala 816:57] - inst csr of csr_tlu @[dec_tlu_ctl.scala 818:15] + node tlu_flush_path_r = mux(_T_803, io.rst_vec, _T_853) @[dec_tlu_ctl.scala 786:30] + reg tlu_flush_path_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 797:64] + tlu_flush_path_r_d1 <= tlu_flush_path_r @[dec_tlu_ctl.scala 797:64] + io.dec_tlu_flush_lower_wb <= tlu_flush_lower_r_d1 @[dec_tlu_ctl.scala 799:41] + io.tlu_exu.dec_tlu_flush_lower_r <= tlu_flush_lower_r @[dec_tlu_ctl.scala 801:49] + io.tlu_exu.dec_tlu_flush_path_r <= tlu_flush_path_r @[dec_tlu_ctl.scala 802:49] + node _T_854 = or(lsu_exc_valid_r, i0_exception_valid_r) @[dec_tlu_ctl.scala 805:45] + node _T_855 = or(_T_854, interrupt_valid_r) @[dec_tlu_ctl.scala 805:68] + node _T_856 = not(trigger_hit_dmode_r) @[dec_tlu_ctl.scala 805:110] + node _T_857 = and(i0_trigger_hit_r, _T_856) @[dec_tlu_ctl.scala 805:108] + node exc_or_int_valid_r = or(_T_855, _T_857) @[dec_tlu_ctl.scala 805:88] + reg _T_858 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 807:90] + _T_858 <= interrupt_valid_r @[dec_tlu_ctl.scala 807:90] + interrupt_valid_r_d1 <= _T_858 @[dec_tlu_ctl.scala 807:57] + reg i0_exception_valid_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 808:89] + i0_exception_valid_r_d1 <= i0_exception_valid_r @[dec_tlu_ctl.scala 808:89] + reg _T_859 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 809:90] + _T_859 <= exc_or_int_valid_r @[dec_tlu_ctl.scala 809:90] + exc_or_int_valid_r_d1 <= _T_859 @[dec_tlu_ctl.scala 809:57] + reg exc_cause_wb : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 810:89] + exc_cause_wb <= exc_cause_r @[dec_tlu_ctl.scala 810:89] + node _T_860 = not(illegal_r) @[dec_tlu_ctl.scala 811:119] + node _T_861 = and(tlu_i0_commit_cmt, _T_860) @[dec_tlu_ctl.scala 811:117] + reg i0_valid_wb : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 811:97] + i0_valid_wb <= _T_861 @[dec_tlu_ctl.scala 811:97] + reg trigger_hit_r_d1 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 812:89] + trigger_hit_r_d1 <= i0_trigger_hit_r @[dec_tlu_ctl.scala 812:89] + reg _T_862 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 813:98] + _T_862 <= take_nmi @[dec_tlu_ctl.scala 813:98] + take_nmi_r_d1 <= _T_862 @[dec_tlu_ctl.scala 813:65] + reg _T_863 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[dec_tlu_ctl.scala 814:90] + _T_863 <= pause_expired_r @[dec_tlu_ctl.scala 814:90] + pause_expired_wb <= _T_863 @[dec_tlu_ctl.scala 814:57] + inst csr of csr_tlu @[dec_tlu_ctl.scala 816:15] csr.clock <= clock csr.reset <= reset - csr.io.free_clk <= io.free_clk @[dec_tlu_ctl.scala 819:44] - csr.io.active_clk <= io.active_clk @[dec_tlu_ctl.scala 820:44] - csr.io.scan_mode <= io.scan_mode @[dec_tlu_ctl.scala 821:44] - csr.io.dec_csr_wrdata_r <= io.dec_csr_wrdata_r @[dec_tlu_ctl.scala 822:44] - csr.io.dec_csr_wraddr_r <= io.dec_csr_wraddr_r @[dec_tlu_ctl.scala 823:44] - csr.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 824:44] - csr.io.dec_csr_wen_unq_d <= io.dec_csr_wen_unq_d @[dec_tlu_ctl.scala 825:44] - csr.io.dec_i0_decode_d <= io.dec_i0_decode_d @[dec_tlu_ctl.scala 826:44] - csr.io.ifu_ic_debug_rd_data_valid <= io.tlu_mem.ifu_ic_debug_rd_data_valid @[dec_tlu_ctl.scala 827:44] - csr.io.ifu_pmu_bus_trxn <= io.tlu_mem.ifu_pmu_bus_trxn @[dec_tlu_ctl.scala 828:44] - csr.io.dma_iccm_stall_any <= io.tlu_dma.dma_iccm_stall_any @[dec_tlu_ctl.scala 829:44] - csr.io.dma_dccm_stall_any <= io.tlu_dma.dma_dccm_stall_any @[dec_tlu_ctl.scala 830:44] - csr.io.lsu_store_stall_any <= io.lsu_store_stall_any @[dec_tlu_ctl.scala 831:44] - csr.io.dec_pmu_presync_stall <= io.dec_pmu_presync_stall @[dec_tlu_ctl.scala 832:44] - csr.io.dec_pmu_postsync_stall <= io.dec_pmu_postsync_stall @[dec_tlu_ctl.scala 833:44] - csr.io.dec_pmu_decode_stall <= io.dec_pmu_decode_stall @[dec_tlu_ctl.scala 834:44] - csr.io.ifu_pmu_fetch_stall <= io.tlu_ifc.ifu_pmu_fetch_stall @[dec_tlu_ctl.scala 835:44] - csr.io.dec_tlu_packet_r.pmu_lsu_misaligned <= io.dec_tlu_packet_r.pmu_lsu_misaligned @[dec_tlu_ctl.scala 836:44] - csr.io.dec_tlu_packet_r.pmu_divide <= io.dec_tlu_packet_r.pmu_divide @[dec_tlu_ctl.scala 836:44] - csr.io.dec_tlu_packet_r.pmu_i0_br_unpred <= io.dec_tlu_packet_r.pmu_i0_br_unpred @[dec_tlu_ctl.scala 836:44] - csr.io.dec_tlu_packet_r.pmu_i0_itype <= io.dec_tlu_packet_r.pmu_i0_itype @[dec_tlu_ctl.scala 836:44] - csr.io.dec_tlu_packet_r.i0trigger <= io.dec_tlu_packet_r.i0trigger @[dec_tlu_ctl.scala 836:44] - csr.io.dec_tlu_packet_r.fence_i <= io.dec_tlu_packet_r.fence_i @[dec_tlu_ctl.scala 836:44] - csr.io.dec_tlu_packet_r.icaf_type <= io.dec_tlu_packet_r.icaf_type @[dec_tlu_ctl.scala 836:44] - csr.io.dec_tlu_packet_r.icaf_f1 <= io.dec_tlu_packet_r.icaf_f1 @[dec_tlu_ctl.scala 836:44] - csr.io.dec_tlu_packet_r.icaf <= io.dec_tlu_packet_r.icaf @[dec_tlu_ctl.scala 836:44] - csr.io.dec_tlu_packet_r.legal <= io.dec_tlu_packet_r.legal @[dec_tlu_ctl.scala 836:44] - csr.io.exu_pmu_i0_br_ataken <= io.tlu_exu.exu_pmu_i0_br_ataken @[dec_tlu_ctl.scala 837:44] - csr.io.exu_pmu_i0_br_misp <= io.tlu_exu.exu_pmu_i0_br_misp @[dec_tlu_ctl.scala 838:44] - csr.io.dec_pmu_instr_decoded <= io.dec_pmu_instr_decoded @[dec_tlu_ctl.scala 839:44] - csr.io.ifu_pmu_instr_aligned <= io.ifu_pmu_instr_aligned @[dec_tlu_ctl.scala 840:44] - csr.io.exu_pmu_i0_pc4 <= io.tlu_exu.exu_pmu_i0_pc4 @[dec_tlu_ctl.scala 841:44] - csr.io.ifu_pmu_ic_miss <= io.tlu_mem.ifu_pmu_ic_miss @[dec_tlu_ctl.scala 842:44] - csr.io.ifu_pmu_ic_hit <= io.tlu_mem.ifu_pmu_ic_hit @[dec_tlu_ctl.scala 843:44] - csr.io.dec_csr_wen_r <= io.dec_csr_wen_r @[dec_tlu_ctl.scala 844:44] - csr.io.dec_tlu_dbg_halted <= io.dec_tlu_dbg_halted @[dec_tlu_ctl.scala 845:44] - csr.io.dma_pmu_dccm_write <= io.tlu_dma.dma_pmu_dccm_write @[dec_tlu_ctl.scala 846:44] - csr.io.dma_pmu_dccm_read <= io.tlu_dma.dma_pmu_dccm_read @[dec_tlu_ctl.scala 847:44] - csr.io.dma_pmu_any_write <= io.tlu_dma.dma_pmu_any_write @[dec_tlu_ctl.scala 848:44] - csr.io.dma_pmu_any_read <= io.tlu_dma.dma_pmu_any_read @[dec_tlu_ctl.scala 849:44] - csr.io.lsu_pmu_bus_busy <= io.tlu_busbuff.lsu_pmu_bus_busy @[dec_tlu_ctl.scala 850:44] - csr.io.dec_tlu_i0_pc_r <= io.dec_tlu_i0_pc_r @[dec_tlu_ctl.scala 851:44] - csr.io.dec_tlu_i0_valid_r <= io.dec_tlu_i0_valid_r @[dec_tlu_ctl.scala 852:44] - csr.io.dec_csr_stall_int_ff <= io.dec_csr_stall_int_ff @[dec_tlu_ctl.scala 853:44] - csr.io.dec_csr_any_unq_d <= io.dec_csr_any_unq_d @[dec_tlu_ctl.scala 854:44] - csr.io.ifu_pmu_bus_busy <= io.tlu_mem.ifu_pmu_bus_busy @[dec_tlu_ctl.scala 855:44] - csr.io.lsu_pmu_bus_error <= io.tlu_busbuff.lsu_pmu_bus_error @[dec_tlu_ctl.scala 856:44] - csr.io.ifu_pmu_bus_error <= io.tlu_mem.ifu_pmu_bus_error @[dec_tlu_ctl.scala 857:44] - csr.io.lsu_pmu_bus_misaligned <= io.tlu_busbuff.lsu_pmu_bus_misaligned @[dec_tlu_ctl.scala 858:44] - csr.io.lsu_pmu_bus_trxn <= io.tlu_busbuff.lsu_pmu_bus_trxn @[dec_tlu_ctl.scala 859:44] - csr.io.ifu_ic_debug_rd_data <= io.tlu_mem.ifu_ic_debug_rd_data @[dec_tlu_ctl.scala 860:44] - csr.io.pic_pl <= io.dec_pic.pic_pl @[dec_tlu_ctl.scala 861:44] - csr.io.pic_claimid <= io.dec_pic.pic_claimid @[dec_tlu_ctl.scala 862:44] - csr.io.iccm_dma_sb_error <= io.iccm_dma_sb_error @[dec_tlu_ctl.scala 863:44] - csr.io.lsu_imprecise_error_addr_any <= io.tlu_busbuff.lsu_imprecise_error_addr_any @[dec_tlu_ctl.scala 864:44] - csr.io.lsu_imprecise_error_load_any <= io.tlu_busbuff.lsu_imprecise_error_load_any @[dec_tlu_ctl.scala 865:44] - csr.io.lsu_imprecise_error_store_any <= io.tlu_busbuff.lsu_imprecise_error_store_any @[dec_tlu_ctl.scala 866:44] - csr.io.dec_illegal_inst <= io.dec_illegal_inst @[dec_tlu_ctl.scala 867:44] - csr.io.lsu_error_pkt_r.bits.addr <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 868:44] - csr.io.lsu_error_pkt_r.bits.mscause <= io.lsu_error_pkt_r.bits.mscause @[dec_tlu_ctl.scala 868:44] - csr.io.lsu_error_pkt_r.bits.exc_type <= io.lsu_error_pkt_r.bits.exc_type @[dec_tlu_ctl.scala 868:44] - csr.io.lsu_error_pkt_r.bits.inst_type <= io.lsu_error_pkt_r.bits.inst_type @[dec_tlu_ctl.scala 868:44] - csr.io.lsu_error_pkt_r.bits.single_ecc_error <= io.lsu_error_pkt_r.bits.single_ecc_error @[dec_tlu_ctl.scala 868:44] - csr.io.lsu_error_pkt_r.valid <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 868:44] - csr.io.mexintpend <= io.dec_pic.mexintpend @[dec_tlu_ctl.scala 869:44] - csr.io.exu_npc_r <= io.tlu_exu.exu_npc_r @[dec_tlu_ctl.scala 870:44] - csr.io.mpc_reset_run_req <= io.mpc_reset_run_req @[dec_tlu_ctl.scala 871:44] - csr.io.rst_vec <= io.rst_vec @[dec_tlu_ctl.scala 872:44] - csr.io.core_id <= io.core_id @[dec_tlu_ctl.scala 873:44] - csr.io.dec_timer_rddata_d <= int_timers.io.dec_timer_rddata_d @[dec_tlu_ctl.scala 874:44] - csr.io.dec_timer_read_d <= int_timers.io.dec_timer_read_d @[dec_tlu_ctl.scala 875:44] - io.dec_pic.dec_tlu_meicurpl <= csr.io.dec_tlu_meicurpl @[dec_tlu_ctl.scala 876:52] - io.tlu_exu.dec_tlu_meihap <= csr.io.dec_tlu_meihap @[dec_tlu_ctl.scala 877:52] - io.dec_pic.dec_tlu_meipt <= csr.io.dec_tlu_meipt @[dec_tlu_ctl.scala 878:52] - io.dec_tlu_int_valid_wb1 <= csr.io.dec_tlu_int_valid_wb1 @[dec_tlu_ctl.scala 879:44] - io.dec_tlu_i0_exc_valid_wb1 <= csr.io.dec_tlu_i0_exc_valid_wb1 @[dec_tlu_ctl.scala 880:44] - io.dec_tlu_i0_valid_wb1 <= csr.io.dec_tlu_i0_valid_wb1 @[dec_tlu_ctl.scala 881:44] - io.tlu_mem.dec_tlu_ic_diag_pkt.icache_wr_valid <= csr.io.dec_tlu_ic_diag_pkt.icache_wr_valid @[dec_tlu_ctl.scala 882:52] - io.tlu_mem.dec_tlu_ic_diag_pkt.icache_rd_valid <= csr.io.dec_tlu_ic_diag_pkt.icache_rd_valid @[dec_tlu_ctl.scala 882:52] - io.tlu_mem.dec_tlu_ic_diag_pkt.icache_dicawics <= csr.io.dec_tlu_ic_diag_pkt.icache_dicawics @[dec_tlu_ctl.scala 882:52] - io.tlu_mem.dec_tlu_ic_diag_pkt.icache_wrdata <= csr.io.dec_tlu_ic_diag_pkt.icache_wrdata @[dec_tlu_ctl.scala 882:52] - io.trigger_pkt_any[0].tdata2 <= csr.io.trigger_pkt_any[0].tdata2 @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[0].m <= csr.io.trigger_pkt_any[0].m @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[0].execute <= csr.io.trigger_pkt_any[0].execute @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[0].load <= csr.io.trigger_pkt_any[0].load @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[0].store <= csr.io.trigger_pkt_any[0].store @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[0].match_pkt <= csr.io.trigger_pkt_any[0].match_pkt @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[0].select <= csr.io.trigger_pkt_any[0].select @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[1].tdata2 <= csr.io.trigger_pkt_any[1].tdata2 @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[1].m <= csr.io.trigger_pkt_any[1].m @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[1].execute <= csr.io.trigger_pkt_any[1].execute @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[1].load <= csr.io.trigger_pkt_any[1].load @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[1].store <= csr.io.trigger_pkt_any[1].store @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[1].match_pkt <= csr.io.trigger_pkt_any[1].match_pkt @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[1].select <= csr.io.trigger_pkt_any[1].select @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[2].tdata2 <= csr.io.trigger_pkt_any[2].tdata2 @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[2].m <= csr.io.trigger_pkt_any[2].m @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[2].execute <= csr.io.trigger_pkt_any[2].execute @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[2].load <= csr.io.trigger_pkt_any[2].load @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[2].store <= csr.io.trigger_pkt_any[2].store @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[2].match_pkt <= csr.io.trigger_pkt_any[2].match_pkt @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[2].select <= csr.io.trigger_pkt_any[2].select @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[3].tdata2 <= csr.io.trigger_pkt_any[3].tdata2 @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[3].m <= csr.io.trigger_pkt_any[3].m @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[3].execute <= csr.io.trigger_pkt_any[3].execute @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[3].load <= csr.io.trigger_pkt_any[3].load @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[3].store <= csr.io.trigger_pkt_any[3].store @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[3].match_pkt <= csr.io.trigger_pkt_any[3].match_pkt @[dec_tlu_ctl.scala 883:40] - io.trigger_pkt_any[3].select <= csr.io.trigger_pkt_any[3].select @[dec_tlu_ctl.scala 883:40] - io.dec_tlu_mtval_wb1 <= csr.io.dec_tlu_mtval_wb1 @[dec_tlu_ctl.scala 884:40] - io.dec_tlu_exc_cause_wb1 <= csr.io.dec_tlu_exc_cause_wb1 @[dec_tlu_ctl.scala 885:40] - io.dec_tlu_perfcnt0 <= csr.io.dec_tlu_perfcnt0 @[dec_tlu_ctl.scala 886:40] - io.dec_tlu_perfcnt1 <= csr.io.dec_tlu_perfcnt1 @[dec_tlu_ctl.scala 887:40] - io.dec_tlu_perfcnt2 <= csr.io.dec_tlu_perfcnt2 @[dec_tlu_ctl.scala 888:40] - io.dec_tlu_perfcnt3 <= csr.io.dec_tlu_perfcnt3 @[dec_tlu_ctl.scala 889:40] - io.dec_tlu_misc_clk_override <= csr.io.dec_tlu_misc_clk_override @[dec_tlu_ctl.scala 890:40] - io.dec_tlu_dec_clk_override <= csr.io.dec_tlu_dec_clk_override @[dec_tlu_ctl.scala 891:40] - io.dec_tlu_ifu_clk_override <= csr.io.dec_tlu_ifu_clk_override @[dec_tlu_ctl.scala 892:40] - io.dec_tlu_lsu_clk_override <= csr.io.dec_tlu_lsu_clk_override @[dec_tlu_ctl.scala 893:40] - io.dec_tlu_bus_clk_override <= csr.io.dec_tlu_bus_clk_override @[dec_tlu_ctl.scala 894:40] - io.dec_tlu_pic_clk_override <= csr.io.dec_tlu_pic_clk_override @[dec_tlu_ctl.scala 895:40] - io.dec_tlu_dccm_clk_override <= csr.io.dec_tlu_dccm_clk_override @[dec_tlu_ctl.scala 896:40] - io.dec_tlu_icm_clk_override <= csr.io.dec_tlu_icm_clk_override @[dec_tlu_ctl.scala 897:40] - io.dec_csr_rddata_d <= csr.io.dec_csr_rddata_d @[dec_tlu_ctl.scala 898:40] - io.dec_tlu_pipelining_disable <= csr.io.dec_tlu_pipelining_disable @[dec_tlu_ctl.scala 899:40] - io.dec_tlu_wr_pause_r <= csr.io.dec_tlu_wr_pause_r @[dec_tlu_ctl.scala 900:40] - io.tlu_ifc.dec_tlu_mrac_ff <= csr.io.dec_tlu_mrac_ff @[dec_tlu_ctl.scala 901:48] - io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= csr.io.dec_tlu_wb_coalescing_disable @[dec_tlu_ctl.scala 902:52] - io.tlu_bp.dec_tlu_bpred_disable <= csr.io.dec_tlu_bpred_disable @[dec_tlu_ctl.scala 903:47] - io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= csr.io.dec_tlu_sideeffect_posted_disable @[dec_tlu_ctl.scala 904:52] - io.tlu_mem.dec_tlu_core_ecc_disable <= csr.io.dec_tlu_core_ecc_disable @[dec_tlu_ctl.scala 905:48] - io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= csr.io.dec_tlu_external_ldfwd_disable @[dec_tlu_ctl.scala 906:52] - io.tlu_dma.dec_tlu_dma_qos_prty <= csr.io.dec_tlu_dma_qos_prty @[dec_tlu_ctl.scala 907:48] - csr.io.dec_illegal_inst <= io.dec_illegal_inst @[dec_tlu_ctl.scala 908:44] - csr.io.lsu_error_pkt_r.bits.addr <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 909:44] - csr.io.lsu_error_pkt_r.bits.mscause <= io.lsu_error_pkt_r.bits.mscause @[dec_tlu_ctl.scala 909:44] - csr.io.lsu_error_pkt_r.bits.exc_type <= io.lsu_error_pkt_r.bits.exc_type @[dec_tlu_ctl.scala 909:44] - csr.io.lsu_error_pkt_r.bits.inst_type <= io.lsu_error_pkt_r.bits.inst_type @[dec_tlu_ctl.scala 909:44] - csr.io.lsu_error_pkt_r.bits.single_ecc_error <= io.lsu_error_pkt_r.bits.single_ecc_error @[dec_tlu_ctl.scala 909:44] - csr.io.lsu_error_pkt_r.valid <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 909:44] - csr.io.mexintpend <= io.dec_pic.mexintpend @[dec_tlu_ctl.scala 910:44] - csr.io.exu_npc_r <= io.tlu_exu.exu_npc_r @[dec_tlu_ctl.scala 911:44] - csr.io.mpc_reset_run_req <= io.mpc_reset_run_req @[dec_tlu_ctl.scala 912:44] - csr.io.rst_vec <= io.rst_vec @[dec_tlu_ctl.scala 913:44] - csr.io.core_id <= io.core_id @[dec_tlu_ctl.scala 914:44] - csr.io.dec_timer_rddata_d <= int_timers.io.dec_timer_rddata_d @[dec_tlu_ctl.scala 915:44] - csr.io.dec_timer_read_d <= int_timers.io.dec_timer_read_d @[dec_tlu_ctl.scala 916:44] - csr.io.rfpc_i0_r <= rfpc_i0_r @[dec_tlu_ctl.scala 919:39] - csr.io.i0_trigger_hit_r <= i0_trigger_hit_r @[dec_tlu_ctl.scala 920:39] - csr.io.exc_or_int_valid_r <= exc_or_int_valid_r @[dec_tlu_ctl.scala 921:39] - csr.io.mret_r <= mret_r @[dec_tlu_ctl.scala 922:39] - csr.io.dcsr_single_step_running_f <= dcsr_single_step_running_f @[dec_tlu_ctl.scala 923:39] - csr.io.dec_timer_t0_pulse <= int_timers.io.dec_timer_t0_pulse @[dec_tlu_ctl.scala 924:39] - csr.io.dec_timer_t1_pulse <= int_timers.io.dec_timer_t1_pulse @[dec_tlu_ctl.scala 925:39] - csr.io.timer_int_sync <= timer_int_sync @[dec_tlu_ctl.scala 926:39] - csr.io.soft_int_sync <= soft_int_sync @[dec_tlu_ctl.scala 927:39] - csr.io.csr_wr_clk <= rvclkhdr.io.l1clk @[dec_tlu_ctl.scala 928:39] - csr.io.ebreak_to_debug_mode_r <= ebreak_to_debug_mode_r @[dec_tlu_ctl.scala 929:39] - csr.io.dec_tlu_pmu_fw_halted <= dec_tlu_pmu_fw_halted @[dec_tlu_ctl.scala 930:39] - csr.io.lsu_fir_error <= io.lsu_fir_error @[dec_tlu_ctl.scala 931:39] - csr.io.tlu_flush_lower_r_d1 <= tlu_flush_lower_r_d1 @[dec_tlu_ctl.scala 932:39] - csr.io.dec_tlu_flush_noredir_r_d1 <= dec_tlu_flush_noredir_r_d1 @[dec_tlu_ctl.scala 933:39] - csr.io.tlu_flush_path_r_d1 <= tlu_flush_path_r_d1 @[dec_tlu_ctl.scala 934:39] - csr.io.reset_delayed <= reset_delayed @[dec_tlu_ctl.scala 935:39] - csr.io.interrupt_valid_r <= interrupt_valid_r @[dec_tlu_ctl.scala 936:39] - csr.io.i0_exception_valid_r <= i0_exception_valid_r @[dec_tlu_ctl.scala 937:39] - csr.io.lsu_exc_valid_r <= lsu_exc_valid_r @[dec_tlu_ctl.scala 938:39] - csr.io.mepc_trigger_hit_sel_pc_r <= mepc_trigger_hit_sel_pc_r @[dec_tlu_ctl.scala 939:39] - csr.io.e4e5_int_clk <= rvclkhdr_3.io.l1clk @[dec_tlu_ctl.scala 940:39] - csr.io.lsu_i0_exc_r <= lsu_exc_valid_r @[dec_tlu_ctl.scala 941:39] - csr.io.inst_acc_r <= inst_acc_r @[dec_tlu_ctl.scala 942:39] - csr.io.inst_acc_second_r <= io.dec_tlu_packet_r.icaf_f1 @[dec_tlu_ctl.scala 943:39] - csr.io.take_nmi <= take_nmi @[dec_tlu_ctl.scala 944:39] - csr.io.lsu_error_pkt_addr_r <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 945:39] - csr.io.exc_cause_r <= exc_cause_r @[dec_tlu_ctl.scala 946:39] - csr.io.i0_valid_wb <= i0_valid_wb @[dec_tlu_ctl.scala 947:39] - csr.io.exc_or_int_valid_r_d1 <= exc_or_int_valid_r_d1 @[dec_tlu_ctl.scala 948:39] - csr.io.interrupt_valid_r_d1 <= interrupt_valid_r_d1 @[dec_tlu_ctl.scala 949:39] - csr.io.clk_override <= io.dec_tlu_dec_clk_override @[dec_tlu_ctl.scala 950:39] - csr.io.i0_exception_valid_r_d1 <= i0_exception_valid_r_d1 @[dec_tlu_ctl.scala 951:39] - csr.io.lsu_i0_exc_r_d1 <= lsu_i0_exc_r_d1 @[dec_tlu_ctl.scala 952:39] - csr.io.exc_cause_wb <= exc_cause_wb @[dec_tlu_ctl.scala 953:39] - csr.io.nmi_lsu_store_type <= nmi_lsu_store_type @[dec_tlu_ctl.scala 954:39] - csr.io.nmi_lsu_load_type <= nmi_lsu_load_type @[dec_tlu_ctl.scala 955:39] - csr.io.tlu_i0_commit_cmt <= tlu_i0_commit_cmt @[dec_tlu_ctl.scala 956:39] - csr.io.ebreak_r <= ebreak_r @[dec_tlu_ctl.scala 957:39] - csr.io.ecall_r <= ecall_r @[dec_tlu_ctl.scala 958:39] - csr.io.illegal_r <= illegal_r @[dec_tlu_ctl.scala 959:39] - csr.io.mdseac_locked_f <= mdseac_locked_f @[dec_tlu_ctl.scala 960:39] - csr.io.nmi_int_detected_f <= nmi_int_detected_f @[dec_tlu_ctl.scala 961:39] - csr.io.internal_dbg_halt_mode_f2 <= internal_dbg_halt_mode_f2 @[dec_tlu_ctl.scala 962:39] - csr.io.ext_int_freeze_d1 <= ext_int_freeze_d1 @[dec_tlu_ctl.scala 963:39] - csr.io.ic_perr_r_d1 <= ic_perr_r_d1 @[dec_tlu_ctl.scala 964:39] - csr.io.iccm_sbecc_r_d1 <= iccm_sbecc_r_d1 @[dec_tlu_ctl.scala 965:39] - csr.io.lsu_single_ecc_error_r_d1 <= lsu_single_ecc_error_r_d1 @[dec_tlu_ctl.scala 966:39] - csr.io.ifu_miss_state_idle_f <= ifu_miss_state_idle_f @[dec_tlu_ctl.scala 967:39] - csr.io.lsu_idle_any_f <= lsu_idle_any_f @[dec_tlu_ctl.scala 968:39] - csr.io.dbg_tlu_halted_f <= dbg_tlu_halted_f @[dec_tlu_ctl.scala 969:39] - csr.io.dbg_tlu_halted <= dbg_tlu_halted @[dec_tlu_ctl.scala 970:39] - csr.io.debug_halt_req_f <= debug_halt_req_f @[dec_tlu_ctl.scala 971:51] - csr.io.take_ext_int_start <= take_ext_int_start @[dec_tlu_ctl.scala 972:47] - csr.io.trigger_hit_dmode_r_d1 <= trigger_hit_dmode_r_d1 @[dec_tlu_ctl.scala 973:43] - csr.io.trigger_hit_r_d1 <= trigger_hit_r_d1 @[dec_tlu_ctl.scala 974:43] - csr.io.dcsr_single_step_done_f <= dcsr_single_step_done_f @[dec_tlu_ctl.scala 975:43] - csr.io.ebreak_to_debug_mode_r_d1 <= ebreak_to_debug_mode_r_d1 @[dec_tlu_ctl.scala 976:39] - csr.io.debug_halt_req <= debug_halt_req @[dec_tlu_ctl.scala 977:51] - csr.io.allow_dbg_halt_csr_write <= allow_dbg_halt_csr_write @[dec_tlu_ctl.scala 978:39] - csr.io.internal_dbg_halt_mode_f <= debug_mode_status @[dec_tlu_ctl.scala 979:39] - csr.io.enter_debug_halt_req <= enter_debug_halt_req @[dec_tlu_ctl.scala 980:39] - csr.io.internal_dbg_halt_mode <= internal_dbg_halt_mode @[dec_tlu_ctl.scala 981:39] - csr.io.request_debug_mode_done <= request_debug_mode_done @[dec_tlu_ctl.scala 982:39] - csr.io.request_debug_mode_r <= request_debug_mode_r @[dec_tlu_ctl.scala 983:39] - csr.io.update_hit_bit_r <= update_hit_bit_r @[dec_tlu_ctl.scala 984:39] - csr.io.take_timer_int <= take_timer_int @[dec_tlu_ctl.scala 985:39] - csr.io.take_int_timer0_int <= take_int_timer0_int @[dec_tlu_ctl.scala 986:39] - csr.io.take_int_timer1_int <= take_int_timer1_int @[dec_tlu_ctl.scala 987:39] - csr.io.take_ext_int <= take_ext_int @[dec_tlu_ctl.scala 988:39] - csr.io.tlu_flush_lower_r <= tlu_flush_lower_r @[dec_tlu_ctl.scala 989:39] - csr.io.dec_tlu_br0_error_r <= dec_tlu_br0_error_r @[dec_tlu_ctl.scala 990:39] - csr.io.dec_tlu_br0_start_error_r <= dec_tlu_br0_start_error_r @[dec_tlu_ctl.scala 991:39] - csr.io.lsu_pmu_load_external_r <= lsu_pmu_load_external_r @[dec_tlu_ctl.scala 992:39] - csr.io.lsu_pmu_store_external_r <= lsu_pmu_store_external_r @[dec_tlu_ctl.scala 993:39] - csr.io.csr_pkt.legal <= csr_pkt.legal @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.postsync <= csr_pkt.postsync @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.presync <= csr_pkt.presync @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_dicago <= csr_pkt.csr_dicago @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_dicad1 <= csr_pkt.csr_dicad1 @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_dicad0 <= csr_pkt.csr_dicad0 @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_dicad0h <= csr_pkt.csr_dicad0h @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_dicawics <= csr_pkt.csr_dicawics @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mfdhs <= csr_pkt.csr_mfdhs @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mfdht <= csr_pkt.csr_mfdht @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mdccmect <= csr_pkt.csr_mdccmect @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_miccmect <= csr_pkt.csr_miccmect @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_micect <= csr_pkt.csr_micect @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mdeau <= csr_pkt.csr_mdeau @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_meicpct <= csr_pkt.csr_meicpct @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mcpc <= csr_pkt.csr_mcpc @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mpmc <= csr_pkt.csr_mpmc @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mitcnt1 <= csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mitcnt0 <= csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mitb1 <= csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mitb0 <= csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mitctl1 <= csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mitctl0 <= csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mcountinhibit <= csr_pkt.csr_mcountinhibit @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mhpme6 <= csr_pkt.csr_mhpme6 @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mhpme5 <= csr_pkt.csr_mhpme5 @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mhpme4 <= csr_pkt.csr_mhpme4 @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mhpme3 <= csr_pkt.csr_mhpme3 @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mhpmc6h <= csr_pkt.csr_mhpmc6h @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mhpmc5h <= csr_pkt.csr_mhpmc5h @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mhpmc4h <= csr_pkt.csr_mhpmc4h @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mhpmc3h <= csr_pkt.csr_mhpmc3h @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mhpmc6 <= csr_pkt.csr_mhpmc6 @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mhpmc5 <= csr_pkt.csr_mhpmc5 @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mhpmc4 <= csr_pkt.csr_mhpmc4 @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mhpmc3 <= csr_pkt.csr_mhpmc3 @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mtdata2 <= csr_pkt.csr_mtdata2 @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mtdata1 <= csr_pkt.csr_mtdata1 @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mtsel <= csr_pkt.csr_mtsel @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_dpc <= csr_pkt.csr_dpc @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mfdc <= csr_pkt.csr_mfdc @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mcgc <= csr_pkt.csr_mcgc @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_dcsr <= csr_pkt.csr_dcsr @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_meicidpl <= csr_pkt.csr_meicidpl @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_meicurpl <= csr_pkt.csr_meicurpl @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_meipt <= csr_pkt.csr_meipt @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_meivt <= csr_pkt.csr_meivt @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_meihap <= csr_pkt.csr_meihap @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mdseac <= csr_pkt.csr_mdseac @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_dmst <= csr_pkt.csr_dmst @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mrac <= csr_pkt.csr_mrac @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mtval <= csr_pkt.csr_mtval @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mscause <= csr_pkt.csr_mscause @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mcause <= csr_pkt.csr_mcause @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mepc <= csr_pkt.csr_mepc @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mscratch <= csr_pkt.csr_mscratch @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_minstreth <= csr_pkt.csr_minstreth @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_minstretl <= csr_pkt.csr_minstretl @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mcycleh <= csr_pkt.csr_mcycleh @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mcyclel <= csr_pkt.csr_mcyclel @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mie <= csr_pkt.csr_mie @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mip <= csr_pkt.csr_mip @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mtvec <= csr_pkt.csr_mtvec @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mstatus <= csr_pkt.csr_mstatus @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mhartid <= csr_pkt.csr_mhartid @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mimpid <= csr_pkt.csr_mimpid @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_marchid <= csr_pkt.csr_marchid @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_mvendorid <= csr_pkt.csr_mvendorid @[dec_tlu_ctl.scala 994:39] - csr.io.csr_pkt.csr_misa <= csr_pkt.csr_misa @[dec_tlu_ctl.scala 994:39] - npc_r <= csr.io.npc_r @[dec_tlu_ctl.scala 996:31] - npc_r_d1 <= csr.io.npc_r_d1 @[dec_tlu_ctl.scala 997:31] - mie_ns <= csr.io.mie_ns @[dec_tlu_ctl.scala 998:31] - mepc <= csr.io.mepc @[dec_tlu_ctl.scala 999:31] - mdseac_locked_ns <= csr.io.mdseac_locked_ns @[dec_tlu_ctl.scala 1000:31] - force_halt <= csr.io.force_halt @[dec_tlu_ctl.scala 1001:31] - dpc <= csr.io.dpc @[dec_tlu_ctl.scala 1002:31] - mstatus_mie_ns <= csr.io.mstatus_mie_ns @[dec_tlu_ctl.scala 1003:31] - dec_csr_wen_r_mod <= csr.io.dec_csr_wen_r_mod @[dec_tlu_ctl.scala 1004:31] - fw_halt_req <= csr.io.fw_halt_req @[dec_tlu_ctl.scala 1005:31] - mstatus <= csr.io.mstatus @[dec_tlu_ctl.scala 1006:31] - dcsr <= csr.io.dcsr @[dec_tlu_ctl.scala 1007:31] - mtvec <= csr.io.mtvec @[dec_tlu_ctl.scala 1008:31] - mip <= csr.io.mip @[dec_tlu_ctl.scala 1009:31] - mtdata1_t[0] <= csr.io.mtdata1_t[0] @[dec_tlu_ctl.scala 1010:33] - mtdata1_t[1] <= csr.io.mtdata1_t[1] @[dec_tlu_ctl.scala 1010:33] - mtdata1_t[2] <= csr.io.mtdata1_t[2] @[dec_tlu_ctl.scala 1010:33] - mtdata1_t[3] <= csr.io.mtdata1_t[3] @[dec_tlu_ctl.scala 1010:33] - inst csr_read of dec_decode_csr_read @[dec_tlu_ctl.scala 1011:22] + csr.io.free_clk <= io.free_clk @[dec_tlu_ctl.scala 817:44] + csr.io.active_clk <= io.active_clk @[dec_tlu_ctl.scala 818:44] + csr.io.scan_mode <= io.scan_mode @[dec_tlu_ctl.scala 819:44] + csr.io.dec_csr_wrdata_r <= io.dec_csr_wrdata_r @[dec_tlu_ctl.scala 820:44] + csr.io.dec_csr_wraddr_r <= io.dec_csr_wraddr_r @[dec_tlu_ctl.scala 821:44] + csr.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 822:44] + csr.io.dec_csr_wen_unq_d <= io.dec_csr_wen_unq_d @[dec_tlu_ctl.scala 823:44] + csr.io.dec_i0_decode_d <= io.dec_i0_decode_d @[dec_tlu_ctl.scala 824:44] + csr.io.ifu_ic_debug_rd_data_valid <= io.tlu_mem.ifu_ic_debug_rd_data_valid @[dec_tlu_ctl.scala 825:44] + csr.io.ifu_pmu_bus_trxn <= io.tlu_mem.ifu_pmu_bus_trxn @[dec_tlu_ctl.scala 826:44] + csr.io.dma_iccm_stall_any <= io.tlu_dma.dma_iccm_stall_any @[dec_tlu_ctl.scala 827:44] + csr.io.dma_dccm_stall_any <= io.tlu_dma.dma_dccm_stall_any @[dec_tlu_ctl.scala 828:44] + csr.io.lsu_store_stall_any <= io.lsu_store_stall_any @[dec_tlu_ctl.scala 829:44] + csr.io.dec_pmu_presync_stall <= io.dec_pmu_presync_stall @[dec_tlu_ctl.scala 830:44] + csr.io.dec_pmu_postsync_stall <= io.dec_pmu_postsync_stall @[dec_tlu_ctl.scala 831:44] + csr.io.dec_pmu_decode_stall <= io.dec_pmu_decode_stall @[dec_tlu_ctl.scala 832:44] + csr.io.ifu_pmu_fetch_stall <= io.tlu_ifc.ifu_pmu_fetch_stall @[dec_tlu_ctl.scala 833:44] + csr.io.dec_tlu_packet_r.pmu_lsu_misaligned <= io.dec_tlu_packet_r.pmu_lsu_misaligned @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.pmu_divide <= io.dec_tlu_packet_r.pmu_divide @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.pmu_i0_br_unpred <= io.dec_tlu_packet_r.pmu_i0_br_unpred @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.pmu_i0_itype <= io.dec_tlu_packet_r.pmu_i0_itype @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.i0trigger <= io.dec_tlu_packet_r.i0trigger @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.fence_i <= io.dec_tlu_packet_r.fence_i @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.icaf_type <= io.dec_tlu_packet_r.icaf_type @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.icaf_f1 <= io.dec_tlu_packet_r.icaf_f1 @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.icaf <= io.dec_tlu_packet_r.icaf @[dec_tlu_ctl.scala 834:44] + csr.io.dec_tlu_packet_r.legal <= io.dec_tlu_packet_r.legal @[dec_tlu_ctl.scala 834:44] + csr.io.exu_pmu_i0_br_ataken <= io.tlu_exu.exu_pmu_i0_br_ataken @[dec_tlu_ctl.scala 835:44] + csr.io.exu_pmu_i0_br_misp <= io.tlu_exu.exu_pmu_i0_br_misp @[dec_tlu_ctl.scala 836:44] + csr.io.dec_pmu_instr_decoded <= io.dec_pmu_instr_decoded @[dec_tlu_ctl.scala 837:44] + csr.io.ifu_pmu_instr_aligned <= io.ifu_pmu_instr_aligned @[dec_tlu_ctl.scala 838:44] + csr.io.exu_pmu_i0_pc4 <= io.tlu_exu.exu_pmu_i0_pc4 @[dec_tlu_ctl.scala 839:44] + csr.io.ifu_pmu_ic_miss <= io.tlu_mem.ifu_pmu_ic_miss @[dec_tlu_ctl.scala 840:44] + csr.io.ifu_pmu_ic_hit <= io.tlu_mem.ifu_pmu_ic_hit @[dec_tlu_ctl.scala 841:44] + csr.io.dec_csr_wen_r <= io.dec_csr_wen_r @[dec_tlu_ctl.scala 842:44] + csr.io.dec_tlu_dbg_halted <= io.dec_tlu_dbg_halted @[dec_tlu_ctl.scala 843:44] + csr.io.dma_pmu_dccm_write <= io.tlu_dma.dma_pmu_dccm_write @[dec_tlu_ctl.scala 844:44] + csr.io.dma_pmu_dccm_read <= io.tlu_dma.dma_pmu_dccm_read @[dec_tlu_ctl.scala 845:44] + csr.io.dma_pmu_any_write <= io.tlu_dma.dma_pmu_any_write @[dec_tlu_ctl.scala 846:44] + csr.io.dma_pmu_any_read <= io.tlu_dma.dma_pmu_any_read @[dec_tlu_ctl.scala 847:44] + csr.io.lsu_pmu_bus_busy <= io.tlu_busbuff.lsu_pmu_bus_busy @[dec_tlu_ctl.scala 848:44] + csr.io.dec_tlu_i0_pc_r <= io.dec_tlu_i0_pc_r @[dec_tlu_ctl.scala 849:44] + csr.io.dec_tlu_i0_valid_r <= io.dec_tlu_i0_valid_r @[dec_tlu_ctl.scala 850:44] + csr.io.dec_csr_stall_int_ff <= io.dec_csr_stall_int_ff @[dec_tlu_ctl.scala 851:44] + csr.io.dec_csr_any_unq_d <= io.dec_csr_any_unq_d @[dec_tlu_ctl.scala 852:44] + csr.io.ifu_pmu_bus_busy <= io.tlu_mem.ifu_pmu_bus_busy @[dec_tlu_ctl.scala 853:44] + csr.io.lsu_pmu_bus_error <= io.tlu_busbuff.lsu_pmu_bus_error @[dec_tlu_ctl.scala 854:44] + csr.io.ifu_pmu_bus_error <= io.tlu_mem.ifu_pmu_bus_error @[dec_tlu_ctl.scala 855:44] + csr.io.lsu_pmu_bus_misaligned <= io.tlu_busbuff.lsu_pmu_bus_misaligned @[dec_tlu_ctl.scala 856:44] + csr.io.lsu_pmu_bus_trxn <= io.tlu_busbuff.lsu_pmu_bus_trxn @[dec_tlu_ctl.scala 857:44] + csr.io.ifu_ic_debug_rd_data <= io.tlu_mem.ifu_ic_debug_rd_data @[dec_tlu_ctl.scala 858:44] + csr.io.pic_pl <= io.dec_pic.pic_pl @[dec_tlu_ctl.scala 859:44] + csr.io.pic_claimid <= io.dec_pic.pic_claimid @[dec_tlu_ctl.scala 860:44] + csr.io.iccm_dma_sb_error <= io.iccm_dma_sb_error @[dec_tlu_ctl.scala 861:44] + csr.io.lsu_imprecise_error_addr_any <= io.tlu_busbuff.lsu_imprecise_error_addr_any @[dec_tlu_ctl.scala 862:44] + csr.io.lsu_imprecise_error_load_any <= io.tlu_busbuff.lsu_imprecise_error_load_any @[dec_tlu_ctl.scala 863:44] + csr.io.lsu_imprecise_error_store_any <= io.tlu_busbuff.lsu_imprecise_error_store_any @[dec_tlu_ctl.scala 864:44] + csr.io.dec_illegal_inst <= io.dec_illegal_inst @[dec_tlu_ctl.scala 865:44] + csr.io.lsu_error_pkt_r.bits.addr <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 866:44] + csr.io.lsu_error_pkt_r.bits.mscause <= io.lsu_error_pkt_r.bits.mscause @[dec_tlu_ctl.scala 866:44] + csr.io.lsu_error_pkt_r.bits.exc_type <= io.lsu_error_pkt_r.bits.exc_type @[dec_tlu_ctl.scala 866:44] + csr.io.lsu_error_pkt_r.bits.inst_type <= io.lsu_error_pkt_r.bits.inst_type @[dec_tlu_ctl.scala 866:44] + csr.io.lsu_error_pkt_r.bits.single_ecc_error <= io.lsu_error_pkt_r.bits.single_ecc_error @[dec_tlu_ctl.scala 866:44] + csr.io.lsu_error_pkt_r.valid <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 866:44] + csr.io.mexintpend <= io.dec_pic.mexintpend @[dec_tlu_ctl.scala 867:44] + csr.io.exu_npc_r <= io.tlu_exu.exu_npc_r @[dec_tlu_ctl.scala 868:44] + csr.io.mpc_reset_run_req <= io.mpc_reset_run_req @[dec_tlu_ctl.scala 869:44] + csr.io.rst_vec <= io.rst_vec @[dec_tlu_ctl.scala 870:44] + csr.io.core_id <= io.core_id @[dec_tlu_ctl.scala 871:44] + csr.io.dec_timer_rddata_d <= int_timers.io.dec_timer_rddata_d @[dec_tlu_ctl.scala 872:44] + csr.io.dec_timer_read_d <= int_timers.io.dec_timer_read_d @[dec_tlu_ctl.scala 873:44] + io.dec_pic.dec_tlu_meicurpl <= csr.io.dec_tlu_meicurpl @[dec_tlu_ctl.scala 874:52] + io.tlu_exu.dec_tlu_meihap <= csr.io.dec_tlu_meihap @[dec_tlu_ctl.scala 875:52] + io.dec_pic.dec_tlu_meipt <= csr.io.dec_tlu_meipt @[dec_tlu_ctl.scala 876:52] + io.dec_tlu_int_valid_wb1 <= csr.io.dec_tlu_int_valid_wb1 @[dec_tlu_ctl.scala 877:44] + io.dec_tlu_i0_exc_valid_wb1 <= csr.io.dec_tlu_i0_exc_valid_wb1 @[dec_tlu_ctl.scala 878:44] + io.dec_tlu_i0_valid_wb1 <= csr.io.dec_tlu_i0_valid_wb1 @[dec_tlu_ctl.scala 879:44] + io.tlu_mem.dec_tlu_ic_diag_pkt.icache_wr_valid <= csr.io.dec_tlu_ic_diag_pkt.icache_wr_valid @[dec_tlu_ctl.scala 880:52] + io.tlu_mem.dec_tlu_ic_diag_pkt.icache_rd_valid <= csr.io.dec_tlu_ic_diag_pkt.icache_rd_valid @[dec_tlu_ctl.scala 880:52] + io.tlu_mem.dec_tlu_ic_diag_pkt.icache_dicawics <= csr.io.dec_tlu_ic_diag_pkt.icache_dicawics @[dec_tlu_ctl.scala 880:52] + io.tlu_mem.dec_tlu_ic_diag_pkt.icache_wrdata <= csr.io.dec_tlu_ic_diag_pkt.icache_wrdata @[dec_tlu_ctl.scala 880:52] + io.trigger_pkt_any[0].tdata2 <= csr.io.trigger_pkt_any[0].tdata2 @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[0].m <= csr.io.trigger_pkt_any[0].m @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[0].execute <= csr.io.trigger_pkt_any[0].execute @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[0].load <= csr.io.trigger_pkt_any[0].load @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[0].store <= csr.io.trigger_pkt_any[0].store @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[0].match_pkt <= csr.io.trigger_pkt_any[0].match_pkt @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[0].select <= csr.io.trigger_pkt_any[0].select @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].tdata2 <= csr.io.trigger_pkt_any[1].tdata2 @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].m <= csr.io.trigger_pkt_any[1].m @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].execute <= csr.io.trigger_pkt_any[1].execute @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].load <= csr.io.trigger_pkt_any[1].load @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].store <= csr.io.trigger_pkt_any[1].store @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].match_pkt <= csr.io.trigger_pkt_any[1].match_pkt @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[1].select <= csr.io.trigger_pkt_any[1].select @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].tdata2 <= csr.io.trigger_pkt_any[2].tdata2 @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].m <= csr.io.trigger_pkt_any[2].m @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].execute <= csr.io.trigger_pkt_any[2].execute @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].load <= csr.io.trigger_pkt_any[2].load @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].store <= csr.io.trigger_pkt_any[2].store @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].match_pkt <= csr.io.trigger_pkt_any[2].match_pkt @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[2].select <= csr.io.trigger_pkt_any[2].select @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].tdata2 <= csr.io.trigger_pkt_any[3].tdata2 @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].m <= csr.io.trigger_pkt_any[3].m @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].execute <= csr.io.trigger_pkt_any[3].execute @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].load <= csr.io.trigger_pkt_any[3].load @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].store <= csr.io.trigger_pkt_any[3].store @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].match_pkt <= csr.io.trigger_pkt_any[3].match_pkt @[dec_tlu_ctl.scala 881:40] + io.trigger_pkt_any[3].select <= csr.io.trigger_pkt_any[3].select @[dec_tlu_ctl.scala 881:40] + io.dec_tlu_mtval_wb1 <= csr.io.dec_tlu_mtval_wb1 @[dec_tlu_ctl.scala 882:40] + io.dec_tlu_exc_cause_wb1 <= csr.io.dec_tlu_exc_cause_wb1 @[dec_tlu_ctl.scala 883:40] + io.dec_tlu_perfcnt0 <= csr.io.dec_tlu_perfcnt0 @[dec_tlu_ctl.scala 884:40] + io.dec_tlu_perfcnt1 <= csr.io.dec_tlu_perfcnt1 @[dec_tlu_ctl.scala 885:40] + io.dec_tlu_perfcnt2 <= csr.io.dec_tlu_perfcnt2 @[dec_tlu_ctl.scala 886:40] + io.dec_tlu_perfcnt3 <= csr.io.dec_tlu_perfcnt3 @[dec_tlu_ctl.scala 887:40] + io.dec_tlu_misc_clk_override <= csr.io.dec_tlu_misc_clk_override @[dec_tlu_ctl.scala 888:40] + io.dec_tlu_dec_clk_override <= csr.io.dec_tlu_dec_clk_override @[dec_tlu_ctl.scala 889:40] + io.dec_tlu_ifu_clk_override <= csr.io.dec_tlu_ifu_clk_override @[dec_tlu_ctl.scala 890:40] + io.dec_tlu_lsu_clk_override <= csr.io.dec_tlu_lsu_clk_override @[dec_tlu_ctl.scala 891:40] + io.dec_tlu_bus_clk_override <= csr.io.dec_tlu_bus_clk_override @[dec_tlu_ctl.scala 892:40] + io.dec_tlu_pic_clk_override <= csr.io.dec_tlu_pic_clk_override @[dec_tlu_ctl.scala 893:40] + io.dec_tlu_dccm_clk_override <= csr.io.dec_tlu_dccm_clk_override @[dec_tlu_ctl.scala 894:40] + io.dec_tlu_icm_clk_override <= csr.io.dec_tlu_icm_clk_override @[dec_tlu_ctl.scala 895:40] + io.dec_csr_rddata_d <= csr.io.dec_csr_rddata_d @[dec_tlu_ctl.scala 896:40] + io.dec_tlu_pipelining_disable <= csr.io.dec_tlu_pipelining_disable @[dec_tlu_ctl.scala 897:40] + io.dec_tlu_wr_pause_r <= csr.io.dec_tlu_wr_pause_r @[dec_tlu_ctl.scala 898:40] + io.tlu_ifc.dec_tlu_mrac_ff <= csr.io.dec_tlu_mrac_ff @[dec_tlu_ctl.scala 899:48] + io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= csr.io.dec_tlu_wb_coalescing_disable @[dec_tlu_ctl.scala 900:52] + io.tlu_bp.dec_tlu_bpred_disable <= csr.io.dec_tlu_bpred_disable @[dec_tlu_ctl.scala 901:47] + io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= csr.io.dec_tlu_sideeffect_posted_disable @[dec_tlu_ctl.scala 902:52] + io.tlu_mem.dec_tlu_core_ecc_disable <= csr.io.dec_tlu_core_ecc_disable @[dec_tlu_ctl.scala 903:48] + io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= csr.io.dec_tlu_external_ldfwd_disable @[dec_tlu_ctl.scala 904:52] + io.tlu_dma.dec_tlu_dma_qos_prty <= csr.io.dec_tlu_dma_qos_prty @[dec_tlu_ctl.scala 905:48] + csr.io.dec_illegal_inst <= io.dec_illegal_inst @[dec_tlu_ctl.scala 906:44] + csr.io.lsu_error_pkt_r.bits.addr <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 907:44] + csr.io.lsu_error_pkt_r.bits.mscause <= io.lsu_error_pkt_r.bits.mscause @[dec_tlu_ctl.scala 907:44] + csr.io.lsu_error_pkt_r.bits.exc_type <= io.lsu_error_pkt_r.bits.exc_type @[dec_tlu_ctl.scala 907:44] + csr.io.lsu_error_pkt_r.bits.inst_type <= io.lsu_error_pkt_r.bits.inst_type @[dec_tlu_ctl.scala 907:44] + csr.io.lsu_error_pkt_r.bits.single_ecc_error <= io.lsu_error_pkt_r.bits.single_ecc_error @[dec_tlu_ctl.scala 907:44] + csr.io.lsu_error_pkt_r.valid <= io.lsu_error_pkt_r.valid @[dec_tlu_ctl.scala 907:44] + csr.io.mexintpend <= io.dec_pic.mexintpend @[dec_tlu_ctl.scala 908:44] + csr.io.exu_npc_r <= io.tlu_exu.exu_npc_r @[dec_tlu_ctl.scala 909:44] + csr.io.mpc_reset_run_req <= io.mpc_reset_run_req @[dec_tlu_ctl.scala 910:44] + csr.io.rst_vec <= io.rst_vec @[dec_tlu_ctl.scala 911:44] + csr.io.core_id <= io.core_id @[dec_tlu_ctl.scala 912:44] + csr.io.dec_timer_rddata_d <= int_timers.io.dec_timer_rddata_d @[dec_tlu_ctl.scala 913:44] + csr.io.dec_timer_read_d <= int_timers.io.dec_timer_read_d @[dec_tlu_ctl.scala 914:44] + csr.io.rfpc_i0_r <= rfpc_i0_r @[dec_tlu_ctl.scala 917:39] + csr.io.i0_trigger_hit_r <= i0_trigger_hit_r @[dec_tlu_ctl.scala 918:39] + csr.io.exc_or_int_valid_r <= exc_or_int_valid_r @[dec_tlu_ctl.scala 919:39] + csr.io.mret_r <= mret_r @[dec_tlu_ctl.scala 920:39] + csr.io.dcsr_single_step_running_f <= dcsr_single_step_running_f @[dec_tlu_ctl.scala 921:39] + csr.io.dec_timer_t0_pulse <= int_timers.io.dec_timer_t0_pulse @[dec_tlu_ctl.scala 922:39] + csr.io.dec_timer_t1_pulse <= int_timers.io.dec_timer_t1_pulse @[dec_tlu_ctl.scala 923:39] + csr.io.timer_int_sync <= timer_int_sync @[dec_tlu_ctl.scala 924:39] + csr.io.soft_int_sync <= soft_int_sync @[dec_tlu_ctl.scala 925:39] + csr.io.csr_wr_clk <= rvclkhdr.io.l1clk @[dec_tlu_ctl.scala 926:39] + csr.io.ebreak_to_debug_mode_r <= ebreak_to_debug_mode_r @[dec_tlu_ctl.scala 927:39] + csr.io.dec_tlu_pmu_fw_halted <= dec_tlu_pmu_fw_halted @[dec_tlu_ctl.scala 928:39] + csr.io.lsu_fir_error <= io.lsu_fir_error @[dec_tlu_ctl.scala 929:39] + csr.io.tlu_flush_lower_r_d1 <= tlu_flush_lower_r_d1 @[dec_tlu_ctl.scala 930:39] + csr.io.dec_tlu_flush_noredir_r_d1 <= dec_tlu_flush_noredir_r_d1 @[dec_tlu_ctl.scala 931:39] + csr.io.tlu_flush_path_r_d1 <= tlu_flush_path_r_d1 @[dec_tlu_ctl.scala 932:39] + csr.io.reset_delayed <= reset_delayed @[dec_tlu_ctl.scala 933:39] + csr.io.interrupt_valid_r <= interrupt_valid_r @[dec_tlu_ctl.scala 934:39] + csr.io.i0_exception_valid_r <= i0_exception_valid_r @[dec_tlu_ctl.scala 935:39] + csr.io.lsu_exc_valid_r <= lsu_exc_valid_r @[dec_tlu_ctl.scala 936:39] + csr.io.mepc_trigger_hit_sel_pc_r <= mepc_trigger_hit_sel_pc_r @[dec_tlu_ctl.scala 937:39] + csr.io.e4e5_int_clk <= rvclkhdr_3.io.l1clk @[dec_tlu_ctl.scala 938:39] + csr.io.lsu_i0_exc_r <= lsu_exc_valid_r @[dec_tlu_ctl.scala 939:39] + csr.io.inst_acc_r <= inst_acc_r @[dec_tlu_ctl.scala 940:39] + csr.io.inst_acc_second_r <= io.dec_tlu_packet_r.icaf_f1 @[dec_tlu_ctl.scala 941:39] + csr.io.take_nmi <= take_nmi @[dec_tlu_ctl.scala 942:39] + csr.io.lsu_error_pkt_addr_r <= io.lsu_error_pkt_r.bits.addr @[dec_tlu_ctl.scala 943:39] + csr.io.exc_cause_r <= exc_cause_r @[dec_tlu_ctl.scala 944:39] + csr.io.i0_valid_wb <= i0_valid_wb @[dec_tlu_ctl.scala 945:39] + csr.io.exc_or_int_valid_r_d1 <= exc_or_int_valid_r_d1 @[dec_tlu_ctl.scala 946:39] + csr.io.interrupt_valid_r_d1 <= interrupt_valid_r_d1 @[dec_tlu_ctl.scala 947:39] + csr.io.clk_override <= io.dec_tlu_dec_clk_override @[dec_tlu_ctl.scala 948:39] + csr.io.i0_exception_valid_r_d1 <= i0_exception_valid_r_d1 @[dec_tlu_ctl.scala 949:39] + csr.io.lsu_i0_exc_r_d1 <= lsu_i0_exc_r_d1 @[dec_tlu_ctl.scala 950:39] + csr.io.exc_cause_wb <= exc_cause_wb @[dec_tlu_ctl.scala 951:39] + csr.io.nmi_lsu_store_type <= nmi_lsu_store_type @[dec_tlu_ctl.scala 952:39] + csr.io.nmi_lsu_load_type <= nmi_lsu_load_type @[dec_tlu_ctl.scala 953:39] + csr.io.tlu_i0_commit_cmt <= tlu_i0_commit_cmt @[dec_tlu_ctl.scala 954:39] + csr.io.ebreak_r <= ebreak_r @[dec_tlu_ctl.scala 955:39] + csr.io.ecall_r <= ecall_r @[dec_tlu_ctl.scala 956:39] + csr.io.illegal_r <= illegal_r @[dec_tlu_ctl.scala 957:39] + csr.io.mdseac_locked_f <= mdseac_locked_f @[dec_tlu_ctl.scala 958:39] + csr.io.nmi_int_detected_f <= nmi_int_detected_f @[dec_tlu_ctl.scala 959:39] + csr.io.internal_dbg_halt_mode_f2 <= internal_dbg_halt_mode_f2 @[dec_tlu_ctl.scala 960:39] + csr.io.ext_int_freeze_d1 <= ext_int_freeze_d1 @[dec_tlu_ctl.scala 961:39] + csr.io.ic_perr_r_d1 <= ic_perr_r_d1 @[dec_tlu_ctl.scala 962:39] + csr.io.iccm_sbecc_r_d1 <= iccm_sbecc_r_d1 @[dec_tlu_ctl.scala 963:39] + csr.io.lsu_single_ecc_error_r_d1 <= lsu_single_ecc_error_r_d1 @[dec_tlu_ctl.scala 964:39] + csr.io.ifu_miss_state_idle_f <= ifu_miss_state_idle_f @[dec_tlu_ctl.scala 965:39] + csr.io.lsu_idle_any_f <= lsu_idle_any_f @[dec_tlu_ctl.scala 966:39] + csr.io.dbg_tlu_halted_f <= dbg_tlu_halted_f @[dec_tlu_ctl.scala 967:39] + csr.io.dbg_tlu_halted <= dbg_tlu_halted @[dec_tlu_ctl.scala 968:39] + csr.io.debug_halt_req_f <= debug_halt_req_f @[dec_tlu_ctl.scala 969:51] + csr.io.take_ext_int_start <= take_ext_int_start @[dec_tlu_ctl.scala 970:47] + csr.io.trigger_hit_dmode_r_d1 <= trigger_hit_dmode_r_d1 @[dec_tlu_ctl.scala 971:43] + csr.io.trigger_hit_r_d1 <= trigger_hit_r_d1 @[dec_tlu_ctl.scala 972:43] + csr.io.dcsr_single_step_done_f <= dcsr_single_step_done_f @[dec_tlu_ctl.scala 973:43] + csr.io.ebreak_to_debug_mode_r_d1 <= ebreak_to_debug_mode_r_d1 @[dec_tlu_ctl.scala 974:39] + csr.io.debug_halt_req <= debug_halt_req @[dec_tlu_ctl.scala 975:51] + csr.io.allow_dbg_halt_csr_write <= allow_dbg_halt_csr_write @[dec_tlu_ctl.scala 976:39] + csr.io.internal_dbg_halt_mode_f <= debug_mode_status @[dec_tlu_ctl.scala 977:39] + csr.io.enter_debug_halt_req <= enter_debug_halt_req @[dec_tlu_ctl.scala 978:39] + csr.io.internal_dbg_halt_mode <= internal_dbg_halt_mode @[dec_tlu_ctl.scala 979:39] + csr.io.request_debug_mode_done <= request_debug_mode_done @[dec_tlu_ctl.scala 980:39] + csr.io.request_debug_mode_r <= request_debug_mode_r @[dec_tlu_ctl.scala 981:39] + csr.io.update_hit_bit_r <= update_hit_bit_r @[dec_tlu_ctl.scala 982:39] + csr.io.take_timer_int <= take_timer_int @[dec_tlu_ctl.scala 983:39] + csr.io.take_int_timer0_int <= take_int_timer0_int @[dec_tlu_ctl.scala 984:39] + csr.io.take_int_timer1_int <= take_int_timer1_int @[dec_tlu_ctl.scala 985:39] + csr.io.take_ext_int <= take_ext_int @[dec_tlu_ctl.scala 986:39] + csr.io.tlu_flush_lower_r <= tlu_flush_lower_r @[dec_tlu_ctl.scala 987:39] + csr.io.dec_tlu_br0_error_r <= dec_tlu_br0_error_r @[dec_tlu_ctl.scala 988:39] + csr.io.dec_tlu_br0_start_error_r <= dec_tlu_br0_start_error_r @[dec_tlu_ctl.scala 989:39] + csr.io.lsu_pmu_load_external_r <= lsu_pmu_load_external_r @[dec_tlu_ctl.scala 990:39] + csr.io.lsu_pmu_store_external_r <= lsu_pmu_store_external_r @[dec_tlu_ctl.scala 991:39] + csr.io.csr_pkt.legal <= csr_pkt.legal @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.postsync <= csr_pkt.postsync @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.presync <= csr_pkt.presync @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dicago <= csr_pkt.csr_dicago @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dicad1 <= csr_pkt.csr_dicad1 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dicad0 <= csr_pkt.csr_dicad0 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dicad0h <= csr_pkt.csr_dicad0h @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dicawics <= csr_pkt.csr_dicawics @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mfdhs <= csr_pkt.csr_mfdhs @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mfdht <= csr_pkt.csr_mfdht @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mdccmect <= csr_pkt.csr_mdccmect @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_miccmect <= csr_pkt.csr_miccmect @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_micect <= csr_pkt.csr_micect @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mdeau <= csr_pkt.csr_mdeau @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_meicpct <= csr_pkt.csr_meicpct @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mcpc <= csr_pkt.csr_mcpc @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mpmc <= csr_pkt.csr_mpmc @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mitcnt1 <= csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mitcnt0 <= csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mitb1 <= csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mitb0 <= csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mitctl1 <= csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mitctl0 <= csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mcountinhibit <= csr_pkt.csr_mcountinhibit @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpme6 <= csr_pkt.csr_mhpme6 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpme5 <= csr_pkt.csr_mhpme5 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpme4 <= csr_pkt.csr_mhpme4 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpme3 <= csr_pkt.csr_mhpme3 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc6h <= csr_pkt.csr_mhpmc6h @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc5h <= csr_pkt.csr_mhpmc5h @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc4h <= csr_pkt.csr_mhpmc4h @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc3h <= csr_pkt.csr_mhpmc3h @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc6 <= csr_pkt.csr_mhpmc6 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc5 <= csr_pkt.csr_mhpmc5 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc4 <= csr_pkt.csr_mhpmc4 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhpmc3 <= csr_pkt.csr_mhpmc3 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mtdata2 <= csr_pkt.csr_mtdata2 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mtdata1 <= csr_pkt.csr_mtdata1 @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mtsel <= csr_pkt.csr_mtsel @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dpc <= csr_pkt.csr_dpc @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mfdc <= csr_pkt.csr_mfdc @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mcgc <= csr_pkt.csr_mcgc @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dcsr <= csr_pkt.csr_dcsr @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_meicidpl <= csr_pkt.csr_meicidpl @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_meicurpl <= csr_pkt.csr_meicurpl @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_meipt <= csr_pkt.csr_meipt @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_meivt <= csr_pkt.csr_meivt @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_meihap <= csr_pkt.csr_meihap @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mdseac <= csr_pkt.csr_mdseac @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_dmst <= csr_pkt.csr_dmst @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mrac <= csr_pkt.csr_mrac @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mtval <= csr_pkt.csr_mtval @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mscause <= csr_pkt.csr_mscause @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mcause <= csr_pkt.csr_mcause @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mepc <= csr_pkt.csr_mepc @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mscratch <= csr_pkt.csr_mscratch @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_minstreth <= csr_pkt.csr_minstreth @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_minstretl <= csr_pkt.csr_minstretl @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mcycleh <= csr_pkt.csr_mcycleh @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mcyclel <= csr_pkt.csr_mcyclel @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mie <= csr_pkt.csr_mie @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mip <= csr_pkt.csr_mip @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mtvec <= csr_pkt.csr_mtvec @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mstatus <= csr_pkt.csr_mstatus @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mhartid <= csr_pkt.csr_mhartid @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mimpid <= csr_pkt.csr_mimpid @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_marchid <= csr_pkt.csr_marchid @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_mvendorid <= csr_pkt.csr_mvendorid @[dec_tlu_ctl.scala 992:39] + csr.io.csr_pkt.csr_misa <= csr_pkt.csr_misa @[dec_tlu_ctl.scala 992:39] + npc_r <= csr.io.npc_r @[dec_tlu_ctl.scala 994:31] + npc_r_d1 <= csr.io.npc_r_d1 @[dec_tlu_ctl.scala 995:31] + mie_ns <= csr.io.mie_ns @[dec_tlu_ctl.scala 996:31] + mepc <= csr.io.mepc @[dec_tlu_ctl.scala 997:31] + mdseac_locked_ns <= csr.io.mdseac_locked_ns @[dec_tlu_ctl.scala 998:31] + force_halt <= csr.io.force_halt @[dec_tlu_ctl.scala 999:31] + dpc <= csr.io.dpc @[dec_tlu_ctl.scala 1000:31] + mstatus_mie_ns <= csr.io.mstatus_mie_ns @[dec_tlu_ctl.scala 1001:31] + dec_csr_wen_r_mod <= csr.io.dec_csr_wen_r_mod @[dec_tlu_ctl.scala 1002:31] + fw_halt_req <= csr.io.fw_halt_req @[dec_tlu_ctl.scala 1003:31] + mstatus <= csr.io.mstatus @[dec_tlu_ctl.scala 1004:31] + dcsr <= csr.io.dcsr @[dec_tlu_ctl.scala 1005:31] + mtvec <= csr.io.mtvec @[dec_tlu_ctl.scala 1006:31] + mip <= csr.io.mip @[dec_tlu_ctl.scala 1007:31] + mtdata1_t[0] <= csr.io.mtdata1_t[0] @[dec_tlu_ctl.scala 1008:33] + mtdata1_t[1] <= csr.io.mtdata1_t[1] @[dec_tlu_ctl.scala 1008:33] + mtdata1_t[2] <= csr.io.mtdata1_t[2] @[dec_tlu_ctl.scala 1008:33] + mtdata1_t[3] <= csr.io.mtdata1_t[3] @[dec_tlu_ctl.scala 1008:33] + inst csr_read of dec_decode_csr_read @[dec_tlu_ctl.scala 1009:22] csr_read.clock <= clock csr_read.reset <= reset - csr_read.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 1012:37] - csr_pkt.legal <= csr_read.io.csr_pkt.legal @[dec_tlu_ctl.scala 1013:16] - csr_pkt.postsync <= csr_read.io.csr_pkt.postsync @[dec_tlu_ctl.scala 1013:16] - csr_pkt.presync <= csr_read.io.csr_pkt.presync @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_dicago <= csr_read.io.csr_pkt.csr_dicago @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_dicad1 <= csr_read.io.csr_pkt.csr_dicad1 @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_dicad0 <= csr_read.io.csr_pkt.csr_dicad0 @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_dicad0h <= csr_read.io.csr_pkt.csr_dicad0h @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_dicawics <= csr_read.io.csr_pkt.csr_dicawics @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mfdhs <= csr_read.io.csr_pkt.csr_mfdhs @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mfdht <= csr_read.io.csr_pkt.csr_mfdht @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mdccmect <= csr_read.io.csr_pkt.csr_mdccmect @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_miccmect <= csr_read.io.csr_pkt.csr_miccmect @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_micect <= csr_read.io.csr_pkt.csr_micect @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mdeau <= csr_read.io.csr_pkt.csr_mdeau @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_meicpct <= csr_read.io.csr_pkt.csr_meicpct @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mcpc <= csr_read.io.csr_pkt.csr_mcpc @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mpmc <= csr_read.io.csr_pkt.csr_mpmc @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mitcnt1 <= csr_read.io.csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mitcnt0 <= csr_read.io.csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mitb1 <= csr_read.io.csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mitb0 <= csr_read.io.csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mitctl1 <= csr_read.io.csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mitctl0 <= csr_read.io.csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mcountinhibit <= csr_read.io.csr_pkt.csr_mcountinhibit @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mhpme6 <= csr_read.io.csr_pkt.csr_mhpme6 @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mhpme5 <= csr_read.io.csr_pkt.csr_mhpme5 @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mhpme4 <= csr_read.io.csr_pkt.csr_mhpme4 @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mhpme3 <= csr_read.io.csr_pkt.csr_mhpme3 @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mhpmc6h <= csr_read.io.csr_pkt.csr_mhpmc6h @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mhpmc5h <= csr_read.io.csr_pkt.csr_mhpmc5h @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mhpmc4h <= csr_read.io.csr_pkt.csr_mhpmc4h @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mhpmc3h <= csr_read.io.csr_pkt.csr_mhpmc3h @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mhpmc6 <= csr_read.io.csr_pkt.csr_mhpmc6 @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mhpmc5 <= csr_read.io.csr_pkt.csr_mhpmc5 @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mhpmc4 <= csr_read.io.csr_pkt.csr_mhpmc4 @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mhpmc3 <= csr_read.io.csr_pkt.csr_mhpmc3 @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mtdata2 <= csr_read.io.csr_pkt.csr_mtdata2 @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mtdata1 <= csr_read.io.csr_pkt.csr_mtdata1 @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mtsel <= csr_read.io.csr_pkt.csr_mtsel @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_dpc <= csr_read.io.csr_pkt.csr_dpc @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mfdc <= csr_read.io.csr_pkt.csr_mfdc @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mcgc <= csr_read.io.csr_pkt.csr_mcgc @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_dcsr <= csr_read.io.csr_pkt.csr_dcsr @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_meicidpl <= csr_read.io.csr_pkt.csr_meicidpl @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_meicurpl <= csr_read.io.csr_pkt.csr_meicurpl @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_meipt <= csr_read.io.csr_pkt.csr_meipt @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_meivt <= csr_read.io.csr_pkt.csr_meivt @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_meihap <= csr_read.io.csr_pkt.csr_meihap @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mdseac <= csr_read.io.csr_pkt.csr_mdseac @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_dmst <= csr_read.io.csr_pkt.csr_dmst @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mrac <= csr_read.io.csr_pkt.csr_mrac @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mtval <= csr_read.io.csr_pkt.csr_mtval @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mscause <= csr_read.io.csr_pkt.csr_mscause @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mcause <= csr_read.io.csr_pkt.csr_mcause @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mepc <= csr_read.io.csr_pkt.csr_mepc @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mscratch <= csr_read.io.csr_pkt.csr_mscratch @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_minstreth <= csr_read.io.csr_pkt.csr_minstreth @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_minstretl <= csr_read.io.csr_pkt.csr_minstretl @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mcycleh <= csr_read.io.csr_pkt.csr_mcycleh @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mcyclel <= csr_read.io.csr_pkt.csr_mcyclel @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mie <= csr_read.io.csr_pkt.csr_mie @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mip <= csr_read.io.csr_pkt.csr_mip @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mtvec <= csr_read.io.csr_pkt.csr_mtvec @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mstatus <= csr_read.io.csr_pkt.csr_mstatus @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mhartid <= csr_read.io.csr_pkt.csr_mhartid @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mimpid <= csr_read.io.csr_pkt.csr_mimpid @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_marchid <= csr_read.io.csr_pkt.csr_marchid @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_mvendorid <= csr_read.io.csr_pkt.csr_mvendorid @[dec_tlu_ctl.scala 1013:16] - csr_pkt.csr_misa <= csr_read.io.csr_pkt.csr_misa @[dec_tlu_ctl.scala 1013:16] - node _T_864 = and(csr_pkt.presync, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 1015:42] - node _T_865 = not(io.dec_csr_wen_unq_d) @[dec_tlu_ctl.scala 1015:67] - node _T_866 = and(_T_864, _T_865) @[dec_tlu_ctl.scala 1015:65] - io.dec_tlu_presync_d <= _T_866 @[dec_tlu_ctl.scala 1015:23] - node _T_867 = and(csr_pkt.postsync, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 1016:43] - io.dec_tlu_postsync_d <= _T_867 @[dec_tlu_ctl.scala 1016:23] - node _T_868 = or(csr_pkt.csr_mitcnt0, csr_pkt.csr_mitcnt1) @[dec_tlu_ctl.scala 1019:50] - node _T_869 = or(_T_868, csr_pkt.csr_mitb0) @[dec_tlu_ctl.scala 1019:72] - node _T_870 = or(_T_869, csr_pkt.csr_mitb1) @[dec_tlu_ctl.scala 1019:92] - node _T_871 = or(_T_870, csr_pkt.csr_mitctl0) @[dec_tlu_ctl.scala 1019:112] - node _T_872 = or(_T_871, csr_pkt.csr_mitctl1) @[dec_tlu_ctl.scala 1019:134] - node _T_873 = not(UInt<1>("h01")) @[dec_tlu_ctl.scala 1019:159] - node conditionally_illegal = and(_T_872, _T_873) @[dec_tlu_ctl.scala 1019:157] - node _T_874 = or(csr_pkt.csr_dcsr, csr_pkt.csr_dpc) @[dec_tlu_ctl.scala 1020:55] - node _T_875 = or(_T_874, csr_pkt.csr_dmst) @[dec_tlu_ctl.scala 1020:73] - node _T_876 = or(_T_875, csr_pkt.csr_dicawics) @[dec_tlu_ctl.scala 1020:92] - node _T_877 = or(_T_876, csr_pkt.csr_dicad0) @[dec_tlu_ctl.scala 1020:115] - node _T_878 = or(_T_877, csr_pkt.csr_dicad0h) @[dec_tlu_ctl.scala 1020:136] - node _T_879 = or(_T_878, csr_pkt.csr_dicad1) @[dec_tlu_ctl.scala 1020:158] - node _T_880 = or(_T_879, csr_pkt.csr_dicago) @[dec_tlu_ctl.scala 1020:179] - node _T_881 = not(_T_880) @[dec_tlu_ctl.scala 1020:36] - node _T_882 = or(_T_881, dbg_tlu_halted_f) @[dec_tlu_ctl.scala 1020:201] - node _T_883 = and(csr_pkt.legal, _T_882) @[dec_tlu_ctl.scala 1020:33] - node _T_884 = not(fast_int_meicpct) @[dec_tlu_ctl.scala 1020:223] - node _T_885 = and(_T_883, _T_884) @[dec_tlu_ctl.scala 1020:221] - node _T_886 = not(conditionally_illegal) @[dec_tlu_ctl.scala 1020:243] - node valid_csr = and(_T_885, _T_886) @[dec_tlu_ctl.scala 1020:241] - node _T_887 = and(io.dec_csr_any_unq_d, valid_csr) @[dec_tlu_ctl.scala 1022:46] - node _T_888 = or(csr_pkt.csr_mvendorid, csr_pkt.csr_marchid) @[dec_tlu_ctl.scala 1022:107] - node _T_889 = or(_T_888, csr_pkt.csr_mimpid) @[dec_tlu_ctl.scala 1022:129] - node _T_890 = or(_T_889, csr_pkt.csr_mhartid) @[dec_tlu_ctl.scala 1022:150] - node _T_891 = or(_T_890, csr_pkt.csr_mdseac) @[dec_tlu_ctl.scala 1022:172] - node _T_892 = or(_T_891, csr_pkt.csr_meihap) @[dec_tlu_ctl.scala 1022:193] - node _T_893 = and(io.dec_csr_wen_unq_d, _T_892) @[dec_tlu_ctl.scala 1022:82] - node _T_894 = not(_T_893) @[dec_tlu_ctl.scala 1022:59] - node _T_895 = and(_T_887, _T_894) @[dec_tlu_ctl.scala 1022:57] - io.dec_csr_legal_d <= _T_895 @[dec_tlu_ctl.scala 1022:20] + csr_read.io.dec_csr_rdaddr_d <= io.dec_csr_rdaddr_d @[dec_tlu_ctl.scala 1010:37] + csr_pkt.legal <= csr_read.io.csr_pkt.legal @[dec_tlu_ctl.scala 1011:16] + csr_pkt.postsync <= csr_read.io.csr_pkt.postsync @[dec_tlu_ctl.scala 1011:16] + csr_pkt.presync <= csr_read.io.csr_pkt.presync @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dicago <= csr_read.io.csr_pkt.csr_dicago @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dicad1 <= csr_read.io.csr_pkt.csr_dicad1 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dicad0 <= csr_read.io.csr_pkt.csr_dicad0 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dicad0h <= csr_read.io.csr_pkt.csr_dicad0h @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dicawics <= csr_read.io.csr_pkt.csr_dicawics @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mfdhs <= csr_read.io.csr_pkt.csr_mfdhs @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mfdht <= csr_read.io.csr_pkt.csr_mfdht @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mdccmect <= csr_read.io.csr_pkt.csr_mdccmect @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_miccmect <= csr_read.io.csr_pkt.csr_miccmect @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_micect <= csr_read.io.csr_pkt.csr_micect @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mdeau <= csr_read.io.csr_pkt.csr_mdeau @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_meicpct <= csr_read.io.csr_pkt.csr_meicpct @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mcpc <= csr_read.io.csr_pkt.csr_mcpc @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mpmc <= csr_read.io.csr_pkt.csr_mpmc @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mitcnt1 <= csr_read.io.csr_pkt.csr_mitcnt1 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mitcnt0 <= csr_read.io.csr_pkt.csr_mitcnt0 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mitb1 <= csr_read.io.csr_pkt.csr_mitb1 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mitb0 <= csr_read.io.csr_pkt.csr_mitb0 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mitctl1 <= csr_read.io.csr_pkt.csr_mitctl1 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mitctl0 <= csr_read.io.csr_pkt.csr_mitctl0 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mcountinhibit <= csr_read.io.csr_pkt.csr_mcountinhibit @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpme6 <= csr_read.io.csr_pkt.csr_mhpme6 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpme5 <= csr_read.io.csr_pkt.csr_mhpme5 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpme4 <= csr_read.io.csr_pkt.csr_mhpme4 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpme3 <= csr_read.io.csr_pkt.csr_mhpme3 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc6h <= csr_read.io.csr_pkt.csr_mhpmc6h @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc5h <= csr_read.io.csr_pkt.csr_mhpmc5h @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc4h <= csr_read.io.csr_pkt.csr_mhpmc4h @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc3h <= csr_read.io.csr_pkt.csr_mhpmc3h @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc6 <= csr_read.io.csr_pkt.csr_mhpmc6 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc5 <= csr_read.io.csr_pkt.csr_mhpmc5 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc4 <= csr_read.io.csr_pkt.csr_mhpmc4 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhpmc3 <= csr_read.io.csr_pkt.csr_mhpmc3 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mtdata2 <= csr_read.io.csr_pkt.csr_mtdata2 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mtdata1 <= csr_read.io.csr_pkt.csr_mtdata1 @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mtsel <= csr_read.io.csr_pkt.csr_mtsel @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dpc <= csr_read.io.csr_pkt.csr_dpc @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mfdc <= csr_read.io.csr_pkt.csr_mfdc @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mcgc <= csr_read.io.csr_pkt.csr_mcgc @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dcsr <= csr_read.io.csr_pkt.csr_dcsr @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_meicidpl <= csr_read.io.csr_pkt.csr_meicidpl @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_meicurpl <= csr_read.io.csr_pkt.csr_meicurpl @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_meipt <= csr_read.io.csr_pkt.csr_meipt @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_meivt <= csr_read.io.csr_pkt.csr_meivt @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_meihap <= csr_read.io.csr_pkt.csr_meihap @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mdseac <= csr_read.io.csr_pkt.csr_mdseac @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_dmst <= csr_read.io.csr_pkt.csr_dmst @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mrac <= csr_read.io.csr_pkt.csr_mrac @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mtval <= csr_read.io.csr_pkt.csr_mtval @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mscause <= csr_read.io.csr_pkt.csr_mscause @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mcause <= csr_read.io.csr_pkt.csr_mcause @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mepc <= csr_read.io.csr_pkt.csr_mepc @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mscratch <= csr_read.io.csr_pkt.csr_mscratch @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_minstreth <= csr_read.io.csr_pkt.csr_minstreth @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_minstretl <= csr_read.io.csr_pkt.csr_minstretl @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mcycleh <= csr_read.io.csr_pkt.csr_mcycleh @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mcyclel <= csr_read.io.csr_pkt.csr_mcyclel @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mie <= csr_read.io.csr_pkt.csr_mie @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mip <= csr_read.io.csr_pkt.csr_mip @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mtvec <= csr_read.io.csr_pkt.csr_mtvec @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mstatus <= csr_read.io.csr_pkt.csr_mstatus @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mhartid <= csr_read.io.csr_pkt.csr_mhartid @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mimpid <= csr_read.io.csr_pkt.csr_mimpid @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_marchid <= csr_read.io.csr_pkt.csr_marchid @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_mvendorid <= csr_read.io.csr_pkt.csr_mvendorid @[dec_tlu_ctl.scala 1011:16] + csr_pkt.csr_misa <= csr_read.io.csr_pkt.csr_misa @[dec_tlu_ctl.scala 1011:16] + node _T_864 = and(csr_pkt.presync, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 1013:42] + node _T_865 = not(io.dec_csr_wen_unq_d) @[dec_tlu_ctl.scala 1013:67] + node _T_866 = and(_T_864, _T_865) @[dec_tlu_ctl.scala 1013:65] + io.dec_tlu_presync_d <= _T_866 @[dec_tlu_ctl.scala 1013:23] + node _T_867 = and(csr_pkt.postsync, io.dec_csr_any_unq_d) @[dec_tlu_ctl.scala 1014:43] + io.dec_tlu_postsync_d <= _T_867 @[dec_tlu_ctl.scala 1014:23] + node _T_868 = or(csr_pkt.csr_mitcnt0, csr_pkt.csr_mitcnt1) @[dec_tlu_ctl.scala 1017:50] + node _T_869 = or(_T_868, csr_pkt.csr_mitb0) @[dec_tlu_ctl.scala 1017:72] + node _T_870 = or(_T_869, csr_pkt.csr_mitb1) @[dec_tlu_ctl.scala 1017:92] + node _T_871 = or(_T_870, csr_pkt.csr_mitctl0) @[dec_tlu_ctl.scala 1017:112] + node _T_872 = or(_T_871, csr_pkt.csr_mitctl1) @[dec_tlu_ctl.scala 1017:134] + node _T_873 = not(UInt<1>("h01")) @[dec_tlu_ctl.scala 1017:159] + node conditionally_illegal = and(_T_872, _T_873) @[dec_tlu_ctl.scala 1017:157] + node _T_874 = or(csr_pkt.csr_dcsr, csr_pkt.csr_dpc) @[dec_tlu_ctl.scala 1018:55] + node _T_875 = or(_T_874, csr_pkt.csr_dmst) @[dec_tlu_ctl.scala 1018:73] + node _T_876 = or(_T_875, csr_pkt.csr_dicawics) @[dec_tlu_ctl.scala 1018:92] + node _T_877 = or(_T_876, csr_pkt.csr_dicad0) @[dec_tlu_ctl.scala 1018:115] + node _T_878 = or(_T_877, csr_pkt.csr_dicad0h) @[dec_tlu_ctl.scala 1018:136] + node _T_879 = or(_T_878, csr_pkt.csr_dicad1) @[dec_tlu_ctl.scala 1018:158] + node _T_880 = or(_T_879, csr_pkt.csr_dicago) @[dec_tlu_ctl.scala 1018:179] + node _T_881 = not(_T_880) @[dec_tlu_ctl.scala 1018:36] + node _T_882 = or(_T_881, dbg_tlu_halted_f) @[dec_tlu_ctl.scala 1018:201] + node _T_883 = and(csr_pkt.legal, _T_882) @[dec_tlu_ctl.scala 1018:33] + node _T_884 = not(fast_int_meicpct) @[dec_tlu_ctl.scala 1018:223] + node _T_885 = and(_T_883, _T_884) @[dec_tlu_ctl.scala 1018:221] + node _T_886 = not(conditionally_illegal) @[dec_tlu_ctl.scala 1018:243] + node valid_csr = and(_T_885, _T_886) @[dec_tlu_ctl.scala 1018:241] + node _T_887 = and(io.dec_csr_any_unq_d, valid_csr) @[dec_tlu_ctl.scala 1020:46] + node _T_888 = or(csr_pkt.csr_mvendorid, csr_pkt.csr_marchid) @[dec_tlu_ctl.scala 1020:107] + node _T_889 = or(_T_888, csr_pkt.csr_mimpid) @[dec_tlu_ctl.scala 1020:129] + node _T_890 = or(_T_889, csr_pkt.csr_mhartid) @[dec_tlu_ctl.scala 1020:150] + node _T_891 = or(_T_890, csr_pkt.csr_mdseac) @[dec_tlu_ctl.scala 1020:172] + node _T_892 = or(_T_891, csr_pkt.csr_meihap) @[dec_tlu_ctl.scala 1020:193] + node _T_893 = and(io.dec_csr_wen_unq_d, _T_892) @[dec_tlu_ctl.scala 1020:82] + node _T_894 = not(_T_893) @[dec_tlu_ctl.scala 1020:59] + node _T_895 = and(_T_887, _T_894) @[dec_tlu_ctl.scala 1020:57] + io.dec_csr_legal_d <= _T_895 @[dec_tlu_ctl.scala 1020:20] module dec_trigger : input clock : Clock @@ -81932,32 +81942,29 @@ circuit quasar_wrapper : node _T_12 = asUInt(reset) @[dbg.scala 102:55] node _T_13 = and(_T_11, _T_12) @[dbg.scala 102:41] node rst_temp = asAsyncReset(_T_13) @[dbg.scala 102:71] - node _T_14 = asUInt(dbg_dm_rst_l) @[dbg.scala 104:32] - node _T_15 = eq(_T_14, UInt<1>("h00")) @[dbg.scala 104:18] - node rst_not = asAsyncReset(_T_15) @[dbg.scala 104:52] - node _T_16 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 107:39] - node _T_17 = eq(_T_16, UInt<1>("h00")) @[dbg.scala 107:25] - node _T_18 = bits(_T_17, 0, 0) @[dbg.scala 107:50] - io.dbg_core_rst_l <= _T_18 @[dbg.scala 107:21] - node _T_19 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 108:36] - node _T_20 = and(_T_19, io.dmi_reg_en) @[dbg.scala 108:49] - node _T_21 = and(_T_20, io.dmi_reg_wr_en) @[dbg.scala 108:65] - node _T_22 = eq(sb_state, UInt<4>("h00")) @[dbg.scala 108:96] - node sbcs_wren = and(_T_21, _T_22) @[dbg.scala 108:84] - node _T_23 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 109:60] - node _T_24 = and(sbcs_wren, _T_23) @[dbg.scala 109:42] - node _T_25 = neq(sb_state, UInt<4>("h00")) @[dbg.scala 109:79] - node _T_26 = and(_T_25, io.dmi_reg_en) @[dbg.scala 109:102] - node _T_27 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 110:23] - node _T_28 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 110:55] - node _T_29 = or(_T_27, _T_28) @[dbg.scala 110:36] - node _T_30 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 110:87] - node _T_31 = or(_T_29, _T_30) @[dbg.scala 110:68] - node _T_32 = and(_T_26, _T_31) @[dbg.scala 109:118] - node sbcs_sbbusyerror_wren = or(_T_24, _T_32) @[dbg.scala 109:66] - node _T_33 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 112:61] - node _T_34 = and(sbcs_wren, _T_33) @[dbg.scala 112:43] - node sbcs_sbbusyerror_din = not(_T_34) @[dbg.scala 112:31] + node _T_14 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 105:39] + node _T_15 = eq(_T_14, UInt<1>("h00")) @[dbg.scala 105:25] + node _T_16 = bits(_T_15, 0, 0) @[dbg.scala 105:50] + io.dbg_core_rst_l <= _T_16 @[dbg.scala 105:21] + node _T_17 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 106:36] + node _T_18 = and(_T_17, io.dmi_reg_en) @[dbg.scala 106:49] + node _T_19 = and(_T_18, io.dmi_reg_wr_en) @[dbg.scala 106:65] + node _T_20 = eq(sb_state, UInt<4>("h00")) @[dbg.scala 106:96] + node sbcs_wren = and(_T_19, _T_20) @[dbg.scala 106:84] + node _T_21 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 107:60] + node _T_22 = and(sbcs_wren, _T_21) @[dbg.scala 107:42] + node _T_23 = neq(sb_state, UInt<4>("h00")) @[dbg.scala 107:79] + node _T_24 = and(_T_23, io.dmi_reg_en) @[dbg.scala 107:102] + node _T_25 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 108:23] + node _T_26 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 108:55] + node _T_27 = or(_T_25, _T_26) @[dbg.scala 108:36] + node _T_28 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 108:87] + node _T_29 = or(_T_27, _T_28) @[dbg.scala 108:68] + node _T_30 = and(_T_24, _T_29) @[dbg.scala 107:118] + node sbcs_sbbusyerror_wren = or(_T_22, _T_30) @[dbg.scala 107:66] + node _T_31 = bits(io.dmi_reg_wdata, 22, 22) @[dbg.scala 110:61] + node _T_32 = and(sbcs_wren, _T_31) @[dbg.scala 110:43] + node sbcs_sbbusyerror_din = not(_T_32) @[dbg.scala 110:31] reg temp_sbcs_22 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_sbbusyerror_wren : @[Reg.scala 28:19] temp_sbcs_22 <= sbcs_sbbusyerror_din @[Reg.scala 28:23] @@ -81966,102 +81973,102 @@ circuit quasar_wrapper : when sbcs_sbbusy_wren : @[Reg.scala 28:19] temp_sbcs_21 <= sbcs_sbbusy_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_35 = bits(io.dmi_reg_wdata, 20, 20) @[dbg.scala 122:31] + node _T_33 = bits(io.dmi_reg_wdata, 20, 20) @[dbg.scala 120:31] reg temp_sbcs_20 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_wren : @[Reg.scala 28:19] - temp_sbcs_20 <= _T_35 @[Reg.scala 28:23] + temp_sbcs_20 <= _T_33 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_36 = bits(io.dmi_reg_wdata, 19, 15) @[dbg.scala 126:31] + node _T_34 = bits(io.dmi_reg_wdata, 19, 15) @[dbg.scala 124:31] reg temp_sbcs_19_15 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_wren : @[Reg.scala 28:19] - temp_sbcs_19_15 <= _T_36 @[Reg.scala 28:23] + temp_sbcs_19_15 <= _T_34 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_37 = bits(sbcs_sberror_din, 2, 0) @[dbg.scala 130:31] - reg temp_sbcs_14_12 : UInt, rvclkhdr_1.io.l1clk with : (reset => (rst_not, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_35 = bits(sbcs_sberror_din, 2, 0) @[dbg.scala 128:31] + reg temp_sbcs_14_12 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sbcs_sberror_wren : @[Reg.scala 28:19] - temp_sbcs_14_12 <= _T_37 @[Reg.scala 28:23] + temp_sbcs_14_12 <= _T_35 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_38 = cat(UInt<7>("h020"), UInt<5>("h0f")) @[Cat.scala 29:58] - node _T_39 = cat(temp_sbcs_19_15, temp_sbcs_14_12) @[Cat.scala 29:58] - node _T_40 = cat(_T_39, _T_38) @[Cat.scala 29:58] - node _T_41 = cat(temp_sbcs_21, temp_sbcs_20) @[Cat.scala 29:58] - node _T_42 = cat(UInt<3>("h01"), UInt<6>("h00")) @[Cat.scala 29:58] - node _T_43 = cat(_T_42, temp_sbcs_22) @[Cat.scala 29:58] - node _T_44 = cat(_T_43, _T_41) @[Cat.scala 29:58] - node _T_45 = cat(_T_44, _T_40) @[Cat.scala 29:58] - sbcs_reg <= _T_45 @[dbg.scala 132:12] - node _T_46 = bits(sbcs_reg, 19, 17) @[dbg.scala 134:33] - node _T_47 = eq(_T_46, UInt<3>("h01")) @[dbg.scala 134:42] - node _T_48 = bits(sbaddress0_reg, 0, 0) @[dbg.scala 134:77] - node _T_49 = and(_T_47, _T_48) @[dbg.scala 134:61] - node _T_50 = bits(sbcs_reg, 19, 17) @[dbg.scala 135:14] - node _T_51 = eq(_T_50, UInt<3>("h02")) @[dbg.scala 135:23] - node _T_52 = bits(sbaddress0_reg, 1, 0) @[dbg.scala 135:58] - node _T_53 = orr(_T_52) @[dbg.scala 135:65] - node _T_54 = and(_T_51, _T_53) @[dbg.scala 135:42] - node _T_55 = or(_T_49, _T_54) @[dbg.scala 134:81] - node _T_56 = bits(sbcs_reg, 19, 17) @[dbg.scala 136:14] - node _T_57 = eq(_T_56, UInt<3>("h03")) @[dbg.scala 136:23] - node _T_58 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 136:58] - node _T_59 = orr(_T_58) @[dbg.scala 136:65] - node _T_60 = and(_T_57, _T_59) @[dbg.scala 136:42] - node sbcs_unaligned = or(_T_55, _T_60) @[dbg.scala 135:69] - node sbcs_illegal_size = bits(sbcs_reg, 19, 19) @[dbg.scala 138:35] - node _T_61 = bits(sbcs_reg, 19, 17) @[dbg.scala 139:42] - node _T_62 = eq(_T_61, UInt<1>("h00")) @[dbg.scala 139:51] - node _T_63 = bits(_T_62, 0, 0) @[Bitwise.scala 72:15] - node _T_64 = mux(_T_63, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_65 = and(_T_64, UInt<4>("h01")) @[dbg.scala 139:64] - node _T_66 = bits(sbcs_reg, 19, 17) @[dbg.scala 139:100] - node _T_67 = eq(_T_66, UInt<1>("h01")) @[dbg.scala 139:109] - node _T_68 = bits(_T_67, 0, 0) @[Bitwise.scala 72:15] - node _T_69 = mux(_T_68, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_70 = and(_T_69, UInt<4>("h02")) @[dbg.scala 139:122] - node _T_71 = or(_T_65, _T_70) @[dbg.scala 139:81] - node _T_72 = bits(sbcs_reg, 19, 17) @[dbg.scala 140:22] - node _T_73 = eq(_T_72, UInt<2>("h02")) @[dbg.scala 140:31] - node _T_74 = bits(_T_73, 0, 0) @[Bitwise.scala 72:15] - node _T_75 = mux(_T_74, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_76 = and(_T_75, UInt<4>("h04")) @[dbg.scala 140:44] - node _T_77 = or(_T_71, _T_76) @[dbg.scala 139:139] - node _T_78 = bits(sbcs_reg, 19, 17) @[dbg.scala 140:80] - node _T_79 = eq(_T_78, UInt<2>("h03")) @[dbg.scala 140:89] - node _T_80 = bits(_T_79, 0, 0) @[Bitwise.scala 72:15] - node _T_81 = mux(_T_80, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_82 = and(_T_81, UInt<4>("h08")) @[dbg.scala 140:102] - node sbaddress0_incr = or(_T_77, _T_82) @[dbg.scala 140:61] - node _T_83 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 142:41] - node _T_84 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 142:79] - node sbdata0_reg_wren0 = and(_T_83, _T_84) @[dbg.scala 142:60] - node _T_85 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 143:37] - node _T_86 = and(_T_85, sb_state_en) @[dbg.scala 143:60] - node _T_87 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 143:76] - node sbdata0_reg_wren1 = and(_T_86, _T_87) @[dbg.scala 143:74] - node sbdata0_reg_wren = or(sbdata0_reg_wren0, sbdata0_reg_wren1) @[dbg.scala 144:44] - node _T_88 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 145:41] - node _T_89 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 145:79] - node sbdata1_reg_wren0 = and(_T_88, _T_89) @[dbg.scala 145:60] - node _T_90 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 146:37] - node _T_91 = and(_T_90, sb_state_en) @[dbg.scala 146:60] - node _T_92 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 146:76] - node sbdata1_reg_wren1 = and(_T_91, _T_92) @[dbg.scala 146:74] - node sbdata1_reg_wren = or(sbdata1_reg_wren0, sbdata1_reg_wren1) @[dbg.scala 147:44] - node _T_93 = bits(sbdata0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] - node _T_94 = mux(_T_93, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_95 = and(_T_94, io.dmi_reg_wdata) @[dbg.scala 148:49] - node _T_96 = bits(sbdata0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] - node _T_97 = mux(_T_96, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_98 = bits(sb_bus_rdata, 31, 0) @[dbg.scala 149:47] - node _T_99 = and(_T_97, _T_98) @[dbg.scala 149:33] - node sbdata0_din = or(_T_95, _T_99) @[dbg.scala 148:68] - node _T_100 = bits(sbdata1_reg_wren0, 0, 0) @[Bitwise.scala 72:15] - node _T_101 = mux(_T_100, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_102 = and(_T_101, io.dmi_reg_wdata) @[dbg.scala 151:49] - node _T_103 = bits(sbdata1_reg_wren1, 0, 0) @[Bitwise.scala 72:15] - node _T_104 = mux(_T_103, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_105 = bits(sb_bus_rdata, 63, 32) @[dbg.scala 152:47] - node _T_106 = and(_T_104, _T_105) @[dbg.scala 152:33] - node sbdata1_din = or(_T_102, _T_106) @[dbg.scala 151:68] + node _T_36 = cat(UInt<7>("h020"), UInt<5>("h0f")) @[Cat.scala 29:58] + node _T_37 = cat(temp_sbcs_19_15, temp_sbcs_14_12) @[Cat.scala 29:58] + node _T_38 = cat(_T_37, _T_36) @[Cat.scala 29:58] + node _T_39 = cat(temp_sbcs_21, temp_sbcs_20) @[Cat.scala 29:58] + node _T_40 = cat(UInt<3>("h01"), UInt<6>("h00")) @[Cat.scala 29:58] + node _T_41 = cat(_T_40, temp_sbcs_22) @[Cat.scala 29:58] + node _T_42 = cat(_T_41, _T_39) @[Cat.scala 29:58] + node _T_43 = cat(_T_42, _T_38) @[Cat.scala 29:58] + sbcs_reg <= _T_43 @[dbg.scala 130:12] + node _T_44 = bits(sbcs_reg, 19, 17) @[dbg.scala 132:33] + node _T_45 = eq(_T_44, UInt<3>("h01")) @[dbg.scala 132:42] + node _T_46 = bits(sbaddress0_reg, 0, 0) @[dbg.scala 132:77] + node _T_47 = and(_T_45, _T_46) @[dbg.scala 132:61] + node _T_48 = bits(sbcs_reg, 19, 17) @[dbg.scala 133:14] + node _T_49 = eq(_T_48, UInt<3>("h02")) @[dbg.scala 133:23] + node _T_50 = bits(sbaddress0_reg, 1, 0) @[dbg.scala 133:58] + node _T_51 = orr(_T_50) @[dbg.scala 133:65] + node _T_52 = and(_T_49, _T_51) @[dbg.scala 133:42] + node _T_53 = or(_T_47, _T_52) @[dbg.scala 132:81] + node _T_54 = bits(sbcs_reg, 19, 17) @[dbg.scala 134:14] + node _T_55 = eq(_T_54, UInt<3>("h03")) @[dbg.scala 134:23] + node _T_56 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 134:58] + node _T_57 = orr(_T_56) @[dbg.scala 134:65] + node _T_58 = and(_T_55, _T_57) @[dbg.scala 134:42] + node sbcs_unaligned = or(_T_53, _T_58) @[dbg.scala 133:69] + node sbcs_illegal_size = bits(sbcs_reg, 19, 19) @[dbg.scala 136:35] + node _T_59 = bits(sbcs_reg, 19, 17) @[dbg.scala 137:42] + node _T_60 = eq(_T_59, UInt<1>("h00")) @[dbg.scala 137:51] + node _T_61 = bits(_T_60, 0, 0) @[Bitwise.scala 72:15] + node _T_62 = mux(_T_61, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_63 = and(_T_62, UInt<4>("h01")) @[dbg.scala 137:64] + node _T_64 = bits(sbcs_reg, 19, 17) @[dbg.scala 137:100] + node _T_65 = eq(_T_64, UInt<1>("h01")) @[dbg.scala 137:109] + node _T_66 = bits(_T_65, 0, 0) @[Bitwise.scala 72:15] + node _T_67 = mux(_T_66, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_68 = and(_T_67, UInt<4>("h02")) @[dbg.scala 137:122] + node _T_69 = or(_T_63, _T_68) @[dbg.scala 137:81] + node _T_70 = bits(sbcs_reg, 19, 17) @[dbg.scala 138:22] + node _T_71 = eq(_T_70, UInt<2>("h02")) @[dbg.scala 138:31] + node _T_72 = bits(_T_71, 0, 0) @[Bitwise.scala 72:15] + node _T_73 = mux(_T_72, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_74 = and(_T_73, UInt<4>("h04")) @[dbg.scala 138:44] + node _T_75 = or(_T_69, _T_74) @[dbg.scala 137:139] + node _T_76 = bits(sbcs_reg, 19, 17) @[dbg.scala 138:80] + node _T_77 = eq(_T_76, UInt<2>("h03")) @[dbg.scala 138:89] + node _T_78 = bits(_T_77, 0, 0) @[Bitwise.scala 72:15] + node _T_79 = mux(_T_78, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] + node _T_80 = and(_T_79, UInt<4>("h08")) @[dbg.scala 138:102] + node sbaddress0_incr = or(_T_75, _T_80) @[dbg.scala 138:61] + node _T_81 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 140:41] + node _T_82 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 140:79] + node sbdata0_reg_wren0 = and(_T_81, _T_82) @[dbg.scala 140:60] + node _T_83 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 141:37] + node _T_84 = and(_T_83, sb_state_en) @[dbg.scala 141:60] + node _T_85 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 141:76] + node sbdata0_reg_wren1 = and(_T_84, _T_85) @[dbg.scala 141:74] + node sbdata0_reg_wren = or(sbdata0_reg_wren0, sbdata0_reg_wren1) @[dbg.scala 142:44] + node _T_86 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 143:41] + node _T_87 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 143:79] + node sbdata1_reg_wren0 = and(_T_86, _T_87) @[dbg.scala 143:60] + node _T_88 = eq(sb_state, UInt<4>("h07")) @[dbg.scala 144:37] + node _T_89 = and(_T_88, sb_state_en) @[dbg.scala 144:60] + node _T_90 = eq(sbcs_sberror_wren, UInt<1>("h00")) @[dbg.scala 144:76] + node sbdata1_reg_wren1 = and(_T_89, _T_90) @[dbg.scala 144:74] + node sbdata1_reg_wren = or(sbdata1_reg_wren0, sbdata1_reg_wren1) @[dbg.scala 145:44] + node _T_91 = bits(sbdata0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_92 = mux(_T_91, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_93 = and(_T_92, io.dmi_reg_wdata) @[dbg.scala 146:49] + node _T_94 = bits(sbdata0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_95 = mux(_T_94, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_96 = bits(sb_bus_rdata, 31, 0) @[dbg.scala 147:47] + node _T_97 = and(_T_95, _T_96) @[dbg.scala 147:33] + node sbdata0_din = or(_T_93, _T_97) @[dbg.scala 146:68] + node _T_98 = bits(sbdata1_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_99 = mux(_T_98, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_100 = and(_T_99, io.dmi_reg_wdata) @[dbg.scala 149:49] + node _T_101 = bits(sbdata1_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_102 = mux(_T_101, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_103 = bits(sb_bus_rdata, 63, 32) @[dbg.scala 150:47] + node _T_104 = and(_T_102, _T_103) @[dbg.scala 150:33] + node sbdata1_din = or(_T_100, _T_104) @[dbg.scala 149:68] inst rvclkhdr_2 of rvclkhdr_757 @[lib.scala 368:23] rvclkhdr_2.clock <= clock rvclkhdr_2.reset <= dbg_dm_rst_l @@ -82078,241 +82085,241 @@ circuit quasar_wrapper : rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg sbdata1_reg : UInt, rvclkhdr_3.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] sbdata1_reg <= sbdata1_din @[lib.scala 374:16] - node _T_107 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 162:44] - node _T_108 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 162:82] - node sbaddress0_reg_wren0 = and(_T_107, _T_108) @[dbg.scala 162:63] - node sbaddress0_reg_wren = or(sbaddress0_reg_wren0, sbaddress0_reg_wren1) @[dbg.scala 163:50] - node _T_109 = bits(sbaddress0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] - node _T_110 = mux(_T_109, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_111 = and(_T_110, io.dmi_reg_wdata) @[dbg.scala 164:59] - node _T_112 = bits(sbaddress0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] - node _T_113 = mux(_T_112, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_114 = cat(UInt<28>("h00"), sbaddress0_incr) @[Cat.scala 29:58] - node _T_115 = add(sbaddress0_reg, _T_114) @[dbg.scala 165:54] - node _T_116 = tail(_T_115, 1) @[dbg.scala 165:54] - node _T_117 = and(_T_113, _T_116) @[dbg.scala 165:36] - node sbaddress0_reg_din = or(_T_111, _T_117) @[dbg.scala 164:78] + node _T_105 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 160:44] + node _T_106 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 160:82] + node sbaddress0_reg_wren0 = and(_T_105, _T_106) @[dbg.scala 160:63] + node sbaddress0_reg_wren = or(sbaddress0_reg_wren0, sbaddress0_reg_wren1) @[dbg.scala 161:50] + node _T_107 = bits(sbaddress0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_108 = mux(_T_107, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_109 = and(_T_108, io.dmi_reg_wdata) @[dbg.scala 162:59] + node _T_110 = bits(sbaddress0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_111 = mux(_T_110, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_112 = cat(UInt<28>("h00"), sbaddress0_incr) @[Cat.scala 29:58] + node _T_113 = add(sbaddress0_reg, _T_112) @[dbg.scala 163:54] + node _T_114 = tail(_T_113, 1) @[dbg.scala 163:54] + node _T_115 = and(_T_111, _T_114) @[dbg.scala 163:36] + node sbaddress0_reg_din = or(_T_109, _T_115) @[dbg.scala 162:78] inst rvclkhdr_4 of rvclkhdr_759 @[lib.scala 368:23] rvclkhdr_4.clock <= clock rvclkhdr_4.reset <= dbg_dm_rst_l rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] rvclkhdr_4.io.en <= sbaddress0_reg_wren @[lib.scala 371:17] rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_118 : UInt, rvclkhdr_4.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] - _T_118 <= sbaddress0_reg_din @[lib.scala 374:16] - sbaddress0_reg <= _T_118 @[dbg.scala 166:18] - node _T_119 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 170:43] - node _T_120 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 170:81] - node _T_121 = and(_T_119, _T_120) @[dbg.scala 170:62] - node _T_122 = bits(sbcs_reg, 20, 20) @[dbg.scala 170:104] - node sbreadonaddr_access = and(_T_121, _T_122) @[dbg.scala 170:94] - node _T_123 = eq(io.dmi_reg_wr_en, UInt<1>("h00")) @[dbg.scala 171:45] - node _T_124 = and(io.dmi_reg_en, _T_123) @[dbg.scala 171:43] - node _T_125 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 171:82] - node _T_126 = and(_T_124, _T_125) @[dbg.scala 171:63] - node _T_127 = bits(sbcs_reg, 15, 15) @[dbg.scala 171:105] - node sbreadondata_access = and(_T_126, _T_127) @[dbg.scala 171:95] - node _T_128 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 172:40] - node _T_129 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 172:78] - node sbdata0wr_access = and(_T_128, _T_129) @[dbg.scala 172:59] - node _T_130 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 173:41] - node _T_131 = and(_T_130, io.dmi_reg_en) @[dbg.scala 173:54] - node dmcontrol_wren = and(_T_131, io.dmi_reg_wr_en) @[dbg.scala 173:70] - node _T_132 = bits(io.dmi_reg_wdata, 31, 30) @[dbg.scala 176:27] - node _T_133 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 176:53] - node _T_134 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 176:75] - node _T_135 = cat(_T_132, _T_133) @[Cat.scala 29:58] - node _T_136 = cat(_T_135, _T_134) @[Cat.scala 29:58] + reg _T_116 : UInt, rvclkhdr_4.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + _T_116 <= sbaddress0_reg_din @[lib.scala 374:16] + sbaddress0_reg <= _T_116 @[dbg.scala 164:18] + node _T_117 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 168:43] + node _T_118 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 168:81] + node _T_119 = and(_T_117, _T_118) @[dbg.scala 168:62] + node _T_120 = bits(sbcs_reg, 20, 20) @[dbg.scala 168:104] + node sbreadonaddr_access = and(_T_119, _T_120) @[dbg.scala 168:94] + node _T_121 = eq(io.dmi_reg_wr_en, UInt<1>("h00")) @[dbg.scala 169:45] + node _T_122 = and(io.dmi_reg_en, _T_121) @[dbg.scala 169:43] + node _T_123 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 169:82] + node _T_124 = and(_T_122, _T_123) @[dbg.scala 169:63] + node _T_125 = bits(sbcs_reg, 15, 15) @[dbg.scala 169:105] + node sbreadondata_access = and(_T_124, _T_125) @[dbg.scala 169:95] + node _T_126 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 170:40] + node _T_127 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 170:78] + node sbdata0wr_access = and(_T_126, _T_127) @[dbg.scala 170:59] + node _T_128 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 171:41] + node _T_129 = and(_T_128, io.dmi_reg_en) @[dbg.scala 171:54] + node dmcontrol_wren = and(_T_129, io.dmi_reg_wr_en) @[dbg.scala 171:70] + node _T_130 = bits(io.dmi_reg_wdata, 31, 30) @[dbg.scala 174:27] + node _T_131 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 174:53] + node _T_132 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 174:75] + node _T_133 = cat(_T_130, _T_131) @[Cat.scala 29:58] + node _T_134 = cat(_T_133, _T_132) @[Cat.scala 29:58] reg dm_temp : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when dmcontrol_wren : @[Reg.scala 28:19] - dm_temp <= _T_136 @[Reg.scala 28:23] + dm_temp <= _T_134 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_137 = asAsyncReset(io.dbg_rst_l) @[dbg.scala 180:76] - node _T_138 = bits(io.dmi_reg_wdata, 0, 0) @[dbg.scala 181:31] - reg dm_temp_0 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_137, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_135 = asAsyncReset(io.dbg_rst_l) @[dbg.scala 178:76] + node _T_136 = bits(io.dmi_reg_wdata, 0, 0) @[dbg.scala 179:31] + reg dm_temp_0 : UInt, rvclkhdr.io.l1clk with : (reset => (_T_135, UInt<1>("h00"))) @[Reg.scala 27:20] when dmcontrol_wren : @[Reg.scala 28:19] - dm_temp_0 <= _T_138 @[Reg.scala 28:23] + dm_temp_0 <= _T_136 @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_139 = bits(dm_temp, 3, 2) @[dbg.scala 184:25] - node _T_140 = bits(dm_temp, 1, 1) @[dbg.scala 184:45] - node _T_141 = bits(dm_temp, 0, 0) @[dbg.scala 184:68] - node _T_142 = cat(UInt<26>("h00"), _T_141) @[Cat.scala 29:58] - node _T_143 = cat(_T_142, dm_temp_0) @[Cat.scala 29:58] - node _T_144 = cat(_T_139, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_145 = cat(_T_144, _T_140) @[Cat.scala 29:58] - node temp = cat(_T_145, _T_143) @[Cat.scala 29:58] - dmcontrol_reg <= temp @[dbg.scala 185:17] - reg dmcontrol_wren_Q : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 188:12] - dmcontrol_wren_Q <= dmcontrol_wren @[dbg.scala 188:12] - node _T_146 = bits(dmstatus_havereset, 0, 0) @[Bitwise.scala 72:15] + node _T_137 = bits(dm_temp, 3, 2) @[dbg.scala 182:25] + node _T_138 = bits(dm_temp, 1, 1) @[dbg.scala 182:45] + node _T_139 = bits(dm_temp, 0, 0) @[dbg.scala 182:68] + node _T_140 = cat(UInt<26>("h00"), _T_139) @[Cat.scala 29:58] + node _T_141 = cat(_T_140, dm_temp_0) @[Cat.scala 29:58] + node _T_142 = cat(_T_137, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_143 = cat(_T_142, _T_138) @[Cat.scala 29:58] + node temp = cat(_T_143, _T_141) @[Cat.scala 29:58] + dmcontrol_reg <= temp @[dbg.scala 183:17] + reg dmcontrol_wren_Q : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 186:12] + dmcontrol_wren_Q <= dmcontrol_wren @[dbg.scala 186:12] + node _T_144 = bits(dmstatus_havereset, 0, 0) @[Bitwise.scala 72:15] + node _T_145 = mux(_T_144, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] + node _T_146 = bits(dmstatus_resumeack, 0, 0) @[Bitwise.scala 72:15] node _T_147 = mux(_T_146, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_148 = bits(dmstatus_resumeack, 0, 0) @[Bitwise.scala 72:15] + node _T_148 = bits(dmstatus_unavail, 0, 0) @[Bitwise.scala 72:15] node _T_149 = mux(_T_148, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_150 = bits(dmstatus_unavail, 0, 0) @[Bitwise.scala 72:15] + node _T_150 = bits(dmstatus_running, 0, 0) @[Bitwise.scala 72:15] node _T_151 = mux(_T_150, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_152 = bits(dmstatus_running, 0, 0) @[Bitwise.scala 72:15] + node _T_152 = bits(dmstatus_halted, 0, 0) @[Bitwise.scala 72:15] node _T_153 = mux(_T_152, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_154 = bits(dmstatus_halted, 0, 0) @[Bitwise.scala 72:15] - node _T_155 = mux(_T_154, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_156 = cat(UInt<3>("h00"), UInt<4>("h02")) @[Cat.scala 29:58] - node _T_157 = cat(_T_153, _T_155) @[Cat.scala 29:58] - node _T_158 = cat(_T_157, UInt<1>("h01")) @[Cat.scala 29:58] - node _T_159 = cat(_T_158, _T_156) @[Cat.scala 29:58] - node _T_160 = cat(UInt<2>("h00"), _T_151) @[Cat.scala 29:58] - node _T_161 = cat(UInt<12>("h00"), _T_147) @[Cat.scala 29:58] - node _T_162 = cat(_T_161, _T_149) @[Cat.scala 29:58] - node _T_163 = cat(_T_162, _T_160) @[Cat.scala 29:58] - node _T_164 = cat(_T_163, _T_159) @[Cat.scala 29:58] - dmstatus_reg <= _T_164 @[dbg.scala 191:16] - node _T_165 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 193:44] - node _T_166 = and(_T_165, io.dec_tlu_resume_ack) @[dbg.scala 193:66] - node _T_167 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 193:127] - node _T_168 = eq(_T_167, UInt<1>("h00")) @[dbg.scala 193:113] - node _T_169 = and(dmstatus_resumeack, _T_168) @[dbg.scala 193:111] - node dmstatus_resumeack_wren = or(_T_166, _T_169) @[dbg.scala 193:90] - node _T_170 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 194:43] - node dmstatus_resumeack_din = and(_T_170, io.dec_tlu_resume_ack) @[dbg.scala 194:65] - node _T_171 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 195:50] - node _T_172 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 195:81] - node _T_173 = and(_T_171, _T_172) @[dbg.scala 195:63] - node _T_174 = and(_T_173, io.dmi_reg_en) @[dbg.scala 195:85] - node dmstatus_havereset_wren = and(_T_174, io.dmi_reg_wr_en) @[dbg.scala 195:101] - node _T_175 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 196:49] - node _T_176 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 196:80] - node _T_177 = and(_T_175, _T_176) @[dbg.scala 196:62] - node _T_178 = and(_T_177, io.dmi_reg_en) @[dbg.scala 196:85] - node dmstatus_havereset_rst = and(_T_178, io.dmi_reg_wr_en) @[dbg.scala 196:101] - node temp_rst = asUInt(reset) @[dbg.scala 197:30] - node _T_179 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 198:37] - node _T_180 = eq(temp_rst, UInt<1>("h00")) @[dbg.scala 198:43] - node _T_181 = or(_T_179, _T_180) @[dbg.scala 198:41] - node _T_182 = bits(_T_181, 0, 0) @[dbg.scala 198:62] - dmstatus_unavail <= _T_182 @[dbg.scala 198:20] - node _T_183 = or(dmstatus_unavail, dmstatus_halted) @[dbg.scala 199:42] - node _T_184 = not(_T_183) @[dbg.scala 199:23] - dmstatus_running <= _T_184 @[dbg.scala 199:20] - reg _T_185 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_154 = cat(UInt<3>("h00"), UInt<4>("h02")) @[Cat.scala 29:58] + node _T_155 = cat(_T_151, _T_153) @[Cat.scala 29:58] + node _T_156 = cat(_T_155, UInt<1>("h01")) @[Cat.scala 29:58] + node _T_157 = cat(_T_156, _T_154) @[Cat.scala 29:58] + node _T_158 = cat(UInt<2>("h00"), _T_149) @[Cat.scala 29:58] + node _T_159 = cat(UInt<12>("h00"), _T_145) @[Cat.scala 29:58] + node _T_160 = cat(_T_159, _T_147) @[Cat.scala 29:58] + node _T_161 = cat(_T_160, _T_158) @[Cat.scala 29:58] + node _T_162 = cat(_T_161, _T_157) @[Cat.scala 29:58] + dmstatus_reg <= _T_162 @[dbg.scala 189:16] + node _T_163 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 191:44] + node _T_164 = and(_T_163, io.dec_tlu_resume_ack) @[dbg.scala 191:66] + node _T_165 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 191:127] + node _T_166 = eq(_T_165, UInt<1>("h00")) @[dbg.scala 191:113] + node _T_167 = and(dmstatus_resumeack, _T_166) @[dbg.scala 191:111] + node dmstatus_resumeack_wren = or(_T_164, _T_167) @[dbg.scala 191:90] + node _T_168 = eq(dbg_state, UInt<3>("h06")) @[dbg.scala 192:43] + node dmstatus_resumeack_din = and(_T_168, io.dec_tlu_resume_ack) @[dbg.scala 192:65] + node _T_169 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 193:50] + node _T_170 = bits(io.dmi_reg_wdata, 1, 1) @[dbg.scala 193:81] + node _T_171 = and(_T_169, _T_170) @[dbg.scala 193:63] + node _T_172 = and(_T_171, io.dmi_reg_en) @[dbg.scala 193:85] + node dmstatus_havereset_wren = and(_T_172, io.dmi_reg_wr_en) @[dbg.scala 193:101] + node _T_173 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 194:49] + node _T_174 = bits(io.dmi_reg_wdata, 28, 28) @[dbg.scala 194:80] + node _T_175 = and(_T_173, _T_174) @[dbg.scala 194:62] + node _T_176 = and(_T_175, io.dmi_reg_en) @[dbg.scala 194:85] + node dmstatus_havereset_rst = and(_T_176, io.dmi_reg_wr_en) @[dbg.scala 194:101] + node temp_rst = asUInt(reset) @[dbg.scala 195:30] + node _T_177 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 196:37] + node _T_178 = eq(temp_rst, UInt<1>("h00")) @[dbg.scala 196:43] + node _T_179 = or(_T_177, _T_178) @[dbg.scala 196:41] + node _T_180 = bits(_T_179, 0, 0) @[dbg.scala 196:62] + dmstatus_unavail <= _T_180 @[dbg.scala 196:20] + node _T_181 = or(dmstatus_unavail, dmstatus_halted) @[dbg.scala 197:42] + node _T_182 = not(_T_181) @[dbg.scala 197:23] + dmstatus_running <= _T_182 @[dbg.scala 197:20] + reg _T_183 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when dmstatus_resumeack_wren : @[Reg.scala 28:19] - _T_185 <= dmstatus_resumeack_din @[Reg.scala 28:23] + _T_183 <= dmstatus_resumeack_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - dmstatus_resumeack <= _T_185 @[dbg.scala 200:22] - node _T_186 = eq(io.dec_tlu_mpc_halted_only, UInt<1>("h00")) @[dbg.scala 205:37] - node _T_187 = and(io.dec_tlu_dbg_halted, _T_186) @[dbg.scala 205:35] - reg _T_188 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 205:12] - _T_188 <= _T_187 @[dbg.scala 205:12] - dmstatus_halted <= _T_188 @[dbg.scala 204:19] - node _T_189 = mux(dmstatus_havereset_wren, UInt<1>("h01"), dmstatus_havereset) @[dbg.scala 209:16] - node _T_190 = eq(dmstatus_havereset_rst, UInt<1>("h00")) @[dbg.scala 209:72] - node _T_191 = and(_T_189, _T_190) @[dbg.scala 209:70] - reg _T_192 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 209:12] - _T_192 <= _T_191 @[dbg.scala 209:12] - dmstatus_havereset <= _T_192 @[dbg.scala 208:22] + dmstatus_resumeack <= _T_183 @[dbg.scala 198:22] + node _T_184 = eq(io.dec_tlu_mpc_halted_only, UInt<1>("h00")) @[dbg.scala 203:37] + node _T_185 = and(io.dec_tlu_dbg_halted, _T_184) @[dbg.scala 203:35] + reg _T_186 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 203:12] + _T_186 <= _T_185 @[dbg.scala 203:12] + dmstatus_halted <= _T_186 @[dbg.scala 202:19] + node _T_187 = mux(dmstatus_havereset_wren, UInt<1>("h01"), dmstatus_havereset) @[dbg.scala 207:16] + node _T_188 = eq(dmstatus_havereset_rst, UInt<1>("h00")) @[dbg.scala 207:72] + node _T_189 = and(_T_187, _T_188) @[dbg.scala 207:70] + reg _T_190 : UInt<1>, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 207:12] + _T_190 <= _T_189 @[dbg.scala 207:12] + dmstatus_havereset <= _T_190 @[dbg.scala 206:22] node haltsum0_reg = cat(UInt<31>("h00"), dmstatus_halted) @[Cat.scala 29:58] wire abstractcs_reg : UInt<32> abstractcs_reg <= UInt<32>("h02") - node _T_193 = bits(abstractcs_reg, 12, 12) @[dbg.scala 215:45] - node _T_194 = and(_T_193, io.dmi_reg_en) @[dbg.scala 215:50] - node _T_195 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 215:106] - node _T_196 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 215:138] - node _T_197 = or(_T_195, _T_196) @[dbg.scala 215:119] - node _T_198 = and(io.dmi_reg_wr_en, _T_197) @[dbg.scala 215:86] - node _T_199 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 215:171] - node _T_200 = or(_T_198, _T_199) @[dbg.scala 215:152] - node abstractcs_error_sel0 = and(_T_194, _T_200) @[dbg.scala 215:66] - node _T_201 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 216:45] - node _T_202 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 216:83] - node _T_203 = and(_T_201, _T_202) @[dbg.scala 216:64] - node _T_204 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 216:117] - node _T_205 = eq(_T_204, UInt<1>("h00")) @[dbg.scala 216:126] - node _T_206 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 216:154] - node _T_207 = eq(_T_206, UInt<2>("h02")) @[dbg.scala 216:163] - node _T_208 = or(_T_205, _T_207) @[dbg.scala 216:135] - node _T_209 = eq(_T_208, UInt<1>("h00")) @[dbg.scala 216:98] - node abstractcs_error_sel1 = and(_T_203, _T_209) @[dbg.scala 216:96] - node abstractcs_error_sel2 = and(io.core_dbg_cmd_done, io.core_dbg_cmd_fail) @[dbg.scala 217:52] - node _T_210 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 218:45] - node _T_211 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 218:83] - node _T_212 = and(_T_210, _T_211) @[dbg.scala 218:64] - node _T_213 = bits(dmstatus_reg, 9, 9) @[dbg.scala 218:111] - node _T_214 = eq(_T_213, UInt<1>("h00")) @[dbg.scala 218:98] - node abstractcs_error_sel3 = and(_T_212, _T_214) @[dbg.scala 218:96] - node _T_215 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 219:48] - node _T_216 = and(_T_215, io.dmi_reg_en) @[dbg.scala 219:61] - node _T_217 = and(_T_216, io.dmi_reg_wr_en) @[dbg.scala 219:77] - node _T_218 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 220:23] - node _T_219 = neq(_T_218, UInt<3>("h02")) @[dbg.scala 220:32] - node _T_220 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 220:71] - node _T_221 = eq(_T_220, UInt<2>("h02")) @[dbg.scala 220:80] - node _T_222 = bits(data1_reg, 1, 0) @[dbg.scala 220:104] - node _T_223 = orr(_T_222) @[dbg.scala 220:111] - node _T_224 = and(_T_221, _T_223) @[dbg.scala 220:92] - node _T_225 = or(_T_219, _T_224) @[dbg.scala 220:51] - node abstractcs_error_sel4 = and(_T_217, _T_225) @[dbg.scala 219:96] - node _T_226 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 222:48] - node _T_227 = and(_T_226, io.dmi_reg_en) @[dbg.scala 222:61] - node abstractcs_error_sel5 = and(_T_227, io.dmi_reg_wr_en) @[dbg.scala 222:77] - node _T_228 = or(abstractcs_error_sel0, abstractcs_error_sel1) @[dbg.scala 223:54] - node _T_229 = or(_T_228, abstractcs_error_sel2) @[dbg.scala 223:78] - node _T_230 = or(_T_229, abstractcs_error_sel3) @[dbg.scala 223:102] - node _T_231 = or(_T_230, abstractcs_error_sel4) @[dbg.scala 223:126] - node abstractcs_error_selor = or(_T_231, abstractcs_error_sel5) @[dbg.scala 223:150] - node _T_232 = bits(abstractcs_error_sel0, 0, 0) @[Bitwise.scala 72:15] - node _T_233 = mux(_T_232, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_234 = and(_T_233, UInt<3>("h01")) @[dbg.scala 224:62] - node _T_235 = bits(abstractcs_error_sel1, 0, 0) @[Bitwise.scala 72:15] - node _T_236 = mux(_T_235, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_237 = and(_T_236, UInt<3>("h02")) @[dbg.scala 225:37] - node _T_238 = or(_T_234, _T_237) @[dbg.scala 224:79] - node _T_239 = bits(abstractcs_error_sel2, 0, 0) @[Bitwise.scala 72:15] - node _T_240 = mux(_T_239, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_241 = and(_T_240, UInt<3>("h03")) @[dbg.scala 226:37] - node _T_242 = or(_T_238, _T_241) @[dbg.scala 225:54] - node _T_243 = bits(abstractcs_error_sel3, 0, 0) @[Bitwise.scala 72:15] - node _T_244 = mux(_T_243, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_245 = and(_T_244, UInt<3>("h04")) @[dbg.scala 227:37] - node _T_246 = or(_T_242, _T_245) @[dbg.scala 226:54] - node _T_247 = bits(abstractcs_error_sel4, 0, 0) @[Bitwise.scala 72:15] - node _T_248 = mux(_T_247, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_249 = and(_T_248, UInt<3>("h07")) @[dbg.scala 228:37] - node _T_250 = or(_T_246, _T_249) @[dbg.scala 227:54] - node _T_251 = bits(abstractcs_error_sel5, 0, 0) @[Bitwise.scala 72:15] - node _T_252 = mux(_T_251, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_253 = bits(io.dmi_reg_wdata, 10, 8) @[dbg.scala 229:57] - node _T_254 = not(_T_253) @[dbg.scala 229:40] - node _T_255 = and(_T_252, _T_254) @[dbg.scala 229:37] - node _T_256 = bits(abstractcs_reg, 10, 8) @[dbg.scala 229:91] - node _T_257 = and(_T_255, _T_256) @[dbg.scala 229:75] - node _T_258 = or(_T_250, _T_257) @[dbg.scala 228:54] - node _T_259 = not(abstractcs_error_selor) @[dbg.scala 230:15] - node _T_260 = bits(_T_259, 0, 0) @[Bitwise.scala 72:15] - node _T_261 = mux(_T_260, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_262 = bits(abstractcs_reg, 10, 8) @[dbg.scala 230:66] - node _T_263 = and(_T_261, _T_262) @[dbg.scala 230:50] - node abstractcs_error_din = or(_T_258, _T_263) @[dbg.scala 229:100] + node _T_191 = bits(abstractcs_reg, 12, 12) @[dbg.scala 213:45] + node _T_192 = and(_T_191, io.dmi_reg_en) @[dbg.scala 213:50] + node _T_193 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 213:106] + node _T_194 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 213:138] + node _T_195 = or(_T_193, _T_194) @[dbg.scala 213:119] + node _T_196 = and(io.dmi_reg_wr_en, _T_195) @[dbg.scala 213:86] + node _T_197 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 213:171] + node _T_198 = or(_T_196, _T_197) @[dbg.scala 213:152] + node abstractcs_error_sel0 = and(_T_192, _T_198) @[dbg.scala 213:66] + node _T_199 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 214:45] + node _T_200 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 214:83] + node _T_201 = and(_T_199, _T_200) @[dbg.scala 214:64] + node _T_202 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 214:117] + node _T_203 = eq(_T_202, UInt<1>("h00")) @[dbg.scala 214:126] + node _T_204 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 214:154] + node _T_205 = eq(_T_204, UInt<2>("h02")) @[dbg.scala 214:163] + node _T_206 = or(_T_203, _T_205) @[dbg.scala 214:135] + node _T_207 = eq(_T_206, UInt<1>("h00")) @[dbg.scala 214:98] + node abstractcs_error_sel1 = and(_T_201, _T_207) @[dbg.scala 214:96] + node abstractcs_error_sel2 = and(io.core_dbg_cmd_done, io.core_dbg_cmd_fail) @[dbg.scala 215:52] + node _T_208 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 216:45] + node _T_209 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 216:83] + node _T_210 = and(_T_208, _T_209) @[dbg.scala 216:64] + node _T_211 = bits(dmstatus_reg, 9, 9) @[dbg.scala 216:111] + node _T_212 = eq(_T_211, UInt<1>("h00")) @[dbg.scala 216:98] + node abstractcs_error_sel3 = and(_T_210, _T_212) @[dbg.scala 216:96] + node _T_213 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 217:48] + node _T_214 = and(_T_213, io.dmi_reg_en) @[dbg.scala 217:61] + node _T_215 = and(_T_214, io.dmi_reg_wr_en) @[dbg.scala 217:77] + node _T_216 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 218:23] + node _T_217 = neq(_T_216, UInt<3>("h02")) @[dbg.scala 218:32] + node _T_218 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 218:71] + node _T_219 = eq(_T_218, UInt<2>("h02")) @[dbg.scala 218:80] + node _T_220 = bits(data1_reg, 1, 0) @[dbg.scala 218:104] + node _T_221 = orr(_T_220) @[dbg.scala 218:111] + node _T_222 = and(_T_219, _T_221) @[dbg.scala 218:92] + node _T_223 = or(_T_217, _T_222) @[dbg.scala 218:51] + node abstractcs_error_sel4 = and(_T_215, _T_223) @[dbg.scala 217:96] + node _T_224 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 220:48] + node _T_225 = and(_T_224, io.dmi_reg_en) @[dbg.scala 220:61] + node abstractcs_error_sel5 = and(_T_225, io.dmi_reg_wr_en) @[dbg.scala 220:77] + node _T_226 = or(abstractcs_error_sel0, abstractcs_error_sel1) @[dbg.scala 221:54] + node _T_227 = or(_T_226, abstractcs_error_sel2) @[dbg.scala 221:78] + node _T_228 = or(_T_227, abstractcs_error_sel3) @[dbg.scala 221:102] + node _T_229 = or(_T_228, abstractcs_error_sel4) @[dbg.scala 221:126] + node abstractcs_error_selor = or(_T_229, abstractcs_error_sel5) @[dbg.scala 221:150] + node _T_230 = bits(abstractcs_error_sel0, 0, 0) @[Bitwise.scala 72:15] + node _T_231 = mux(_T_230, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_232 = and(_T_231, UInt<3>("h01")) @[dbg.scala 222:62] + node _T_233 = bits(abstractcs_error_sel1, 0, 0) @[Bitwise.scala 72:15] + node _T_234 = mux(_T_233, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_235 = and(_T_234, UInt<3>("h02")) @[dbg.scala 223:37] + node _T_236 = or(_T_232, _T_235) @[dbg.scala 222:79] + node _T_237 = bits(abstractcs_error_sel2, 0, 0) @[Bitwise.scala 72:15] + node _T_238 = mux(_T_237, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_239 = and(_T_238, UInt<3>("h03")) @[dbg.scala 224:37] + node _T_240 = or(_T_236, _T_239) @[dbg.scala 223:54] + node _T_241 = bits(abstractcs_error_sel3, 0, 0) @[Bitwise.scala 72:15] + node _T_242 = mux(_T_241, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_243 = and(_T_242, UInt<3>("h04")) @[dbg.scala 225:37] + node _T_244 = or(_T_240, _T_243) @[dbg.scala 224:54] + node _T_245 = bits(abstractcs_error_sel4, 0, 0) @[Bitwise.scala 72:15] + node _T_246 = mux(_T_245, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_247 = and(_T_246, UInt<3>("h07")) @[dbg.scala 226:37] + node _T_248 = or(_T_244, _T_247) @[dbg.scala 225:54] + node _T_249 = bits(abstractcs_error_sel5, 0, 0) @[Bitwise.scala 72:15] + node _T_250 = mux(_T_249, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_251 = bits(io.dmi_reg_wdata, 10, 8) @[dbg.scala 227:57] + node _T_252 = not(_T_251) @[dbg.scala 227:40] + node _T_253 = and(_T_250, _T_252) @[dbg.scala 227:37] + node _T_254 = bits(abstractcs_reg, 10, 8) @[dbg.scala 227:91] + node _T_255 = and(_T_253, _T_254) @[dbg.scala 227:75] + node _T_256 = or(_T_248, _T_255) @[dbg.scala 226:54] + node _T_257 = not(abstractcs_error_selor) @[dbg.scala 228:15] + node _T_258 = bits(_T_257, 0, 0) @[Bitwise.scala 72:15] + node _T_259 = mux(_T_258, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] + node _T_260 = bits(abstractcs_reg, 10, 8) @[dbg.scala 228:66] + node _T_261 = and(_T_259, _T_260) @[dbg.scala 228:50] + node abstractcs_error_din = or(_T_256, _T_261) @[dbg.scala 227:100] reg abs_temp_12 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when abstractcs_busy_wren : @[Reg.scala 28:19] abs_temp_12 <= abstractcs_busy_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - node _T_264 = bits(abstractcs_error_din, 2, 0) @[dbg.scala 237:33] - reg abs_temp_10_8 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 237:12] - abs_temp_10_8 <= _T_264 @[dbg.scala 237:12] - node _T_265 = cat(abs_temp_10_8, UInt<8>("h02")) @[Cat.scala 29:58] - node _T_266 = cat(UInt<19>("h00"), abs_temp_12) @[Cat.scala 29:58] - node _T_267 = cat(_T_266, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_268 = cat(_T_267, _T_265) @[Cat.scala 29:58] - abstractcs_reg <= _T_268 @[dbg.scala 240:18] - node _T_269 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 242:39] - node _T_270 = and(_T_269, io.dmi_reg_en) @[dbg.scala 242:52] - node _T_271 = and(_T_270, io.dmi_reg_wr_en) @[dbg.scala 242:68] - node _T_272 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 242:100] - node command_wren = and(_T_271, _T_272) @[dbg.scala 242:87] - node _T_273 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 243:41] - node _T_274 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 243:77] - node _T_275 = bits(io.dmi_reg_wdata, 16, 0) @[dbg.scala 243:113] - node _T_276 = cat(UInt<3>("h00"), _T_275) @[Cat.scala 29:58] - node _T_277 = cat(_T_273, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_278 = cat(_T_277, _T_274) @[Cat.scala 29:58] - node command_din = cat(_T_278, _T_276) @[Cat.scala 29:58] + node _T_262 = bits(abstractcs_error_din, 2, 0) @[dbg.scala 235:33] + reg abs_temp_10_8 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[dbg.scala 235:12] + abs_temp_10_8 <= _T_262 @[dbg.scala 235:12] + node _T_263 = cat(abs_temp_10_8, UInt<8>("h02")) @[Cat.scala 29:58] + node _T_264 = cat(UInt<19>("h00"), abs_temp_12) @[Cat.scala 29:58] + node _T_265 = cat(_T_264, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_266 = cat(_T_265, _T_263) @[Cat.scala 29:58] + abstractcs_reg <= _T_266 @[dbg.scala 238:18] + node _T_267 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 240:39] + node _T_268 = and(_T_267, io.dmi_reg_en) @[dbg.scala 240:52] + node _T_269 = and(_T_268, io.dmi_reg_wr_en) @[dbg.scala 240:68] + node _T_270 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 240:100] + node command_wren = and(_T_269, _T_270) @[dbg.scala 240:87] + node _T_271 = bits(io.dmi_reg_wdata, 31, 24) @[dbg.scala 241:41] + node _T_272 = bits(io.dmi_reg_wdata, 22, 20) @[dbg.scala 241:77] + node _T_273 = bits(io.dmi_reg_wdata, 16, 0) @[dbg.scala 241:113] + node _T_274 = cat(UInt<3>("h00"), _T_273) @[Cat.scala 29:58] + node _T_275 = cat(_T_271, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_276 = cat(_T_275, _T_272) @[Cat.scala 29:58] + node command_din = cat(_T_276, _T_274) @[Cat.scala 29:58] inst rvclkhdr_5 of rvclkhdr_760 @[lib.scala 368:23] rvclkhdr_5.clock <= clock rvclkhdr_5.reset <= dbg_dm_rst_l @@ -82321,24 +82328,24 @@ circuit quasar_wrapper : rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg command_reg : UInt, rvclkhdr_5.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] command_reg <= command_din @[lib.scala 374:16] - node _T_279 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 248:39] - node _T_280 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 248:77] - node _T_281 = and(_T_279, _T_280) @[dbg.scala 248:58] - node _T_282 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 248:102] - node data0_reg_wren0 = and(_T_281, _T_282) @[dbg.scala 248:89] - node _T_283 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 249:59] - node _T_284 = and(io.core_dbg_cmd_done, _T_283) @[dbg.scala 249:46] - node _T_285 = bits(command_reg, 16, 16) @[dbg.scala 249:95] - node _T_286 = eq(_T_285, UInt<1>("h00")) @[dbg.scala 249:83] - node data0_reg_wren1 = and(_T_284, _T_286) @[dbg.scala 249:81] - node data0_reg_wren = or(data0_reg_wren0, data0_reg_wren1) @[dbg.scala 251:40] - node _T_287 = bits(data0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] - node _T_288 = mux(_T_287, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_289 = and(_T_288, io.dmi_reg_wdata) @[dbg.scala 252:45] - node _T_290 = bits(data0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] - node _T_291 = mux(_T_290, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_292 = and(_T_291, io.core_dbg_rddata) @[dbg.scala 252:92] - node data0_din = or(_T_289, _T_292) @[dbg.scala 252:64] + node _T_277 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 246:39] + node _T_278 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 246:77] + node _T_279 = and(_T_277, _T_278) @[dbg.scala 246:58] + node _T_280 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 246:102] + node data0_reg_wren0 = and(_T_279, _T_280) @[dbg.scala 246:89] + node _T_281 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 247:59] + node _T_282 = and(io.core_dbg_cmd_done, _T_281) @[dbg.scala 247:46] + node _T_283 = bits(command_reg, 16, 16) @[dbg.scala 247:95] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[dbg.scala 247:83] + node data0_reg_wren1 = and(_T_282, _T_284) @[dbg.scala 247:81] + node data0_reg_wren = or(data0_reg_wren0, data0_reg_wren1) @[dbg.scala 249:40] + node _T_285 = bits(data0_reg_wren0, 0, 0) @[Bitwise.scala 72:15] + node _T_286 = mux(_T_285, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_287 = and(_T_286, io.dmi_reg_wdata) @[dbg.scala 250:45] + node _T_288 = bits(data0_reg_wren1, 0, 0) @[Bitwise.scala 72:15] + node _T_289 = mux(_T_288, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_290 = and(_T_289, io.core_dbg_rddata) @[dbg.scala 250:92] + node data0_din = or(_T_287, _T_290) @[dbg.scala 250:64] inst rvclkhdr_6 of rvclkhdr_761 @[lib.scala 368:23] rvclkhdr_6.clock <= clock rvclkhdr_6.reset <= dbg_dm_rst_l @@ -82347,588 +82354,588 @@ circuit quasar_wrapper : rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg data0_reg : UInt, rvclkhdr_6.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] data0_reg <= data0_din @[lib.scala 374:16] - node _T_293 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 257:39] - node _T_294 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 257:77] - node _T_295 = and(_T_293, _T_294) @[dbg.scala 257:58] - node _T_296 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 257:102] - node data1_reg_wren = and(_T_295, _T_296) @[dbg.scala 257:89] - node _T_297 = bits(data1_reg_wren, 0, 0) @[Bitwise.scala 72:15] - node _T_298 = mux(_T_297, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node data1_din = and(_T_298, io.dmi_reg_wdata) @[dbg.scala 258:44] + node _T_291 = and(io.dmi_reg_en, io.dmi_reg_wr_en) @[dbg.scala 255:39] + node _T_292 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 255:77] + node _T_293 = and(_T_291, _T_292) @[dbg.scala 255:58] + node _T_294 = eq(dbg_state, UInt<3>("h02")) @[dbg.scala 255:102] + node data1_reg_wren = and(_T_293, _T_294) @[dbg.scala 255:89] + node _T_295 = bits(data1_reg_wren, 0, 0) @[Bitwise.scala 72:15] + node _T_296 = mux(_T_295, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node data1_din = and(_T_296, io.dmi_reg_wdata) @[dbg.scala 256:44] inst rvclkhdr_7 of rvclkhdr_762 @[lib.scala 368:23] rvclkhdr_7.clock <= clock rvclkhdr_7.reset <= dbg_dm_rst_l rvclkhdr_7.io.clk <= clock @[lib.scala 370:18] rvclkhdr_7.io.en <= data1_reg_wren @[lib.scala 371:17] rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_299 : UInt, rvclkhdr_7.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] - _T_299 <= data1_din @[lib.scala 374:16] - data1_reg <= _T_299 @[dbg.scala 259:13] + reg _T_297 : UInt, rvclkhdr_7.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[lib.scala 374:16] + _T_297 <= data1_din @[lib.scala 374:16] + data1_reg <= _T_297 @[dbg.scala 257:13] wire dbg_nxtstate : UInt<3> dbg_nxtstate <= UInt<3>("h00") - dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 264:16] - dbg_state_en <= UInt<1>("h00") @[dbg.scala 265:16] - abstractcs_busy_wren <= UInt<1>("h00") @[dbg.scala 266:24] - abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 267:23] - io.dbg_halt_req <= UInt<1>("h00") @[dbg.scala 268:19] - io.dbg_resume_req <= UInt<1>("h00") @[dbg.scala 269:21] - node _T_300 = eq(UInt<3>("h00"), dbg_state) @[Conditional.scala 37:30] - when _T_300 : @[Conditional.scala 40:58] - node _T_301 = bits(dmstatus_reg, 9, 9) @[dbg.scala 272:39] - node _T_302 = or(_T_301, io.dec_tlu_mpc_halted_only) @[dbg.scala 272:43] - node _T_303 = mux(_T_302, UInt<3>("h02"), UInt<3>("h01")) @[dbg.scala 272:26] - dbg_nxtstate <= _T_303 @[dbg.scala 272:20] - node _T_304 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 273:38] - node _T_305 = eq(io.dec_tlu_debug_mode, UInt<1>("h00")) @[dbg.scala 273:45] - node _T_306 = and(_T_304, _T_305) @[dbg.scala 273:43] - node _T_307 = bits(dmstatus_reg, 9, 9) @[dbg.scala 273:83] - node _T_308 = or(_T_306, _T_307) @[dbg.scala 273:69] - node _T_309 = or(_T_308, io.dec_tlu_mpc_halted_only) @[dbg.scala 273:87] - node _T_310 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 273:133] - node _T_311 = eq(_T_310, UInt<1>("h00")) @[dbg.scala 273:119] - node _T_312 = and(_T_309, _T_311) @[dbg.scala 273:117] - dbg_state_en <= _T_312 @[dbg.scala 273:20] - node _T_313 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 274:40] - node _T_314 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 274:61] - node _T_315 = eq(_T_314, UInt<1>("h00")) @[dbg.scala 274:47] - node _T_316 = and(_T_313, _T_315) @[dbg.scala 274:45] - node _T_317 = bits(_T_316, 0, 0) @[dbg.scala 274:72] - io.dbg_halt_req <= _T_317 @[dbg.scala 274:23] + dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 262:16] + dbg_state_en <= UInt<1>("h00") @[dbg.scala 263:16] + abstractcs_busy_wren <= UInt<1>("h00") @[dbg.scala 264:24] + abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 265:23] + io.dbg_halt_req <= UInt<1>("h00") @[dbg.scala 266:19] + io.dbg_resume_req <= UInt<1>("h00") @[dbg.scala 267:21] + node _T_298 = eq(UInt<3>("h00"), dbg_state) @[Conditional.scala 37:30] + when _T_298 : @[Conditional.scala 40:58] + node _T_299 = bits(dmstatus_reg, 9, 9) @[dbg.scala 270:39] + node _T_300 = or(_T_299, io.dec_tlu_mpc_halted_only) @[dbg.scala 270:43] + node _T_301 = mux(_T_300, UInt<3>("h02"), UInt<3>("h01")) @[dbg.scala 270:26] + dbg_nxtstate <= _T_301 @[dbg.scala 270:20] + node _T_302 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 271:38] + node _T_303 = eq(io.dec_tlu_debug_mode, UInt<1>("h00")) @[dbg.scala 271:45] + node _T_304 = and(_T_302, _T_303) @[dbg.scala 271:43] + node _T_305 = bits(dmstatus_reg, 9, 9) @[dbg.scala 271:83] + node _T_306 = or(_T_304, _T_305) @[dbg.scala 271:69] + node _T_307 = or(_T_306, io.dec_tlu_mpc_halted_only) @[dbg.scala 271:87] + node _T_308 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 271:133] + node _T_309 = eq(_T_308, UInt<1>("h00")) @[dbg.scala 271:119] + node _T_310 = and(_T_307, _T_309) @[dbg.scala 271:117] + dbg_state_en <= _T_310 @[dbg.scala 271:20] + node _T_311 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 272:40] + node _T_312 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 272:61] + node _T_313 = eq(_T_312, UInt<1>("h00")) @[dbg.scala 272:47] + node _T_314 = and(_T_311, _T_313) @[dbg.scala 272:45] + node _T_315 = bits(_T_314, 0, 0) @[dbg.scala 272:72] + io.dbg_halt_req <= _T_315 @[dbg.scala 272:23] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_318 = eq(UInt<3>("h01"), dbg_state) @[Conditional.scala 37:30] - when _T_318 : @[Conditional.scala 39:67] - node _T_319 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 277:40] - node _T_320 = mux(_T_319, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 277:26] - dbg_nxtstate <= _T_320 @[dbg.scala 277:20] - node _T_321 = bits(dmstatus_reg, 9, 9) @[dbg.scala 278:35] - node _T_322 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 278:54] - node _T_323 = or(_T_321, _T_322) @[dbg.scala 278:39] - dbg_state_en <= _T_323 @[dbg.scala 278:20] - node _T_324 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 279:59] - node _T_325 = and(dmcontrol_wren_Q, _T_324) @[dbg.scala 279:44] - node _T_326 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 279:81] - node _T_327 = not(_T_326) @[dbg.scala 279:67] - node _T_328 = and(_T_325, _T_327) @[dbg.scala 279:64] - node _T_329 = bits(_T_328, 0, 0) @[dbg.scala 279:102] - io.dbg_halt_req <= _T_329 @[dbg.scala 279:23] + node _T_316 = eq(UInt<3>("h01"), dbg_state) @[Conditional.scala 37:30] + when _T_316 : @[Conditional.scala 39:67] + node _T_317 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 275:40] + node _T_318 = mux(_T_317, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 275:26] + dbg_nxtstate <= _T_318 @[dbg.scala 275:20] + node _T_319 = bits(dmstatus_reg, 9, 9) @[dbg.scala 276:35] + node _T_320 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 276:54] + node _T_321 = or(_T_319, _T_320) @[dbg.scala 276:39] + dbg_state_en <= _T_321 @[dbg.scala 276:20] + node _T_322 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 277:59] + node _T_323 = and(dmcontrol_wren_Q, _T_322) @[dbg.scala 277:44] + node _T_324 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 277:81] + node _T_325 = not(_T_324) @[dbg.scala 277:67] + node _T_326 = and(_T_323, _T_325) @[dbg.scala 277:64] + node _T_327 = bits(_T_326, 0, 0) @[dbg.scala 277:102] + io.dbg_halt_req <= _T_327 @[dbg.scala 277:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_330 = eq(UInt<3>("h02"), dbg_state) @[Conditional.scala 37:30] - when _T_330 : @[Conditional.scala 39:67] - node _T_331 = bits(dmstatus_reg, 9, 9) @[dbg.scala 282:39] - node _T_332 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 282:59] - node _T_333 = eq(_T_332, UInt<1>("h00")) @[dbg.scala 282:45] - node _T_334 = and(_T_331, _T_333) @[dbg.scala 282:43] - node _T_335 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 283:26] - node _T_336 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 283:47] - node _T_337 = eq(_T_336, UInt<1>("h00")) @[dbg.scala 283:33] - node _T_338 = and(_T_335, _T_337) @[dbg.scala 283:31] - node _T_339 = mux(_T_338, UInt<3>("h06"), UInt<3>("h03")) @[dbg.scala 283:12] - node _T_340 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 284:26] - node _T_341 = mux(_T_340, UInt<3>("h01"), UInt<3>("h00")) @[dbg.scala 284:12] - node _T_342 = mux(_T_334, _T_339, _T_341) @[dbg.scala 282:26] - dbg_nxtstate <= _T_342 @[dbg.scala 282:20] - node _T_343 = bits(dmstatus_reg, 9, 9) @[dbg.scala 285:35] - node _T_344 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 285:54] - node _T_345 = and(_T_343, _T_344) @[dbg.scala 285:39] - node _T_346 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 285:75] - node _T_347 = eq(_T_346, UInt<1>("h00")) @[dbg.scala 285:61] - node _T_348 = and(_T_345, _T_347) @[dbg.scala 285:59] - node _T_349 = and(_T_348, dmcontrol_wren_Q) @[dbg.scala 285:80] - node _T_350 = or(_T_349, command_wren) @[dbg.scala 285:99] - node _T_351 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 286:22] - node _T_352 = or(_T_350, _T_351) @[dbg.scala 285:114] - node _T_353 = bits(dmstatus_reg, 9, 9) @[dbg.scala 286:42] - node _T_354 = or(_T_353, io.dec_tlu_mpc_halted_only) @[dbg.scala 286:46] - node _T_355 = eq(_T_354, UInt<1>("h00")) @[dbg.scala 286:28] - node _T_356 = or(_T_352, _T_355) @[dbg.scala 286:26] - dbg_state_en <= _T_356 @[dbg.scala 285:20] - node _T_357 = eq(dbg_nxtstate, UInt<3>("h03")) @[dbg.scala 287:60] - node _T_358 = and(dbg_state_en, _T_357) @[dbg.scala 287:44] - abstractcs_busy_wren <= _T_358 @[dbg.scala 287:28] - abstractcs_busy_din <= UInt<1>("h01") @[dbg.scala 288:27] - node _T_359 = eq(dbg_nxtstate, UInt<3>("h06")) @[dbg.scala 289:58] - node _T_360 = and(dbg_state_en, _T_359) @[dbg.scala 289:42] - node _T_361 = bits(_T_360, 0, 0) @[dbg.scala 289:87] - io.dbg_resume_req <= _T_361 @[dbg.scala 289:25] - node _T_362 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 290:59] - node _T_363 = and(dmcontrol_wren_Q, _T_362) @[dbg.scala 290:44] - node _T_364 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 290:81] - node _T_365 = not(_T_364) @[dbg.scala 290:67] - node _T_366 = and(_T_363, _T_365) @[dbg.scala 290:64] - node _T_367 = bits(_T_366, 0, 0) @[dbg.scala 290:102] - io.dbg_halt_req <= _T_367 @[dbg.scala 290:23] + node _T_328 = eq(UInt<3>("h02"), dbg_state) @[Conditional.scala 37:30] + when _T_328 : @[Conditional.scala 39:67] + node _T_329 = bits(dmstatus_reg, 9, 9) @[dbg.scala 280:39] + node _T_330 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 280:59] + node _T_331 = eq(_T_330, UInt<1>("h00")) @[dbg.scala 280:45] + node _T_332 = and(_T_329, _T_331) @[dbg.scala 280:43] + node _T_333 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 281:26] + node _T_334 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 281:47] + node _T_335 = eq(_T_334, UInt<1>("h00")) @[dbg.scala 281:33] + node _T_336 = and(_T_333, _T_335) @[dbg.scala 281:31] + node _T_337 = mux(_T_336, UInt<3>("h06"), UInt<3>("h03")) @[dbg.scala 281:12] + node _T_338 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 282:26] + node _T_339 = mux(_T_338, UInt<3>("h01"), UInt<3>("h00")) @[dbg.scala 282:12] + node _T_340 = mux(_T_332, _T_337, _T_339) @[dbg.scala 280:26] + dbg_nxtstate <= _T_340 @[dbg.scala 280:20] + node _T_341 = bits(dmstatus_reg, 9, 9) @[dbg.scala 283:35] + node _T_342 = bits(dmcontrol_reg, 30, 30) @[dbg.scala 283:54] + node _T_343 = and(_T_341, _T_342) @[dbg.scala 283:39] + node _T_344 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 283:75] + node _T_345 = eq(_T_344, UInt<1>("h00")) @[dbg.scala 283:61] + node _T_346 = and(_T_343, _T_345) @[dbg.scala 283:59] + node _T_347 = and(_T_346, dmcontrol_wren_Q) @[dbg.scala 283:80] + node _T_348 = or(_T_347, command_wren) @[dbg.scala 283:99] + node _T_349 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 284:22] + node _T_350 = or(_T_348, _T_349) @[dbg.scala 283:114] + node _T_351 = bits(dmstatus_reg, 9, 9) @[dbg.scala 284:42] + node _T_352 = or(_T_351, io.dec_tlu_mpc_halted_only) @[dbg.scala 284:46] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[dbg.scala 284:28] + node _T_354 = or(_T_350, _T_353) @[dbg.scala 284:26] + dbg_state_en <= _T_354 @[dbg.scala 283:20] + node _T_355 = eq(dbg_nxtstate, UInt<3>("h03")) @[dbg.scala 285:60] + node _T_356 = and(dbg_state_en, _T_355) @[dbg.scala 285:44] + abstractcs_busy_wren <= _T_356 @[dbg.scala 285:28] + abstractcs_busy_din <= UInt<1>("h01") @[dbg.scala 286:27] + node _T_357 = eq(dbg_nxtstate, UInt<3>("h06")) @[dbg.scala 287:58] + node _T_358 = and(dbg_state_en, _T_357) @[dbg.scala 287:42] + node _T_359 = bits(_T_358, 0, 0) @[dbg.scala 287:87] + io.dbg_resume_req <= _T_359 @[dbg.scala 287:25] + node _T_360 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 288:59] + node _T_361 = and(dmcontrol_wren_Q, _T_360) @[dbg.scala 288:44] + node _T_362 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 288:81] + node _T_363 = not(_T_362) @[dbg.scala 288:67] + node _T_364 = and(_T_361, _T_363) @[dbg.scala 288:64] + node _T_365 = bits(_T_364, 0, 0) @[dbg.scala 288:102] + io.dbg_halt_req <= _T_365 @[dbg.scala 288:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_368 = eq(UInt<3>("h03"), dbg_state) @[Conditional.scala 37:30] - when _T_368 : @[Conditional.scala 39:67] - node _T_369 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 293:40] - node _T_370 = bits(abstractcs_reg, 10, 8) @[dbg.scala 293:77] - node _T_371 = orr(_T_370) @[dbg.scala 293:85] - node _T_372 = mux(_T_371, UInt<3>("h05"), UInt<3>("h04")) @[dbg.scala 293:62] - node _T_373 = mux(_T_369, UInt<3>("h00"), _T_372) @[dbg.scala 293:26] - dbg_nxtstate <= _T_373 @[dbg.scala 293:20] - node _T_374 = bits(abstractcs_reg, 10, 8) @[dbg.scala 294:71] - node _T_375 = orr(_T_374) @[dbg.scala 294:79] - node _T_376 = or(io.dbg_dec.dbg_ib.dbg_cmd_valid, _T_375) @[dbg.scala 294:55] - node _T_377 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 294:98] - node _T_378 = or(_T_376, _T_377) @[dbg.scala 294:83] - dbg_state_en <= _T_378 @[dbg.scala 294:20] - node _T_379 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 295:59] - node _T_380 = and(dmcontrol_wren_Q, _T_379) @[dbg.scala 295:44] - node _T_381 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 295:81] - node _T_382 = not(_T_381) @[dbg.scala 295:67] - node _T_383 = and(_T_380, _T_382) @[dbg.scala 295:64] - node _T_384 = bits(_T_383, 0, 0) @[dbg.scala 295:102] - io.dbg_halt_req <= _T_384 @[dbg.scala 295:23] + node _T_366 = eq(UInt<3>("h03"), dbg_state) @[Conditional.scala 37:30] + when _T_366 : @[Conditional.scala 39:67] + node _T_367 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 291:40] + node _T_368 = bits(abstractcs_reg, 10, 8) @[dbg.scala 291:77] + node _T_369 = orr(_T_368) @[dbg.scala 291:85] + node _T_370 = mux(_T_369, UInt<3>("h05"), UInt<3>("h04")) @[dbg.scala 291:62] + node _T_371 = mux(_T_367, UInt<3>("h00"), _T_370) @[dbg.scala 291:26] + dbg_nxtstate <= _T_371 @[dbg.scala 291:20] + node _T_372 = bits(abstractcs_reg, 10, 8) @[dbg.scala 292:71] + node _T_373 = orr(_T_372) @[dbg.scala 292:79] + node _T_374 = or(io.dbg_dec.dbg_ib.dbg_cmd_valid, _T_373) @[dbg.scala 292:55] + node _T_375 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 292:98] + node _T_376 = or(_T_374, _T_375) @[dbg.scala 292:83] + dbg_state_en <= _T_376 @[dbg.scala 292:20] + node _T_377 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 293:59] + node _T_378 = and(dmcontrol_wren_Q, _T_377) @[dbg.scala 293:44] + node _T_379 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 293:81] + node _T_380 = not(_T_379) @[dbg.scala 293:67] + node _T_381 = and(_T_378, _T_380) @[dbg.scala 293:64] + node _T_382 = bits(_T_381, 0, 0) @[dbg.scala 293:102] + io.dbg_halt_req <= _T_382 @[dbg.scala 293:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_385 = eq(UInt<3>("h04"), dbg_state) @[Conditional.scala 37:30] - when _T_385 : @[Conditional.scala 39:67] - node _T_386 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 298:40] - node _T_387 = mux(_T_386, UInt<3>("h00"), UInt<3>("h05")) @[dbg.scala 298:26] - dbg_nxtstate <= _T_387 @[dbg.scala 298:20] - node _T_388 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 299:59] - node _T_389 = or(io.core_dbg_cmd_done, _T_388) @[dbg.scala 299:44] - dbg_state_en <= _T_389 @[dbg.scala 299:20] - node _T_390 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 300:59] - node _T_391 = and(dmcontrol_wren_Q, _T_390) @[dbg.scala 300:44] - node _T_392 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 300:81] - node _T_393 = not(_T_392) @[dbg.scala 300:67] - node _T_394 = and(_T_391, _T_393) @[dbg.scala 300:64] - node _T_395 = bits(_T_394, 0, 0) @[dbg.scala 300:102] - io.dbg_halt_req <= _T_395 @[dbg.scala 300:23] + node _T_383 = eq(UInt<3>("h04"), dbg_state) @[Conditional.scala 37:30] + when _T_383 : @[Conditional.scala 39:67] + node _T_384 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 296:40] + node _T_385 = mux(_T_384, UInt<3>("h00"), UInt<3>("h05")) @[dbg.scala 296:26] + dbg_nxtstate <= _T_385 @[dbg.scala 296:20] + node _T_386 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 297:59] + node _T_387 = or(io.core_dbg_cmd_done, _T_386) @[dbg.scala 297:44] + dbg_state_en <= _T_387 @[dbg.scala 297:20] + node _T_388 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 298:59] + node _T_389 = and(dmcontrol_wren_Q, _T_388) @[dbg.scala 298:44] + node _T_390 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 298:81] + node _T_391 = not(_T_390) @[dbg.scala 298:67] + node _T_392 = and(_T_389, _T_391) @[dbg.scala 298:64] + node _T_393 = bits(_T_392, 0, 0) @[dbg.scala 298:102] + io.dbg_halt_req <= _T_393 @[dbg.scala 298:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_396 = eq(UInt<3>("h05"), dbg_state) @[Conditional.scala 37:30] - when _T_396 : @[Conditional.scala 39:67] - node _T_397 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 303:40] - node _T_398 = mux(_T_397, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 303:26] - dbg_nxtstate <= _T_398 @[dbg.scala 303:20] - dbg_state_en <= UInt<1>("h01") @[dbg.scala 304:20] - abstractcs_busy_wren <= dbg_state_en @[dbg.scala 305:28] - abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 306:27] - node _T_399 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 307:59] - node _T_400 = and(dmcontrol_wren_Q, _T_399) @[dbg.scala 307:44] - node _T_401 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 307:81] - node _T_402 = not(_T_401) @[dbg.scala 307:67] - node _T_403 = and(_T_400, _T_402) @[dbg.scala 307:64] - node _T_404 = bits(_T_403, 0, 0) @[dbg.scala 307:102] - io.dbg_halt_req <= _T_404 @[dbg.scala 307:23] + node _T_394 = eq(UInt<3>("h05"), dbg_state) @[Conditional.scala 37:30] + when _T_394 : @[Conditional.scala 39:67] + node _T_395 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 301:40] + node _T_396 = mux(_T_395, UInt<3>("h00"), UInt<3>("h02")) @[dbg.scala 301:26] + dbg_nxtstate <= _T_396 @[dbg.scala 301:20] + dbg_state_en <= UInt<1>("h01") @[dbg.scala 302:20] + abstractcs_busy_wren <= dbg_state_en @[dbg.scala 303:28] + abstractcs_busy_din <= UInt<1>("h00") @[dbg.scala 304:27] + node _T_397 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 305:59] + node _T_398 = and(dmcontrol_wren_Q, _T_397) @[dbg.scala 305:44] + node _T_399 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 305:81] + node _T_400 = not(_T_399) @[dbg.scala 305:67] + node _T_401 = and(_T_398, _T_400) @[dbg.scala 305:64] + node _T_402 = bits(_T_401, 0, 0) @[dbg.scala 305:102] + io.dbg_halt_req <= _T_402 @[dbg.scala 305:23] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_405 = eq(UInt<3>("h06"), dbg_state) @[Conditional.scala 37:30] - when _T_405 : @[Conditional.scala 39:67] - dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 310:20] - node _T_406 = bits(dmstatus_reg, 17, 17) @[dbg.scala 311:35] - node _T_407 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 311:55] - node _T_408 = or(_T_406, _T_407) @[dbg.scala 311:40] - dbg_state_en <= _T_408 @[dbg.scala 311:20] - node _T_409 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 312:59] - node _T_410 = and(dmcontrol_wren_Q, _T_409) @[dbg.scala 312:44] - node _T_411 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 312:81] - node _T_412 = not(_T_411) @[dbg.scala 312:67] - node _T_413 = and(_T_410, _T_412) @[dbg.scala 312:64] - node _T_414 = bits(_T_413, 0, 0) @[dbg.scala 312:102] - io.dbg_halt_req <= _T_414 @[dbg.scala 312:23] + node _T_403 = eq(UInt<3>("h06"), dbg_state) @[Conditional.scala 37:30] + when _T_403 : @[Conditional.scala 39:67] + dbg_nxtstate <= UInt<3>("h00") @[dbg.scala 308:20] + node _T_404 = bits(dmstatus_reg, 17, 17) @[dbg.scala 309:35] + node _T_405 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 309:55] + node _T_406 = or(_T_404, _T_405) @[dbg.scala 309:40] + dbg_state_en <= _T_406 @[dbg.scala 309:20] + node _T_407 = bits(dmcontrol_reg, 31, 31) @[dbg.scala 310:59] + node _T_408 = and(dmcontrol_wren_Q, _T_407) @[dbg.scala 310:44] + node _T_409 = bits(dmcontrol_reg, 1, 1) @[dbg.scala 310:81] + node _T_410 = not(_T_409) @[dbg.scala 310:67] + node _T_411 = and(_T_408, _T_410) @[dbg.scala 310:64] + node _T_412 = bits(_T_411, 0, 0) @[dbg.scala 310:102] + io.dbg_halt_req <= _T_412 @[dbg.scala 310:23] skip @[Conditional.scala 39:67] - node _T_415 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 315:52] - node _T_416 = bits(_T_415, 0, 0) @[Bitwise.scala 72:15] - node _T_417 = mux(_T_416, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_418 = and(_T_417, data0_reg) @[dbg.scala 315:71] - node _T_419 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 315:110] - node _T_420 = bits(_T_419, 0, 0) @[Bitwise.scala 72:15] - node _T_421 = mux(_T_420, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_422 = and(_T_421, data1_reg) @[dbg.scala 315:122] - node _T_423 = or(_T_418, _T_422) @[dbg.scala 315:83] - node _T_424 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 316:30] - node _T_425 = bits(_T_424, 0, 0) @[Bitwise.scala 72:15] - node _T_426 = mux(_T_425, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_427 = and(_T_426, dmcontrol_reg) @[dbg.scala 316:43] - node _T_428 = or(_T_423, _T_427) @[dbg.scala 315:134] - node _T_429 = eq(io.dmi_reg_addr, UInt<5>("h011")) @[dbg.scala 316:86] - node _T_430 = bits(_T_429, 0, 0) @[Bitwise.scala 72:15] - node _T_431 = mux(_T_430, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_432 = and(_T_431, dmstatus_reg) @[dbg.scala 316:99] - node _T_433 = or(_T_428, _T_432) @[dbg.scala 316:59] - node _T_434 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 317:30] - node _T_435 = bits(_T_434, 0, 0) @[Bitwise.scala 72:15] - node _T_436 = mux(_T_435, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_437 = and(_T_436, abstractcs_reg) @[dbg.scala 317:43] - node _T_438 = or(_T_433, _T_437) @[dbg.scala 316:114] - node _T_439 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 317:87] - node _T_440 = bits(_T_439, 0, 0) @[Bitwise.scala 72:15] - node _T_441 = mux(_T_440, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_442 = and(_T_441, command_reg) @[dbg.scala 317:100] - node _T_443 = or(_T_438, _T_442) @[dbg.scala 317:60] - node _T_444 = eq(io.dmi_reg_addr, UInt<7>("h040")) @[dbg.scala 318:30] - node _T_445 = bits(_T_444, 0, 0) @[Bitwise.scala 72:15] - node _T_446 = mux(_T_445, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_447 = and(_T_446, haltsum0_reg) @[dbg.scala 318:43] - node _T_448 = or(_T_443, _T_447) @[dbg.scala 317:114] - node _T_449 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 318:85] - node _T_450 = bits(_T_449, 0, 0) @[Bitwise.scala 72:15] - node _T_451 = mux(_T_450, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_452 = and(_T_451, sbcs_reg) @[dbg.scala 318:98] - node _T_453 = or(_T_448, _T_452) @[dbg.scala 318:58] - node _T_454 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 319:30] - node _T_455 = bits(_T_454, 0, 0) @[Bitwise.scala 72:15] - node _T_456 = mux(_T_455, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_457 = and(_T_456, sbaddress0_reg) @[dbg.scala 319:43] - node _T_458 = or(_T_453, _T_457) @[dbg.scala 318:109] - node _T_459 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 319:87] - node _T_460 = bits(_T_459, 0, 0) @[Bitwise.scala 72:15] - node _T_461 = mux(_T_460, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_462 = and(_T_461, sbdata0_reg) @[dbg.scala 319:100] - node _T_463 = or(_T_458, _T_462) @[dbg.scala 319:60] - node _T_464 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 320:30] - node _T_465 = bits(_T_464, 0, 0) @[Bitwise.scala 72:15] - node _T_466 = mux(_T_465, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] - node _T_467 = and(_T_466, sbdata1_reg) @[dbg.scala 320:43] - node dmi_reg_rdata_din = or(_T_463, _T_467) @[dbg.scala 319:114] - reg _T_468 : UInt, rvclkhdr.io.l1clk with : (reset => (rst_temp, UInt<1>("h00"))) @[Reg.scala 27:20] + node _T_413 = eq(io.dmi_reg_addr, UInt<3>("h04")) @[dbg.scala 313:52] + node _T_414 = bits(_T_413, 0, 0) @[Bitwise.scala 72:15] + node _T_415 = mux(_T_414, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_416 = and(_T_415, data0_reg) @[dbg.scala 313:71] + node _T_417 = eq(io.dmi_reg_addr, UInt<3>("h05")) @[dbg.scala 313:110] + node _T_418 = bits(_T_417, 0, 0) @[Bitwise.scala 72:15] + node _T_419 = mux(_T_418, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_420 = and(_T_419, data1_reg) @[dbg.scala 313:122] + node _T_421 = or(_T_416, _T_420) @[dbg.scala 313:83] + node _T_422 = eq(io.dmi_reg_addr, UInt<5>("h010")) @[dbg.scala 314:30] + node _T_423 = bits(_T_422, 0, 0) @[Bitwise.scala 72:15] + node _T_424 = mux(_T_423, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_425 = and(_T_424, dmcontrol_reg) @[dbg.scala 314:43] + node _T_426 = or(_T_421, _T_425) @[dbg.scala 313:134] + node _T_427 = eq(io.dmi_reg_addr, UInt<5>("h011")) @[dbg.scala 314:86] + node _T_428 = bits(_T_427, 0, 0) @[Bitwise.scala 72:15] + node _T_429 = mux(_T_428, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_430 = and(_T_429, dmstatus_reg) @[dbg.scala 314:99] + node _T_431 = or(_T_426, _T_430) @[dbg.scala 314:59] + node _T_432 = eq(io.dmi_reg_addr, UInt<5>("h016")) @[dbg.scala 315:30] + node _T_433 = bits(_T_432, 0, 0) @[Bitwise.scala 72:15] + node _T_434 = mux(_T_433, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_435 = and(_T_434, abstractcs_reg) @[dbg.scala 315:43] + node _T_436 = or(_T_431, _T_435) @[dbg.scala 314:114] + node _T_437 = eq(io.dmi_reg_addr, UInt<5>("h017")) @[dbg.scala 315:87] + node _T_438 = bits(_T_437, 0, 0) @[Bitwise.scala 72:15] + node _T_439 = mux(_T_438, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_440 = and(_T_439, command_reg) @[dbg.scala 315:100] + node _T_441 = or(_T_436, _T_440) @[dbg.scala 315:60] + node _T_442 = eq(io.dmi_reg_addr, UInt<7>("h040")) @[dbg.scala 316:30] + node _T_443 = bits(_T_442, 0, 0) @[Bitwise.scala 72:15] + node _T_444 = mux(_T_443, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_445 = and(_T_444, haltsum0_reg) @[dbg.scala 316:43] + node _T_446 = or(_T_441, _T_445) @[dbg.scala 315:114] + node _T_447 = eq(io.dmi_reg_addr, UInt<6>("h038")) @[dbg.scala 316:85] + node _T_448 = bits(_T_447, 0, 0) @[Bitwise.scala 72:15] + node _T_449 = mux(_T_448, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_450 = and(_T_449, sbcs_reg) @[dbg.scala 316:98] + node _T_451 = or(_T_446, _T_450) @[dbg.scala 316:58] + node _T_452 = eq(io.dmi_reg_addr, UInt<6>("h039")) @[dbg.scala 317:30] + node _T_453 = bits(_T_452, 0, 0) @[Bitwise.scala 72:15] + node _T_454 = mux(_T_453, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_455 = and(_T_454, sbaddress0_reg) @[dbg.scala 317:43] + node _T_456 = or(_T_451, _T_455) @[dbg.scala 316:109] + node _T_457 = eq(io.dmi_reg_addr, UInt<6>("h03c")) @[dbg.scala 317:87] + node _T_458 = bits(_T_457, 0, 0) @[Bitwise.scala 72:15] + node _T_459 = mux(_T_458, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_460 = and(_T_459, sbdata0_reg) @[dbg.scala 317:100] + node _T_461 = or(_T_456, _T_460) @[dbg.scala 317:60] + node _T_462 = eq(io.dmi_reg_addr, UInt<6>("h03d")) @[dbg.scala 318:30] + node _T_463 = bits(_T_462, 0, 0) @[Bitwise.scala 72:15] + node _T_464 = mux(_T_463, UInt<32>("h0ffffffff"), UInt<32>("h00")) @[Bitwise.scala 72:12] + node _T_465 = and(_T_464, sbdata1_reg) @[dbg.scala 318:43] + node dmi_reg_rdata_din = or(_T_461, _T_465) @[dbg.scala 317:114] + reg _T_466 : UInt, rvclkhdr.io.l1clk with : (reset => (rst_temp, UInt<1>("h00"))) @[Reg.scala 27:20] when dbg_state_en : @[Reg.scala 28:19] - _T_468 <= dbg_nxtstate @[Reg.scala 28:23] + _T_466 <= dbg_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - dbg_state <= _T_468 @[dbg.scala 322:13] - reg _T_469 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + dbg_state <= _T_466 @[dbg.scala 320:13] + reg _T_467 : UInt, rvclkhdr.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when io.dmi_reg_en : @[Reg.scala 28:19] - _T_469 <= dmi_reg_rdata_din @[Reg.scala 28:23] + _T_467 <= dmi_reg_rdata_din @[Reg.scala 28:23] skip @[Reg.scala 28:19] - io.dmi_reg_rdata <= _T_469 @[dbg.scala 327:20] - node _T_470 = bits(command_reg, 31, 24) @[dbg.scala 331:53] - node _T_471 = eq(_T_470, UInt<2>("h02")) @[dbg.scala 331:62] - node _T_472 = bits(data1_reg, 31, 2) @[dbg.scala 331:88] - node _T_473 = cat(_T_472, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_474 = bits(command_reg, 11, 0) @[dbg.scala 331:138] - node _T_475 = cat(UInt<20>("h00"), _T_474) @[Cat.scala 29:58] - node _T_476 = mux(_T_471, _T_473, _T_475) @[dbg.scala 331:40] - io.dbg_dec.dbg_ib.dbg_cmd_addr <= _T_476 @[dbg.scala 331:34] - node _T_477 = bits(data0_reg, 31, 0) @[dbg.scala 332:50] - io.dbg_dec.dbg_dctl.dbg_cmd_wrdata <= _T_477 @[dbg.scala 332:38] - node _T_478 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 333:50] - node _T_479 = bits(abstractcs_reg, 10, 8) @[dbg.scala 333:91] - node _T_480 = orr(_T_479) @[dbg.scala 333:99] - node _T_481 = eq(_T_480, UInt<1>("h00")) @[dbg.scala 333:75] - node _T_482 = and(_T_478, _T_481) @[dbg.scala 333:73] - node _T_483 = and(_T_482, io.dbg_dma_io.dma_dbg_ready) @[dbg.scala 333:104] - node _T_484 = bits(_T_483, 0, 0) @[dbg.scala 333:141] - io.dbg_dec.dbg_ib.dbg_cmd_valid <= _T_484 @[dbg.scala 333:35] - node _T_485 = bits(command_reg, 16, 16) @[dbg.scala 334:49] - node _T_486 = bits(_T_485, 0, 0) @[dbg.scala 334:60] - io.dbg_dec.dbg_ib.dbg_cmd_write <= _T_486 @[dbg.scala 334:35] - node _T_487 = bits(command_reg, 31, 24) @[dbg.scala 335:53] - node _T_488 = eq(_T_487, UInt<2>("h02")) @[dbg.scala 335:62] - node _T_489 = bits(command_reg, 15, 12) @[dbg.scala 335:113] - node _T_490 = eq(_T_489, UInt<1>("h00")) @[dbg.scala 335:122] - node _T_491 = cat(UInt<1>("h00"), _T_490) @[Cat.scala 29:58] - node _T_492 = mux(_T_488, UInt<2>("h02"), _T_491) @[dbg.scala 335:40] - io.dbg_dec.dbg_ib.dbg_cmd_type <= _T_492 @[dbg.scala 335:34] - node _T_493 = bits(command_reg, 21, 20) @[dbg.scala 336:33] - io.dbg_cmd_size <= _T_493 @[dbg.scala 336:19] - node _T_494 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 337:47] - node _T_495 = bits(abstractcs_reg, 10, 8) @[dbg.scala 337:88] - node _T_496 = orr(_T_495) @[dbg.scala 337:96] - node _T_497 = eq(_T_496, UInt<1>("h00")) @[dbg.scala 337:72] - node _T_498 = and(_T_494, _T_497) @[dbg.scala 337:70] - node _T_499 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 337:114] - node _T_500 = or(_T_498, _T_499) @[dbg.scala 337:101] - node _T_501 = bits(_T_500, 0, 0) @[dbg.scala 337:143] - io.dbg_dma_io.dbg_dma_bubble <= _T_501 @[dbg.scala 337:32] + io.dmi_reg_rdata <= _T_467 @[dbg.scala 325:20] + node _T_468 = bits(command_reg, 31, 24) @[dbg.scala 329:53] + node _T_469 = eq(_T_468, UInt<2>("h02")) @[dbg.scala 329:62] + node _T_470 = bits(data1_reg, 31, 2) @[dbg.scala 329:88] + node _T_471 = cat(_T_470, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_472 = bits(command_reg, 11, 0) @[dbg.scala 329:138] + node _T_473 = cat(UInt<20>("h00"), _T_472) @[Cat.scala 29:58] + node _T_474 = mux(_T_469, _T_471, _T_473) @[dbg.scala 329:40] + io.dbg_dec.dbg_ib.dbg_cmd_addr <= _T_474 @[dbg.scala 329:34] + node _T_475 = bits(data0_reg, 31, 0) @[dbg.scala 330:50] + io.dbg_dec.dbg_dctl.dbg_cmd_wrdata <= _T_475 @[dbg.scala 330:38] + node _T_476 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 331:50] + node _T_477 = bits(abstractcs_reg, 10, 8) @[dbg.scala 331:91] + node _T_478 = orr(_T_477) @[dbg.scala 331:99] + node _T_479 = eq(_T_478, UInt<1>("h00")) @[dbg.scala 331:75] + node _T_480 = and(_T_476, _T_479) @[dbg.scala 331:73] + node _T_481 = and(_T_480, io.dbg_dma_io.dma_dbg_ready) @[dbg.scala 331:104] + node _T_482 = bits(_T_481, 0, 0) @[dbg.scala 331:141] + io.dbg_dec.dbg_ib.dbg_cmd_valid <= _T_482 @[dbg.scala 331:35] + node _T_483 = bits(command_reg, 16, 16) @[dbg.scala 332:49] + node _T_484 = bits(_T_483, 0, 0) @[dbg.scala 332:60] + io.dbg_dec.dbg_ib.dbg_cmd_write <= _T_484 @[dbg.scala 332:35] + node _T_485 = bits(command_reg, 31, 24) @[dbg.scala 333:53] + node _T_486 = eq(_T_485, UInt<2>("h02")) @[dbg.scala 333:62] + node _T_487 = bits(command_reg, 15, 12) @[dbg.scala 333:113] + node _T_488 = eq(_T_487, UInt<1>("h00")) @[dbg.scala 333:122] + node _T_489 = cat(UInt<1>("h00"), _T_488) @[Cat.scala 29:58] + node _T_490 = mux(_T_486, UInt<2>("h02"), _T_489) @[dbg.scala 333:40] + io.dbg_dec.dbg_ib.dbg_cmd_type <= _T_490 @[dbg.scala 333:34] + node _T_491 = bits(command_reg, 21, 20) @[dbg.scala 334:33] + io.dbg_cmd_size <= _T_491 @[dbg.scala 334:19] + node _T_492 = eq(dbg_state, UInt<3>("h03")) @[dbg.scala 335:47] + node _T_493 = bits(abstractcs_reg, 10, 8) @[dbg.scala 335:88] + node _T_494 = orr(_T_493) @[dbg.scala 335:96] + node _T_495 = eq(_T_494, UInt<1>("h00")) @[dbg.scala 335:72] + node _T_496 = and(_T_492, _T_495) @[dbg.scala 335:70] + node _T_497 = eq(dbg_state, UInt<3>("h04")) @[dbg.scala 335:114] + node _T_498 = or(_T_496, _T_497) @[dbg.scala 335:101] + node _T_499 = bits(_T_498, 0, 0) @[dbg.scala 335:143] + io.dbg_dma_io.dbg_dma_bubble <= _T_499 @[dbg.scala 335:32] wire sb_nxtstate : UInt<4> sb_nxtstate <= UInt<4>("h00") - sb_nxtstate <= UInt<4>("h00") @[dbg.scala 340:15] - sbcs_sbbusy_wren <= UInt<1>("h00") @[dbg.scala 342:20] - sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 343:19] - sbcs_sberror_wren <= UInt<1>("h00") @[dbg.scala 344:21] - sbcs_sberror_din <= UInt<3>("h00") @[dbg.scala 345:20] - sbaddress0_reg_wren1 <= UInt<1>("h00") @[dbg.scala 346:24] - node _T_502 = eq(UInt<4>("h00"), sb_state) @[Conditional.scala 37:30] - when _T_502 : @[Conditional.scala 40:58] - node _T_503 = mux(sbdata0wr_access, UInt<4>("h02"), UInt<4>("h01")) @[dbg.scala 349:25] - sb_nxtstate <= _T_503 @[dbg.scala 349:19] - node _T_504 = or(sbdata0wr_access, sbreadondata_access) @[dbg.scala 350:39] - node _T_505 = or(_T_504, sbreadonaddr_access) @[dbg.scala 350:61] - sb_state_en <= _T_505 @[dbg.scala 350:19] - sbcs_sbbusy_wren <= sb_state_en @[dbg.scala 351:24] - sbcs_sbbusy_din <= UInt<1>("h01") @[dbg.scala 352:23] - node _T_506 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 353:56] - node _T_507 = orr(_T_506) @[dbg.scala 353:65] - node _T_508 = and(sbcs_wren, _T_507) @[dbg.scala 353:38] - sbcs_sberror_wren <= _T_508 @[dbg.scala 353:25] - node _T_509 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 354:44] - node _T_510 = not(_T_509) @[dbg.scala 354:27] - node _T_511 = bits(sbcs_reg, 14, 12) @[dbg.scala 354:63] - node _T_512 = and(_T_510, _T_511) @[dbg.scala 354:53] - sbcs_sberror_din <= _T_512 @[dbg.scala 354:24] + sb_nxtstate <= UInt<4>("h00") @[dbg.scala 338:15] + sbcs_sbbusy_wren <= UInt<1>("h00") @[dbg.scala 340:20] + sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 341:19] + sbcs_sberror_wren <= UInt<1>("h00") @[dbg.scala 342:21] + sbcs_sberror_din <= UInt<3>("h00") @[dbg.scala 343:20] + sbaddress0_reg_wren1 <= UInt<1>("h00") @[dbg.scala 344:24] + node _T_500 = eq(UInt<4>("h00"), sb_state) @[Conditional.scala 37:30] + when _T_500 : @[Conditional.scala 40:58] + node _T_501 = mux(sbdata0wr_access, UInt<4>("h02"), UInt<4>("h01")) @[dbg.scala 347:25] + sb_nxtstate <= _T_501 @[dbg.scala 347:19] + node _T_502 = or(sbdata0wr_access, sbreadondata_access) @[dbg.scala 348:39] + node _T_503 = or(_T_502, sbreadonaddr_access) @[dbg.scala 348:61] + sb_state_en <= _T_503 @[dbg.scala 348:19] + sbcs_sbbusy_wren <= sb_state_en @[dbg.scala 349:24] + sbcs_sbbusy_din <= UInt<1>("h01") @[dbg.scala 350:23] + node _T_504 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 351:56] + node _T_505 = orr(_T_504) @[dbg.scala 351:65] + node _T_506 = and(sbcs_wren, _T_505) @[dbg.scala 351:38] + sbcs_sberror_wren <= _T_506 @[dbg.scala 351:25] + node _T_507 = bits(io.dmi_reg_wdata, 14, 12) @[dbg.scala 352:44] + node _T_508 = not(_T_507) @[dbg.scala 352:27] + node _T_509 = bits(sbcs_reg, 14, 12) @[dbg.scala 352:63] + node _T_510 = and(_T_508, _T_509) @[dbg.scala 352:53] + sbcs_sberror_din <= _T_510 @[dbg.scala 352:24] skip @[Conditional.scala 40:58] else : @[Conditional.scala 39:67] - node _T_513 = eq(UInt<4>("h01"), sb_state) @[Conditional.scala 37:30] - when _T_513 : @[Conditional.scala 39:67] - node _T_514 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 357:41] - node _T_515 = mux(_T_514, UInt<4>("h09"), UInt<4>("h03")) @[dbg.scala 357:25] - sb_nxtstate <= _T_515 @[dbg.scala 357:19] - node _T_516 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 358:40] - node _T_517 = or(_T_516, sbcs_illegal_size) @[dbg.scala 358:57] - sb_state_en <= _T_517 @[dbg.scala 358:19] - node _T_518 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 359:43] - sbcs_sberror_wren <= _T_518 @[dbg.scala 359:25] - node _T_519 = mux(sbcs_unaligned, UInt<3>("h03"), UInt<3>("h04")) @[dbg.scala 360:30] - sbcs_sberror_din <= _T_519 @[dbg.scala 360:24] + node _T_511 = eq(UInt<4>("h01"), sb_state) @[Conditional.scala 37:30] + when _T_511 : @[Conditional.scala 39:67] + node _T_512 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 355:41] + node _T_513 = mux(_T_512, UInt<4>("h09"), UInt<4>("h03")) @[dbg.scala 355:25] + sb_nxtstate <= _T_513 @[dbg.scala 355:19] + node _T_514 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 356:40] + node _T_515 = or(_T_514, sbcs_illegal_size) @[dbg.scala 356:57] + sb_state_en <= _T_515 @[dbg.scala 356:19] + node _T_516 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 357:43] + sbcs_sberror_wren <= _T_516 @[dbg.scala 357:25] + node _T_517 = mux(sbcs_unaligned, UInt<3>("h03"), UInt<3>("h04")) @[dbg.scala 358:30] + sbcs_sberror_din <= _T_517 @[dbg.scala 358:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_520 = eq(UInt<4>("h02"), sb_state) @[Conditional.scala 37:30] - when _T_520 : @[Conditional.scala 39:67] - node _T_521 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 363:41] - node _T_522 = mux(_T_521, UInt<4>("h09"), UInt<4>("h04")) @[dbg.scala 363:25] - sb_nxtstate <= _T_522 @[dbg.scala 363:19] - node _T_523 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 364:40] - node _T_524 = or(_T_523, sbcs_illegal_size) @[dbg.scala 364:57] - sb_state_en <= _T_524 @[dbg.scala 364:19] - node _T_525 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 365:43] - sbcs_sberror_wren <= _T_525 @[dbg.scala 365:25] - node _T_526 = mux(sbcs_unaligned, UInt<3>("h03"), UInt<3>("h04")) @[dbg.scala 366:30] - sbcs_sberror_din <= _T_526 @[dbg.scala 366:24] + node _T_518 = eq(UInt<4>("h02"), sb_state) @[Conditional.scala 37:30] + when _T_518 : @[Conditional.scala 39:67] + node _T_519 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 361:41] + node _T_520 = mux(_T_519, UInt<4>("h09"), UInt<4>("h04")) @[dbg.scala 361:25] + sb_nxtstate <= _T_520 @[dbg.scala 361:19] + node _T_521 = or(io.dbg_bus_clk_en, sbcs_unaligned) @[dbg.scala 362:40] + node _T_522 = or(_T_521, sbcs_illegal_size) @[dbg.scala 362:57] + sb_state_en <= _T_522 @[dbg.scala 362:19] + node _T_523 = or(sbcs_unaligned, sbcs_illegal_size) @[dbg.scala 363:43] + sbcs_sberror_wren <= _T_523 @[dbg.scala 363:25] + node _T_524 = mux(sbcs_unaligned, UInt<3>("h03"), UInt<3>("h04")) @[dbg.scala 364:30] + sbcs_sberror_din <= _T_524 @[dbg.scala 364:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_527 = eq(UInt<4>("h03"), sb_state) @[Conditional.scala 37:30] - when _T_527 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h07") @[dbg.scala 369:19] - node _T_528 = and(sb_bus_cmd_read, io.dbg_bus_clk_en) @[dbg.scala 370:38] - sb_state_en <= _T_528 @[dbg.scala 370:19] + node _T_525 = eq(UInt<4>("h03"), sb_state) @[Conditional.scala 37:30] + when _T_525 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h07") @[dbg.scala 367:19] + node _T_526 = and(sb_bus_cmd_read, io.dbg_bus_clk_en) @[dbg.scala 368:38] + sb_state_en <= _T_526 @[dbg.scala 368:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_529 = eq(UInt<4>("h04"), sb_state) @[Conditional.scala 37:30] - when _T_529 : @[Conditional.scala 39:67] - node _T_530 = and(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 373:48] - node _T_531 = mux(sb_bus_cmd_write_data, UInt<4>("h05"), UInt<4>("h06")) @[dbg.scala 373:95] - node _T_532 = mux(_T_530, UInt<4>("h08"), _T_531) @[dbg.scala 373:25] - sb_nxtstate <= _T_532 @[dbg.scala 373:19] - node _T_533 = or(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 374:45] - node _T_534 = and(_T_533, io.dbg_bus_clk_en) @[dbg.scala 374:70] - sb_state_en <= _T_534 @[dbg.scala 374:19] + node _T_527 = eq(UInt<4>("h04"), sb_state) @[Conditional.scala 37:30] + when _T_527 : @[Conditional.scala 39:67] + node _T_528 = and(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 371:48] + node _T_529 = mux(sb_bus_cmd_write_data, UInt<4>("h05"), UInt<4>("h06")) @[dbg.scala 371:95] + node _T_530 = mux(_T_528, UInt<4>("h08"), _T_529) @[dbg.scala 371:25] + sb_nxtstate <= _T_530 @[dbg.scala 371:19] + node _T_531 = or(sb_bus_cmd_write_addr, sb_bus_cmd_write_data) @[dbg.scala 372:45] + node _T_532 = and(_T_531, io.dbg_bus_clk_en) @[dbg.scala 372:70] + sb_state_en <= _T_532 @[dbg.scala 372:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_535 = eq(UInt<4>("h05"), sb_state) @[Conditional.scala 37:30] - when _T_535 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h08") @[dbg.scala 377:19] - node _T_536 = and(sb_bus_cmd_write_addr, io.dbg_bus_clk_en) @[dbg.scala 378:44] - sb_state_en <= _T_536 @[dbg.scala 378:19] + node _T_533 = eq(UInt<4>("h05"), sb_state) @[Conditional.scala 37:30] + when _T_533 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h08") @[dbg.scala 375:19] + node _T_534 = and(sb_bus_cmd_write_addr, io.dbg_bus_clk_en) @[dbg.scala 376:44] + sb_state_en <= _T_534 @[dbg.scala 376:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_537 = eq(UInt<4>("h06"), sb_state) @[Conditional.scala 37:30] - when _T_537 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h08") @[dbg.scala 381:19] - node _T_538 = and(sb_bus_cmd_write_data, io.dbg_bus_clk_en) @[dbg.scala 382:44] - sb_state_en <= _T_538 @[dbg.scala 382:19] + node _T_535 = eq(UInt<4>("h06"), sb_state) @[Conditional.scala 37:30] + when _T_535 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h08") @[dbg.scala 379:19] + node _T_536 = and(sb_bus_cmd_write_data, io.dbg_bus_clk_en) @[dbg.scala 380:44] + sb_state_en <= _T_536 @[dbg.scala 380:19] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_539 = eq(UInt<4>("h07"), sb_state) @[Conditional.scala 37:30] - when _T_539 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h09") @[dbg.scala 385:19] - node _T_540 = and(sb_bus_rsp_read, io.dbg_bus_clk_en) @[dbg.scala 386:38] - sb_state_en <= _T_540 @[dbg.scala 386:19] - node _T_541 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 387:40] - sbcs_sberror_wren <= _T_541 @[dbg.scala 387:25] - sbcs_sberror_din <= UInt<3>("h02") @[dbg.scala 388:24] + node _T_537 = eq(UInt<4>("h07"), sb_state) @[Conditional.scala 37:30] + when _T_537 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h09") @[dbg.scala 383:19] + node _T_538 = and(sb_bus_rsp_read, io.dbg_bus_clk_en) @[dbg.scala 384:38] + sb_state_en <= _T_538 @[dbg.scala 384:19] + node _T_539 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 385:40] + sbcs_sberror_wren <= _T_539 @[dbg.scala 385:25] + sbcs_sberror_din <= UInt<3>("h02") @[dbg.scala 386:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_542 = eq(UInt<4>("h08"), sb_state) @[Conditional.scala 37:30] - when _T_542 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h09") @[dbg.scala 391:19] - node _T_543 = and(sb_bus_rsp_write, io.dbg_bus_clk_en) @[dbg.scala 392:39] - sb_state_en <= _T_543 @[dbg.scala 392:19] - node _T_544 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 393:40] - sbcs_sberror_wren <= _T_544 @[dbg.scala 393:25] - sbcs_sberror_din <= UInt<3>("h02") @[dbg.scala 394:24] + node _T_540 = eq(UInt<4>("h08"), sb_state) @[Conditional.scala 37:30] + when _T_540 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h09") @[dbg.scala 389:19] + node _T_541 = and(sb_bus_rsp_write, io.dbg_bus_clk_en) @[dbg.scala 390:39] + sb_state_en <= _T_541 @[dbg.scala 390:19] + node _T_542 = and(sb_state_en, sb_bus_rsp_error) @[dbg.scala 391:40] + sbcs_sberror_wren <= _T_542 @[dbg.scala 391:25] + sbcs_sberror_din <= UInt<3>("h02") @[dbg.scala 392:24] skip @[Conditional.scala 39:67] else : @[Conditional.scala 39:67] - node _T_545 = eq(UInt<4>("h09"), sb_state) @[Conditional.scala 37:30] - when _T_545 : @[Conditional.scala 39:67] - sb_nxtstate <= UInt<4>("h00") @[dbg.scala 397:19] - sb_state_en <= UInt<1>("h01") @[dbg.scala 398:19] - sbcs_sbbusy_wren <= UInt<1>("h01") @[dbg.scala 399:24] - sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 400:23] - node _T_546 = bits(sbcs_reg, 16, 16) @[dbg.scala 401:39] - sbaddress0_reg_wren1 <= _T_546 @[dbg.scala 401:28] + node _T_543 = eq(UInt<4>("h09"), sb_state) @[Conditional.scala 37:30] + when _T_543 : @[Conditional.scala 39:67] + sb_nxtstate <= UInt<4>("h00") @[dbg.scala 395:19] + sb_state_en <= UInt<1>("h01") @[dbg.scala 396:19] + sbcs_sbbusy_wren <= UInt<1>("h01") @[dbg.scala 397:24] + sbcs_sbbusy_din <= UInt<1>("h00") @[dbg.scala 398:23] + node _T_544 = bits(sbcs_reg, 16, 16) @[dbg.scala 399:39] + sbaddress0_reg_wren1 <= _T_544 @[dbg.scala 399:28] skip @[Conditional.scala 39:67] - reg _T_547 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] + reg _T_545 : UInt, rvclkhdr_1.io.l1clk with : (reset => (dbg_dm_rst_l, UInt<1>("h00"))) @[Reg.scala 27:20] when sb_state_en : @[Reg.scala 28:19] - _T_547 <= sb_nxtstate @[Reg.scala 28:23] + _T_545 <= sb_nxtstate @[Reg.scala 28:23] skip @[Reg.scala 28:19] - sb_state <= _T_547 @[dbg.scala 404:12] - node _T_548 = and(io.sb_axi.ar.valid, io.sb_axi.ar.ready) @[dbg.scala 408:41] - sb_bus_cmd_read <= _T_548 @[dbg.scala 408:19] - node _T_549 = and(io.sb_axi.aw.valid, io.sb_axi.aw.ready) @[dbg.scala 409:47] - sb_bus_cmd_write_addr <= _T_549 @[dbg.scala 409:25] - node _T_550 = and(io.sb_axi.w.valid, io.sb_axi.w.ready) @[dbg.scala 410:46] - sb_bus_cmd_write_data <= _T_550 @[dbg.scala 410:25] - node _T_551 = and(io.sb_axi.r.valid, io.sb_axi.r.ready) @[dbg.scala 411:40] - sb_bus_rsp_read <= _T_551 @[dbg.scala 411:19] - node _T_552 = and(io.sb_axi.b.valid, io.sb_axi.b.ready) @[dbg.scala 412:41] - sb_bus_rsp_write <= _T_552 @[dbg.scala 412:20] - node _T_553 = bits(io.sb_axi.r.bits.resp, 1, 0) @[dbg.scala 413:62] - node _T_554 = orr(_T_553) @[dbg.scala 413:69] - node _T_555 = and(sb_bus_rsp_read, _T_554) @[dbg.scala 413:39] - node _T_556 = bits(io.sb_axi.b.bits.resp, 1, 0) @[dbg.scala 413:115] - node _T_557 = orr(_T_556) @[dbg.scala 413:122] - node _T_558 = and(sb_bus_rsp_write, _T_557) @[dbg.scala 413:92] - node _T_559 = or(_T_555, _T_558) @[dbg.scala 413:73] - sb_bus_rsp_error <= _T_559 @[dbg.scala 413:20] - node _T_560 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 414:36] - node _T_561 = eq(sb_state, UInt<4>("h05")) @[dbg.scala 414:71] - node _T_562 = or(_T_560, _T_561) @[dbg.scala 414:59] - node _T_563 = bits(_T_562, 0, 0) @[dbg.scala 414:106] - io.sb_axi.aw.valid <= _T_563 @[dbg.scala 414:22] - io.sb_axi.aw.bits.addr <= sbaddress0_reg @[dbg.scala 415:26] - io.sb_axi.aw.bits.id <= UInt<1>("h00") @[dbg.scala 416:24] - node _T_564 = bits(sbcs_reg, 19, 17) @[dbg.scala 417:37] - io.sb_axi.aw.bits.size <= _T_564 @[dbg.scala 417:26] - io.sb_axi.aw.bits.prot <= UInt<1>("h00") @[dbg.scala 418:26] - io.sb_axi.aw.bits.cache <= UInt<4>("h0f") @[dbg.scala 419:27] - node _T_565 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 420:45] - io.sb_axi.aw.bits.region <= _T_565 @[dbg.scala 420:28] - io.sb_axi.aw.bits.len <= UInt<1>("h00") @[dbg.scala 421:25] - io.sb_axi.aw.bits.burst <= UInt<2>("h01") @[dbg.scala 422:27] - io.sb_axi.aw.bits.qos <= UInt<1>("h00") @[dbg.scala 423:25] - io.sb_axi.aw.bits.lock <= UInt<1>("h00") @[dbg.scala 424:26] - node _T_566 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 425:35] - node _T_567 = eq(sb_state, UInt<4>("h06")) @[dbg.scala 425:70] - node _T_568 = or(_T_566, _T_567) @[dbg.scala 425:58] - node _T_569 = bits(_T_568, 0, 0) @[dbg.scala 425:105] - io.sb_axi.w.valid <= _T_569 @[dbg.scala 425:21] - node _T_570 = bits(sbcs_reg, 19, 17) @[dbg.scala 426:46] - node _T_571 = eq(_T_570, UInt<1>("h00")) @[dbg.scala 426:55] - node _T_572 = bits(_T_571, 0, 0) @[Bitwise.scala 72:15] - node _T_573 = mux(_T_572, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_574 = bits(sbdata0_reg, 7, 0) @[dbg.scala 426:87] + sb_state <= _T_545 @[dbg.scala 402:12] + node _T_546 = and(io.sb_axi.ar.valid, io.sb_axi.ar.ready) @[dbg.scala 406:41] + sb_bus_cmd_read <= _T_546 @[dbg.scala 406:19] + node _T_547 = and(io.sb_axi.aw.valid, io.sb_axi.aw.ready) @[dbg.scala 407:47] + sb_bus_cmd_write_addr <= _T_547 @[dbg.scala 407:25] + node _T_548 = and(io.sb_axi.w.valid, io.sb_axi.w.ready) @[dbg.scala 408:46] + sb_bus_cmd_write_data <= _T_548 @[dbg.scala 408:25] + node _T_549 = and(io.sb_axi.r.valid, io.sb_axi.r.ready) @[dbg.scala 409:40] + sb_bus_rsp_read <= _T_549 @[dbg.scala 409:19] + node _T_550 = and(io.sb_axi.b.valid, io.sb_axi.b.ready) @[dbg.scala 410:41] + sb_bus_rsp_write <= _T_550 @[dbg.scala 410:20] + node _T_551 = bits(io.sb_axi.r.bits.resp, 1, 0) @[dbg.scala 411:62] + node _T_552 = orr(_T_551) @[dbg.scala 411:69] + node _T_553 = and(sb_bus_rsp_read, _T_552) @[dbg.scala 411:39] + node _T_554 = bits(io.sb_axi.b.bits.resp, 1, 0) @[dbg.scala 411:115] + node _T_555 = orr(_T_554) @[dbg.scala 411:122] + node _T_556 = and(sb_bus_rsp_write, _T_555) @[dbg.scala 411:92] + node _T_557 = or(_T_553, _T_556) @[dbg.scala 411:73] + sb_bus_rsp_error <= _T_557 @[dbg.scala 411:20] + node _T_558 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 412:36] + node _T_559 = eq(sb_state, UInt<4>("h05")) @[dbg.scala 412:71] + node _T_560 = or(_T_558, _T_559) @[dbg.scala 412:59] + node _T_561 = bits(_T_560, 0, 0) @[dbg.scala 412:106] + io.sb_axi.aw.valid <= _T_561 @[dbg.scala 412:22] + io.sb_axi.aw.bits.addr <= sbaddress0_reg @[dbg.scala 413:26] + io.sb_axi.aw.bits.id <= UInt<1>("h00") @[dbg.scala 414:24] + node _T_562 = bits(sbcs_reg, 19, 17) @[dbg.scala 415:37] + io.sb_axi.aw.bits.size <= _T_562 @[dbg.scala 415:26] + io.sb_axi.aw.bits.prot <= UInt<1>("h00") @[dbg.scala 416:26] + io.sb_axi.aw.bits.cache <= UInt<4>("h0f") @[dbg.scala 417:27] + node _T_563 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 418:45] + io.sb_axi.aw.bits.region <= _T_563 @[dbg.scala 418:28] + io.sb_axi.aw.bits.len <= UInt<1>("h00") @[dbg.scala 419:25] + io.sb_axi.aw.bits.burst <= UInt<2>("h01") @[dbg.scala 420:27] + io.sb_axi.aw.bits.qos <= UInt<1>("h00") @[dbg.scala 421:25] + io.sb_axi.aw.bits.lock <= UInt<1>("h00") @[dbg.scala 422:26] + node _T_564 = eq(sb_state, UInt<4>("h04")) @[dbg.scala 423:35] + node _T_565 = eq(sb_state, UInt<4>("h06")) @[dbg.scala 423:70] + node _T_566 = or(_T_564, _T_565) @[dbg.scala 423:58] + node _T_567 = bits(_T_566, 0, 0) @[dbg.scala 423:105] + io.sb_axi.w.valid <= _T_567 @[dbg.scala 423:21] + node _T_568 = bits(sbcs_reg, 19, 17) @[dbg.scala 424:46] + node _T_569 = eq(_T_568, UInt<1>("h00")) @[dbg.scala 424:55] + node _T_570 = bits(_T_569, 0, 0) @[Bitwise.scala 72:15] + node _T_571 = mux(_T_570, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_572 = bits(sbdata0_reg, 7, 0) @[dbg.scala 424:87] + node _T_573 = cat(_T_572, _T_572) @[Cat.scala 29:58] + node _T_574 = cat(_T_573, _T_573) @[Cat.scala 29:58] node _T_575 = cat(_T_574, _T_574) @[Cat.scala 29:58] - node _T_576 = cat(_T_575, _T_575) @[Cat.scala 29:58] - node _T_577 = cat(_T_576, _T_576) @[Cat.scala 29:58] - node _T_578 = and(_T_573, _T_577) @[dbg.scala 426:65] - node _T_579 = bits(sbcs_reg, 19, 17) @[dbg.scala 426:116] - node _T_580 = eq(_T_579, UInt<1>("h01")) @[dbg.scala 426:125] - node _T_581 = bits(_T_580, 0, 0) @[Bitwise.scala 72:15] - node _T_582 = mux(_T_581, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_583 = bits(sbdata0_reg, 15, 0) @[dbg.scala 426:159] - node _T_584 = cat(_T_583, _T_583) @[Cat.scala 29:58] - node _T_585 = cat(_T_584, _T_584) @[Cat.scala 29:58] - node _T_586 = and(_T_582, _T_585) @[dbg.scala 426:138] - node _T_587 = or(_T_578, _T_586) @[dbg.scala 426:96] - node _T_588 = bits(sbcs_reg, 19, 17) @[dbg.scala 427:23] - node _T_589 = eq(_T_588, UInt<2>("h02")) @[dbg.scala 427:32] - node _T_590 = bits(_T_589, 0, 0) @[Bitwise.scala 72:15] - node _T_591 = mux(_T_590, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_592 = bits(sbdata0_reg, 31, 0) @[dbg.scala 427:67] - node _T_593 = cat(_T_592, _T_592) @[Cat.scala 29:58] - node _T_594 = and(_T_591, _T_593) @[dbg.scala 427:45] - node _T_595 = or(_T_587, _T_594) @[dbg.scala 426:168] - node _T_596 = bits(sbcs_reg, 19, 17) @[dbg.scala 427:97] - node _T_597 = eq(_T_596, UInt<2>("h03")) @[dbg.scala 427:106] - node _T_598 = bits(_T_597, 0, 0) @[Bitwise.scala 72:15] - node _T_599 = mux(_T_598, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_600 = bits(sbdata1_reg, 31, 0) @[dbg.scala 427:136] - node _T_601 = bits(sbdata0_reg, 31, 0) @[dbg.scala 427:156] - node _T_602 = cat(_T_600, _T_601) @[Cat.scala 29:58] - node _T_603 = and(_T_599, _T_602) @[dbg.scala 427:119] - node _T_604 = or(_T_595, _T_603) @[dbg.scala 427:77] - io.sb_axi.w.bits.data <= _T_604 @[dbg.scala 426:25] - node _T_605 = bits(sbcs_reg, 19, 17) @[dbg.scala 429:45] - node _T_606 = eq(_T_605, UInt<1>("h00")) @[dbg.scala 429:54] - node _T_607 = bits(_T_606, 0, 0) @[Bitwise.scala 72:15] - node _T_608 = mux(_T_607, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_609 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 429:99] - node _T_610 = dshl(UInt<8>("h01"), _T_609) @[dbg.scala 429:82] - node _T_611 = and(_T_608, _T_610) @[dbg.scala 429:67] - node _T_612 = bits(sbcs_reg, 19, 17) @[dbg.scala 430:22] - node _T_613 = eq(_T_612, UInt<1>("h01")) @[dbg.scala 430:31] - node _T_614 = bits(_T_613, 0, 0) @[Bitwise.scala 72:15] - node _T_615 = mux(_T_614, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_616 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 430:80] - node _T_617 = cat(_T_616, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_618 = dshl(UInt<8>("h03"), _T_617) @[dbg.scala 430:59] - node _T_619 = and(_T_615, _T_618) @[dbg.scala 430:44] - node _T_620 = or(_T_611, _T_619) @[dbg.scala 429:107] - node _T_621 = bits(sbcs_reg, 19, 17) @[dbg.scala 431:22] - node _T_622 = eq(_T_621, UInt<2>("h02")) @[dbg.scala 431:31] - node _T_623 = bits(_T_622, 0, 0) @[Bitwise.scala 72:15] - node _T_624 = mux(_T_623, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_625 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 431:80] - node _T_626 = cat(_T_625, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_627 = dshl(UInt<8>("h0f"), _T_626) @[dbg.scala 431:59] - node _T_628 = and(_T_624, _T_627) @[dbg.scala 431:44] - node _T_629 = or(_T_620, _T_628) @[dbg.scala 430:97] - node _T_630 = bits(sbcs_reg, 19, 17) @[dbg.scala 432:22] - node _T_631 = eq(_T_630, UInt<2>("h03")) @[dbg.scala 432:31] - node _T_632 = bits(_T_631, 0, 0) @[Bitwise.scala 72:15] - node _T_633 = mux(_T_632, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_634 = and(_T_633, UInt<8>("h0ff")) @[dbg.scala 432:44] - node _T_635 = or(_T_629, _T_634) @[dbg.scala 431:100] - io.sb_axi.w.bits.strb <= _T_635 @[dbg.scala 429:25] - io.sb_axi.w.bits.last <= UInt<1>("h01") @[dbg.scala 434:25] - node _T_636 = eq(sb_state, UInt<4>("h03")) @[dbg.scala 435:35] - node _T_637 = bits(_T_636, 0, 0) @[dbg.scala 435:64] - io.sb_axi.ar.valid <= _T_637 @[dbg.scala 435:22] - io.sb_axi.ar.bits.addr <= sbaddress0_reg @[dbg.scala 436:26] - io.sb_axi.ar.bits.id <= UInt<1>("h00") @[dbg.scala 437:24] - node _T_638 = bits(sbcs_reg, 19, 17) @[dbg.scala 438:37] - io.sb_axi.ar.bits.size <= _T_638 @[dbg.scala 438:26] - io.sb_axi.ar.bits.prot <= UInt<1>("h00") @[dbg.scala 439:26] - io.sb_axi.ar.bits.cache <= UInt<1>("h00") @[dbg.scala 440:27] - node _T_639 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 441:45] - io.sb_axi.ar.bits.region <= _T_639 @[dbg.scala 441:28] - io.sb_axi.ar.bits.len <= UInt<1>("h00") @[dbg.scala 442:25] - io.sb_axi.ar.bits.burst <= UInt<2>("h01") @[dbg.scala 443:27] - io.sb_axi.ar.bits.qos <= UInt<1>("h00") @[dbg.scala 444:25] - io.sb_axi.ar.bits.lock <= UInt<1>("h00") @[dbg.scala 445:26] - io.sb_axi.b.ready <= UInt<1>("h01") @[dbg.scala 446:21] - io.sb_axi.r.ready <= UInt<1>("h01") @[dbg.scala 447:21] - node _T_640 = bits(sbcs_reg, 19, 17) @[dbg.scala 448:37] - node _T_641 = eq(_T_640, UInt<1>("h00")) @[dbg.scala 448:46] - node _T_642 = bits(_T_641, 0, 0) @[Bitwise.scala 72:15] - node _T_643 = mux(_T_642, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_644 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 448:84] - node _T_645 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 448:115] - node _T_646 = mul(UInt<4>("h08"), _T_645) @[dbg.scala 448:99] - node _T_647 = dshr(_T_644, _T_646) @[dbg.scala 448:92] - node _T_648 = and(_T_647, UInt<64>("h0ff")) @[dbg.scala 448:123] - node _T_649 = and(_T_643, _T_648) @[dbg.scala 448:59] - node _T_650 = bits(sbcs_reg, 19, 17) @[dbg.scala 449:23] - node _T_651 = eq(_T_650, UInt<1>("h01")) @[dbg.scala 449:32] - node _T_652 = bits(_T_651, 0, 0) @[Bitwise.scala 72:15] - node _T_653 = mux(_T_652, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_654 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 449:70] - node _T_655 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 449:102] - node _T_656 = mul(UInt<5>("h010"), _T_655) @[dbg.scala 449:86] - node _T_657 = dshr(_T_654, _T_656) @[dbg.scala 449:78] - node _T_658 = and(_T_657, UInt<64>("h0ffff")) @[dbg.scala 449:110] - node _T_659 = and(_T_653, _T_658) @[dbg.scala 449:45] - node _T_660 = or(_T_649, _T_659) @[dbg.scala 448:140] - node _T_661 = bits(sbcs_reg, 19, 17) @[dbg.scala 450:23] - node _T_662 = eq(_T_661, UInt<2>("h02")) @[dbg.scala 450:32] - node _T_663 = bits(_T_662, 0, 0) @[Bitwise.scala 72:15] - node _T_664 = mux(_T_663, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_665 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 450:70] - node _T_666 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 450:102] - node _T_667 = mul(UInt<6>("h020"), _T_666) @[dbg.scala 450:86] - node _T_668 = dshr(_T_665, _T_667) @[dbg.scala 450:78] - node _T_669 = and(_T_668, UInt<64>("h0ffffffff")) @[dbg.scala 450:107] - node _T_670 = and(_T_664, _T_669) @[dbg.scala 450:45] - node _T_671 = or(_T_660, _T_670) @[dbg.scala 449:129] - node _T_672 = bits(sbcs_reg, 19, 17) @[dbg.scala 451:23] - node _T_673 = eq(_T_672, UInt<2>("h03")) @[dbg.scala 451:32] - node _T_674 = bits(_T_673, 0, 0) @[Bitwise.scala 72:15] - node _T_675 = mux(_T_674, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] - node _T_676 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 451:68] - node _T_677 = and(_T_675, _T_676) @[dbg.scala 451:45] - node _T_678 = or(_T_671, _T_677) @[dbg.scala 450:131] - sb_bus_rdata <= _T_678 @[dbg.scala 448:16] - io.dbg_dma.dbg_ib.dbg_cmd_addr <= io.dbg_dec.dbg_ib.dbg_cmd_addr @[dbg.scala 454:39] - io.dbg_dma.dbg_dctl.dbg_cmd_wrdata <= io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[dbg.scala 455:39] - io.dbg_dma.dbg_ib.dbg_cmd_valid <= io.dbg_dec.dbg_ib.dbg_cmd_valid @[dbg.scala 456:39] - io.dbg_dma.dbg_ib.dbg_cmd_write <= io.dbg_dec.dbg_ib.dbg_cmd_write @[dbg.scala 457:39] - io.dbg_dma.dbg_ib.dbg_cmd_type <= io.dbg_dec.dbg_ib.dbg_cmd_type @[dbg.scala 458:39] + node _T_576 = and(_T_571, _T_575) @[dbg.scala 424:65] + node _T_577 = bits(sbcs_reg, 19, 17) @[dbg.scala 424:116] + node _T_578 = eq(_T_577, UInt<1>("h01")) @[dbg.scala 424:125] + node _T_579 = bits(_T_578, 0, 0) @[Bitwise.scala 72:15] + node _T_580 = mux(_T_579, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_581 = bits(sbdata0_reg, 15, 0) @[dbg.scala 424:159] + node _T_582 = cat(_T_581, _T_581) @[Cat.scala 29:58] + node _T_583 = cat(_T_582, _T_582) @[Cat.scala 29:58] + node _T_584 = and(_T_580, _T_583) @[dbg.scala 424:138] + node _T_585 = or(_T_576, _T_584) @[dbg.scala 424:96] + node _T_586 = bits(sbcs_reg, 19, 17) @[dbg.scala 425:23] + node _T_587 = eq(_T_586, UInt<2>("h02")) @[dbg.scala 425:32] + node _T_588 = bits(_T_587, 0, 0) @[Bitwise.scala 72:15] + node _T_589 = mux(_T_588, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_590 = bits(sbdata0_reg, 31, 0) @[dbg.scala 425:67] + node _T_591 = cat(_T_590, _T_590) @[Cat.scala 29:58] + node _T_592 = and(_T_589, _T_591) @[dbg.scala 425:45] + node _T_593 = or(_T_585, _T_592) @[dbg.scala 424:168] + node _T_594 = bits(sbcs_reg, 19, 17) @[dbg.scala 425:97] + node _T_595 = eq(_T_594, UInt<2>("h03")) @[dbg.scala 425:106] + node _T_596 = bits(_T_595, 0, 0) @[Bitwise.scala 72:15] + node _T_597 = mux(_T_596, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_598 = bits(sbdata1_reg, 31, 0) @[dbg.scala 425:136] + node _T_599 = bits(sbdata0_reg, 31, 0) @[dbg.scala 425:156] + node _T_600 = cat(_T_598, _T_599) @[Cat.scala 29:58] + node _T_601 = and(_T_597, _T_600) @[dbg.scala 425:119] + node _T_602 = or(_T_593, _T_601) @[dbg.scala 425:77] + io.sb_axi.w.bits.data <= _T_602 @[dbg.scala 424:25] + node _T_603 = bits(sbcs_reg, 19, 17) @[dbg.scala 427:45] + node _T_604 = eq(_T_603, UInt<1>("h00")) @[dbg.scala 427:54] + node _T_605 = bits(_T_604, 0, 0) @[Bitwise.scala 72:15] + node _T_606 = mux(_T_605, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_607 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 427:99] + node _T_608 = dshl(UInt<8>("h01"), _T_607) @[dbg.scala 427:82] + node _T_609 = and(_T_606, _T_608) @[dbg.scala 427:67] + node _T_610 = bits(sbcs_reg, 19, 17) @[dbg.scala 428:22] + node _T_611 = eq(_T_610, UInt<1>("h01")) @[dbg.scala 428:31] + node _T_612 = bits(_T_611, 0, 0) @[Bitwise.scala 72:15] + node _T_613 = mux(_T_612, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_614 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 428:80] + node _T_615 = cat(_T_614, UInt<1>("h00")) @[Cat.scala 29:58] + node _T_616 = dshl(UInt<8>("h03"), _T_615) @[dbg.scala 428:59] + node _T_617 = and(_T_613, _T_616) @[dbg.scala 428:44] + node _T_618 = or(_T_609, _T_617) @[dbg.scala 427:107] + node _T_619 = bits(sbcs_reg, 19, 17) @[dbg.scala 429:22] + node _T_620 = eq(_T_619, UInt<2>("h02")) @[dbg.scala 429:31] + node _T_621 = bits(_T_620, 0, 0) @[Bitwise.scala 72:15] + node _T_622 = mux(_T_621, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_623 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 429:80] + node _T_624 = cat(_T_623, UInt<2>("h00")) @[Cat.scala 29:58] + node _T_625 = dshl(UInt<8>("h0f"), _T_624) @[dbg.scala 429:59] + node _T_626 = and(_T_622, _T_625) @[dbg.scala 429:44] + node _T_627 = or(_T_618, _T_626) @[dbg.scala 428:97] + node _T_628 = bits(sbcs_reg, 19, 17) @[dbg.scala 430:22] + node _T_629 = eq(_T_628, UInt<2>("h03")) @[dbg.scala 430:31] + node _T_630 = bits(_T_629, 0, 0) @[Bitwise.scala 72:15] + node _T_631 = mux(_T_630, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] + node _T_632 = and(_T_631, UInt<8>("h0ff")) @[dbg.scala 430:44] + node _T_633 = or(_T_627, _T_632) @[dbg.scala 429:100] + io.sb_axi.w.bits.strb <= _T_633 @[dbg.scala 427:25] + io.sb_axi.w.bits.last <= UInt<1>("h01") @[dbg.scala 432:25] + node _T_634 = eq(sb_state, UInt<4>("h03")) @[dbg.scala 433:35] + node _T_635 = bits(_T_634, 0, 0) @[dbg.scala 433:64] + io.sb_axi.ar.valid <= _T_635 @[dbg.scala 433:22] + io.sb_axi.ar.bits.addr <= sbaddress0_reg @[dbg.scala 434:26] + io.sb_axi.ar.bits.id <= UInt<1>("h00") @[dbg.scala 435:24] + node _T_636 = bits(sbcs_reg, 19, 17) @[dbg.scala 436:37] + io.sb_axi.ar.bits.size <= _T_636 @[dbg.scala 436:26] + io.sb_axi.ar.bits.prot <= UInt<1>("h00") @[dbg.scala 437:26] + io.sb_axi.ar.bits.cache <= UInt<1>("h00") @[dbg.scala 438:27] + node _T_637 = bits(sbaddress0_reg, 31, 28) @[dbg.scala 439:45] + io.sb_axi.ar.bits.region <= _T_637 @[dbg.scala 439:28] + io.sb_axi.ar.bits.len <= UInt<1>("h00") @[dbg.scala 440:25] + io.sb_axi.ar.bits.burst <= UInt<2>("h01") @[dbg.scala 441:27] + io.sb_axi.ar.bits.qos <= UInt<1>("h00") @[dbg.scala 442:25] + io.sb_axi.ar.bits.lock <= UInt<1>("h00") @[dbg.scala 443:26] + io.sb_axi.b.ready <= UInt<1>("h01") @[dbg.scala 444:21] + io.sb_axi.r.ready <= UInt<1>("h01") @[dbg.scala 445:21] + node _T_638 = bits(sbcs_reg, 19, 17) @[dbg.scala 446:37] + node _T_639 = eq(_T_638, UInt<1>("h00")) @[dbg.scala 446:46] + node _T_640 = bits(_T_639, 0, 0) @[Bitwise.scala 72:15] + node _T_641 = mux(_T_640, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_642 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 446:84] + node _T_643 = bits(sbaddress0_reg, 2, 0) @[dbg.scala 446:115] + node _T_644 = mul(UInt<4>("h08"), _T_643) @[dbg.scala 446:99] + node _T_645 = dshr(_T_642, _T_644) @[dbg.scala 446:92] + node _T_646 = and(_T_645, UInt<64>("h0ff")) @[dbg.scala 446:123] + node _T_647 = and(_T_641, _T_646) @[dbg.scala 446:59] + node _T_648 = bits(sbcs_reg, 19, 17) @[dbg.scala 447:23] + node _T_649 = eq(_T_648, UInt<1>("h01")) @[dbg.scala 447:32] + node _T_650 = bits(_T_649, 0, 0) @[Bitwise.scala 72:15] + node _T_651 = mux(_T_650, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_652 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 447:70] + node _T_653 = bits(sbaddress0_reg, 2, 1) @[dbg.scala 447:102] + node _T_654 = mul(UInt<5>("h010"), _T_653) @[dbg.scala 447:86] + node _T_655 = dshr(_T_652, _T_654) @[dbg.scala 447:78] + node _T_656 = and(_T_655, UInt<64>("h0ffff")) @[dbg.scala 447:110] + node _T_657 = and(_T_651, _T_656) @[dbg.scala 447:45] + node _T_658 = or(_T_647, _T_657) @[dbg.scala 446:140] + node _T_659 = bits(sbcs_reg, 19, 17) @[dbg.scala 448:23] + node _T_660 = eq(_T_659, UInt<2>("h02")) @[dbg.scala 448:32] + node _T_661 = bits(_T_660, 0, 0) @[Bitwise.scala 72:15] + node _T_662 = mux(_T_661, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_663 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 448:70] + node _T_664 = bits(sbaddress0_reg, 2, 2) @[dbg.scala 448:102] + node _T_665 = mul(UInt<6>("h020"), _T_664) @[dbg.scala 448:86] + node _T_666 = dshr(_T_663, _T_665) @[dbg.scala 448:78] + node _T_667 = and(_T_666, UInt<64>("h0ffffffff")) @[dbg.scala 448:107] + node _T_668 = and(_T_662, _T_667) @[dbg.scala 448:45] + node _T_669 = or(_T_658, _T_668) @[dbg.scala 447:129] + node _T_670 = bits(sbcs_reg, 19, 17) @[dbg.scala 449:23] + node _T_671 = eq(_T_670, UInt<2>("h03")) @[dbg.scala 449:32] + node _T_672 = bits(_T_671, 0, 0) @[Bitwise.scala 72:15] + node _T_673 = mux(_T_672, UInt<64>("h0ffffffffffffffff"), UInt<64>("h00")) @[Bitwise.scala 72:12] + node _T_674 = bits(io.sb_axi.r.bits.data, 63, 0) @[dbg.scala 449:68] + node _T_675 = and(_T_673, _T_674) @[dbg.scala 449:45] + node _T_676 = or(_T_669, _T_675) @[dbg.scala 448:131] + sb_bus_rdata <= _T_676 @[dbg.scala 446:16] + io.dbg_dma.dbg_ib.dbg_cmd_addr <= io.dbg_dec.dbg_ib.dbg_cmd_addr @[dbg.scala 452:39] + io.dbg_dma.dbg_dctl.dbg_cmd_wrdata <= io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[dbg.scala 453:39] + io.dbg_dma.dbg_ib.dbg_cmd_valid <= io.dbg_dec.dbg_ib.dbg_cmd_valid @[dbg.scala 454:39] + io.dbg_dma.dbg_ib.dbg_cmd_write <= io.dbg_dec.dbg_ib.dbg_cmd_write @[dbg.scala 455:39] + io.dbg_dma.dbg_ib.dbg_cmd_type <= io.dbg_dec.dbg_ib.dbg_cmd_type @[dbg.scala 456:39] extmodule gated_latch_763 : output Q : Clock @@ -94944,180 +94951,180 @@ circuit quasar_wrapper : input reset : AsyncReset output io : {flip scan_mode : UInt<1>, tlu_busbuff : {lsu_pmu_bus_trxn : UInt<1>, lsu_pmu_bus_misaligned : UInt<1>, lsu_pmu_bus_error : UInt<1>, lsu_pmu_bus_busy : UInt<1>, flip dec_tlu_external_ldfwd_disable : UInt<1>, flip dec_tlu_wb_coalescing_disable : UInt<1>, flip dec_tlu_sideeffect_posted_disable : UInt<1>, lsu_imprecise_error_load_any : UInt<1>, lsu_imprecise_error_store_any : UInt<1>, lsu_imprecise_error_addr_any : UInt<32>}, dctl_busbuff : {lsu_nonblock_load_valid_m : UInt<1>, lsu_nonblock_load_tag_m : UInt<2>, lsu_nonblock_load_inv_r : UInt<1>, lsu_nonblock_load_inv_tag_r : UInt<2>, lsu_nonblock_load_data_valid : UInt<1>, lsu_nonblock_load_data_error : UInt<1>, lsu_nonblock_load_data_tag : UInt<2>, lsu_nonblock_load_data : UInt<32>}, flip dec_tlu_force_halt : UInt<1>, flip lsu_c2_r_clk : Clock, flip lsu_bus_ibuf_c1_clk : Clock, flip lsu_bus_obuf_c1_clk : Clock, flip lsu_bus_buf_c1_clk : Clock, flip lsu_free_c2_clk : Clock, flip lsu_busm_clk : Clock, flip dec_lsu_valid_raw_d : UInt<1>, flip lsu_pkt_m : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_pkt_r : {valid : UInt<1>, bits : {fast_int : UInt<1>, by : UInt<1>, half : UInt<1>, word : UInt<1>, dword : UInt<1>, load : UInt<1>, store : UInt<1>, unsign : UInt<1>, dma : UInt<1>, store_data_bypass_d : UInt<1>, load_ldst_bypass_d : UInt<1>, store_data_bypass_m : UInt<1>}}, flip lsu_addr_m : UInt<32>, flip end_addr_m : UInt<32>, flip lsu_addr_r : UInt<32>, flip end_addr_r : UInt<32>, flip store_data_r : UInt<32>, flip no_word_merge_r : UInt<1>, flip no_dword_merge_r : UInt<1>, flip lsu_busreq_m : UInt<1>, flip ld_full_hit_m : UInt<1>, flip flush_m_up : UInt<1>, flip flush_r : UInt<1>, flip lsu_commit_r : UInt<1>, flip is_sideeffects_r : UInt<1>, flip ldst_dual_d : UInt<1>, flip ldst_dual_m : UInt<1>, flip ldst_dual_r : UInt<1>, flip ldst_byteen_ext_m : UInt<8>, lsu_axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip lsu_bus_clk_en : UInt<1>, flip lsu_bus_clk_en_q : UInt<1>, lsu_busreq_r : UInt<1>, lsu_bus_buffer_pend_any : UInt<1>, lsu_bus_buffer_full_any : UInt<1>, lsu_bus_buffer_empty_any : UInt<1>, lsu_bus_idle_any : UInt<1>, ld_byte_hit_buf_lo : UInt<4>, ld_byte_hit_buf_hi : UInt<4>, ld_fwddata_buf_lo : UInt<32>, ld_fwddata_buf_hi : UInt<32>} - wire buf_addr : UInt<32>[4] @[lsu_bus_buffer.scala 66:22] - wire buf_state : UInt<3>[4] @[lsu_bus_buffer.scala 67:23] + wire buf_addr : UInt<32>[4] @[lsu_bus_buffer.scala 67:22] + wire buf_state : UInt<3>[4] @[lsu_bus_buffer.scala 68:23] wire buf_write : UInt<4> buf_write <= UInt<1>("h00") wire CmdPtr0 : UInt<2> CmdPtr0 <= UInt<1>("h00") - node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[lsu_bus_buffer.scala 72:46] - node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[lsu_bus_buffer.scala 73:46] - node _T = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 75:66] - node _T_1 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 75:89] - node _T_2 = eq(_T, _T_1) @[lsu_bus_buffer.scala 75:74] - node _T_3 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 75:109] - node _T_4 = and(_T_2, _T_3) @[lsu_bus_buffer.scala 75:98] - node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 75:129] - node _T_6 = and(_T_4, _T_5) @[lsu_bus_buffer.scala 75:113] - node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[lsu_bus_buffer.scala 75:141] - node _T_7 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 75:66] - node _T_8 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 75:89] - node _T_9 = eq(_T_7, _T_8) @[lsu_bus_buffer.scala 75:74] - node _T_10 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 75:109] - node _T_11 = and(_T_9, _T_10) @[lsu_bus_buffer.scala 75:98] - node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 75:129] - node _T_13 = and(_T_11, _T_12) @[lsu_bus_buffer.scala 75:113] - node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[lsu_bus_buffer.scala 75:141] - node _T_14 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 75:66] - node _T_15 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 75:89] - node _T_16 = eq(_T_14, _T_15) @[lsu_bus_buffer.scala 75:74] - node _T_17 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 75:109] - node _T_18 = and(_T_16, _T_17) @[lsu_bus_buffer.scala 75:98] - node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 75:129] - node _T_20 = and(_T_18, _T_19) @[lsu_bus_buffer.scala 75:113] - node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[lsu_bus_buffer.scala 75:141] - node _T_21 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 75:66] - node _T_22 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 75:89] - node _T_23 = eq(_T_21, _T_22) @[lsu_bus_buffer.scala 75:74] - node _T_24 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 75:109] - node _T_25 = and(_T_23, _T_24) @[lsu_bus_buffer.scala 75:98] - node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 75:129] - node _T_27 = and(_T_25, _T_26) @[lsu_bus_buffer.scala 75:113] - node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_buffer.scala 75:141] - node _T_28 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] - node _T_29 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 76:89] - node _T_30 = eq(_T_28, _T_29) @[lsu_bus_buffer.scala 76:74] - node _T_31 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 76:109] - node _T_32 = and(_T_30, _T_31) @[lsu_bus_buffer.scala 76:98] - node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] - node _T_34 = and(_T_32, _T_33) @[lsu_bus_buffer.scala 76:113] - node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] - node _T_35 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] - node _T_36 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 76:89] - node _T_37 = eq(_T_35, _T_36) @[lsu_bus_buffer.scala 76:74] - node _T_38 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 76:109] - node _T_39 = and(_T_37, _T_38) @[lsu_bus_buffer.scala 76:98] - node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] - node _T_41 = and(_T_39, _T_40) @[lsu_bus_buffer.scala 76:113] - node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] - node _T_42 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] - node _T_43 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 76:89] - node _T_44 = eq(_T_42, _T_43) @[lsu_bus_buffer.scala 76:74] - node _T_45 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 76:109] - node _T_46 = and(_T_44, _T_45) @[lsu_bus_buffer.scala 76:98] - node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] - node _T_48 = and(_T_46, _T_47) @[lsu_bus_buffer.scala 76:113] - node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] - node _T_49 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] - node _T_50 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 76:89] - node _T_51 = eq(_T_49, _T_50) @[lsu_bus_buffer.scala 76:74] - node _T_52 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 76:109] - node _T_53 = and(_T_51, _T_52) @[lsu_bus_buffer.scala 76:98] - node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] - node _T_55 = and(_T_53, _T_54) @[lsu_bus_buffer.scala 76:113] - node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] - wire ld_byte_hitvecfn_lo : UInt<4>[4] @[lsu_bus_buffer.scala 77:33] + node ldst_byteen_hi_m = bits(io.ldst_byteen_ext_m, 7, 4) @[lsu_bus_buffer.scala 73:46] + node ldst_byteen_lo_m = bits(io.ldst_byteen_ext_m, 3, 0) @[lsu_bus_buffer.scala 74:46] + node _T = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_1 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_2 = eq(_T, _T_1) @[lsu_bus_buffer.scala 76:74] + node _T_3 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 76:109] + node _T_4 = and(_T_2, _T_3) @[lsu_bus_buffer.scala 76:98] + node _T_5 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_6 = and(_T_4, _T_5) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_0 = and(_T_6, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_7 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_8 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_9 = eq(_T_7, _T_8) @[lsu_bus_buffer.scala 76:74] + node _T_10 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 76:109] + node _T_11 = and(_T_9, _T_10) @[lsu_bus_buffer.scala 76:98] + node _T_12 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_13 = and(_T_11, _T_12) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_1 = and(_T_13, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_14 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_15 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_16 = eq(_T_14, _T_15) @[lsu_bus_buffer.scala 76:74] + node _T_17 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 76:109] + node _T_18 = and(_T_16, _T_17) @[lsu_bus_buffer.scala 76:98] + node _T_19 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_20 = and(_T_18, _T_19) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_2 = and(_T_20, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_21 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 76:66] + node _T_22 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 76:89] + node _T_23 = eq(_T_21, _T_22) @[lsu_bus_buffer.scala 76:74] + node _T_24 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 76:109] + node _T_25 = and(_T_23, _T_24) @[lsu_bus_buffer.scala 76:98] + node _T_26 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 76:129] + node _T_27 = and(_T_25, _T_26) @[lsu_bus_buffer.scala 76:113] + node ld_addr_hitvec_lo_3 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_buffer.scala 76:141] + node _T_28 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_29 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_30 = eq(_T_28, _T_29) @[lsu_bus_buffer.scala 77:74] + node _T_31 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 77:109] + node _T_32 = and(_T_30, _T_31) @[lsu_bus_buffer.scala 77:98] + node _T_33 = neq(buf_state[0], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_34 = and(_T_32, _T_33) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_0 = and(_T_34, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + node _T_35 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_36 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_37 = eq(_T_35, _T_36) @[lsu_bus_buffer.scala 77:74] + node _T_38 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 77:109] + node _T_39 = and(_T_37, _T_38) @[lsu_bus_buffer.scala 77:98] + node _T_40 = neq(buf_state[1], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_41 = and(_T_39, _T_40) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_1 = and(_T_41, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + node _T_42 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_43 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_44 = eq(_T_42, _T_43) @[lsu_bus_buffer.scala 77:74] + node _T_45 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 77:109] + node _T_46 = and(_T_44, _T_45) @[lsu_bus_buffer.scala 77:98] + node _T_47 = neq(buf_state[2], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_48 = and(_T_46, _T_47) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_2 = and(_T_48, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + node _T_49 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 77:66] + node _T_50 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 77:89] + node _T_51 = eq(_T_49, _T_50) @[lsu_bus_buffer.scala 77:74] + node _T_52 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 77:109] + node _T_53 = and(_T_51, _T_52) @[lsu_bus_buffer.scala 77:98] + node _T_54 = neq(buf_state[3], UInt<3>("h00")) @[lsu_bus_buffer.scala 77:129] + node _T_55 = and(_T_53, _T_54) @[lsu_bus_buffer.scala 77:113] + node ld_addr_hitvec_hi_3 = and(_T_55, io.lsu_busreq_m) @[lsu_bus_buffer.scala 77:141] + wire ld_byte_hitvecfn_lo : UInt<4>[4] @[lsu_bus_buffer.scala 78:33] wire ld_byte_ibuf_hit_lo : UInt<4> ld_byte_ibuf_hit_lo <= UInt<1>("h00") - wire ld_byte_hitvecfn_hi : UInt<4>[4] @[lsu_bus_buffer.scala 79:33] + wire ld_byte_hitvecfn_hi : UInt<4>[4] @[lsu_bus_buffer.scala 80:33] wire ld_byte_ibuf_hit_hi : UInt<4> ld_byte_ibuf_hit_hi <= UInt<1>("h00") - wire buf_byteen : UInt<4>[4] @[lsu_bus_buffer.scala 81:24] - buf_byteen[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 82:14] - buf_byteen[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 82:14] - buf_byteen[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 82:14] - buf_byteen[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 82:14] - wire buf_nxtstate : UInt<3>[4] @[lsu_bus_buffer.scala 83:26] - buf_nxtstate[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 84:16] - buf_nxtstate[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 84:16] - buf_nxtstate[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 84:16] - buf_nxtstate[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 84:16] - wire buf_wr_en : UInt<1>[4] @[lsu_bus_buffer.scala 85:23] - buf_wr_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:13] - buf_wr_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:13] - buf_wr_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:13] - buf_wr_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 86:13] - wire buf_data_en : UInt<1>[4] @[lsu_bus_buffer.scala 87:25] - buf_data_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:15] - buf_data_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:15] - buf_data_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:15] - buf_data_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 88:15] - wire buf_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 89:30] - buf_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:20] - buf_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:20] - buf_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:20] - buf_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 90:20] - wire buf_ldfwd_in : UInt<1>[4] @[lsu_bus_buffer.scala 91:26] - buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:16] - buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:16] - buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:16] - buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 92:16] - wire buf_ldfwd_en : UInt<1>[4] @[lsu_bus_buffer.scala 93:26] - buf_ldfwd_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:16] - buf_ldfwd_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:16] - buf_ldfwd_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:16] - buf_ldfwd_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 94:16] - wire buf_data_in : UInt<32>[4] @[lsu_bus_buffer.scala 95:25] - buf_data_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:15] - buf_data_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:15] - buf_data_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:15] - buf_data_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 96:15] - wire buf_ldfwdtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 97:29] - buf_ldfwdtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 98:19] - buf_ldfwdtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 98:19] - buf_ldfwdtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 98:19] - buf_ldfwdtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 98:19] - wire buf_error_en : UInt<1>[4] @[lsu_bus_buffer.scala 99:26] - buf_error_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:16] - buf_error_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:16] - buf_error_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:16] - buf_error_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 100:16] + wire buf_byteen : UInt<4>[4] @[lsu_bus_buffer.scala 82:24] + buf_byteen[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + buf_byteen[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + buf_byteen[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + buf_byteen[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 83:14] + wire buf_nxtstate : UInt<3>[4] @[lsu_bus_buffer.scala 84:26] + buf_nxtstate[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + buf_nxtstate[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + buf_nxtstate[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + buf_nxtstate[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 85:16] + wire buf_wr_en : UInt<1>[4] @[lsu_bus_buffer.scala 86:23] + buf_wr_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + buf_wr_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + buf_wr_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + buf_wr_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 87:13] + wire buf_data_en : UInt<1>[4] @[lsu_bus_buffer.scala 88:25] + buf_data_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + buf_data_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + buf_data_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + buf_data_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 89:15] + wire buf_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 90:30] + buf_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + buf_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + buf_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + buf_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 91:20] + wire buf_ldfwd_in : UInt<1>[4] @[lsu_bus_buffer.scala 92:26] + buf_ldfwd_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + buf_ldfwd_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + buf_ldfwd_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + buf_ldfwd_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 93:16] + wire buf_ldfwd_en : UInt<1>[4] @[lsu_bus_buffer.scala 94:26] + buf_ldfwd_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + buf_ldfwd_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + buf_ldfwd_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + buf_ldfwd_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 95:16] + wire buf_data_in : UInt<32>[4] @[lsu_bus_buffer.scala 96:25] + buf_data_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + buf_data_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + buf_data_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + buf_data_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 97:15] + wire buf_ldfwdtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 98:29] + buf_ldfwdtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + buf_ldfwdtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + buf_ldfwdtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + buf_ldfwdtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 99:19] + wire buf_error_en : UInt<1>[4] @[lsu_bus_buffer.scala 100:26] + buf_error_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + buf_error_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + buf_error_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] + buf_error_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 101:16] wire bus_rsp_read_error : UInt<1> bus_rsp_read_error <= UInt<1>("h00") wire bus_rsp_rdata : UInt<64> bus_rsp_rdata <= UInt<1>("h00") wire bus_rsp_write_error : UInt<1> bus_rsp_write_error <= UInt<1>("h00") - wire buf_dualtag : UInt<2>[4] @[lsu_bus_buffer.scala 104:25] - buf_dualtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 105:15] - buf_dualtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 105:15] - buf_dualtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 105:15] - buf_dualtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 105:15] + wire buf_dualtag : UInt<2>[4] @[lsu_bus_buffer.scala 105:25] + buf_dualtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + buf_dualtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + buf_dualtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] + buf_dualtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 106:15] wire buf_ldfwd : UInt<4> buf_ldfwd <= UInt<1>("h00") - wire buf_resp_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 107:35] - buf_resp_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 108:25] - buf_resp_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 108:25] - buf_resp_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 108:25] - buf_resp_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 108:25] + wire buf_resp_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 108:35] + buf_resp_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + buf_resp_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + buf_resp_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] + buf_resp_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 109:25] wire any_done_wait_state : UInt<1> any_done_wait_state <= UInt<1>("h00") wire bus_rsp_write : UInt<1> bus_rsp_write <= UInt<1>("h00") wire bus_rsp_write_tag : UInt<3> bus_rsp_write_tag <= UInt<1>("h00") - wire buf_ldfwdtag : UInt<2>[4] @[lsu_bus_buffer.scala 112:26] - buf_ldfwdtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 113:16] - buf_ldfwdtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 113:16] - buf_ldfwdtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 113:16] - buf_ldfwdtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 113:16] - wire buf_rst : UInt<1>[4] @[lsu_bus_buffer.scala 114:21] - buf_rst[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 115:11] - buf_rst[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 115:11] - buf_rst[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 115:11] - buf_rst[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 115:11] + wire buf_ldfwdtag : UInt<2>[4] @[lsu_bus_buffer.scala 113:26] + buf_ldfwdtag[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + buf_ldfwdtag[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + buf_ldfwdtag[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + buf_ldfwdtag[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 114:16] + wire buf_rst : UInt<1>[4] @[lsu_bus_buffer.scala 115:21] + buf_rst[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + buf_rst[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + buf_rst[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] + buf_rst[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 116:11] wire ibuf_drainvec_vld : UInt<4> ibuf_drainvec_vld <= UInt<1>("h00") - wire buf_byteen_in : UInt<4>[4] @[lsu_bus_buffer.scala 117:27] - buf_byteen_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 118:17] - buf_byteen_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 118:17] - buf_byteen_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 118:17] - buf_byteen_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 118:17] - wire buf_addr_in : UInt<32>[4] @[lsu_bus_buffer.scala 119:25] - buf_addr_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 120:15] - buf_addr_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 120:15] - buf_addr_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 120:15] - buf_addr_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 120:15] + wire buf_byteen_in : UInt<4>[4] @[lsu_bus_buffer.scala 118:27] + buf_byteen_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + buf_byteen_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + buf_byteen_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + buf_byteen_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 119:17] + wire buf_addr_in : UInt<32>[4] @[lsu_bus_buffer.scala 120:25] + buf_addr_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + buf_addr_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + buf_addr_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] + buf_addr_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 121:15] wire buf_dual_in : UInt<4> buf_dual_in <= UInt<1>("h00") wire buf_samedw_in : UInt<4> @@ -95126,20 +95133,20 @@ circuit quasar_wrapper : buf_nomerge_in <= UInt<1>("h00") wire buf_dualhi_in : UInt<4> buf_dualhi_in <= UInt<1>("h00") - wire buf_dualtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 125:28] - buf_dualtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 126:18] - buf_dualtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 126:18] - buf_dualtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 126:18] - buf_dualtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 126:18] + wire buf_dualtag_in : UInt<2>[4] @[lsu_bus_buffer.scala 126:28] + buf_dualtag_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + buf_dualtag_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + buf_dualtag_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] + buf_dualtag_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 127:18] wire buf_sideeffect_in : UInt<4> buf_sideeffect_in <= UInt<1>("h00") wire buf_unsign_in : UInt<4> buf_unsign_in <= UInt<1>("h00") - wire buf_sz_in : UInt<2>[4] @[lsu_bus_buffer.scala 129:23] - buf_sz_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:13] - buf_sz_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:13] - buf_sz_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:13] - buf_sz_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 130:13] + wire buf_sz_in : UInt<2>[4] @[lsu_bus_buffer.scala 130:23] + buf_sz_in[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + buf_sz_in[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + buf_sz_in[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] + buf_sz_in[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 131:13] wire buf_write_in : UInt<4> buf_write_in <= UInt<1>("h00") wire buf_unsign : UInt<4> @@ -95150,747 +95157,747 @@ circuit quasar_wrapper : CmdPtr1 <= UInt<1>("h00") wire ibuf_data : UInt<32> ibuf_data <= UInt<1>("h00") - node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[lsu_bus_buffer.scala 137:73] - node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 137:98] - node _T_58 = or(_T_56, _T_57) @[lsu_bus_buffer.scala 137:77] - node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[lsu_bus_buffer.scala 137:73] - node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 137:98] - node _T_61 = or(_T_59, _T_60) @[lsu_bus_buffer.scala 137:77] - node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[lsu_bus_buffer.scala 137:73] - node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 137:98] - node _T_64 = or(_T_62, _T_63) @[lsu_bus_buffer.scala 137:77] - node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[lsu_bus_buffer.scala 137:73] - node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 137:98] - node _T_67 = or(_T_65, _T_66) @[lsu_bus_buffer.scala 137:77] + node _T_56 = orr(ld_byte_hitvecfn_lo[0]) @[lsu_bus_buffer.scala 138:73] + node _T_57 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 138:98] + node _T_58 = or(_T_56, _T_57) @[lsu_bus_buffer.scala 138:77] + node _T_59 = orr(ld_byte_hitvecfn_lo[1]) @[lsu_bus_buffer.scala 138:73] + node _T_60 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 138:98] + node _T_61 = or(_T_59, _T_60) @[lsu_bus_buffer.scala 138:77] + node _T_62 = orr(ld_byte_hitvecfn_lo[2]) @[lsu_bus_buffer.scala 138:73] + node _T_63 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 138:98] + node _T_64 = or(_T_62, _T_63) @[lsu_bus_buffer.scala 138:77] + node _T_65 = orr(ld_byte_hitvecfn_lo[3]) @[lsu_bus_buffer.scala 138:73] + node _T_66 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 138:98] + node _T_67 = or(_T_65, _T_66) @[lsu_bus_buffer.scala 138:77] node _T_68 = cat(_T_67, _T_64) @[Cat.scala 29:58] node _T_69 = cat(_T_68, _T_61) @[Cat.scala 29:58] node _T_70 = cat(_T_69, _T_58) @[Cat.scala 29:58] - io.ld_byte_hit_buf_lo <= _T_70 @[lsu_bus_buffer.scala 137:25] - node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[lsu_bus_buffer.scala 138:73] - node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 138:98] - node _T_73 = or(_T_71, _T_72) @[lsu_bus_buffer.scala 138:77] - node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[lsu_bus_buffer.scala 138:73] - node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 138:98] - node _T_76 = or(_T_74, _T_75) @[lsu_bus_buffer.scala 138:77] - node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[lsu_bus_buffer.scala 138:73] - node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 138:98] - node _T_79 = or(_T_77, _T_78) @[lsu_bus_buffer.scala 138:77] - node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[lsu_bus_buffer.scala 138:73] - node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 138:98] - node _T_82 = or(_T_80, _T_81) @[lsu_bus_buffer.scala 138:77] + io.ld_byte_hit_buf_lo <= _T_70 @[lsu_bus_buffer.scala 138:25] + node _T_71 = orr(ld_byte_hitvecfn_hi[0]) @[lsu_bus_buffer.scala 139:73] + node _T_72 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 139:98] + node _T_73 = or(_T_71, _T_72) @[lsu_bus_buffer.scala 139:77] + node _T_74 = orr(ld_byte_hitvecfn_hi[1]) @[lsu_bus_buffer.scala 139:73] + node _T_75 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 139:98] + node _T_76 = or(_T_74, _T_75) @[lsu_bus_buffer.scala 139:77] + node _T_77 = orr(ld_byte_hitvecfn_hi[2]) @[lsu_bus_buffer.scala 139:73] + node _T_78 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 139:98] + node _T_79 = or(_T_77, _T_78) @[lsu_bus_buffer.scala 139:77] + node _T_80 = orr(ld_byte_hitvecfn_hi[3]) @[lsu_bus_buffer.scala 139:73] + node _T_81 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 139:98] + node _T_82 = or(_T_80, _T_81) @[lsu_bus_buffer.scala 139:77] node _T_83 = cat(_T_82, _T_79) @[Cat.scala 29:58] node _T_84 = cat(_T_83, _T_76) @[Cat.scala 29:58] node _T_85 = cat(_T_84, _T_73) @[Cat.scala 29:58] - io.ld_byte_hit_buf_hi <= _T_85 @[lsu_bus_buffer.scala 138:25] - node _T_86 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 140:110] - node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[lsu_bus_buffer.scala 140:95] - node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 140:132] - node _T_89 = and(_T_87, _T_88) @[lsu_bus_buffer.scala 140:114] - node _T_90 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 140:110] - node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[lsu_bus_buffer.scala 140:95] - node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 140:132] - node _T_93 = and(_T_91, _T_92) @[lsu_bus_buffer.scala 140:114] - node _T_94 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 140:110] - node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[lsu_bus_buffer.scala 140:95] - node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 140:132] - node _T_97 = and(_T_95, _T_96) @[lsu_bus_buffer.scala 140:114] - node _T_98 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 140:110] - node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[lsu_bus_buffer.scala 140:95] - node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 140:132] - node _T_101 = and(_T_99, _T_100) @[lsu_bus_buffer.scala 140:114] + io.ld_byte_hit_buf_hi <= _T_85 @[lsu_bus_buffer.scala 139:25] + node _T_86 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_87 = and(ld_addr_hitvec_lo_0, _T_86) @[lsu_bus_buffer.scala 141:95] + node _T_88 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_89 = and(_T_87, _T_88) @[lsu_bus_buffer.scala 141:114] + node _T_90 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_91 = and(ld_addr_hitvec_lo_1, _T_90) @[lsu_bus_buffer.scala 141:95] + node _T_92 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_93 = and(_T_91, _T_92) @[lsu_bus_buffer.scala 141:114] + node _T_94 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_95 = and(ld_addr_hitvec_lo_2, _T_94) @[lsu_bus_buffer.scala 141:95] + node _T_96 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_97 = and(_T_95, _T_96) @[lsu_bus_buffer.scala 141:114] + node _T_98 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 141:110] + node _T_99 = and(ld_addr_hitvec_lo_3, _T_98) @[lsu_bus_buffer.scala 141:95] + node _T_100 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_buffer.scala 141:132] + node _T_101 = and(_T_99, _T_100) @[lsu_bus_buffer.scala 141:114] node _T_102 = cat(_T_101, _T_97) @[Cat.scala 29:58] node _T_103 = cat(_T_102, _T_93) @[Cat.scala 29:58] node ld_byte_hitvec_lo_0 = cat(_T_103, _T_89) @[Cat.scala 29:58] - node _T_104 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 140:110] - node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[lsu_bus_buffer.scala 140:95] - node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 140:132] - node _T_107 = and(_T_105, _T_106) @[lsu_bus_buffer.scala 140:114] - node _T_108 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 140:110] - node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[lsu_bus_buffer.scala 140:95] - node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 140:132] - node _T_111 = and(_T_109, _T_110) @[lsu_bus_buffer.scala 140:114] - node _T_112 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 140:110] - node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[lsu_bus_buffer.scala 140:95] - node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 140:132] - node _T_115 = and(_T_113, _T_114) @[lsu_bus_buffer.scala 140:114] - node _T_116 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 140:110] - node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[lsu_bus_buffer.scala 140:95] - node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 140:132] - node _T_119 = and(_T_117, _T_118) @[lsu_bus_buffer.scala 140:114] + node _T_104 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_105 = and(ld_addr_hitvec_lo_0, _T_104) @[lsu_bus_buffer.scala 141:95] + node _T_106 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_107 = and(_T_105, _T_106) @[lsu_bus_buffer.scala 141:114] + node _T_108 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_109 = and(ld_addr_hitvec_lo_1, _T_108) @[lsu_bus_buffer.scala 141:95] + node _T_110 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_111 = and(_T_109, _T_110) @[lsu_bus_buffer.scala 141:114] + node _T_112 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_113 = and(ld_addr_hitvec_lo_2, _T_112) @[lsu_bus_buffer.scala 141:95] + node _T_114 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_115 = and(_T_113, _T_114) @[lsu_bus_buffer.scala 141:114] + node _T_116 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 141:110] + node _T_117 = and(ld_addr_hitvec_lo_3, _T_116) @[lsu_bus_buffer.scala 141:95] + node _T_118 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_buffer.scala 141:132] + node _T_119 = and(_T_117, _T_118) @[lsu_bus_buffer.scala 141:114] node _T_120 = cat(_T_119, _T_115) @[Cat.scala 29:58] node _T_121 = cat(_T_120, _T_111) @[Cat.scala 29:58] node ld_byte_hitvec_lo_1 = cat(_T_121, _T_107) @[Cat.scala 29:58] - node _T_122 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 140:110] - node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[lsu_bus_buffer.scala 140:95] - node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 140:132] - node _T_125 = and(_T_123, _T_124) @[lsu_bus_buffer.scala 140:114] - node _T_126 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 140:110] - node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[lsu_bus_buffer.scala 140:95] - node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 140:132] - node _T_129 = and(_T_127, _T_128) @[lsu_bus_buffer.scala 140:114] - node _T_130 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 140:110] - node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[lsu_bus_buffer.scala 140:95] - node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 140:132] - node _T_133 = and(_T_131, _T_132) @[lsu_bus_buffer.scala 140:114] - node _T_134 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 140:110] - node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[lsu_bus_buffer.scala 140:95] - node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 140:132] - node _T_137 = and(_T_135, _T_136) @[lsu_bus_buffer.scala 140:114] + node _T_122 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_123 = and(ld_addr_hitvec_lo_0, _T_122) @[lsu_bus_buffer.scala 141:95] + node _T_124 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_125 = and(_T_123, _T_124) @[lsu_bus_buffer.scala 141:114] + node _T_126 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_127 = and(ld_addr_hitvec_lo_1, _T_126) @[lsu_bus_buffer.scala 141:95] + node _T_128 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_129 = and(_T_127, _T_128) @[lsu_bus_buffer.scala 141:114] + node _T_130 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_131 = and(ld_addr_hitvec_lo_2, _T_130) @[lsu_bus_buffer.scala 141:95] + node _T_132 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_133 = and(_T_131, _T_132) @[lsu_bus_buffer.scala 141:114] + node _T_134 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 141:110] + node _T_135 = and(ld_addr_hitvec_lo_3, _T_134) @[lsu_bus_buffer.scala 141:95] + node _T_136 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_buffer.scala 141:132] + node _T_137 = and(_T_135, _T_136) @[lsu_bus_buffer.scala 141:114] node _T_138 = cat(_T_137, _T_133) @[Cat.scala 29:58] node _T_139 = cat(_T_138, _T_129) @[Cat.scala 29:58] node ld_byte_hitvec_lo_2 = cat(_T_139, _T_125) @[Cat.scala 29:58] - node _T_140 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 140:110] - node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[lsu_bus_buffer.scala 140:95] - node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 140:132] - node _T_143 = and(_T_141, _T_142) @[lsu_bus_buffer.scala 140:114] - node _T_144 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 140:110] - node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[lsu_bus_buffer.scala 140:95] - node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 140:132] - node _T_147 = and(_T_145, _T_146) @[lsu_bus_buffer.scala 140:114] - node _T_148 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 140:110] - node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[lsu_bus_buffer.scala 140:95] - node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 140:132] - node _T_151 = and(_T_149, _T_150) @[lsu_bus_buffer.scala 140:114] - node _T_152 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 140:110] - node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[lsu_bus_buffer.scala 140:95] - node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 140:132] - node _T_155 = and(_T_153, _T_154) @[lsu_bus_buffer.scala 140:114] + node _T_140 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_141 = and(ld_addr_hitvec_lo_0, _T_140) @[lsu_bus_buffer.scala 141:95] + node _T_142 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_143 = and(_T_141, _T_142) @[lsu_bus_buffer.scala 141:114] + node _T_144 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_145 = and(ld_addr_hitvec_lo_1, _T_144) @[lsu_bus_buffer.scala 141:95] + node _T_146 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_147 = and(_T_145, _T_146) @[lsu_bus_buffer.scala 141:114] + node _T_148 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_149 = and(ld_addr_hitvec_lo_2, _T_148) @[lsu_bus_buffer.scala 141:95] + node _T_150 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_151 = and(_T_149, _T_150) @[lsu_bus_buffer.scala 141:114] + node _T_152 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 141:110] + node _T_153 = and(ld_addr_hitvec_lo_3, _T_152) @[lsu_bus_buffer.scala 141:95] + node _T_154 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_buffer.scala 141:132] + node _T_155 = and(_T_153, _T_154) @[lsu_bus_buffer.scala 141:114] node _T_156 = cat(_T_155, _T_151) @[Cat.scala 29:58] node _T_157 = cat(_T_156, _T_147) @[Cat.scala 29:58] node ld_byte_hitvec_lo_3 = cat(_T_157, _T_143) @[Cat.scala 29:58] - node _T_158 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 141:110] - node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[lsu_bus_buffer.scala 141:95] - node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 141:132] - node _T_161 = and(_T_159, _T_160) @[lsu_bus_buffer.scala 141:114] - node _T_162 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 141:110] - node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[lsu_bus_buffer.scala 141:95] - node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 141:132] - node _T_165 = and(_T_163, _T_164) @[lsu_bus_buffer.scala 141:114] - node _T_166 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 141:110] - node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[lsu_bus_buffer.scala 141:95] - node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 141:132] - node _T_169 = and(_T_167, _T_168) @[lsu_bus_buffer.scala 141:114] - node _T_170 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 141:110] - node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[lsu_bus_buffer.scala 141:95] - node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 141:132] - node _T_173 = and(_T_171, _T_172) @[lsu_bus_buffer.scala 141:114] + node _T_158 = bits(buf_byteen[0], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_159 = and(ld_addr_hitvec_hi_0, _T_158) @[lsu_bus_buffer.scala 142:95] + node _T_160 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_161 = and(_T_159, _T_160) @[lsu_bus_buffer.scala 142:114] + node _T_162 = bits(buf_byteen[1], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_163 = and(ld_addr_hitvec_hi_1, _T_162) @[lsu_bus_buffer.scala 142:95] + node _T_164 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_165 = and(_T_163, _T_164) @[lsu_bus_buffer.scala 142:114] + node _T_166 = bits(buf_byteen[2], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_167 = and(ld_addr_hitvec_hi_2, _T_166) @[lsu_bus_buffer.scala 142:95] + node _T_168 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_169 = and(_T_167, _T_168) @[lsu_bus_buffer.scala 142:114] + node _T_170 = bits(buf_byteen[3], 0, 0) @[lsu_bus_buffer.scala 142:110] + node _T_171 = and(ld_addr_hitvec_hi_3, _T_170) @[lsu_bus_buffer.scala 142:95] + node _T_172 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_buffer.scala 142:132] + node _T_173 = and(_T_171, _T_172) @[lsu_bus_buffer.scala 142:114] node _T_174 = cat(_T_173, _T_169) @[Cat.scala 29:58] node _T_175 = cat(_T_174, _T_165) @[Cat.scala 29:58] node ld_byte_hitvec_hi_0 = cat(_T_175, _T_161) @[Cat.scala 29:58] - node _T_176 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 141:110] - node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[lsu_bus_buffer.scala 141:95] - node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 141:132] - node _T_179 = and(_T_177, _T_178) @[lsu_bus_buffer.scala 141:114] - node _T_180 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 141:110] - node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[lsu_bus_buffer.scala 141:95] - node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 141:132] - node _T_183 = and(_T_181, _T_182) @[lsu_bus_buffer.scala 141:114] - node _T_184 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 141:110] - node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[lsu_bus_buffer.scala 141:95] - node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 141:132] - node _T_187 = and(_T_185, _T_186) @[lsu_bus_buffer.scala 141:114] - node _T_188 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 141:110] - node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[lsu_bus_buffer.scala 141:95] - node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 141:132] - node _T_191 = and(_T_189, _T_190) @[lsu_bus_buffer.scala 141:114] + node _T_176 = bits(buf_byteen[0], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_177 = and(ld_addr_hitvec_hi_0, _T_176) @[lsu_bus_buffer.scala 142:95] + node _T_178 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_179 = and(_T_177, _T_178) @[lsu_bus_buffer.scala 142:114] + node _T_180 = bits(buf_byteen[1], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_181 = and(ld_addr_hitvec_hi_1, _T_180) @[lsu_bus_buffer.scala 142:95] + node _T_182 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_183 = and(_T_181, _T_182) @[lsu_bus_buffer.scala 142:114] + node _T_184 = bits(buf_byteen[2], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_185 = and(ld_addr_hitvec_hi_2, _T_184) @[lsu_bus_buffer.scala 142:95] + node _T_186 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_187 = and(_T_185, _T_186) @[lsu_bus_buffer.scala 142:114] + node _T_188 = bits(buf_byteen[3], 1, 1) @[lsu_bus_buffer.scala 142:110] + node _T_189 = and(ld_addr_hitvec_hi_3, _T_188) @[lsu_bus_buffer.scala 142:95] + node _T_190 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_buffer.scala 142:132] + node _T_191 = and(_T_189, _T_190) @[lsu_bus_buffer.scala 142:114] node _T_192 = cat(_T_191, _T_187) @[Cat.scala 29:58] node _T_193 = cat(_T_192, _T_183) @[Cat.scala 29:58] node ld_byte_hitvec_hi_1 = cat(_T_193, _T_179) @[Cat.scala 29:58] - node _T_194 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 141:110] - node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[lsu_bus_buffer.scala 141:95] - node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 141:132] - node _T_197 = and(_T_195, _T_196) @[lsu_bus_buffer.scala 141:114] - node _T_198 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 141:110] - node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[lsu_bus_buffer.scala 141:95] - node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 141:132] - node _T_201 = and(_T_199, _T_200) @[lsu_bus_buffer.scala 141:114] - node _T_202 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 141:110] - node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[lsu_bus_buffer.scala 141:95] - node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 141:132] - node _T_205 = and(_T_203, _T_204) @[lsu_bus_buffer.scala 141:114] - node _T_206 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 141:110] - node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[lsu_bus_buffer.scala 141:95] - node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 141:132] - node _T_209 = and(_T_207, _T_208) @[lsu_bus_buffer.scala 141:114] + node _T_194 = bits(buf_byteen[0], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_195 = and(ld_addr_hitvec_hi_0, _T_194) @[lsu_bus_buffer.scala 142:95] + node _T_196 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_197 = and(_T_195, _T_196) @[lsu_bus_buffer.scala 142:114] + node _T_198 = bits(buf_byteen[1], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_199 = and(ld_addr_hitvec_hi_1, _T_198) @[lsu_bus_buffer.scala 142:95] + node _T_200 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_201 = and(_T_199, _T_200) @[lsu_bus_buffer.scala 142:114] + node _T_202 = bits(buf_byteen[2], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_203 = and(ld_addr_hitvec_hi_2, _T_202) @[lsu_bus_buffer.scala 142:95] + node _T_204 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_205 = and(_T_203, _T_204) @[lsu_bus_buffer.scala 142:114] + node _T_206 = bits(buf_byteen[3], 2, 2) @[lsu_bus_buffer.scala 142:110] + node _T_207 = and(ld_addr_hitvec_hi_3, _T_206) @[lsu_bus_buffer.scala 142:95] + node _T_208 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_buffer.scala 142:132] + node _T_209 = and(_T_207, _T_208) @[lsu_bus_buffer.scala 142:114] node _T_210 = cat(_T_209, _T_205) @[Cat.scala 29:58] node _T_211 = cat(_T_210, _T_201) @[Cat.scala 29:58] node ld_byte_hitvec_hi_2 = cat(_T_211, _T_197) @[Cat.scala 29:58] - node _T_212 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 141:110] - node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[lsu_bus_buffer.scala 141:95] - node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 141:132] - node _T_215 = and(_T_213, _T_214) @[lsu_bus_buffer.scala 141:114] - node _T_216 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 141:110] - node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[lsu_bus_buffer.scala 141:95] - node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 141:132] - node _T_219 = and(_T_217, _T_218) @[lsu_bus_buffer.scala 141:114] - node _T_220 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 141:110] - node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[lsu_bus_buffer.scala 141:95] - node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 141:132] - node _T_223 = and(_T_221, _T_222) @[lsu_bus_buffer.scala 141:114] - node _T_224 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 141:110] - node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[lsu_bus_buffer.scala 141:95] - node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 141:132] - node _T_227 = and(_T_225, _T_226) @[lsu_bus_buffer.scala 141:114] + node _T_212 = bits(buf_byteen[0], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_213 = and(ld_addr_hitvec_hi_0, _T_212) @[lsu_bus_buffer.scala 142:95] + node _T_214 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_215 = and(_T_213, _T_214) @[lsu_bus_buffer.scala 142:114] + node _T_216 = bits(buf_byteen[1], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_217 = and(ld_addr_hitvec_hi_1, _T_216) @[lsu_bus_buffer.scala 142:95] + node _T_218 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_219 = and(_T_217, _T_218) @[lsu_bus_buffer.scala 142:114] + node _T_220 = bits(buf_byteen[2], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_221 = and(ld_addr_hitvec_hi_2, _T_220) @[lsu_bus_buffer.scala 142:95] + node _T_222 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_223 = and(_T_221, _T_222) @[lsu_bus_buffer.scala 142:114] + node _T_224 = bits(buf_byteen[3], 3, 3) @[lsu_bus_buffer.scala 142:110] + node _T_225 = and(ld_addr_hitvec_hi_3, _T_224) @[lsu_bus_buffer.scala 142:95] + node _T_226 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_buffer.scala 142:132] + node _T_227 = and(_T_225, _T_226) @[lsu_bus_buffer.scala 142:114] node _T_228 = cat(_T_227, _T_223) @[Cat.scala 29:58] node _T_229 = cat(_T_228, _T_219) @[Cat.scala 29:58] node ld_byte_hitvec_hi_3 = cat(_T_229, _T_215) @[Cat.scala 29:58] - wire buf_age_younger : UInt<4>[4] @[lsu_bus_buffer.scala 143:29] - buf_age_younger[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 144:19] - buf_age_younger[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 144:19] - buf_age_younger[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 144:19] - buf_age_younger[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 144:19] - node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[lsu_bus_buffer.scala 145:93] - node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 145:122] - node _T_232 = orr(_T_231) @[lsu_bus_buffer.scala 145:144] - node _T_233 = eq(_T_232, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] - node _T_234 = and(_T_230, _T_233) @[lsu_bus_buffer.scala 145:97] - node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 145:170] - node _T_236 = eq(_T_235, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] - node _T_237 = and(_T_234, _T_236) @[lsu_bus_buffer.scala 145:148] - node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[lsu_bus_buffer.scala 145:93] - node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 145:122] - node _T_240 = orr(_T_239) @[lsu_bus_buffer.scala 145:144] - node _T_241 = eq(_T_240, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] - node _T_242 = and(_T_238, _T_241) @[lsu_bus_buffer.scala 145:97] - node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 145:170] - node _T_244 = eq(_T_243, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] - node _T_245 = and(_T_242, _T_244) @[lsu_bus_buffer.scala 145:148] - node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[lsu_bus_buffer.scala 145:93] - node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 145:122] - node _T_248 = orr(_T_247) @[lsu_bus_buffer.scala 145:144] - node _T_249 = eq(_T_248, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] - node _T_250 = and(_T_246, _T_249) @[lsu_bus_buffer.scala 145:97] - node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 145:170] - node _T_252 = eq(_T_251, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] - node _T_253 = and(_T_250, _T_252) @[lsu_bus_buffer.scala 145:148] - node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[lsu_bus_buffer.scala 145:93] - node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 145:122] - node _T_256 = orr(_T_255) @[lsu_bus_buffer.scala 145:144] - node _T_257 = eq(_T_256, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] - node _T_258 = and(_T_254, _T_257) @[lsu_bus_buffer.scala 145:97] - node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 145:170] - node _T_260 = eq(_T_259, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] - node _T_261 = and(_T_258, _T_260) @[lsu_bus_buffer.scala 145:148] + wire buf_age_younger : UInt<4>[4] @[lsu_bus_buffer.scala 144:29] + buf_age_younger[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + buf_age_younger[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + buf_age_younger[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + buf_age_younger[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 145:19] + node _T_230 = bits(ld_byte_hitvec_lo_0, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_231 = and(ld_byte_hitvec_lo_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_232 = orr(_T_231) @[lsu_bus_buffer.scala 146:144] + node _T_233 = eq(_T_232, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_234 = and(_T_230, _T_233) @[lsu_bus_buffer.scala 146:97] + node _T_235 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_236 = eq(_T_235, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_237 = and(_T_234, _T_236) @[lsu_bus_buffer.scala 146:148] + node _T_238 = bits(ld_byte_hitvec_lo_0, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_239 = and(ld_byte_hitvec_lo_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_240 = orr(_T_239) @[lsu_bus_buffer.scala 146:144] + node _T_241 = eq(_T_240, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_242 = and(_T_238, _T_241) @[lsu_bus_buffer.scala 146:97] + node _T_243 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_244 = eq(_T_243, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_245 = and(_T_242, _T_244) @[lsu_bus_buffer.scala 146:148] + node _T_246 = bits(ld_byte_hitvec_lo_0, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_247 = and(ld_byte_hitvec_lo_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_248 = orr(_T_247) @[lsu_bus_buffer.scala 146:144] + node _T_249 = eq(_T_248, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_250 = and(_T_246, _T_249) @[lsu_bus_buffer.scala 146:97] + node _T_251 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_252 = eq(_T_251, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_253 = and(_T_250, _T_252) @[lsu_bus_buffer.scala 146:148] + node _T_254 = bits(ld_byte_hitvec_lo_0, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_255 = and(ld_byte_hitvec_lo_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_256 = orr(_T_255) @[lsu_bus_buffer.scala 146:144] + node _T_257 = eq(_T_256, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_258 = and(_T_254, _T_257) @[lsu_bus_buffer.scala 146:97] + node _T_259 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 146:170] + node _T_260 = eq(_T_259, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_261 = and(_T_258, _T_260) @[lsu_bus_buffer.scala 146:148] node _T_262 = cat(_T_261, _T_253) @[Cat.scala 29:58] node _T_263 = cat(_T_262, _T_245) @[Cat.scala 29:58] node _T_264 = cat(_T_263, _T_237) @[Cat.scala 29:58] - node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[lsu_bus_buffer.scala 145:93] - node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 145:122] - node _T_267 = orr(_T_266) @[lsu_bus_buffer.scala 145:144] - node _T_268 = eq(_T_267, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] - node _T_269 = and(_T_265, _T_268) @[lsu_bus_buffer.scala 145:97] - node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 145:170] - node _T_271 = eq(_T_270, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] - node _T_272 = and(_T_269, _T_271) @[lsu_bus_buffer.scala 145:148] - node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[lsu_bus_buffer.scala 145:93] - node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 145:122] - node _T_275 = orr(_T_274) @[lsu_bus_buffer.scala 145:144] - node _T_276 = eq(_T_275, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] - node _T_277 = and(_T_273, _T_276) @[lsu_bus_buffer.scala 145:97] - node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 145:170] - node _T_279 = eq(_T_278, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] - node _T_280 = and(_T_277, _T_279) @[lsu_bus_buffer.scala 145:148] - node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[lsu_bus_buffer.scala 145:93] - node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 145:122] - node _T_283 = orr(_T_282) @[lsu_bus_buffer.scala 145:144] - node _T_284 = eq(_T_283, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] - node _T_285 = and(_T_281, _T_284) @[lsu_bus_buffer.scala 145:97] - node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 145:170] - node _T_287 = eq(_T_286, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] - node _T_288 = and(_T_285, _T_287) @[lsu_bus_buffer.scala 145:148] - node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[lsu_bus_buffer.scala 145:93] - node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 145:122] - node _T_291 = orr(_T_290) @[lsu_bus_buffer.scala 145:144] - node _T_292 = eq(_T_291, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] - node _T_293 = and(_T_289, _T_292) @[lsu_bus_buffer.scala 145:97] - node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 145:170] - node _T_295 = eq(_T_294, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] - node _T_296 = and(_T_293, _T_295) @[lsu_bus_buffer.scala 145:148] + node _T_265 = bits(ld_byte_hitvec_lo_1, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_266 = and(ld_byte_hitvec_lo_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_267 = orr(_T_266) @[lsu_bus_buffer.scala 146:144] + node _T_268 = eq(_T_267, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_269 = and(_T_265, _T_268) @[lsu_bus_buffer.scala 146:97] + node _T_270 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_271 = eq(_T_270, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_272 = and(_T_269, _T_271) @[lsu_bus_buffer.scala 146:148] + node _T_273 = bits(ld_byte_hitvec_lo_1, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_274 = and(ld_byte_hitvec_lo_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_275 = orr(_T_274) @[lsu_bus_buffer.scala 146:144] + node _T_276 = eq(_T_275, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_277 = and(_T_273, _T_276) @[lsu_bus_buffer.scala 146:97] + node _T_278 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_279 = eq(_T_278, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_280 = and(_T_277, _T_279) @[lsu_bus_buffer.scala 146:148] + node _T_281 = bits(ld_byte_hitvec_lo_1, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_282 = and(ld_byte_hitvec_lo_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_283 = orr(_T_282) @[lsu_bus_buffer.scala 146:144] + node _T_284 = eq(_T_283, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_285 = and(_T_281, _T_284) @[lsu_bus_buffer.scala 146:97] + node _T_286 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_287 = eq(_T_286, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_288 = and(_T_285, _T_287) @[lsu_bus_buffer.scala 146:148] + node _T_289 = bits(ld_byte_hitvec_lo_1, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_290 = and(ld_byte_hitvec_lo_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_291 = orr(_T_290) @[lsu_bus_buffer.scala 146:144] + node _T_292 = eq(_T_291, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_293 = and(_T_289, _T_292) @[lsu_bus_buffer.scala 146:97] + node _T_294 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 146:170] + node _T_295 = eq(_T_294, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_296 = and(_T_293, _T_295) @[lsu_bus_buffer.scala 146:148] node _T_297 = cat(_T_296, _T_288) @[Cat.scala 29:58] node _T_298 = cat(_T_297, _T_280) @[Cat.scala 29:58] node _T_299 = cat(_T_298, _T_272) @[Cat.scala 29:58] - node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[lsu_bus_buffer.scala 145:93] - node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 145:122] - node _T_302 = orr(_T_301) @[lsu_bus_buffer.scala 145:144] - node _T_303 = eq(_T_302, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] - node _T_304 = and(_T_300, _T_303) @[lsu_bus_buffer.scala 145:97] - node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 145:170] - node _T_306 = eq(_T_305, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] - node _T_307 = and(_T_304, _T_306) @[lsu_bus_buffer.scala 145:148] - node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[lsu_bus_buffer.scala 145:93] - node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 145:122] - node _T_310 = orr(_T_309) @[lsu_bus_buffer.scala 145:144] - node _T_311 = eq(_T_310, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] - node _T_312 = and(_T_308, _T_311) @[lsu_bus_buffer.scala 145:97] - node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 145:170] - node _T_314 = eq(_T_313, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] - node _T_315 = and(_T_312, _T_314) @[lsu_bus_buffer.scala 145:148] - node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[lsu_bus_buffer.scala 145:93] - node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 145:122] - node _T_318 = orr(_T_317) @[lsu_bus_buffer.scala 145:144] - node _T_319 = eq(_T_318, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] - node _T_320 = and(_T_316, _T_319) @[lsu_bus_buffer.scala 145:97] - node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 145:170] - node _T_322 = eq(_T_321, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] - node _T_323 = and(_T_320, _T_322) @[lsu_bus_buffer.scala 145:148] - node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[lsu_bus_buffer.scala 145:93] - node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 145:122] - node _T_326 = orr(_T_325) @[lsu_bus_buffer.scala 145:144] - node _T_327 = eq(_T_326, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] - node _T_328 = and(_T_324, _T_327) @[lsu_bus_buffer.scala 145:97] - node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 145:170] - node _T_330 = eq(_T_329, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] - node _T_331 = and(_T_328, _T_330) @[lsu_bus_buffer.scala 145:148] + node _T_300 = bits(ld_byte_hitvec_lo_2, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_301 = and(ld_byte_hitvec_lo_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_302 = orr(_T_301) @[lsu_bus_buffer.scala 146:144] + node _T_303 = eq(_T_302, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_304 = and(_T_300, _T_303) @[lsu_bus_buffer.scala 146:97] + node _T_305 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_306 = eq(_T_305, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_307 = and(_T_304, _T_306) @[lsu_bus_buffer.scala 146:148] + node _T_308 = bits(ld_byte_hitvec_lo_2, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_309 = and(ld_byte_hitvec_lo_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_310 = orr(_T_309) @[lsu_bus_buffer.scala 146:144] + node _T_311 = eq(_T_310, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_312 = and(_T_308, _T_311) @[lsu_bus_buffer.scala 146:97] + node _T_313 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_314 = eq(_T_313, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_315 = and(_T_312, _T_314) @[lsu_bus_buffer.scala 146:148] + node _T_316 = bits(ld_byte_hitvec_lo_2, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_317 = and(ld_byte_hitvec_lo_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_318 = orr(_T_317) @[lsu_bus_buffer.scala 146:144] + node _T_319 = eq(_T_318, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_320 = and(_T_316, _T_319) @[lsu_bus_buffer.scala 146:97] + node _T_321 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_322 = eq(_T_321, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_323 = and(_T_320, _T_322) @[lsu_bus_buffer.scala 146:148] + node _T_324 = bits(ld_byte_hitvec_lo_2, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_325 = and(ld_byte_hitvec_lo_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_326 = orr(_T_325) @[lsu_bus_buffer.scala 146:144] + node _T_327 = eq(_T_326, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_328 = and(_T_324, _T_327) @[lsu_bus_buffer.scala 146:97] + node _T_329 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 146:170] + node _T_330 = eq(_T_329, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_331 = and(_T_328, _T_330) @[lsu_bus_buffer.scala 146:148] node _T_332 = cat(_T_331, _T_323) @[Cat.scala 29:58] node _T_333 = cat(_T_332, _T_315) @[Cat.scala 29:58] node _T_334 = cat(_T_333, _T_307) @[Cat.scala 29:58] - node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[lsu_bus_buffer.scala 145:93] - node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 145:122] - node _T_337 = orr(_T_336) @[lsu_bus_buffer.scala 145:144] - node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] - node _T_339 = and(_T_335, _T_338) @[lsu_bus_buffer.scala 145:97] - node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 145:170] - node _T_341 = eq(_T_340, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] - node _T_342 = and(_T_339, _T_341) @[lsu_bus_buffer.scala 145:148] - node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[lsu_bus_buffer.scala 145:93] - node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 145:122] - node _T_345 = orr(_T_344) @[lsu_bus_buffer.scala 145:144] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] - node _T_347 = and(_T_343, _T_346) @[lsu_bus_buffer.scala 145:97] - node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 145:170] - node _T_349 = eq(_T_348, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] - node _T_350 = and(_T_347, _T_349) @[lsu_bus_buffer.scala 145:148] - node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[lsu_bus_buffer.scala 145:93] - node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 145:122] - node _T_353 = orr(_T_352) @[lsu_bus_buffer.scala 145:144] - node _T_354 = eq(_T_353, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] - node _T_355 = and(_T_351, _T_354) @[lsu_bus_buffer.scala 145:97] - node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 145:170] - node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] - node _T_358 = and(_T_355, _T_357) @[lsu_bus_buffer.scala 145:148] - node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[lsu_bus_buffer.scala 145:93] - node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 145:122] - node _T_361 = orr(_T_360) @[lsu_bus_buffer.scala 145:144] - node _T_362 = eq(_T_361, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:99] - node _T_363 = and(_T_359, _T_362) @[lsu_bus_buffer.scala 145:97] - node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 145:170] - node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_buffer.scala 145:150] - node _T_366 = and(_T_363, _T_365) @[lsu_bus_buffer.scala 145:148] + node _T_335 = bits(ld_byte_hitvec_lo_3, 0, 0) @[lsu_bus_buffer.scala 146:93] + node _T_336 = and(ld_byte_hitvec_lo_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] + node _T_337 = orr(_T_336) @[lsu_bus_buffer.scala 146:144] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_339 = and(_T_335, _T_338) @[lsu_bus_buffer.scala 146:97] + node _T_340 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_341 = eq(_T_340, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_342 = and(_T_339, _T_341) @[lsu_bus_buffer.scala 146:148] + node _T_343 = bits(ld_byte_hitvec_lo_3, 1, 1) @[lsu_bus_buffer.scala 146:93] + node _T_344 = and(ld_byte_hitvec_lo_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] + node _T_345 = orr(_T_344) @[lsu_bus_buffer.scala 146:144] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_347 = and(_T_343, _T_346) @[lsu_bus_buffer.scala 146:97] + node _T_348 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_349 = eq(_T_348, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_350 = and(_T_347, _T_349) @[lsu_bus_buffer.scala 146:148] + node _T_351 = bits(ld_byte_hitvec_lo_3, 2, 2) @[lsu_bus_buffer.scala 146:93] + node _T_352 = and(ld_byte_hitvec_lo_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] + node _T_353 = orr(_T_352) @[lsu_bus_buffer.scala 146:144] + node _T_354 = eq(_T_353, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_355 = and(_T_351, _T_354) @[lsu_bus_buffer.scala 146:97] + node _T_356 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_358 = and(_T_355, _T_357) @[lsu_bus_buffer.scala 146:148] + node _T_359 = bits(ld_byte_hitvec_lo_3, 3, 3) @[lsu_bus_buffer.scala 146:93] + node _T_360 = and(ld_byte_hitvec_lo_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] + node _T_361 = orr(_T_360) @[lsu_bus_buffer.scala 146:144] + node _T_362 = eq(_T_361, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] + node _T_363 = and(_T_359, _T_362) @[lsu_bus_buffer.scala 146:97] + node _T_364 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 146:170] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] + node _T_366 = and(_T_363, _T_365) @[lsu_bus_buffer.scala 146:148] node _T_367 = cat(_T_366, _T_358) @[Cat.scala 29:58] node _T_368 = cat(_T_367, _T_350) @[Cat.scala 29:58] node _T_369 = cat(_T_368, _T_342) @[Cat.scala 29:58] - ld_byte_hitvecfn_lo[0] <= _T_264 @[lsu_bus_buffer.scala 145:23] - ld_byte_hitvecfn_lo[1] <= _T_299 @[lsu_bus_buffer.scala 145:23] - ld_byte_hitvecfn_lo[2] <= _T_334 @[lsu_bus_buffer.scala 145:23] - ld_byte_hitvecfn_lo[3] <= _T_369 @[lsu_bus_buffer.scala 145:23] - node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[lsu_bus_buffer.scala 146:93] - node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] - node _T_372 = orr(_T_371) @[lsu_bus_buffer.scala 146:144] - node _T_373 = eq(_T_372, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_374 = and(_T_370, _T_373) @[lsu_bus_buffer.scala 146:97] - node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 146:170] - node _T_376 = eq(_T_375, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_377 = and(_T_374, _T_376) @[lsu_bus_buffer.scala 146:148] - node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[lsu_bus_buffer.scala 146:93] - node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] - node _T_380 = orr(_T_379) @[lsu_bus_buffer.scala 146:144] - node _T_381 = eq(_T_380, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_382 = and(_T_378, _T_381) @[lsu_bus_buffer.scala 146:97] - node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 146:170] - node _T_384 = eq(_T_383, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_385 = and(_T_382, _T_384) @[lsu_bus_buffer.scala 146:148] - node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[lsu_bus_buffer.scala 146:93] - node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] - node _T_388 = orr(_T_387) @[lsu_bus_buffer.scala 146:144] - node _T_389 = eq(_T_388, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_390 = and(_T_386, _T_389) @[lsu_bus_buffer.scala 146:97] - node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 146:170] - node _T_392 = eq(_T_391, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_393 = and(_T_390, _T_392) @[lsu_bus_buffer.scala 146:148] - node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[lsu_bus_buffer.scala 146:93] - node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] - node _T_396 = orr(_T_395) @[lsu_bus_buffer.scala 146:144] - node _T_397 = eq(_T_396, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_398 = and(_T_394, _T_397) @[lsu_bus_buffer.scala 146:97] - node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 146:170] - node _T_400 = eq(_T_399, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_401 = and(_T_398, _T_400) @[lsu_bus_buffer.scala 146:148] + ld_byte_hitvecfn_lo[0] <= _T_264 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_lo[1] <= _T_299 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_lo[2] <= _T_334 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_lo[3] <= _T_369 @[lsu_bus_buffer.scala 146:23] + node _T_370 = bits(ld_byte_hitvec_hi_0, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_371 = and(ld_byte_hitvec_hi_0, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_372 = orr(_T_371) @[lsu_bus_buffer.scala 147:144] + node _T_373 = eq(_T_372, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_374 = and(_T_370, _T_373) @[lsu_bus_buffer.scala 147:97] + node _T_375 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_376 = eq(_T_375, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_377 = and(_T_374, _T_376) @[lsu_bus_buffer.scala 147:148] + node _T_378 = bits(ld_byte_hitvec_hi_0, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_379 = and(ld_byte_hitvec_hi_0, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_380 = orr(_T_379) @[lsu_bus_buffer.scala 147:144] + node _T_381 = eq(_T_380, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_382 = and(_T_378, _T_381) @[lsu_bus_buffer.scala 147:97] + node _T_383 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_384 = eq(_T_383, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_385 = and(_T_382, _T_384) @[lsu_bus_buffer.scala 147:148] + node _T_386 = bits(ld_byte_hitvec_hi_0, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_387 = and(ld_byte_hitvec_hi_0, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_388 = orr(_T_387) @[lsu_bus_buffer.scala 147:144] + node _T_389 = eq(_T_388, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_390 = and(_T_386, _T_389) @[lsu_bus_buffer.scala 147:97] + node _T_391 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_392 = eq(_T_391, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_393 = and(_T_390, _T_392) @[lsu_bus_buffer.scala 147:148] + node _T_394 = bits(ld_byte_hitvec_hi_0, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_395 = and(ld_byte_hitvec_hi_0, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_396 = orr(_T_395) @[lsu_bus_buffer.scala 147:144] + node _T_397 = eq(_T_396, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_398 = and(_T_394, _T_397) @[lsu_bus_buffer.scala 147:97] + node _T_399 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 147:170] + node _T_400 = eq(_T_399, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_401 = and(_T_398, _T_400) @[lsu_bus_buffer.scala 147:148] node _T_402 = cat(_T_401, _T_393) @[Cat.scala 29:58] node _T_403 = cat(_T_402, _T_385) @[Cat.scala 29:58] node _T_404 = cat(_T_403, _T_377) @[Cat.scala 29:58] - node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[lsu_bus_buffer.scala 146:93] - node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] - node _T_407 = orr(_T_406) @[lsu_bus_buffer.scala 146:144] - node _T_408 = eq(_T_407, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_409 = and(_T_405, _T_408) @[lsu_bus_buffer.scala 146:97] - node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 146:170] - node _T_411 = eq(_T_410, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_412 = and(_T_409, _T_411) @[lsu_bus_buffer.scala 146:148] - node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[lsu_bus_buffer.scala 146:93] - node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] - node _T_415 = orr(_T_414) @[lsu_bus_buffer.scala 146:144] - node _T_416 = eq(_T_415, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_417 = and(_T_413, _T_416) @[lsu_bus_buffer.scala 146:97] - node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 146:170] - node _T_419 = eq(_T_418, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_420 = and(_T_417, _T_419) @[lsu_bus_buffer.scala 146:148] - node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[lsu_bus_buffer.scala 146:93] - node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] - node _T_423 = orr(_T_422) @[lsu_bus_buffer.scala 146:144] - node _T_424 = eq(_T_423, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_425 = and(_T_421, _T_424) @[lsu_bus_buffer.scala 146:97] - node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 146:170] - node _T_427 = eq(_T_426, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_428 = and(_T_425, _T_427) @[lsu_bus_buffer.scala 146:148] - node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[lsu_bus_buffer.scala 146:93] - node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] - node _T_431 = orr(_T_430) @[lsu_bus_buffer.scala 146:144] - node _T_432 = eq(_T_431, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_433 = and(_T_429, _T_432) @[lsu_bus_buffer.scala 146:97] - node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 146:170] - node _T_435 = eq(_T_434, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_436 = and(_T_433, _T_435) @[lsu_bus_buffer.scala 146:148] + node _T_405 = bits(ld_byte_hitvec_hi_1, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_406 = and(ld_byte_hitvec_hi_1, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_407 = orr(_T_406) @[lsu_bus_buffer.scala 147:144] + node _T_408 = eq(_T_407, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_409 = and(_T_405, _T_408) @[lsu_bus_buffer.scala 147:97] + node _T_410 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_411 = eq(_T_410, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_412 = and(_T_409, _T_411) @[lsu_bus_buffer.scala 147:148] + node _T_413 = bits(ld_byte_hitvec_hi_1, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_414 = and(ld_byte_hitvec_hi_1, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_415 = orr(_T_414) @[lsu_bus_buffer.scala 147:144] + node _T_416 = eq(_T_415, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_417 = and(_T_413, _T_416) @[lsu_bus_buffer.scala 147:97] + node _T_418 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_419 = eq(_T_418, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_420 = and(_T_417, _T_419) @[lsu_bus_buffer.scala 147:148] + node _T_421 = bits(ld_byte_hitvec_hi_1, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_422 = and(ld_byte_hitvec_hi_1, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_423 = orr(_T_422) @[lsu_bus_buffer.scala 147:144] + node _T_424 = eq(_T_423, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_425 = and(_T_421, _T_424) @[lsu_bus_buffer.scala 147:97] + node _T_426 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_427 = eq(_T_426, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_428 = and(_T_425, _T_427) @[lsu_bus_buffer.scala 147:148] + node _T_429 = bits(ld_byte_hitvec_hi_1, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_430 = and(ld_byte_hitvec_hi_1, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_431 = orr(_T_430) @[lsu_bus_buffer.scala 147:144] + node _T_432 = eq(_T_431, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_433 = and(_T_429, _T_432) @[lsu_bus_buffer.scala 147:97] + node _T_434 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 147:170] + node _T_435 = eq(_T_434, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_436 = and(_T_433, _T_435) @[lsu_bus_buffer.scala 147:148] node _T_437 = cat(_T_436, _T_428) @[Cat.scala 29:58] node _T_438 = cat(_T_437, _T_420) @[Cat.scala 29:58] node _T_439 = cat(_T_438, _T_412) @[Cat.scala 29:58] - node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[lsu_bus_buffer.scala 146:93] - node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] - node _T_442 = orr(_T_441) @[lsu_bus_buffer.scala 146:144] - node _T_443 = eq(_T_442, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_444 = and(_T_440, _T_443) @[lsu_bus_buffer.scala 146:97] - node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 146:170] - node _T_446 = eq(_T_445, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_447 = and(_T_444, _T_446) @[lsu_bus_buffer.scala 146:148] - node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[lsu_bus_buffer.scala 146:93] - node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] - node _T_450 = orr(_T_449) @[lsu_bus_buffer.scala 146:144] - node _T_451 = eq(_T_450, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_452 = and(_T_448, _T_451) @[lsu_bus_buffer.scala 146:97] - node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 146:170] - node _T_454 = eq(_T_453, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_455 = and(_T_452, _T_454) @[lsu_bus_buffer.scala 146:148] - node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[lsu_bus_buffer.scala 146:93] - node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] - node _T_458 = orr(_T_457) @[lsu_bus_buffer.scala 146:144] - node _T_459 = eq(_T_458, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_460 = and(_T_456, _T_459) @[lsu_bus_buffer.scala 146:97] - node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 146:170] - node _T_462 = eq(_T_461, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_463 = and(_T_460, _T_462) @[lsu_bus_buffer.scala 146:148] - node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[lsu_bus_buffer.scala 146:93] - node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] - node _T_466 = orr(_T_465) @[lsu_bus_buffer.scala 146:144] - node _T_467 = eq(_T_466, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_468 = and(_T_464, _T_467) @[lsu_bus_buffer.scala 146:97] - node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 146:170] - node _T_470 = eq(_T_469, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_471 = and(_T_468, _T_470) @[lsu_bus_buffer.scala 146:148] + node _T_440 = bits(ld_byte_hitvec_hi_2, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_441 = and(ld_byte_hitvec_hi_2, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_442 = orr(_T_441) @[lsu_bus_buffer.scala 147:144] + node _T_443 = eq(_T_442, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_444 = and(_T_440, _T_443) @[lsu_bus_buffer.scala 147:97] + node _T_445 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_446 = eq(_T_445, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_447 = and(_T_444, _T_446) @[lsu_bus_buffer.scala 147:148] + node _T_448 = bits(ld_byte_hitvec_hi_2, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_449 = and(ld_byte_hitvec_hi_2, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_450 = orr(_T_449) @[lsu_bus_buffer.scala 147:144] + node _T_451 = eq(_T_450, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_452 = and(_T_448, _T_451) @[lsu_bus_buffer.scala 147:97] + node _T_453 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_454 = eq(_T_453, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_455 = and(_T_452, _T_454) @[lsu_bus_buffer.scala 147:148] + node _T_456 = bits(ld_byte_hitvec_hi_2, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_457 = and(ld_byte_hitvec_hi_2, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_458 = orr(_T_457) @[lsu_bus_buffer.scala 147:144] + node _T_459 = eq(_T_458, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_460 = and(_T_456, _T_459) @[lsu_bus_buffer.scala 147:97] + node _T_461 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_462 = eq(_T_461, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_463 = and(_T_460, _T_462) @[lsu_bus_buffer.scala 147:148] + node _T_464 = bits(ld_byte_hitvec_hi_2, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_465 = and(ld_byte_hitvec_hi_2, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_466 = orr(_T_465) @[lsu_bus_buffer.scala 147:144] + node _T_467 = eq(_T_466, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_468 = and(_T_464, _T_467) @[lsu_bus_buffer.scala 147:97] + node _T_469 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 147:170] + node _T_470 = eq(_T_469, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_471 = and(_T_468, _T_470) @[lsu_bus_buffer.scala 147:148] node _T_472 = cat(_T_471, _T_463) @[Cat.scala 29:58] node _T_473 = cat(_T_472, _T_455) @[Cat.scala 29:58] node _T_474 = cat(_T_473, _T_447) @[Cat.scala 29:58] - node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[lsu_bus_buffer.scala 146:93] - node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 146:122] - node _T_477 = orr(_T_476) @[lsu_bus_buffer.scala 146:144] - node _T_478 = eq(_T_477, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_479 = and(_T_475, _T_478) @[lsu_bus_buffer.scala 146:97] - node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 146:170] - node _T_481 = eq(_T_480, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_482 = and(_T_479, _T_481) @[lsu_bus_buffer.scala 146:148] - node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[lsu_bus_buffer.scala 146:93] - node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 146:122] - node _T_485 = orr(_T_484) @[lsu_bus_buffer.scala 146:144] - node _T_486 = eq(_T_485, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_487 = and(_T_483, _T_486) @[lsu_bus_buffer.scala 146:97] - node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 146:170] - node _T_489 = eq(_T_488, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_490 = and(_T_487, _T_489) @[lsu_bus_buffer.scala 146:148] - node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[lsu_bus_buffer.scala 146:93] - node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 146:122] - node _T_493 = orr(_T_492) @[lsu_bus_buffer.scala 146:144] - node _T_494 = eq(_T_493, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_495 = and(_T_491, _T_494) @[lsu_bus_buffer.scala 146:97] - node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 146:170] - node _T_497 = eq(_T_496, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_498 = and(_T_495, _T_497) @[lsu_bus_buffer.scala 146:148] - node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[lsu_bus_buffer.scala 146:93] - node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 146:122] - node _T_501 = orr(_T_500) @[lsu_bus_buffer.scala 146:144] - node _T_502 = eq(_T_501, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:99] - node _T_503 = and(_T_499, _T_502) @[lsu_bus_buffer.scala 146:97] - node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 146:170] - node _T_505 = eq(_T_504, UInt<1>("h00")) @[lsu_bus_buffer.scala 146:150] - node _T_506 = and(_T_503, _T_505) @[lsu_bus_buffer.scala 146:148] + node _T_475 = bits(ld_byte_hitvec_hi_3, 0, 0) @[lsu_bus_buffer.scala 147:93] + node _T_476 = and(ld_byte_hitvec_hi_3, buf_age_younger[0]) @[lsu_bus_buffer.scala 147:122] + node _T_477 = orr(_T_476) @[lsu_bus_buffer.scala 147:144] + node _T_478 = eq(_T_477, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_479 = and(_T_475, _T_478) @[lsu_bus_buffer.scala 147:97] + node _T_480 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_481 = eq(_T_480, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_482 = and(_T_479, _T_481) @[lsu_bus_buffer.scala 147:148] + node _T_483 = bits(ld_byte_hitvec_hi_3, 1, 1) @[lsu_bus_buffer.scala 147:93] + node _T_484 = and(ld_byte_hitvec_hi_3, buf_age_younger[1]) @[lsu_bus_buffer.scala 147:122] + node _T_485 = orr(_T_484) @[lsu_bus_buffer.scala 147:144] + node _T_486 = eq(_T_485, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_487 = and(_T_483, _T_486) @[lsu_bus_buffer.scala 147:97] + node _T_488 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_489 = eq(_T_488, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_490 = and(_T_487, _T_489) @[lsu_bus_buffer.scala 147:148] + node _T_491 = bits(ld_byte_hitvec_hi_3, 2, 2) @[lsu_bus_buffer.scala 147:93] + node _T_492 = and(ld_byte_hitvec_hi_3, buf_age_younger[2]) @[lsu_bus_buffer.scala 147:122] + node _T_493 = orr(_T_492) @[lsu_bus_buffer.scala 147:144] + node _T_494 = eq(_T_493, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_495 = and(_T_491, _T_494) @[lsu_bus_buffer.scala 147:97] + node _T_496 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_497 = eq(_T_496, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_498 = and(_T_495, _T_497) @[lsu_bus_buffer.scala 147:148] + node _T_499 = bits(ld_byte_hitvec_hi_3, 3, 3) @[lsu_bus_buffer.scala 147:93] + node _T_500 = and(ld_byte_hitvec_hi_3, buf_age_younger[3]) @[lsu_bus_buffer.scala 147:122] + node _T_501 = orr(_T_500) @[lsu_bus_buffer.scala 147:144] + node _T_502 = eq(_T_501, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:99] + node _T_503 = and(_T_499, _T_502) @[lsu_bus_buffer.scala 147:97] + node _T_504 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 147:170] + node _T_505 = eq(_T_504, UInt<1>("h00")) @[lsu_bus_buffer.scala 147:150] + node _T_506 = and(_T_503, _T_505) @[lsu_bus_buffer.scala 147:148] node _T_507 = cat(_T_506, _T_498) @[Cat.scala 29:58] node _T_508 = cat(_T_507, _T_490) @[Cat.scala 29:58] node _T_509 = cat(_T_508, _T_482) @[Cat.scala 29:58] - ld_byte_hitvecfn_hi[0] <= _T_404 @[lsu_bus_buffer.scala 146:23] - ld_byte_hitvecfn_hi[1] <= _T_439 @[lsu_bus_buffer.scala 146:23] - ld_byte_hitvecfn_hi[2] <= _T_474 @[lsu_bus_buffer.scala 146:23] - ld_byte_hitvecfn_hi[3] <= _T_509 @[lsu_bus_buffer.scala 146:23] + ld_byte_hitvecfn_hi[0] <= _T_404 @[lsu_bus_buffer.scala 147:23] + ld_byte_hitvecfn_hi[1] <= _T_439 @[lsu_bus_buffer.scala 147:23] + ld_byte_hitvecfn_hi[2] <= _T_474 @[lsu_bus_buffer.scala 147:23] + ld_byte_hitvecfn_hi[3] <= _T_509 @[lsu_bus_buffer.scala 147:23] wire ibuf_addr : UInt<32> ibuf_addr <= UInt<1>("h00") wire ibuf_write : UInt<1> ibuf_write <= UInt<1>("h00") wire ibuf_valid : UInt<1> ibuf_valid <= UInt<1>("h00") - node _T_510 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 151:43] - node _T_511 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 151:64] - node _T_512 = eq(_T_510, _T_511) @[lsu_bus_buffer.scala 151:51] - node _T_513 = and(_T_512, ibuf_write) @[lsu_bus_buffer.scala 151:73] - node _T_514 = and(_T_513, ibuf_valid) @[lsu_bus_buffer.scala 151:86] - node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[lsu_bus_buffer.scala 151:99] - node _T_515 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 152:43] - node _T_516 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 152:64] - node _T_517 = eq(_T_515, _T_516) @[lsu_bus_buffer.scala 152:51] - node _T_518 = and(_T_517, ibuf_write) @[lsu_bus_buffer.scala 152:73] - node _T_519 = and(_T_518, ibuf_valid) @[lsu_bus_buffer.scala 152:86] - node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[lsu_bus_buffer.scala 152:99] + node _T_510 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 152:43] + node _T_511 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 152:64] + node _T_512 = eq(_T_510, _T_511) @[lsu_bus_buffer.scala 152:51] + node _T_513 = and(_T_512, ibuf_write) @[lsu_bus_buffer.scala 152:73] + node _T_514 = and(_T_513, ibuf_valid) @[lsu_bus_buffer.scala 152:86] + node ld_addr_ibuf_hit_lo = and(_T_514, io.lsu_busreq_m) @[lsu_bus_buffer.scala 152:99] + node _T_515 = bits(io.end_addr_m, 31, 2) @[lsu_bus_buffer.scala 153:43] + node _T_516 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 153:64] + node _T_517 = eq(_T_515, _T_516) @[lsu_bus_buffer.scala 153:51] + node _T_518 = and(_T_517, ibuf_write) @[lsu_bus_buffer.scala 153:73] + node _T_519 = and(_T_518, ibuf_valid) @[lsu_bus_buffer.scala 153:86] + node ld_addr_ibuf_hit_hi = and(_T_519, io.lsu_busreq_m) @[lsu_bus_buffer.scala 153:99] wire ibuf_byteen : UInt<4> ibuf_byteen <= UInt<1>("h00") node _T_520 = bits(ld_addr_ibuf_hit_lo, 0, 0) @[Bitwise.scala 72:15] node _T_521 = mux(_T_520, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_522 = and(_T_521, ibuf_byteen) @[lsu_bus_buffer.scala 156:55] - node _T_523 = and(_T_522, ldst_byteen_lo_m) @[lsu_bus_buffer.scala 156:69] - ld_byte_ibuf_hit_lo <= _T_523 @[lsu_bus_buffer.scala 156:23] + node _T_522 = and(_T_521, ibuf_byteen) @[lsu_bus_buffer.scala 157:55] + node _T_523 = and(_T_522, ldst_byteen_lo_m) @[lsu_bus_buffer.scala 157:69] + ld_byte_ibuf_hit_lo <= _T_523 @[lsu_bus_buffer.scala 157:23] node _T_524 = bits(ld_addr_ibuf_hit_hi, 0, 0) @[Bitwise.scala 72:15] node _T_525 = mux(_T_524, UInt<4>("h0f"), UInt<4>("h00")) @[Bitwise.scala 72:12] - node _T_526 = and(_T_525, ibuf_byteen) @[lsu_bus_buffer.scala 157:55] - node _T_527 = and(_T_526, ldst_byteen_hi_m) @[lsu_bus_buffer.scala 157:69] - ld_byte_ibuf_hit_hi <= _T_527 @[lsu_bus_buffer.scala 157:23] - wire buf_data : UInt<32>[4] @[lsu_bus_buffer.scala 159:22] - buf_data[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 160:12] - buf_data[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 160:12] - buf_data[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 160:12] - buf_data[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 160:12] + node _T_526 = and(_T_525, ibuf_byteen) @[lsu_bus_buffer.scala 158:55] + node _T_527 = and(_T_526, ldst_byteen_hi_m) @[lsu_bus_buffer.scala 158:69] + ld_byte_ibuf_hit_hi <= _T_527 @[lsu_bus_buffer.scala 158:23] + wire buf_data : UInt<32>[4] @[lsu_bus_buffer.scala 160:22] + buf_data[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + buf_data[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + buf_data[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] + buf_data[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 161:12] wire fwd_data : UInt<32> fwd_data <= UInt<1>("h00") - node _T_528 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 162:81] + node _T_528 = bits(ld_byte_ibuf_hit_lo, 0, 0) @[lsu_bus_buffer.scala 163:81] node _T_529 = bits(_T_528, 0, 0) @[Bitwise.scala 72:15] node _T_530 = mux(_T_529, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_531 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 162:81] + node _T_531 = bits(ld_byte_ibuf_hit_lo, 1, 1) @[lsu_bus_buffer.scala 163:81] node _T_532 = bits(_T_531, 0, 0) @[Bitwise.scala 72:15] node _T_533 = mux(_T_532, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_534 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 162:81] + node _T_534 = bits(ld_byte_ibuf_hit_lo, 2, 2) @[lsu_bus_buffer.scala 163:81] node _T_535 = bits(_T_534, 0, 0) @[Bitwise.scala 72:15] node _T_536 = mux(_T_535, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_537 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 162:81] + node _T_537 = bits(ld_byte_ibuf_hit_lo, 3, 3) @[lsu_bus_buffer.scala 163:81] node _T_538 = bits(_T_537, 0, 0) @[Bitwise.scala 72:15] node _T_539 = mux(_T_538, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] node _T_540 = cat(_T_539, _T_536) @[Cat.scala 29:58] node _T_541 = cat(_T_540, _T_533) @[Cat.scala 29:58] node ld_fwddata_buf_lo_initial = cat(_T_541, _T_530) @[Cat.scala 29:58] - node _T_542 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 163:81] + node _T_542 = bits(ld_byte_ibuf_hit_hi, 0, 0) @[lsu_bus_buffer.scala 164:81] node _T_543 = bits(_T_542, 0, 0) @[Bitwise.scala 72:15] node _T_544 = mux(_T_543, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_545 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 163:81] + node _T_545 = bits(ld_byte_ibuf_hit_hi, 1, 1) @[lsu_bus_buffer.scala 164:81] node _T_546 = bits(_T_545, 0, 0) @[Bitwise.scala 72:15] node _T_547 = mux(_T_546, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_548 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 163:81] + node _T_548 = bits(ld_byte_ibuf_hit_hi, 2, 2) @[lsu_bus_buffer.scala 164:81] node _T_549 = bits(_T_548, 0, 0) @[Bitwise.scala 72:15] node _T_550 = mux(_T_549, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_551 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 163:81] + node _T_551 = bits(ld_byte_ibuf_hit_hi, 3, 3) @[lsu_bus_buffer.scala 164:81] node _T_552 = bits(_T_551, 0, 0) @[Bitwise.scala 72:15] node _T_553 = mux(_T_552, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] node _T_554 = cat(_T_553, _T_550) @[Cat.scala 29:58] node _T_555 = cat(_T_554, _T_547) @[Cat.scala 29:58] node ld_fwddata_buf_hi_initial = cat(_T_555, _T_544) @[Cat.scala 29:58] - node _T_556 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[lsu_bus_buffer.scala 164:86] + node _T_556 = bits(ld_byte_hitvecfn_lo[3], 0, 0) @[lsu_bus_buffer.scala 165:86] node _T_557 = bits(_T_556, 0, 0) @[Bitwise.scala 72:15] node _T_558 = mux(_T_557, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_559 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 164:104] - node _T_560 = and(_T_558, _T_559) @[lsu_bus_buffer.scala 164:91] - node _T_561 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[lsu_bus_buffer.scala 164:86] + node _T_559 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_560 = and(_T_558, _T_559) @[lsu_bus_buffer.scala 165:91] + node _T_561 = bits(ld_byte_hitvecfn_lo[3], 1, 1) @[lsu_bus_buffer.scala 165:86] node _T_562 = bits(_T_561, 0, 0) @[Bitwise.scala 72:15] node _T_563 = mux(_T_562, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_564 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 164:104] - node _T_565 = and(_T_563, _T_564) @[lsu_bus_buffer.scala 164:91] - node _T_566 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[lsu_bus_buffer.scala 164:86] + node _T_564 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_565 = and(_T_563, _T_564) @[lsu_bus_buffer.scala 165:91] + node _T_566 = bits(ld_byte_hitvecfn_lo[3], 2, 2) @[lsu_bus_buffer.scala 165:86] node _T_567 = bits(_T_566, 0, 0) @[Bitwise.scala 72:15] node _T_568 = mux(_T_567, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_569 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 164:104] - node _T_570 = and(_T_568, _T_569) @[lsu_bus_buffer.scala 164:91] - node _T_571 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[lsu_bus_buffer.scala 164:86] + node _T_569 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_570 = and(_T_568, _T_569) @[lsu_bus_buffer.scala 165:91] + node _T_571 = bits(ld_byte_hitvecfn_lo[3], 3, 3) @[lsu_bus_buffer.scala 165:86] node _T_572 = bits(_T_571, 0, 0) @[Bitwise.scala 72:15] node _T_573 = mux(_T_572, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_574 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 164:104] - node _T_575 = and(_T_573, _T_574) @[lsu_bus_buffer.scala 164:91] - node _T_576 = or(_T_560, _T_565) @[lsu_bus_buffer.scala 164:123] - node _T_577 = or(_T_576, _T_570) @[lsu_bus_buffer.scala 164:123] - node _T_578 = or(_T_577, _T_575) @[lsu_bus_buffer.scala 164:123] - node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[lsu_bus_buffer.scala 165:60] + node _T_574 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 165:104] + node _T_575 = and(_T_573, _T_574) @[lsu_bus_buffer.scala 165:91] + node _T_576 = or(_T_560, _T_565) @[lsu_bus_buffer.scala 165:123] + node _T_577 = or(_T_576, _T_570) @[lsu_bus_buffer.scala 165:123] + node _T_578 = or(_T_577, _T_575) @[lsu_bus_buffer.scala 165:123] + node _T_579 = bits(ld_byte_hitvecfn_lo[2], 0, 0) @[lsu_bus_buffer.scala 166:60] node _T_580 = bits(_T_579, 0, 0) @[Bitwise.scala 72:15] node _T_581 = mux(_T_580, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_582 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 165:78] - node _T_583 = and(_T_581, _T_582) @[lsu_bus_buffer.scala 165:65] - node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[lsu_bus_buffer.scala 165:60] + node _T_582 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_583 = and(_T_581, _T_582) @[lsu_bus_buffer.scala 166:65] + node _T_584 = bits(ld_byte_hitvecfn_lo[2], 1, 1) @[lsu_bus_buffer.scala 166:60] node _T_585 = bits(_T_584, 0, 0) @[Bitwise.scala 72:15] node _T_586 = mux(_T_585, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_587 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 165:78] - node _T_588 = and(_T_586, _T_587) @[lsu_bus_buffer.scala 165:65] - node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[lsu_bus_buffer.scala 165:60] + node _T_587 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_588 = and(_T_586, _T_587) @[lsu_bus_buffer.scala 166:65] + node _T_589 = bits(ld_byte_hitvecfn_lo[2], 2, 2) @[lsu_bus_buffer.scala 166:60] node _T_590 = bits(_T_589, 0, 0) @[Bitwise.scala 72:15] node _T_591 = mux(_T_590, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_592 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 165:78] - node _T_593 = and(_T_591, _T_592) @[lsu_bus_buffer.scala 165:65] - node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[lsu_bus_buffer.scala 165:60] + node _T_592 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_593 = and(_T_591, _T_592) @[lsu_bus_buffer.scala 166:65] + node _T_594 = bits(ld_byte_hitvecfn_lo[2], 3, 3) @[lsu_bus_buffer.scala 166:60] node _T_595 = bits(_T_594, 0, 0) @[Bitwise.scala 72:15] node _T_596 = mux(_T_595, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_597 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 165:78] - node _T_598 = and(_T_596, _T_597) @[lsu_bus_buffer.scala 165:65] - node _T_599 = or(_T_583, _T_588) @[lsu_bus_buffer.scala 165:97] - node _T_600 = or(_T_599, _T_593) @[lsu_bus_buffer.scala 165:97] - node _T_601 = or(_T_600, _T_598) @[lsu_bus_buffer.scala 165:97] - node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[lsu_bus_buffer.scala 166:60] + node _T_597 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 166:78] + node _T_598 = and(_T_596, _T_597) @[lsu_bus_buffer.scala 166:65] + node _T_599 = or(_T_583, _T_588) @[lsu_bus_buffer.scala 166:97] + node _T_600 = or(_T_599, _T_593) @[lsu_bus_buffer.scala 166:97] + node _T_601 = or(_T_600, _T_598) @[lsu_bus_buffer.scala 166:97] + node _T_602 = bits(ld_byte_hitvecfn_lo[1], 0, 0) @[lsu_bus_buffer.scala 167:60] node _T_603 = bits(_T_602, 0, 0) @[Bitwise.scala 72:15] node _T_604 = mux(_T_603, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_605 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 166:78] - node _T_606 = and(_T_604, _T_605) @[lsu_bus_buffer.scala 166:65] - node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[lsu_bus_buffer.scala 166:60] + node _T_605 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_606 = and(_T_604, _T_605) @[lsu_bus_buffer.scala 167:65] + node _T_607 = bits(ld_byte_hitvecfn_lo[1], 1, 1) @[lsu_bus_buffer.scala 167:60] node _T_608 = bits(_T_607, 0, 0) @[Bitwise.scala 72:15] node _T_609 = mux(_T_608, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_610 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 166:78] - node _T_611 = and(_T_609, _T_610) @[lsu_bus_buffer.scala 166:65] - node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[lsu_bus_buffer.scala 166:60] + node _T_610 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_611 = and(_T_609, _T_610) @[lsu_bus_buffer.scala 167:65] + node _T_612 = bits(ld_byte_hitvecfn_lo[1], 2, 2) @[lsu_bus_buffer.scala 167:60] node _T_613 = bits(_T_612, 0, 0) @[Bitwise.scala 72:15] node _T_614 = mux(_T_613, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_615 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 166:78] - node _T_616 = and(_T_614, _T_615) @[lsu_bus_buffer.scala 166:65] - node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[lsu_bus_buffer.scala 166:60] + node _T_615 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_616 = and(_T_614, _T_615) @[lsu_bus_buffer.scala 167:65] + node _T_617 = bits(ld_byte_hitvecfn_lo[1], 3, 3) @[lsu_bus_buffer.scala 167:60] node _T_618 = bits(_T_617, 0, 0) @[Bitwise.scala 72:15] node _T_619 = mux(_T_618, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_620 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 166:78] - node _T_621 = and(_T_619, _T_620) @[lsu_bus_buffer.scala 166:65] - node _T_622 = or(_T_606, _T_611) @[lsu_bus_buffer.scala 166:97] - node _T_623 = or(_T_622, _T_616) @[lsu_bus_buffer.scala 166:97] - node _T_624 = or(_T_623, _T_621) @[lsu_bus_buffer.scala 166:97] - node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[lsu_bus_buffer.scala 167:60] + node _T_620 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 167:78] + node _T_621 = and(_T_619, _T_620) @[lsu_bus_buffer.scala 167:65] + node _T_622 = or(_T_606, _T_611) @[lsu_bus_buffer.scala 167:97] + node _T_623 = or(_T_622, _T_616) @[lsu_bus_buffer.scala 167:97] + node _T_624 = or(_T_623, _T_621) @[lsu_bus_buffer.scala 167:97] + node _T_625 = bits(ld_byte_hitvecfn_lo[0], 0, 0) @[lsu_bus_buffer.scala 168:60] node _T_626 = bits(_T_625, 0, 0) @[Bitwise.scala 72:15] node _T_627 = mux(_T_626, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_628 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 167:78] - node _T_629 = and(_T_627, _T_628) @[lsu_bus_buffer.scala 167:65] - node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[lsu_bus_buffer.scala 167:60] + node _T_628 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_629 = and(_T_627, _T_628) @[lsu_bus_buffer.scala 168:65] + node _T_630 = bits(ld_byte_hitvecfn_lo[0], 1, 1) @[lsu_bus_buffer.scala 168:60] node _T_631 = bits(_T_630, 0, 0) @[Bitwise.scala 72:15] node _T_632 = mux(_T_631, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_633 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 167:78] - node _T_634 = and(_T_632, _T_633) @[lsu_bus_buffer.scala 167:65] - node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[lsu_bus_buffer.scala 167:60] + node _T_633 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_634 = and(_T_632, _T_633) @[lsu_bus_buffer.scala 168:65] + node _T_635 = bits(ld_byte_hitvecfn_lo[0], 2, 2) @[lsu_bus_buffer.scala 168:60] node _T_636 = bits(_T_635, 0, 0) @[Bitwise.scala 72:15] node _T_637 = mux(_T_636, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_638 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 167:78] - node _T_639 = and(_T_637, _T_638) @[lsu_bus_buffer.scala 167:65] - node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[lsu_bus_buffer.scala 167:60] + node _T_638 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_639 = and(_T_637, _T_638) @[lsu_bus_buffer.scala 168:65] + node _T_640 = bits(ld_byte_hitvecfn_lo[0], 3, 3) @[lsu_bus_buffer.scala 168:60] node _T_641 = bits(_T_640, 0, 0) @[Bitwise.scala 72:15] node _T_642 = mux(_T_641, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_643 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 167:78] - node _T_644 = and(_T_642, _T_643) @[lsu_bus_buffer.scala 167:65] - node _T_645 = or(_T_629, _T_634) @[lsu_bus_buffer.scala 167:97] - node _T_646 = or(_T_645, _T_639) @[lsu_bus_buffer.scala 167:97] - node _T_647 = or(_T_646, _T_644) @[lsu_bus_buffer.scala 167:97] + node _T_643 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 168:78] + node _T_644 = and(_T_642, _T_643) @[lsu_bus_buffer.scala 168:65] + node _T_645 = or(_T_629, _T_634) @[lsu_bus_buffer.scala 168:97] + node _T_646 = or(_T_645, _T_639) @[lsu_bus_buffer.scala 168:97] + node _T_647 = or(_T_646, _T_644) @[lsu_bus_buffer.scala 168:97] node _T_648 = cat(_T_624, _T_647) @[Cat.scala 29:58] node _T_649 = cat(_T_578, _T_601) @[Cat.scala 29:58] node _T_650 = cat(_T_649, _T_648) @[Cat.scala 29:58] - node _T_651 = and(ld_fwddata_buf_lo_initial, ibuf_data) @[lsu_bus_buffer.scala 168:32] - node _T_652 = or(_T_650, _T_651) @[lsu_bus_buffer.scala 167:103] - io.ld_fwddata_buf_lo <= _T_652 @[lsu_bus_buffer.scala 164:24] - node _T_653 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[lsu_bus_buffer.scala 170:86] + node _T_651 = and(ld_fwddata_buf_lo_initial, ibuf_data) @[lsu_bus_buffer.scala 169:32] + node _T_652 = or(_T_650, _T_651) @[lsu_bus_buffer.scala 168:103] + io.ld_fwddata_buf_lo <= _T_652 @[lsu_bus_buffer.scala 165:24] + node _T_653 = bits(ld_byte_hitvecfn_hi[3], 0, 0) @[lsu_bus_buffer.scala 171:86] node _T_654 = bits(_T_653, 0, 0) @[Bitwise.scala 72:15] node _T_655 = mux(_T_654, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_656 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 170:104] - node _T_657 = and(_T_655, _T_656) @[lsu_bus_buffer.scala 170:91] - node _T_658 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[lsu_bus_buffer.scala 170:86] + node _T_656 = bits(buf_data[0], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_657 = and(_T_655, _T_656) @[lsu_bus_buffer.scala 171:91] + node _T_658 = bits(ld_byte_hitvecfn_hi[3], 1, 1) @[lsu_bus_buffer.scala 171:86] node _T_659 = bits(_T_658, 0, 0) @[Bitwise.scala 72:15] node _T_660 = mux(_T_659, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_661 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 170:104] - node _T_662 = and(_T_660, _T_661) @[lsu_bus_buffer.scala 170:91] - node _T_663 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[lsu_bus_buffer.scala 170:86] + node _T_661 = bits(buf_data[1], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_662 = and(_T_660, _T_661) @[lsu_bus_buffer.scala 171:91] + node _T_663 = bits(ld_byte_hitvecfn_hi[3], 2, 2) @[lsu_bus_buffer.scala 171:86] node _T_664 = bits(_T_663, 0, 0) @[Bitwise.scala 72:15] node _T_665 = mux(_T_664, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_666 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 170:104] - node _T_667 = and(_T_665, _T_666) @[lsu_bus_buffer.scala 170:91] - node _T_668 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[lsu_bus_buffer.scala 170:86] + node _T_666 = bits(buf_data[2], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_667 = and(_T_665, _T_666) @[lsu_bus_buffer.scala 171:91] + node _T_668 = bits(ld_byte_hitvecfn_hi[3], 3, 3) @[lsu_bus_buffer.scala 171:86] node _T_669 = bits(_T_668, 0, 0) @[Bitwise.scala 72:15] node _T_670 = mux(_T_669, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_671 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 170:104] - node _T_672 = and(_T_670, _T_671) @[lsu_bus_buffer.scala 170:91] - node _T_673 = or(_T_657, _T_662) @[lsu_bus_buffer.scala 170:123] - node _T_674 = or(_T_673, _T_667) @[lsu_bus_buffer.scala 170:123] - node _T_675 = or(_T_674, _T_672) @[lsu_bus_buffer.scala 170:123] - node _T_676 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[lsu_bus_buffer.scala 171:60] + node _T_671 = bits(buf_data[3], 31, 24) @[lsu_bus_buffer.scala 171:104] + node _T_672 = and(_T_670, _T_671) @[lsu_bus_buffer.scala 171:91] + node _T_673 = or(_T_657, _T_662) @[lsu_bus_buffer.scala 171:123] + node _T_674 = or(_T_673, _T_667) @[lsu_bus_buffer.scala 171:123] + node _T_675 = or(_T_674, _T_672) @[lsu_bus_buffer.scala 171:123] + node _T_676 = bits(ld_byte_hitvecfn_hi[2], 0, 0) @[lsu_bus_buffer.scala 172:60] node _T_677 = bits(_T_676, 0, 0) @[Bitwise.scala 72:15] node _T_678 = mux(_T_677, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_679 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 171:78] - node _T_680 = and(_T_678, _T_679) @[lsu_bus_buffer.scala 171:65] - node _T_681 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[lsu_bus_buffer.scala 171:60] + node _T_679 = bits(buf_data[0], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_680 = and(_T_678, _T_679) @[lsu_bus_buffer.scala 172:65] + node _T_681 = bits(ld_byte_hitvecfn_hi[2], 1, 1) @[lsu_bus_buffer.scala 172:60] node _T_682 = bits(_T_681, 0, 0) @[Bitwise.scala 72:15] node _T_683 = mux(_T_682, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_684 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 171:78] - node _T_685 = and(_T_683, _T_684) @[lsu_bus_buffer.scala 171:65] - node _T_686 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[lsu_bus_buffer.scala 171:60] + node _T_684 = bits(buf_data[1], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_685 = and(_T_683, _T_684) @[lsu_bus_buffer.scala 172:65] + node _T_686 = bits(ld_byte_hitvecfn_hi[2], 2, 2) @[lsu_bus_buffer.scala 172:60] node _T_687 = bits(_T_686, 0, 0) @[Bitwise.scala 72:15] node _T_688 = mux(_T_687, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_689 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 171:78] - node _T_690 = and(_T_688, _T_689) @[lsu_bus_buffer.scala 171:65] - node _T_691 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[lsu_bus_buffer.scala 171:60] + node _T_689 = bits(buf_data[2], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_690 = and(_T_688, _T_689) @[lsu_bus_buffer.scala 172:65] + node _T_691 = bits(ld_byte_hitvecfn_hi[2], 3, 3) @[lsu_bus_buffer.scala 172:60] node _T_692 = bits(_T_691, 0, 0) @[Bitwise.scala 72:15] node _T_693 = mux(_T_692, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_694 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 171:78] - node _T_695 = and(_T_693, _T_694) @[lsu_bus_buffer.scala 171:65] - node _T_696 = or(_T_680, _T_685) @[lsu_bus_buffer.scala 171:97] - node _T_697 = or(_T_696, _T_690) @[lsu_bus_buffer.scala 171:97] - node _T_698 = or(_T_697, _T_695) @[lsu_bus_buffer.scala 171:97] - node _T_699 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[lsu_bus_buffer.scala 172:60] + node _T_694 = bits(buf_data[3], 23, 16) @[lsu_bus_buffer.scala 172:78] + node _T_695 = and(_T_693, _T_694) @[lsu_bus_buffer.scala 172:65] + node _T_696 = or(_T_680, _T_685) @[lsu_bus_buffer.scala 172:97] + node _T_697 = or(_T_696, _T_690) @[lsu_bus_buffer.scala 172:97] + node _T_698 = or(_T_697, _T_695) @[lsu_bus_buffer.scala 172:97] + node _T_699 = bits(ld_byte_hitvecfn_hi[1], 0, 0) @[lsu_bus_buffer.scala 173:60] node _T_700 = bits(_T_699, 0, 0) @[Bitwise.scala 72:15] node _T_701 = mux(_T_700, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_702 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 172:78] - node _T_703 = and(_T_701, _T_702) @[lsu_bus_buffer.scala 172:65] - node _T_704 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[lsu_bus_buffer.scala 172:60] + node _T_702 = bits(buf_data[0], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_703 = and(_T_701, _T_702) @[lsu_bus_buffer.scala 173:65] + node _T_704 = bits(ld_byte_hitvecfn_hi[1], 1, 1) @[lsu_bus_buffer.scala 173:60] node _T_705 = bits(_T_704, 0, 0) @[Bitwise.scala 72:15] node _T_706 = mux(_T_705, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_707 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 172:78] - node _T_708 = and(_T_706, _T_707) @[lsu_bus_buffer.scala 172:65] - node _T_709 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[lsu_bus_buffer.scala 172:60] + node _T_707 = bits(buf_data[1], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_708 = and(_T_706, _T_707) @[lsu_bus_buffer.scala 173:65] + node _T_709 = bits(ld_byte_hitvecfn_hi[1], 2, 2) @[lsu_bus_buffer.scala 173:60] node _T_710 = bits(_T_709, 0, 0) @[Bitwise.scala 72:15] node _T_711 = mux(_T_710, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_712 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 172:78] - node _T_713 = and(_T_711, _T_712) @[lsu_bus_buffer.scala 172:65] - node _T_714 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[lsu_bus_buffer.scala 172:60] + node _T_712 = bits(buf_data[2], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_713 = and(_T_711, _T_712) @[lsu_bus_buffer.scala 173:65] + node _T_714 = bits(ld_byte_hitvecfn_hi[1], 3, 3) @[lsu_bus_buffer.scala 173:60] node _T_715 = bits(_T_714, 0, 0) @[Bitwise.scala 72:15] node _T_716 = mux(_T_715, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_717 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 172:78] - node _T_718 = and(_T_716, _T_717) @[lsu_bus_buffer.scala 172:65] - node _T_719 = or(_T_703, _T_708) @[lsu_bus_buffer.scala 172:97] - node _T_720 = or(_T_719, _T_713) @[lsu_bus_buffer.scala 172:97] - node _T_721 = or(_T_720, _T_718) @[lsu_bus_buffer.scala 172:97] - node _T_722 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[lsu_bus_buffer.scala 173:60] + node _T_717 = bits(buf_data[3], 15, 8) @[lsu_bus_buffer.scala 173:78] + node _T_718 = and(_T_716, _T_717) @[lsu_bus_buffer.scala 173:65] + node _T_719 = or(_T_703, _T_708) @[lsu_bus_buffer.scala 173:97] + node _T_720 = or(_T_719, _T_713) @[lsu_bus_buffer.scala 173:97] + node _T_721 = or(_T_720, _T_718) @[lsu_bus_buffer.scala 173:97] + node _T_722 = bits(ld_byte_hitvecfn_hi[0], 0, 0) @[lsu_bus_buffer.scala 174:60] node _T_723 = bits(_T_722, 0, 0) @[Bitwise.scala 72:15] node _T_724 = mux(_T_723, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_725 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 173:78] - node _T_726 = and(_T_724, _T_725) @[lsu_bus_buffer.scala 173:65] - node _T_727 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[lsu_bus_buffer.scala 173:60] + node _T_725 = bits(buf_data[0], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_726 = and(_T_724, _T_725) @[lsu_bus_buffer.scala 174:65] + node _T_727 = bits(ld_byte_hitvecfn_hi[0], 1, 1) @[lsu_bus_buffer.scala 174:60] node _T_728 = bits(_T_727, 0, 0) @[Bitwise.scala 72:15] node _T_729 = mux(_T_728, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_730 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 173:78] - node _T_731 = and(_T_729, _T_730) @[lsu_bus_buffer.scala 173:65] - node _T_732 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[lsu_bus_buffer.scala 173:60] + node _T_730 = bits(buf_data[1], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_731 = and(_T_729, _T_730) @[lsu_bus_buffer.scala 174:65] + node _T_732 = bits(ld_byte_hitvecfn_hi[0], 2, 2) @[lsu_bus_buffer.scala 174:60] node _T_733 = bits(_T_732, 0, 0) @[Bitwise.scala 72:15] node _T_734 = mux(_T_733, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_735 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 173:78] - node _T_736 = and(_T_734, _T_735) @[lsu_bus_buffer.scala 173:65] - node _T_737 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[lsu_bus_buffer.scala 173:60] + node _T_735 = bits(buf_data[2], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_736 = and(_T_734, _T_735) @[lsu_bus_buffer.scala 174:65] + node _T_737 = bits(ld_byte_hitvecfn_hi[0], 3, 3) @[lsu_bus_buffer.scala 174:60] node _T_738 = bits(_T_737, 0, 0) @[Bitwise.scala 72:15] node _T_739 = mux(_T_738, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_740 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 173:78] - node _T_741 = and(_T_739, _T_740) @[lsu_bus_buffer.scala 173:65] - node _T_742 = or(_T_726, _T_731) @[lsu_bus_buffer.scala 173:97] - node _T_743 = or(_T_742, _T_736) @[lsu_bus_buffer.scala 173:97] - node _T_744 = or(_T_743, _T_741) @[lsu_bus_buffer.scala 173:97] + node _T_740 = bits(buf_data[3], 7, 0) @[lsu_bus_buffer.scala 174:78] + node _T_741 = and(_T_739, _T_740) @[lsu_bus_buffer.scala 174:65] + node _T_742 = or(_T_726, _T_731) @[lsu_bus_buffer.scala 174:97] + node _T_743 = or(_T_742, _T_736) @[lsu_bus_buffer.scala 174:97] + node _T_744 = or(_T_743, _T_741) @[lsu_bus_buffer.scala 174:97] node _T_745 = cat(_T_721, _T_744) @[Cat.scala 29:58] node _T_746 = cat(_T_675, _T_698) @[Cat.scala 29:58] node _T_747 = cat(_T_746, _T_745) @[Cat.scala 29:58] - node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[lsu_bus_buffer.scala 174:32] - node _T_749 = or(_T_747, _T_748) @[lsu_bus_buffer.scala 173:103] - io.ld_fwddata_buf_hi <= _T_749 @[lsu_bus_buffer.scala 170:24] - node bus_coalescing_disable = or(io.tlu_busbuff.dec_tlu_wb_coalescing_disable, UInt<1>("h01")) @[lsu_bus_buffer.scala 176:77] + node _T_748 = and(ld_fwddata_buf_hi_initial, ibuf_data) @[lsu_bus_buffer.scala 175:32] + node _T_749 = or(_T_747, _T_748) @[lsu_bus_buffer.scala 174:103] + io.ld_fwddata_buf_hi <= _T_749 @[lsu_bus_buffer.scala 171:24] + node bus_coalescing_disable = or(io.tlu_busbuff.dec_tlu_wb_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 177:77] node _T_750 = mux(io.lsu_pkt_r.bits.by, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_751 = mux(io.lsu_pkt_r.bits.half, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_752 = mux(io.lsu_pkt_r.bits.word, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -95898,19 +95905,19 @@ circuit quasar_wrapper : node _T_754 = or(_T_753, _T_752) @[Mux.scala 27:72] wire ldst_byteen_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_r <= _T_754 @[Mux.scala 27:72] - node _T_755 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 181:50] - node _T_756 = eq(_T_755, UInt<1>("h00")) @[lsu_bus_buffer.scala 181:55] - node _T_757 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 182:19] - node _T_758 = eq(_T_757, UInt<1>("h01")) @[lsu_bus_buffer.scala 182:24] - node _T_759 = bits(ldst_byteen_r, 3, 3) @[lsu_bus_buffer.scala 182:60] + node _T_755 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 182:50] + node _T_756 = eq(_T_755, UInt<1>("h00")) @[lsu_bus_buffer.scala 182:55] + node _T_757 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 183:19] + node _T_758 = eq(_T_757, UInt<1>("h01")) @[lsu_bus_buffer.scala 183:24] + node _T_759 = bits(ldst_byteen_r, 3, 3) @[lsu_bus_buffer.scala 183:60] node _T_760 = cat(UInt<3>("h00"), _T_759) @[Cat.scala 29:58] - node _T_761 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 183:19] - node _T_762 = eq(_T_761, UInt<2>("h02")) @[lsu_bus_buffer.scala 183:24] - node _T_763 = bits(ldst_byteen_r, 3, 2) @[lsu_bus_buffer.scala 183:60] + node _T_761 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 184:19] + node _T_762 = eq(_T_761, UInt<2>("h02")) @[lsu_bus_buffer.scala 184:24] + node _T_763 = bits(ldst_byteen_r, 3, 2) @[lsu_bus_buffer.scala 184:60] node _T_764 = cat(UInt<2>("h00"), _T_763) @[Cat.scala 29:58] - node _T_765 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 184:19] - node _T_766 = eq(_T_765, UInt<2>("h03")) @[lsu_bus_buffer.scala 184:24] - node _T_767 = bits(ldst_byteen_r, 3, 1) @[lsu_bus_buffer.scala 184:60] + node _T_765 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 185:19] + node _T_766 = eq(_T_765, UInt<2>("h03")) @[lsu_bus_buffer.scala 185:24] + node _T_767 = bits(ldst_byteen_r, 3, 1) @[lsu_bus_buffer.scala 185:60] node _T_768 = cat(UInt<1>("h00"), _T_767) @[Cat.scala 29:58] node _T_769 = mux(_T_756, UInt<4>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_770 = mux(_T_758, _T_760, UInt<1>("h00")) @[Mux.scala 27:72] @@ -95921,19 +95928,19 @@ circuit quasar_wrapper : node _T_775 = or(_T_774, _T_772) @[Mux.scala 27:72] wire ldst_byteen_hi_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_hi_r <= _T_775 @[Mux.scala 27:72] - node _T_776 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 185:50] - node _T_777 = eq(_T_776, UInt<1>("h00")) @[lsu_bus_buffer.scala 185:55] - node _T_778 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 186:19] - node _T_779 = eq(_T_778, UInt<1>("h01")) @[lsu_bus_buffer.scala 186:24] - node _T_780 = bits(ldst_byteen_r, 2, 0) @[lsu_bus_buffer.scala 186:50] + node _T_776 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 186:50] + node _T_777 = eq(_T_776, UInt<1>("h00")) @[lsu_bus_buffer.scala 186:55] + node _T_778 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 187:19] + node _T_779 = eq(_T_778, UInt<1>("h01")) @[lsu_bus_buffer.scala 187:24] + node _T_780 = bits(ldst_byteen_r, 2, 0) @[lsu_bus_buffer.scala 187:50] node _T_781 = cat(_T_780, UInt<1>("h00")) @[Cat.scala 29:58] - node _T_782 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 187:19] - node _T_783 = eq(_T_782, UInt<2>("h02")) @[lsu_bus_buffer.scala 187:24] - node _T_784 = bits(ldst_byteen_r, 1, 0) @[lsu_bus_buffer.scala 187:50] + node _T_782 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 188:19] + node _T_783 = eq(_T_782, UInt<2>("h02")) @[lsu_bus_buffer.scala 188:24] + node _T_784 = bits(ldst_byteen_r, 1, 0) @[lsu_bus_buffer.scala 188:50] node _T_785 = cat(_T_784, UInt<2>("h00")) @[Cat.scala 29:58] - node _T_786 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 188:19] - node _T_787 = eq(_T_786, UInt<2>("h03")) @[lsu_bus_buffer.scala 188:24] - node _T_788 = bits(ldst_byteen_r, 0, 0) @[lsu_bus_buffer.scala 188:50] + node _T_786 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 189:19] + node _T_787 = eq(_T_786, UInt<2>("h03")) @[lsu_bus_buffer.scala 189:24] + node _T_788 = bits(ldst_byteen_r, 0, 0) @[lsu_bus_buffer.scala 189:50] node _T_789 = cat(_T_788, UInt<3>("h00")) @[Cat.scala 29:58] node _T_790 = mux(_T_777, ldst_byteen_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_791 = mux(_T_779, _T_781, UInt<1>("h00")) @[Mux.scala 27:72] @@ -95944,19 +95951,19 @@ circuit quasar_wrapper : node _T_796 = or(_T_795, _T_793) @[Mux.scala 27:72] wire ldst_byteen_lo_r : UInt<4> @[Mux.scala 27:72] ldst_byteen_lo_r <= _T_796 @[Mux.scala 27:72] - node _T_797 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 190:49] - node _T_798 = eq(_T_797, UInt<1>("h00")) @[lsu_bus_buffer.scala 190:54] - node _T_799 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 191:19] - node _T_800 = eq(_T_799, UInt<1>("h01")) @[lsu_bus_buffer.scala 191:24] - node _T_801 = bits(io.store_data_r, 31, 24) @[lsu_bus_buffer.scala 191:64] + node _T_797 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 191:49] + node _T_798 = eq(_T_797, UInt<1>("h00")) @[lsu_bus_buffer.scala 191:54] + node _T_799 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 192:19] + node _T_800 = eq(_T_799, UInt<1>("h01")) @[lsu_bus_buffer.scala 192:24] + node _T_801 = bits(io.store_data_r, 31, 24) @[lsu_bus_buffer.scala 192:64] node _T_802 = cat(UInt<24>("h00"), _T_801) @[Cat.scala 29:58] - node _T_803 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 192:19] - node _T_804 = eq(_T_803, UInt<2>("h02")) @[lsu_bus_buffer.scala 192:24] - node _T_805 = bits(io.store_data_r, 31, 16) @[lsu_bus_buffer.scala 192:63] + node _T_803 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 193:19] + node _T_804 = eq(_T_803, UInt<2>("h02")) @[lsu_bus_buffer.scala 193:24] + node _T_805 = bits(io.store_data_r, 31, 16) @[lsu_bus_buffer.scala 193:63] node _T_806 = cat(UInt<16>("h00"), _T_805) @[Cat.scala 29:58] - node _T_807 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 193:19] - node _T_808 = eq(_T_807, UInt<2>("h03")) @[lsu_bus_buffer.scala 193:24] - node _T_809 = bits(io.store_data_r, 31, 8) @[lsu_bus_buffer.scala 193:62] + node _T_807 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 194:19] + node _T_808 = eq(_T_807, UInt<2>("h03")) @[lsu_bus_buffer.scala 194:24] + node _T_809 = bits(io.store_data_r, 31, 8) @[lsu_bus_buffer.scala 194:62] node _T_810 = cat(UInt<8>("h00"), _T_809) @[Cat.scala 29:58] node _T_811 = mux(_T_798, UInt<32>("h00"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_812 = mux(_T_800, _T_802, UInt<1>("h00")) @[Mux.scala 27:72] @@ -95967,19 +95974,19 @@ circuit quasar_wrapper : node _T_817 = or(_T_816, _T_814) @[Mux.scala 27:72] wire store_data_hi_r : UInt<32> @[Mux.scala 27:72] store_data_hi_r <= _T_817 @[Mux.scala 27:72] - node _T_818 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 195:49] - node _T_819 = eq(_T_818, UInt<1>("h00")) @[lsu_bus_buffer.scala 195:54] - node _T_820 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 196:19] - node _T_821 = eq(_T_820, UInt<1>("h01")) @[lsu_bus_buffer.scala 196:24] - node _T_822 = bits(io.store_data_r, 23, 0) @[lsu_bus_buffer.scala 196:52] + node _T_818 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 196:49] + node _T_819 = eq(_T_818, UInt<1>("h00")) @[lsu_bus_buffer.scala 196:54] + node _T_820 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 197:19] + node _T_821 = eq(_T_820, UInt<1>("h01")) @[lsu_bus_buffer.scala 197:24] + node _T_822 = bits(io.store_data_r, 23, 0) @[lsu_bus_buffer.scala 197:52] node _T_823 = cat(_T_822, UInt<8>("h00")) @[Cat.scala 29:58] - node _T_824 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 197:19] - node _T_825 = eq(_T_824, UInt<2>("h02")) @[lsu_bus_buffer.scala 197:24] - node _T_826 = bits(io.store_data_r, 15, 0) @[lsu_bus_buffer.scala 197:52] + node _T_824 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 198:19] + node _T_825 = eq(_T_824, UInt<2>("h02")) @[lsu_bus_buffer.scala 198:24] + node _T_826 = bits(io.store_data_r, 15, 0) @[lsu_bus_buffer.scala 198:52] node _T_827 = cat(_T_826, UInt<16>("h00")) @[Cat.scala 29:58] - node _T_828 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 198:19] - node _T_829 = eq(_T_828, UInt<2>("h03")) @[lsu_bus_buffer.scala 198:24] - node _T_830 = bits(io.store_data_r, 7, 0) @[lsu_bus_buffer.scala 198:52] + node _T_828 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 199:19] + node _T_829 = eq(_T_828, UInt<2>("h03")) @[lsu_bus_buffer.scala 199:24] + node _T_830 = bits(io.store_data_r, 7, 0) @[lsu_bus_buffer.scala 199:52] node _T_831 = cat(_T_830, UInt<24>("h00")) @[Cat.scala 29:58] node _T_832 = mux(_T_819, io.store_data_r, UInt<1>("h00")) @[Mux.scala 27:72] node _T_833 = mux(_T_821, _T_823, UInt<1>("h00")) @[Mux.scala 27:72] @@ -95990,13 +95997,13 @@ circuit quasar_wrapper : node _T_838 = or(_T_837, _T_835) @[Mux.scala 27:72] wire store_data_lo_r : UInt<32> @[Mux.scala 27:72] store_data_lo_r <= _T_838 @[Mux.scala 27:72] - node _T_839 = bits(io.lsu_addr_r, 3, 3) @[lsu_bus_buffer.scala 201:36] - node _T_840 = bits(io.end_addr_r, 3, 3) @[lsu_bus_buffer.scala 201:57] - node ldst_samedw_r = eq(_T_839, _T_840) @[lsu_bus_buffer.scala 201:40] - node _T_841 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 202:72] - node _T_842 = eq(_T_841, UInt<1>("h00")) @[lsu_bus_buffer.scala 202:79] - node _T_843 = bits(io.lsu_addr_r, 0, 0) @[lsu_bus_buffer.scala 203:45] - node _T_844 = eq(_T_843, UInt<1>("h00")) @[lsu_bus_buffer.scala 203:31] + node _T_839 = bits(io.lsu_addr_r, 3, 3) @[lsu_bus_buffer.scala 202:36] + node _T_840 = bits(io.end_addr_r, 3, 3) @[lsu_bus_buffer.scala 202:57] + node ldst_samedw_r = eq(_T_839, _T_840) @[lsu_bus_buffer.scala 202:40] + node _T_841 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_buffer.scala 203:72] + node _T_842 = eq(_T_841, UInt<1>("h00")) @[lsu_bus_buffer.scala 203:79] + node _T_843 = bits(io.lsu_addr_r, 0, 0) @[lsu_bus_buffer.scala 204:45] + node _T_844 = eq(_T_843, UInt<1>("h00")) @[lsu_bus_buffer.scala 204:31] node _T_845 = mux(io.lsu_pkt_r.bits.word, _T_842, UInt<1>("h00")) @[Mux.scala 27:72] node _T_846 = mux(io.lsu_pkt_r.bits.half, _T_844, UInt<1>("h00")) @[Mux.scala 27:72] node _T_847 = mux(io.lsu_pkt_r.bits.by, UInt<1>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -96004,26 +96011,26 @@ circuit quasar_wrapper : node _T_849 = or(_T_848, _T_847) @[Mux.scala 27:72] wire is_aligned_r : UInt<1> @[Mux.scala 27:72] is_aligned_r <= _T_849 @[Mux.scala 27:72] - node _T_850 = or(io.lsu_pkt_r.bits.load, io.no_word_merge_r) @[lsu_bus_buffer.scala 205:60] - node _T_851 = and(io.lsu_busreq_r, _T_850) @[lsu_bus_buffer.scala 205:34] - node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 205:84] - node ibuf_byp = and(_T_851, _T_852) @[lsu_bus_buffer.scala 205:82] - node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 206:36] - node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[lsu_bus_buffer.scala 206:56] - node ibuf_wr_en = and(_T_853, _T_854) @[lsu_bus_buffer.scala 206:54] + node _T_850 = or(io.lsu_pkt_r.bits.load, io.no_word_merge_r) @[lsu_bus_buffer.scala 206:60] + node _T_851 = and(io.lsu_busreq_r, _T_850) @[lsu_bus_buffer.scala 206:34] + node _T_852 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 206:84] + node ibuf_byp = and(_T_851, _T_852) @[lsu_bus_buffer.scala 206:82] + node _T_853 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 207:36] + node _T_854 = eq(ibuf_byp, UInt<1>("h00")) @[lsu_bus_buffer.scala 207:56] + node ibuf_wr_en = and(_T_853, _T_854) @[lsu_bus_buffer.scala 207:54] wire ibuf_drain_vld : UInt<1> ibuf_drain_vld <= UInt<1>("h00") - node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 208:36] - node _T_856 = and(ibuf_drain_vld, _T_855) @[lsu_bus_buffer.scala 208:34] - node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 208:49] - node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 209:44] - node _T_858 = and(io.lsu_busreq_m, _T_857) @[lsu_bus_buffer.scala 209:42] - node _T_859 = and(_T_858, ibuf_valid) @[lsu_bus_buffer.scala 209:61] - node _T_860 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 209:112] - node _T_861 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 209:137] - node _T_862 = neq(_T_860, _T_861) @[lsu_bus_buffer.scala 209:120] - node _T_863 = or(io.lsu_pkt_m.bits.load, _T_862) @[lsu_bus_buffer.scala 209:100] - node ibuf_force_drain = and(_T_859, _T_863) @[lsu_bus_buffer.scala 209:74] + node _T_855 = eq(ibuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 209:36] + node _T_856 = and(ibuf_drain_vld, _T_855) @[lsu_bus_buffer.scala 209:34] + node ibuf_rst = or(_T_856, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 209:49] + node _T_857 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 210:44] + node _T_858 = and(io.lsu_busreq_m, _T_857) @[lsu_bus_buffer.scala 210:42] + node _T_859 = and(_T_858, ibuf_valid) @[lsu_bus_buffer.scala 210:61] + node _T_860 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 210:112] + node _T_861 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 210:137] + node _T_862 = neq(_T_860, _T_861) @[lsu_bus_buffer.scala 210:120] + node _T_863 = or(io.lsu_pkt_m.bits.load, _T_862) @[lsu_bus_buffer.scala 210:100] + node ibuf_force_drain = and(_T_859, _T_863) @[lsu_bus_buffer.scala 210:74] wire ibuf_sideeffect : UInt<1> ibuf_sideeffect <= UInt<1>("h00") wire ibuf_timer : UInt<3> @@ -96032,175 +96039,175 @@ circuit quasar_wrapper : ibuf_merge_en <= UInt<1>("h00") wire ibuf_merge_in : UInt<1> ibuf_merge_in <= UInt<1>("h00") - node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 214:62] - node _T_865 = or(ibuf_wr_en, _T_864) @[lsu_bus_buffer.scala 214:48] - node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 214:98] - node _T_867 = eq(_T_866, UInt<1>("h00")) @[lsu_bus_buffer.scala 214:82] - node _T_868 = and(_T_865, _T_867) @[lsu_bus_buffer.scala 214:80] - node _T_869 = or(_T_868, ibuf_byp) @[lsu_bus_buffer.scala 215:5] - node _T_870 = or(_T_869, ibuf_force_drain) @[lsu_bus_buffer.scala 215:16] - node _T_871 = or(_T_870, ibuf_sideeffect) @[lsu_bus_buffer.scala 215:35] - node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 215:55] - node _T_873 = or(_T_871, _T_872) @[lsu_bus_buffer.scala 215:53] - node _T_874 = or(_T_873, bus_coalescing_disable) @[lsu_bus_buffer.scala 215:67] - node _T_875 = and(ibuf_valid, _T_874) @[lsu_bus_buffer.scala 214:32] - ibuf_drain_vld <= _T_875 @[lsu_bus_buffer.scala 214:18] + node _T_864 = eq(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 215:62] + node _T_865 = or(ibuf_wr_en, _T_864) @[lsu_bus_buffer.scala 215:48] + node _T_866 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 215:98] + node _T_867 = eq(_T_866, UInt<1>("h00")) @[lsu_bus_buffer.scala 215:82] + node _T_868 = and(_T_865, _T_867) @[lsu_bus_buffer.scala 215:80] + node _T_869 = or(_T_868, ibuf_byp) @[lsu_bus_buffer.scala 216:5] + node _T_870 = or(_T_869, ibuf_force_drain) @[lsu_bus_buffer.scala 216:16] + node _T_871 = or(_T_870, ibuf_sideeffect) @[lsu_bus_buffer.scala 216:35] + node _T_872 = eq(ibuf_write, UInt<1>("h00")) @[lsu_bus_buffer.scala 216:55] + node _T_873 = or(_T_871, _T_872) @[lsu_bus_buffer.scala 216:53] + node _T_874 = or(_T_873, bus_coalescing_disable) @[lsu_bus_buffer.scala 216:67] + node _T_875 = and(ibuf_valid, _T_874) @[lsu_bus_buffer.scala 215:32] + ibuf_drain_vld <= _T_875 @[lsu_bus_buffer.scala 215:18] wire ibuf_tag : UInt<2> ibuf_tag <= UInt<1>("h00") wire WrPtr1_r : UInt<2> WrPtr1_r <= UInt<1>("h00") wire WrPtr0_r : UInt<2> WrPtr0_r <= UInt<1>("h00") - node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 220:39] - node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[lsu_bus_buffer.scala 220:69] - node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[lsu_bus_buffer.scala 220:24] + node _T_876 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 221:39] + node _T_877 = mux(io.ldst_dual_r, WrPtr1_r, WrPtr0_r) @[lsu_bus_buffer.scala 221:69] + node ibuf_tag_in = mux(_T_876, ibuf_tag, _T_877) @[lsu_bus_buffer.scala 221:24] node ibuf_sz_in = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 223:25] - node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 224:42] - node _T_879 = bits(ibuf_byteen, 3, 0) @[lsu_bus_buffer.scala 224:70] - node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 224:95] - node _T_881 = or(_T_879, _T_880) @[lsu_bus_buffer.scala 224:77] - node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 225:41] - node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 225:65] - node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[lsu_bus_buffer.scala 225:8] - node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[lsu_bus_buffer.scala 224:27] - node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 228:61] - node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 229:25] - node _T_887 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 229:45] - node _T_888 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 229:76] - node _T_889 = mux(_T_886, _T_887, _T_888) @[lsu_bus_buffer.scala 229:8] - node _T_890 = bits(store_data_hi_r, 7, 0) @[lsu_bus_buffer.scala 230:40] - node _T_891 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 230:77] - node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[lsu_bus_buffer.scala 230:8] - node _T_893 = mux(_T_885, _T_889, _T_892) @[lsu_bus_buffer.scala 228:46] - node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 228:61] - node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 229:25] - node _T_896 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 229:45] - node _T_897 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 229:76] - node _T_898 = mux(_T_895, _T_896, _T_897) @[lsu_bus_buffer.scala 229:8] - node _T_899 = bits(store_data_hi_r, 15, 8) @[lsu_bus_buffer.scala 230:40] - node _T_900 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 230:77] - node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[lsu_bus_buffer.scala 230:8] - node _T_902 = mux(_T_894, _T_898, _T_901) @[lsu_bus_buffer.scala 228:46] - node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 228:61] - node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 229:25] - node _T_905 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 229:45] - node _T_906 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 229:76] - node _T_907 = mux(_T_904, _T_905, _T_906) @[lsu_bus_buffer.scala 229:8] - node _T_908 = bits(store_data_hi_r, 23, 16) @[lsu_bus_buffer.scala 230:40] - node _T_909 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 230:77] - node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[lsu_bus_buffer.scala 230:8] - node _T_911 = mux(_T_903, _T_907, _T_910) @[lsu_bus_buffer.scala 228:46] - node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 228:61] - node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 229:25] - node _T_914 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 229:45] - node _T_915 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 229:76] - node _T_916 = mux(_T_913, _T_914, _T_915) @[lsu_bus_buffer.scala 229:8] - node _T_917 = bits(store_data_hi_r, 31, 24) @[lsu_bus_buffer.scala 230:40] - node _T_918 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 230:77] - node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[lsu_bus_buffer.scala 230:8] - node _T_920 = mux(_T_912, _T_916, _T_919) @[lsu_bus_buffer.scala 228:46] + node ibuf_addr_in = mux(io.ldst_dual_r, io.end_addr_r, io.lsu_addr_r) @[lsu_bus_buffer.scala 224:25] + node _T_878 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 225:42] + node _T_879 = bits(ibuf_byteen, 3, 0) @[lsu_bus_buffer.scala 225:70] + node _T_880 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 225:95] + node _T_881 = or(_T_879, _T_880) @[lsu_bus_buffer.scala 225:77] + node _T_882 = bits(ldst_byteen_hi_r, 3, 0) @[lsu_bus_buffer.scala 226:41] + node _T_883 = bits(ldst_byteen_lo_r, 3, 0) @[lsu_bus_buffer.scala 226:65] + node _T_884 = mux(io.ldst_dual_r, _T_882, _T_883) @[lsu_bus_buffer.scala 226:8] + node ibuf_byteen_in = mux(_T_878, _T_881, _T_884) @[lsu_bus_buffer.scala 225:27] + node _T_885 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] + node _T_886 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 230:25] + node _T_887 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 230:45] + node _T_888 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 230:76] + node _T_889 = mux(_T_886, _T_887, _T_888) @[lsu_bus_buffer.scala 230:8] + node _T_890 = bits(store_data_hi_r, 7, 0) @[lsu_bus_buffer.scala 231:40] + node _T_891 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 231:77] + node _T_892 = mux(io.ldst_dual_r, _T_890, _T_891) @[lsu_bus_buffer.scala 231:8] + node _T_893 = mux(_T_885, _T_889, _T_892) @[lsu_bus_buffer.scala 229:46] + node _T_894 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] + node _T_895 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 230:25] + node _T_896 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 230:45] + node _T_897 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 230:76] + node _T_898 = mux(_T_895, _T_896, _T_897) @[lsu_bus_buffer.scala 230:8] + node _T_899 = bits(store_data_hi_r, 15, 8) @[lsu_bus_buffer.scala 231:40] + node _T_900 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 231:77] + node _T_901 = mux(io.ldst_dual_r, _T_899, _T_900) @[lsu_bus_buffer.scala 231:8] + node _T_902 = mux(_T_894, _T_898, _T_901) @[lsu_bus_buffer.scala 229:46] + node _T_903 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] + node _T_904 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 230:25] + node _T_905 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 230:45] + node _T_906 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 230:76] + node _T_907 = mux(_T_904, _T_905, _T_906) @[lsu_bus_buffer.scala 230:8] + node _T_908 = bits(store_data_hi_r, 23, 16) @[lsu_bus_buffer.scala 231:40] + node _T_909 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 231:77] + node _T_910 = mux(io.ldst_dual_r, _T_908, _T_909) @[lsu_bus_buffer.scala 231:8] + node _T_911 = mux(_T_903, _T_907, _T_910) @[lsu_bus_buffer.scala 229:46] + node _T_912 = and(ibuf_merge_en, ibuf_merge_in) @[lsu_bus_buffer.scala 229:61] + node _T_913 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 230:25] + node _T_914 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 230:45] + node _T_915 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 230:76] + node _T_916 = mux(_T_913, _T_914, _T_915) @[lsu_bus_buffer.scala 230:8] + node _T_917 = bits(store_data_hi_r, 31, 24) @[lsu_bus_buffer.scala 231:40] + node _T_918 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 231:77] + node _T_919 = mux(io.ldst_dual_r, _T_917, _T_918) @[lsu_bus_buffer.scala 231:8] + node _T_920 = mux(_T_912, _T_916, _T_919) @[lsu_bus_buffer.scala 229:46] node _T_921 = cat(_T_920, _T_911) @[Cat.scala 29:58] node _T_922 = cat(_T_921, _T_902) @[Cat.scala 29:58] node ibuf_data_in = cat(_T_922, _T_893) @[Cat.scala 29:58] - node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 231:59] - node _T_924 = bits(_T_923, 0, 0) @[lsu_bus_buffer.scala 231:79] - node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 231:93] - node _T_926 = tail(_T_925, 1) @[lsu_bus_buffer.scala 231:93] - node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[lsu_bus_buffer.scala 231:47] - node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[lsu_bus_buffer.scala 231:26] - node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 233:36] - node _T_929 = and(_T_928, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 233:54] - node _T_930 = and(_T_929, ibuf_valid) @[lsu_bus_buffer.scala 233:80] - node _T_931 = and(_T_930, ibuf_write) @[lsu_bus_buffer.scala 233:93] - node _T_932 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_buffer.scala 233:122] - node _T_933 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 233:142] - node _T_934 = eq(_T_932, _T_933) @[lsu_bus_buffer.scala 233:129] - node _T_935 = and(_T_931, _T_934) @[lsu_bus_buffer.scala 233:106] - node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 233:152] - node _T_937 = and(_T_935, _T_936) @[lsu_bus_buffer.scala 233:150] - node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 233:175] - node _T_939 = and(_T_937, _T_938) @[lsu_bus_buffer.scala 233:173] - ibuf_merge_en <= _T_939 @[lsu_bus_buffer.scala 233:17] - node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 234:20] - ibuf_merge_in <= _T_940 @[lsu_bus_buffer.scala 234:17] - node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:65] - node _T_942 = and(ibuf_merge_en, _T_941) @[lsu_bus_buffer.scala 235:63] - node _T_943 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 235:92] - node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 235:114] - node _T_945 = or(_T_943, _T_944) @[lsu_bus_buffer.scala 235:96] - node _T_946 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 235:130] - node _T_947 = mux(_T_942, _T_945, _T_946) @[lsu_bus_buffer.scala 235:48] - node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:65] - node _T_949 = and(ibuf_merge_en, _T_948) @[lsu_bus_buffer.scala 235:63] - node _T_950 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 235:92] - node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 235:114] - node _T_952 = or(_T_950, _T_951) @[lsu_bus_buffer.scala 235:96] - node _T_953 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 235:130] - node _T_954 = mux(_T_949, _T_952, _T_953) @[lsu_bus_buffer.scala 235:48] - node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:65] - node _T_956 = and(ibuf_merge_en, _T_955) @[lsu_bus_buffer.scala 235:63] - node _T_957 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 235:92] - node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 235:114] - node _T_959 = or(_T_957, _T_958) @[lsu_bus_buffer.scala 235:96] - node _T_960 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 235:130] - node _T_961 = mux(_T_956, _T_959, _T_960) @[lsu_bus_buffer.scala 235:48] - node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:65] - node _T_963 = and(ibuf_merge_en, _T_962) @[lsu_bus_buffer.scala 235:63] - node _T_964 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 235:92] - node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 235:114] - node _T_966 = or(_T_964, _T_965) @[lsu_bus_buffer.scala 235:96] - node _T_967 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 235:130] - node _T_968 = mux(_T_963, _T_966, _T_967) @[lsu_bus_buffer.scala 235:48] + node _T_923 = lt(ibuf_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 232:59] + node _T_924 = bits(_T_923, 0, 0) @[lsu_bus_buffer.scala 232:79] + node _T_925 = add(ibuf_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 232:93] + node _T_926 = tail(_T_925, 1) @[lsu_bus_buffer.scala 232:93] + node _T_927 = mux(_T_924, _T_926, ibuf_timer) @[lsu_bus_buffer.scala 232:47] + node ibuf_timer_in = mux(ibuf_wr_en, UInt<1>("h00"), _T_927) @[lsu_bus_buffer.scala 232:26] + node _T_928 = and(io.lsu_busreq_r, io.lsu_commit_r) @[lsu_bus_buffer.scala 234:36] + node _T_929 = and(_T_928, io.lsu_pkt_r.bits.store) @[lsu_bus_buffer.scala 234:54] + node _T_930 = and(_T_929, ibuf_valid) @[lsu_bus_buffer.scala 234:80] + node _T_931 = and(_T_930, ibuf_write) @[lsu_bus_buffer.scala 234:93] + node _T_932 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_buffer.scala 234:122] + node _T_933 = bits(ibuf_addr, 31, 2) @[lsu_bus_buffer.scala 234:142] + node _T_934 = eq(_T_932, _T_933) @[lsu_bus_buffer.scala 234:129] + node _T_935 = and(_T_931, _T_934) @[lsu_bus_buffer.scala 234:106] + node _T_936 = eq(io.is_sideeffects_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 234:152] + node _T_937 = and(_T_935, _T_936) @[lsu_bus_buffer.scala 234:150] + node _T_938 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 234:175] + node _T_939 = and(_T_937, _T_938) @[lsu_bus_buffer.scala 234:173] + ibuf_merge_en <= _T_939 @[lsu_bus_buffer.scala 234:17] + node _T_940 = eq(io.ldst_dual_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 235:20] + ibuf_merge_in <= _T_940 @[lsu_bus_buffer.scala 235:17] + node _T_941 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] + node _T_942 = and(ibuf_merge_en, _T_941) @[lsu_bus_buffer.scala 236:63] + node _T_943 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 236:92] + node _T_944 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 236:114] + node _T_945 = or(_T_943, _T_944) @[lsu_bus_buffer.scala 236:96] + node _T_946 = bits(ibuf_byteen, 0, 0) @[lsu_bus_buffer.scala 236:130] + node _T_947 = mux(_T_942, _T_945, _T_946) @[lsu_bus_buffer.scala 236:48] + node _T_948 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] + node _T_949 = and(ibuf_merge_en, _T_948) @[lsu_bus_buffer.scala 236:63] + node _T_950 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 236:92] + node _T_951 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 236:114] + node _T_952 = or(_T_950, _T_951) @[lsu_bus_buffer.scala 236:96] + node _T_953 = bits(ibuf_byteen, 1, 1) @[lsu_bus_buffer.scala 236:130] + node _T_954 = mux(_T_949, _T_952, _T_953) @[lsu_bus_buffer.scala 236:48] + node _T_955 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] + node _T_956 = and(ibuf_merge_en, _T_955) @[lsu_bus_buffer.scala 236:63] + node _T_957 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 236:92] + node _T_958 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 236:114] + node _T_959 = or(_T_957, _T_958) @[lsu_bus_buffer.scala 236:96] + node _T_960 = bits(ibuf_byteen, 2, 2) @[lsu_bus_buffer.scala 236:130] + node _T_961 = mux(_T_956, _T_959, _T_960) @[lsu_bus_buffer.scala 236:48] + node _T_962 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:65] + node _T_963 = and(ibuf_merge_en, _T_962) @[lsu_bus_buffer.scala 236:63] + node _T_964 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 236:92] + node _T_965 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 236:114] + node _T_966 = or(_T_964, _T_965) @[lsu_bus_buffer.scala 236:96] + node _T_967 = bits(ibuf_byteen, 3, 3) @[lsu_bus_buffer.scala 236:130] + node _T_968 = mux(_T_963, _T_966, _T_967) @[lsu_bus_buffer.scala 236:48] node _T_969 = cat(_T_968, _T_961) @[Cat.scala 29:58] node _T_970 = cat(_T_969, _T_954) @[Cat.scala 29:58] node ibuf_byteen_out = cat(_T_970, _T_947) @[Cat.scala 29:58] - node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:62] - node _T_972 = and(ibuf_merge_en, _T_971) @[lsu_bus_buffer.scala 236:60] - node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 236:98] - node _T_974 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 236:118] - node _T_975 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 236:143] - node _T_976 = mux(_T_973, _T_974, _T_975) @[lsu_bus_buffer.scala 236:81] - node _T_977 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 236:169] - node _T_978 = mux(_T_972, _T_976, _T_977) @[lsu_bus_buffer.scala 236:45] - node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:62] - node _T_980 = and(ibuf_merge_en, _T_979) @[lsu_bus_buffer.scala 236:60] - node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 236:98] - node _T_982 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 236:118] - node _T_983 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 236:143] - node _T_984 = mux(_T_981, _T_982, _T_983) @[lsu_bus_buffer.scala 236:81] - node _T_985 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 236:169] - node _T_986 = mux(_T_980, _T_984, _T_985) @[lsu_bus_buffer.scala 236:45] - node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:62] - node _T_988 = and(ibuf_merge_en, _T_987) @[lsu_bus_buffer.scala 236:60] - node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 236:98] - node _T_990 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 236:118] - node _T_991 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 236:143] - node _T_992 = mux(_T_989, _T_990, _T_991) @[lsu_bus_buffer.scala 236:81] - node _T_993 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 236:169] - node _T_994 = mux(_T_988, _T_992, _T_993) @[lsu_bus_buffer.scala 236:45] - node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 236:62] - node _T_996 = and(ibuf_merge_en, _T_995) @[lsu_bus_buffer.scala 236:60] - node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 236:98] - node _T_998 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 236:118] - node _T_999 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 236:143] - node _T_1000 = mux(_T_997, _T_998, _T_999) @[lsu_bus_buffer.scala 236:81] - node _T_1001 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 236:169] - node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[lsu_bus_buffer.scala 236:45] + node _T_971 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] + node _T_972 = and(ibuf_merge_en, _T_971) @[lsu_bus_buffer.scala 237:60] + node _T_973 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_buffer.scala 237:98] + node _T_974 = bits(store_data_lo_r, 7, 0) @[lsu_bus_buffer.scala 237:118] + node _T_975 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 237:143] + node _T_976 = mux(_T_973, _T_974, _T_975) @[lsu_bus_buffer.scala 237:81] + node _T_977 = bits(ibuf_data, 7, 0) @[lsu_bus_buffer.scala 237:169] + node _T_978 = mux(_T_972, _T_976, _T_977) @[lsu_bus_buffer.scala 237:45] + node _T_979 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] + node _T_980 = and(ibuf_merge_en, _T_979) @[lsu_bus_buffer.scala 237:60] + node _T_981 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_buffer.scala 237:98] + node _T_982 = bits(store_data_lo_r, 15, 8) @[lsu_bus_buffer.scala 237:118] + node _T_983 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 237:143] + node _T_984 = mux(_T_981, _T_982, _T_983) @[lsu_bus_buffer.scala 237:81] + node _T_985 = bits(ibuf_data, 15, 8) @[lsu_bus_buffer.scala 237:169] + node _T_986 = mux(_T_980, _T_984, _T_985) @[lsu_bus_buffer.scala 237:45] + node _T_987 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] + node _T_988 = and(ibuf_merge_en, _T_987) @[lsu_bus_buffer.scala 237:60] + node _T_989 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_buffer.scala 237:98] + node _T_990 = bits(store_data_lo_r, 23, 16) @[lsu_bus_buffer.scala 237:118] + node _T_991 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 237:143] + node _T_992 = mux(_T_989, _T_990, _T_991) @[lsu_bus_buffer.scala 237:81] + node _T_993 = bits(ibuf_data, 23, 16) @[lsu_bus_buffer.scala 237:169] + node _T_994 = mux(_T_988, _T_992, _T_993) @[lsu_bus_buffer.scala 237:45] + node _T_995 = eq(ibuf_merge_in, UInt<1>("h00")) @[lsu_bus_buffer.scala 237:62] + node _T_996 = and(ibuf_merge_en, _T_995) @[lsu_bus_buffer.scala 237:60] + node _T_997 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_buffer.scala 237:98] + node _T_998 = bits(store_data_lo_r, 31, 24) @[lsu_bus_buffer.scala 237:118] + node _T_999 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 237:143] + node _T_1000 = mux(_T_997, _T_998, _T_999) @[lsu_bus_buffer.scala 237:81] + node _T_1001 = bits(ibuf_data, 31, 24) @[lsu_bus_buffer.scala 237:169] + node _T_1002 = mux(_T_996, _T_1000, _T_1001) @[lsu_bus_buffer.scala 237:45] node _T_1003 = cat(_T_1002, _T_994) @[Cat.scala 29:58] node _T_1004 = cat(_T_1003, _T_986) @[Cat.scala 29:58] node ibuf_data_out = cat(_T_1004, _T_978) @[Cat.scala 29:58] - node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[lsu_bus_buffer.scala 238:58] - node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 238:93] - node _T_1007 = and(_T_1005, _T_1006) @[lsu_bus_buffer.scala 238:91] - reg _T_1008 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 238:54] - _T_1008 <= _T_1007 @[lsu_bus_buffer.scala 238:54] - ibuf_valid <= _T_1008 @[lsu_bus_buffer.scala 238:14] + node _T_1005 = mux(ibuf_wr_en, UInt<1>("h01"), ibuf_valid) @[lsu_bus_buffer.scala 239:58] + node _T_1006 = eq(ibuf_rst, UInt<1>("h00")) @[lsu_bus_buffer.scala 239:93] + node _T_1007 = and(_T_1005, _T_1006) @[lsu_bus_buffer.scala 239:91] + reg _T_1008 : UInt<1>, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 239:54] + _T_1008 <= _T_1007 @[lsu_bus_buffer.scala 239:54] + ibuf_valid <= _T_1008 @[lsu_bus_buffer.scala 239:14] reg _T_1009 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] _T_1009 <= ibuf_tag_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_tag <= _T_1009 @[lsu_bus_buffer.scala 239:12] + ibuf_tag <= _T_1009 @[lsu_bus_buffer.scala 240:12] reg ibuf_dualtag : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_dualtag <= WrPtr0_r @[Reg.scala 28:23] @@ -96221,7 +96228,7 @@ circuit quasar_wrapper : when ibuf_wr_en : @[Reg.scala 28:19] _T_1010 <= io.is_sideeffects_r @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_sideeffect <= _T_1010 @[lsu_bus_buffer.scala 244:19] + ibuf_sideeffect <= _T_1010 @[lsu_bus_buffer.scala 245:19] reg ibuf_unsign : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_unsign <= io.lsu_pkt_r.bits.unsign @[Reg.scala 28:23] @@ -96230,7 +96237,7 @@ circuit quasar_wrapper : when ibuf_wr_en : @[Reg.scala 28:19] _T_1011 <= io.lsu_pkt_r.bits.store @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_write <= _T_1011 @[lsu_bus_buffer.scala 246:14] + ibuf_write <= _T_1011 @[lsu_bus_buffer.scala 247:14] reg ibuf_sz : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] ibuf_sz <= ibuf_sz_in @[Reg.scala 28:23] @@ -96243,12 +96250,12 @@ circuit quasar_wrapper : rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_1012 : UInt, rvclkhdr.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_1012 <= ibuf_addr_in @[lib.scala 374:16] - ibuf_addr <= _T_1012 @[lsu_bus_buffer.scala 248:13] + ibuf_addr <= _T_1012 @[lsu_bus_buffer.scala 249:13] reg _T_1013 : UInt, io.lsu_bus_ibuf_c1_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] when ibuf_wr_en : @[Reg.scala 28:19] _T_1013 <= ibuf_byteen_in @[Reg.scala 28:23] skip @[Reg.scala 28:19] - ibuf_byteen <= _T_1013 @[lsu_bus_buffer.scala 249:15] + ibuf_byteen <= _T_1013 @[lsu_bus_buffer.scala 250:15] inst rvclkhdr_1 of rvclkhdr_815 @[lib.scala 368:23] rvclkhdr_1.clock <= clock rvclkhdr_1.reset <= reset @@ -96257,38 +96264,38 @@ circuit quasar_wrapper : rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 372:24] reg _T_1014 : UInt, rvclkhdr_1.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] _T_1014 <= ibuf_data_in @[lib.scala 374:16] - ibuf_data <= _T_1014 @[lsu_bus_buffer.scala 250:13] - reg _T_1015 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 251:55] - _T_1015 <= ibuf_timer_in @[lsu_bus_buffer.scala 251:55] - ibuf_timer <= _T_1015 @[lsu_bus_buffer.scala 251:14] + ibuf_data <= _T_1014 @[lsu_bus_buffer.scala 251:13] + reg _T_1015 : UInt, io.lsu_free_c2_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_buffer.scala 252:55] + _T_1015 <= ibuf_timer_in @[lsu_bus_buffer.scala 252:55] + ibuf_timer <= _T_1015 @[lsu_bus_buffer.scala 252:14] wire buf_numvld_wrcmd_any : UInt<4> buf_numvld_wrcmd_any <= UInt<1>("h00") wire buf_numvld_cmd_any : UInt<4> buf_numvld_cmd_any <= UInt<1>("h00") wire obuf_wr_timer : UInt<3> obuf_wr_timer <= UInt<1>("h00") - wire buf_nomerge : UInt<1>[4] @[lsu_bus_buffer.scala 255:25] - buf_nomerge[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 256:15] - buf_nomerge[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 256:15] - buf_nomerge[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 256:15] - buf_nomerge[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 256:15] + wire buf_nomerge : UInt<1>[4] @[lsu_bus_buffer.scala 256:25] + buf_nomerge[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] + buf_nomerge[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] + buf_nomerge[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] + buf_nomerge[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 257:15] wire buf_sideeffect : UInt<4> buf_sideeffect <= UInt<1>("h00") wire obuf_force_wr_en : UInt<1> obuf_force_wr_en <= UInt<1>("h00") wire obuf_wr_en : UInt<1> obuf_wr_en <= UInt<1>("h00") - node _T_1016 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 261:43] - node _T_1017 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 261:72] - node _T_1018 = and(_T_1016, _T_1017) @[lsu_bus_buffer.scala 261:51] - node _T_1019 = neq(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 261:97] - node _T_1020 = and(_T_1018, _T_1019) @[lsu_bus_buffer.scala 261:80] - node _T_1021 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 262:5] - node _T_1022 = and(_T_1020, _T_1021) @[lsu_bus_buffer.scala 261:114] - node _T_1023 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 262:114] - node _T_1024 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 262:114] - node _T_1025 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 262:114] - node _T_1026 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 262:114] + node _T_1016 = eq(buf_numvld_wrcmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 262:43] + node _T_1017 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 262:72] + node _T_1018 = and(_T_1016, _T_1017) @[lsu_bus_buffer.scala 262:51] + node _T_1019 = neq(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 262:97] + node _T_1020 = and(_T_1018, _T_1019) @[lsu_bus_buffer.scala 262:80] + node _T_1021 = eq(bus_coalescing_disable, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:5] + node _T_1022 = and(_T_1020, _T_1021) @[lsu_bus_buffer.scala 262:114] + node _T_1023 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:114] + node _T_1024 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 263:114] + node _T_1025 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 263:114] + node _T_1026 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 263:114] node _T_1027 = mux(_T_1023, buf_nomerge[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1028 = mux(_T_1024, buf_nomerge[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1029 = mux(_T_1025, buf_nomerge[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96298,16 +96305,16 @@ circuit quasar_wrapper : node _T_1033 = or(_T_1032, _T_1030) @[Mux.scala 27:72] wire _T_1034 : UInt<1> @[Mux.scala 27:72] _T_1034 <= _T_1033 @[Mux.scala 27:72] - node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[lsu_bus_buffer.scala 262:31] - node _T_1036 = and(_T_1022, _T_1035) @[lsu_bus_buffer.scala 262:29] - node _T_1037 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:88] - node _T_1038 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 263:111] - node _T_1039 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 263:88] - node _T_1040 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 263:111] - node _T_1041 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 263:88] - node _T_1042 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 263:111] - node _T_1043 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 263:88] - node _T_1044 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 263:111] + node _T_1035 = eq(_T_1034, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:31] + node _T_1036 = and(_T_1022, _T_1035) @[lsu_bus_buffer.scala 263:29] + node _T_1037 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:88] + node _T_1038 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 264:111] + node _T_1039 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 264:88] + node _T_1040 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 264:111] + node _T_1041 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 264:88] + node _T_1042 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 264:111] + node _T_1043 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 264:88] + node _T_1044 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 264:111] node _T_1045 = mux(_T_1037, _T_1038, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1046 = mux(_T_1039, _T_1040, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1047 = mux(_T_1041, _T_1042, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96317,32 +96324,32 @@ circuit quasar_wrapper : node _T_1051 = or(_T_1050, _T_1048) @[Mux.scala 27:72] wire _T_1052 : UInt<1> @[Mux.scala 27:72] _T_1052 <= _T_1051 @[Mux.scala 27:72] - node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:5] - node _T_1054 = and(_T_1036, _T_1053) @[lsu_bus_buffer.scala 262:140] - node _T_1055 = eq(obuf_force_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 263:119] - node obuf_wr_wait = and(_T_1054, _T_1055) @[lsu_bus_buffer.scala 263:117] - node _T_1056 = orr(buf_numvld_cmd_any) @[lsu_bus_buffer.scala 264:75] - node _T_1057 = lt(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 264:95] - node _T_1058 = and(_T_1056, _T_1057) @[lsu_bus_buffer.scala 264:79] - node _T_1059 = add(obuf_wr_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 264:123] - node _T_1060 = tail(_T_1059, 1) @[lsu_bus_buffer.scala 264:123] - node _T_1061 = mux(_T_1058, _T_1060, obuf_wr_timer) @[lsu_bus_buffer.scala 264:55] - node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1061) @[lsu_bus_buffer.scala 264:29] - node _T_1062 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 265:41] - node _T_1063 = and(io.lsu_busreq_m, _T_1062) @[lsu_bus_buffer.scala 265:39] - node _T_1064 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 265:60] - node _T_1065 = and(_T_1063, _T_1064) @[lsu_bus_buffer.scala 265:58] - node _T_1066 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 265:93] - node _T_1067 = and(_T_1065, _T_1066) @[lsu_bus_buffer.scala 265:72] - node _T_1068 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 265:117] - node _T_1069 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 265:208] - node _T_1070 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 265:228] - node _T_1071 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 265:208] - node _T_1072 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 265:228] - node _T_1073 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 265:208] - node _T_1074 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 265:228] - node _T_1075 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 265:208] - node _T_1076 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 265:228] + node _T_1053 = eq(_T_1052, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:5] + node _T_1054 = and(_T_1036, _T_1053) @[lsu_bus_buffer.scala 263:140] + node _T_1055 = eq(obuf_force_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 264:119] + node obuf_wr_wait = and(_T_1054, _T_1055) @[lsu_bus_buffer.scala 264:117] + node _T_1056 = orr(buf_numvld_cmd_any) @[lsu_bus_buffer.scala 265:75] + node _T_1057 = lt(obuf_wr_timer, UInt<3>("h07")) @[lsu_bus_buffer.scala 265:95] + node _T_1058 = and(_T_1056, _T_1057) @[lsu_bus_buffer.scala 265:79] + node _T_1059 = add(obuf_wr_timer, UInt<1>("h01")) @[lsu_bus_buffer.scala 265:123] + node _T_1060 = tail(_T_1059, 1) @[lsu_bus_buffer.scala 265:123] + node _T_1061 = mux(_T_1058, _T_1060, obuf_wr_timer) @[lsu_bus_buffer.scala 265:55] + node obuf_wr_timer_in = mux(obuf_wr_en, UInt<3>("h00"), _T_1061) @[lsu_bus_buffer.scala 265:29] + node _T_1062 = eq(io.lsu_busreq_r, UInt<1>("h00")) @[lsu_bus_buffer.scala 266:41] + node _T_1063 = and(io.lsu_busreq_m, _T_1062) @[lsu_bus_buffer.scala 266:39] + node _T_1064 = eq(ibuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 266:60] + node _T_1065 = and(_T_1063, _T_1064) @[lsu_bus_buffer.scala 266:58] + node _T_1066 = eq(buf_numvld_cmd_any, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:93] + node _T_1067 = and(_T_1065, _T_1066) @[lsu_bus_buffer.scala 266:72] + node _T_1068 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_buffer.scala 266:117] + node _T_1069 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 266:208] + node _T_1070 = bits(buf_addr[0], 31, 2) @[lsu_bus_buffer.scala 266:228] + node _T_1071 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 266:208] + node _T_1072 = bits(buf_addr[1], 31, 2) @[lsu_bus_buffer.scala 266:228] + node _T_1073 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 266:208] + node _T_1074 = bits(buf_addr[2], 31, 2) @[lsu_bus_buffer.scala 266:228] + node _T_1075 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 266:208] + node _T_1076 = bits(buf_addr[3], 31, 2) @[lsu_bus_buffer.scala 266:228] node _T_1077 = mux(_T_1069, _T_1070, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1078 = mux(_T_1071, _T_1072, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1079 = mux(_T_1073, _T_1074, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96352,35 +96359,35 @@ circuit quasar_wrapper : node _T_1083 = or(_T_1082, _T_1080) @[Mux.scala 27:72] wire _T_1084 : UInt<30> @[Mux.scala 27:72] _T_1084 <= _T_1083 @[Mux.scala 27:72] - node _T_1085 = neq(_T_1068, _T_1084) @[lsu_bus_buffer.scala 265:123] - node _T_1086 = and(_T_1067, _T_1085) @[lsu_bus_buffer.scala 265:101] - obuf_force_wr_en <= _T_1086 @[lsu_bus_buffer.scala 265:20] + node _T_1085 = neq(_T_1068, _T_1084) @[lsu_bus_buffer.scala 266:123] + node _T_1086 = and(_T_1067, _T_1085) @[lsu_bus_buffer.scala 266:101] + obuf_force_wr_en <= _T_1086 @[lsu_bus_buffer.scala 266:20] wire buf_numvld_pend_any : UInt<4> buf_numvld_pend_any <= UInt<1>("h00") - node _T_1087 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:53] - node _T_1088 = and(ibuf_byp, _T_1087) @[lsu_bus_buffer.scala 267:31] - node _T_1089 = eq(io.lsu_pkt_r.bits.store, UInt<1>("h00")) @[lsu_bus_buffer.scala 267:64] - node _T_1090 = or(_T_1089, io.no_dword_merge_r) @[lsu_bus_buffer.scala 267:89] - node ibuf_buf_byp = and(_T_1088, _T_1090) @[lsu_bus_buffer.scala 267:61] + node _T_1087 = eq(buf_numvld_pend_any, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:53] + node _T_1088 = and(ibuf_byp, _T_1087) @[lsu_bus_buffer.scala 268:31] + node _T_1089 = eq(io.lsu_pkt_r.bits.store, UInt<1>("h00")) @[lsu_bus_buffer.scala 268:64] + node _T_1090 = or(_T_1089, io.no_dword_merge_r) @[lsu_bus_buffer.scala 268:89] + node ibuf_buf_byp = and(_T_1088, _T_1090) @[lsu_bus_buffer.scala 268:61] wire bus_sideeffect_pend : UInt<1> bus_sideeffect_pend <= UInt<1>("h00") wire found_cmdptr0 : UInt<1> found_cmdptr0 <= UInt<1>("h00") - wire buf_cmd_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 270:34] - buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 271:24] - buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 271:24] - buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 271:24] - buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 271:24] - wire buf_dual : UInt<1>[4] @[lsu_bus_buffer.scala 272:22] - buf_dual[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:12] - buf_dual[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:12] - buf_dual[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:12] - buf_dual[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 273:12] - wire buf_samedw : UInt<1>[4] @[lsu_bus_buffer.scala 274:24] - buf_samedw[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:14] - buf_samedw[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:14] - buf_samedw[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:14] - buf_samedw[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 275:14] + wire buf_cmd_state_bus_en : UInt<1>[4] @[lsu_bus_buffer.scala 271:34] + buf_cmd_state_bus_en[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] + buf_cmd_state_bus_en[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] + buf_cmd_state_bus_en[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] + buf_cmd_state_bus_en[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 272:24] + wire buf_dual : UInt<1>[4] @[lsu_bus_buffer.scala 273:22] + buf_dual[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] + buf_dual[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] + buf_dual[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] + buf_dual[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 274:12] + wire buf_samedw : UInt<1>[4] @[lsu_bus_buffer.scala 275:24] + buf_samedw[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] + buf_samedw[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] + buf_samedw[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] + buf_samedw[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 276:14] wire found_cmdptr1 : UInt<1> found_cmdptr1 <= UInt<1>("h00") wire bus_cmd_ready : UInt<1> @@ -96393,14 +96400,14 @@ circuit quasar_wrapper : lsu_bus_cntr_overflow <= UInt<1>("h00") wire bus_addr_match_pending : UInt<1> bus_addr_match_pending <= UInt<1>("h00") - node _T_1091 = and(ibuf_buf_byp, io.lsu_commit_r) @[lsu_bus_buffer.scala 282:32] - node _T_1092 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[lsu_bus_buffer.scala 282:74] - node _T_1093 = eq(_T_1092, UInt<1>("h00")) @[lsu_bus_buffer.scala 282:52] - node _T_1094 = and(_T_1091, _T_1093) @[lsu_bus_buffer.scala 282:50] - node _T_1095 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1096 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1097 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1098 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_1091 = and(ibuf_buf_byp, io.lsu_commit_r) @[lsu_bus_buffer.scala 283:32] + node _T_1092 = and(io.is_sideeffects_r, bus_sideeffect_pend) @[lsu_bus_buffer.scala 283:74] + node _T_1093 = eq(_T_1092, UInt<1>("h00")) @[lsu_bus_buffer.scala 283:52] + node _T_1094 = and(_T_1091, _T_1093) @[lsu_bus_buffer.scala 283:50] + node _T_1095 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1096 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1097 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1098 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1099 = mux(_T_1095, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1100 = mux(_T_1096, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1101 = mux(_T_1097, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96410,19 +96417,19 @@ circuit quasar_wrapper : node _T_1105 = or(_T_1104, _T_1102) @[Mux.scala 27:72] wire _T_1106 : UInt<3> @[Mux.scala 27:72] _T_1106 <= _T_1105 @[Mux.scala 27:72] - node _T_1107 = eq(_T_1106, UInt<3>("h02")) @[lsu_bus_buffer.scala 283:36] - node _T_1108 = and(_T_1107, found_cmdptr0) @[lsu_bus_buffer.scala 283:47] + node _T_1107 = eq(_T_1106, UInt<3>("h02")) @[lsu_bus_buffer.scala 284:36] + node _T_1108 = and(_T_1107, found_cmdptr0) @[lsu_bus_buffer.scala 284:47] node _T_1109 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1110 = cat(_T_1109, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1111 = cat(_T_1110, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1112 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_1113 = bits(_T_1111, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_1114 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_1115 = bits(_T_1111, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_1116 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_1117 = bits(_T_1111, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_1118 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_1119 = bits(_T_1111, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_1112 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1113 = bits(_T_1111, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1114 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1115 = bits(_T_1111, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1116 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1117 = bits(_T_1111, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1118 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1119 = bits(_T_1111, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_1120 = mux(_T_1112, _T_1113, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1121 = mux(_T_1114, _T_1115, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1122 = mux(_T_1116, _T_1117, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96432,16 +96439,16 @@ circuit quasar_wrapper : node _T_1126 = or(_T_1125, _T_1123) @[Mux.scala 27:72] wire _T_1127 : UInt<1> @[Mux.scala 27:72] _T_1127 <= _T_1126 @[Mux.scala 27:72] - node _T_1128 = eq(_T_1127, UInt<1>("h00")) @[lsu_bus_buffer.scala 284:23] - node _T_1129 = and(_T_1108, _T_1128) @[lsu_bus_buffer.scala 284:21] - node _T_1130 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_1131 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_1132 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_1133 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_1134 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_1135 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_1136 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_1137 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_1128 = eq(_T_1127, UInt<1>("h00")) @[lsu_bus_buffer.scala 285:23] + node _T_1129 = and(_T_1108, _T_1128) @[lsu_bus_buffer.scala 285:21] + node _T_1130 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1131 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1132 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1133 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1134 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1135 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1136 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1137 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_1138 = mux(_T_1130, _T_1131, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1139 = mux(_T_1132, _T_1133, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1140 = mux(_T_1134, _T_1135, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96451,20 +96458,20 @@ circuit quasar_wrapper : node _T_1144 = or(_T_1143, _T_1141) @[Mux.scala 27:72] wire _T_1145 : UInt<1> @[Mux.scala 27:72] _T_1145 <= _T_1144 @[Mux.scala 27:72] - node _T_1146 = and(_T_1145, bus_sideeffect_pend) @[lsu_bus_buffer.scala 284:141] - node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[lsu_bus_buffer.scala 284:105] - node _T_1148 = and(_T_1129, _T_1147) @[lsu_bus_buffer.scala 284:103] + node _T_1146 = and(_T_1145, bus_sideeffect_pend) @[lsu_bus_buffer.scala 285:141] + node _T_1147 = eq(_T_1146, UInt<1>("h00")) @[lsu_bus_buffer.scala 285:105] + node _T_1148 = and(_T_1129, _T_1147) @[lsu_bus_buffer.scala 285:103] node _T_1149 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1150 = cat(_T_1149, buf_dual[1]) @[Cat.scala 29:58] node _T_1151 = cat(_T_1150, buf_dual[0]) @[Cat.scala 29:58] - node _T_1152 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_1153 = bits(_T_1151, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_1154 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_1155 = bits(_T_1151, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_1156 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_1157 = bits(_T_1151, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_1158 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_1159 = bits(_T_1151, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_1152 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1153 = bits(_T_1151, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1154 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1155 = bits(_T_1151, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1156 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1157 = bits(_T_1151, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1158 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1159 = bits(_T_1151, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_1160 = mux(_T_1152, _T_1153, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1161 = mux(_T_1154, _T_1155, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1162 = mux(_T_1156, _T_1157, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96477,14 +96484,14 @@ circuit quasar_wrapper : node _T_1168 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1169 = cat(_T_1168, buf_samedw[1]) @[Cat.scala 29:58] node _T_1170 = cat(_T_1169, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1171 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_1172 = bits(_T_1170, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_1173 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_1174 = bits(_T_1170, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_1175 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_1176 = bits(_T_1170, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_1177 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_1178 = bits(_T_1170, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_1171 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1172 = bits(_T_1170, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1173 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1174 = bits(_T_1170, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1175 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1176 = bits(_T_1170, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1177 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1178 = bits(_T_1170, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_1179 = mux(_T_1171, _T_1172, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1180 = mux(_T_1173, _T_1174, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1181 = mux(_T_1175, _T_1176, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96494,15 +96501,15 @@ circuit quasar_wrapper : node _T_1185 = or(_T_1184, _T_1182) @[Mux.scala 27:72] wire _T_1186 : UInt<1> @[Mux.scala 27:72] _T_1186 <= _T_1185 @[Mux.scala 27:72] - node _T_1187 = and(_T_1167, _T_1186) @[lsu_bus_buffer.scala 285:77] - node _T_1188 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_1189 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_1190 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_1191 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_1192 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_1193 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_1194 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_1195 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_1187 = and(_T_1167, _T_1186) @[lsu_bus_buffer.scala 286:77] + node _T_1188 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1189 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1190 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1191 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1192 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1193 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1194 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1195 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_1196 = mux(_T_1188, _T_1189, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1197 = mux(_T_1190, _T_1191, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1198 = mux(_T_1192, _T_1193, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96512,21 +96519,21 @@ circuit quasar_wrapper : node _T_1202 = or(_T_1201, _T_1199) @[Mux.scala 27:72] wire _T_1203 : UInt<1> @[Mux.scala 27:72] _T_1203 <= _T_1202 @[Mux.scala 27:72] - node _T_1204 = eq(_T_1203, UInt<1>("h00")) @[lsu_bus_buffer.scala 285:150] - node _T_1205 = and(_T_1187, _T_1204) @[lsu_bus_buffer.scala 285:148] - node _T_1206 = eq(_T_1205, UInt<1>("h00")) @[lsu_bus_buffer.scala 285:8] - node _T_1207 = or(_T_1206, found_cmdptr1) @[lsu_bus_buffer.scala 285:181] + node _T_1204 = eq(_T_1203, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:150] + node _T_1205 = and(_T_1187, _T_1204) @[lsu_bus_buffer.scala 286:148] + node _T_1206 = eq(_T_1205, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:8] + node _T_1207 = or(_T_1206, found_cmdptr1) @[lsu_bus_buffer.scala 286:181] node _T_1208 = cat(buf_nomerge[3], buf_nomerge[2]) @[Cat.scala 29:58] node _T_1209 = cat(_T_1208, buf_nomerge[1]) @[Cat.scala 29:58] node _T_1210 = cat(_T_1209, buf_nomerge[0]) @[Cat.scala 29:58] - node _T_1211 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_1212 = bits(_T_1210, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_1213 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_1214 = bits(_T_1210, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_1215 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_1216 = bits(_T_1210, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_1217 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_1218 = bits(_T_1210, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_1211 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1212 = bits(_T_1210, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1213 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1214 = bits(_T_1210, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1215 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1216 = bits(_T_1210, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1217 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1218 = bits(_T_1210, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_1219 = mux(_T_1211, _T_1212, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1220 = mux(_T_1213, _T_1214, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1221 = mux(_T_1215, _T_1216, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96536,38 +96543,38 @@ circuit quasar_wrapper : node _T_1225 = or(_T_1224, _T_1222) @[Mux.scala 27:72] wire _T_1226 : UInt<1> @[Mux.scala 27:72] _T_1226 <= _T_1225 @[Mux.scala 27:72] - node _T_1227 = or(_T_1207, _T_1226) @[lsu_bus_buffer.scala 285:197] - node _T_1228 = or(_T_1227, obuf_force_wr_en) @[lsu_bus_buffer.scala 285:269] - node _T_1229 = and(_T_1148, _T_1228) @[lsu_bus_buffer.scala 284:164] - node _T_1230 = or(_T_1094, _T_1229) @[lsu_bus_buffer.scala 282:98] - node _T_1231 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:48] - node _T_1232 = or(bus_cmd_ready, _T_1231) @[lsu_bus_buffer.scala 286:46] - node _T_1233 = or(_T_1232, obuf_nosend) @[lsu_bus_buffer.scala 286:60] - node _T_1234 = and(_T_1230, _T_1233) @[lsu_bus_buffer.scala 286:29] - node _T_1235 = eq(obuf_wr_wait, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:77] - node _T_1236 = and(_T_1234, _T_1235) @[lsu_bus_buffer.scala 286:75] - node _T_1237 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:93] - node _T_1238 = and(_T_1236, _T_1237) @[lsu_bus_buffer.scala 286:91] - node _T_1239 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 286:118] - node _T_1240 = and(_T_1238, _T_1239) @[lsu_bus_buffer.scala 286:116] - node _T_1241 = and(_T_1240, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 286:142] - obuf_wr_en <= _T_1241 @[lsu_bus_buffer.scala 282:14] + node _T_1227 = or(_T_1207, _T_1226) @[lsu_bus_buffer.scala 286:197] + node _T_1228 = or(_T_1227, obuf_force_wr_en) @[lsu_bus_buffer.scala 286:269] + node _T_1229 = and(_T_1148, _T_1228) @[lsu_bus_buffer.scala 285:164] + node _T_1230 = or(_T_1094, _T_1229) @[lsu_bus_buffer.scala 283:98] + node _T_1231 = eq(obuf_valid, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:48] + node _T_1232 = or(bus_cmd_ready, _T_1231) @[lsu_bus_buffer.scala 287:46] + node _T_1233 = or(_T_1232, obuf_nosend) @[lsu_bus_buffer.scala 287:60] + node _T_1234 = and(_T_1230, _T_1233) @[lsu_bus_buffer.scala 287:29] + node _T_1235 = eq(obuf_wr_wait, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:77] + node _T_1236 = and(_T_1234, _T_1235) @[lsu_bus_buffer.scala 287:75] + node _T_1237 = eq(lsu_bus_cntr_overflow, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:93] + node _T_1238 = and(_T_1236, _T_1237) @[lsu_bus_buffer.scala 287:91] + node _T_1239 = eq(bus_addr_match_pending, UInt<1>("h00")) @[lsu_bus_buffer.scala 287:118] + node _T_1240 = and(_T_1238, _T_1239) @[lsu_bus_buffer.scala 287:116] + node _T_1241 = and(_T_1240, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 287:142] + obuf_wr_en <= _T_1241 @[lsu_bus_buffer.scala 283:14] wire bus_cmd_sent : UInt<1> bus_cmd_sent <= UInt<1>("h00") - node _T_1242 = and(obuf_valid, obuf_nosend) @[lsu_bus_buffer.scala 288:47] - node _T_1243 = or(bus_cmd_sent, _T_1242) @[lsu_bus_buffer.scala 288:33] - node _T_1244 = eq(obuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 288:65] - node _T_1245 = and(_T_1243, _T_1244) @[lsu_bus_buffer.scala 288:63] - node _T_1246 = and(_T_1245, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 288:77] - node obuf_rst = or(_T_1246, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 288:98] - node _T_1247 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_1248 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_1249 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_1250 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_1251 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_1252 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_1253 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_1254 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_1242 = and(obuf_valid, obuf_nosend) @[lsu_bus_buffer.scala 289:47] + node _T_1243 = or(bus_cmd_sent, _T_1242) @[lsu_bus_buffer.scala 289:33] + node _T_1244 = eq(obuf_wr_en, UInt<1>("h00")) @[lsu_bus_buffer.scala 289:65] + node _T_1245 = and(_T_1243, _T_1244) @[lsu_bus_buffer.scala 289:63] + node _T_1246 = and(_T_1245, io.lsu_bus_clk_en) @[lsu_bus_buffer.scala 289:77] + node obuf_rst = or(_T_1246, io.dec_tlu_force_halt) @[lsu_bus_buffer.scala 289:98] + node _T_1247 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1248 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1249 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1250 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1251 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1252 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1253 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1254 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_1255 = mux(_T_1247, _T_1248, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1256 = mux(_T_1249, _T_1250, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1257 = mux(_T_1251, _T_1252, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96577,15 +96584,15 @@ circuit quasar_wrapper : node _T_1261 = or(_T_1260, _T_1258) @[Mux.scala 27:72] wire _T_1262 : UInt<1> @[Mux.scala 27:72] _T_1262 <= _T_1261 @[Mux.scala 27:72] - node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.bits.store, _T_1262) @[lsu_bus_buffer.scala 289:26] - node _T_1263 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_1264 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_1265 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_1266 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_1267 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_1268 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_1269 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_1270 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 56:129] + node obuf_write_in = mux(ibuf_buf_byp, io.lsu_pkt_r.bits.store, _T_1262) @[lsu_bus_buffer.scala 290:26] + node _T_1263 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1264 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1265 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1266 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1267 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1268 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1269 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1270 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_1271 = mux(_T_1263, _T_1264, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1272 = mux(_T_1265, _T_1266, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1273 = mux(_T_1267, _T_1268, UInt<1>("h00")) @[Mux.scala 27:72] @@ -96595,11 +96602,11 @@ circuit quasar_wrapper : node _T_1277 = or(_T_1276, _T_1274) @[Mux.scala 27:72] wire _T_1278 : UInt<1> @[Mux.scala 27:72] _T_1278 <= _T_1277 @[Mux.scala 27:72] - node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1278) @[lsu_bus_buffer.scala 290:31] - node _T_1279 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1280 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1281 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1282 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node obuf_sideeffect_in = mux(ibuf_buf_byp, io.is_sideeffects_r, _T_1278) @[lsu_bus_buffer.scala 291:31] + node _T_1279 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1280 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1281 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1282 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1283 = mux(_T_1279, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1284 = mux(_T_1280, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1285 = mux(_T_1281, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96609,17 +96616,17 @@ circuit quasar_wrapper : node _T_1289 = or(_T_1288, _T_1286) @[Mux.scala 27:72] wire _T_1290 : UInt<32> @[Mux.scala 27:72] _T_1290 <= _T_1289 @[Mux.scala 27:72] - node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1290) @[lsu_bus_buffer.scala 291:25] - wire buf_sz : UInt<2>[4] @[lsu_bus_buffer.scala 292:20] - buf_sz[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 293:10] - buf_sz[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 293:10] - buf_sz[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 293:10] - buf_sz[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 293:10] + node obuf_addr_in = mux(ibuf_buf_byp, io.lsu_addr_r, _T_1290) @[lsu_bus_buffer.scala 292:25] + wire buf_sz : UInt<2>[4] @[lsu_bus_buffer.scala 293:20] + buf_sz[0] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] + buf_sz[1] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] + buf_sz[2] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] + buf_sz[3] <= UInt<1>("h00") @[lsu_bus_buffer.scala 294:10] node _T_1291 = cat(io.lsu_pkt_r.bits.word, io.lsu_pkt_r.bits.half) @[Cat.scala 29:58] - node _T_1292 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1293 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1294 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1295 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_1292 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1293 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1294 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1295 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1296 = mux(_T_1292, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1297 = mux(_T_1293, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1298 = mux(_T_1294, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96629,10 +96636,10 @@ circuit quasar_wrapper : node _T_1302 = or(_T_1301, _T_1299) @[Mux.scala 27:72] wire _T_1303 : UInt<2> @[Mux.scala 27:72] _T_1303 <= _T_1302 @[Mux.scala 27:72] - node obuf_sz_in = mux(ibuf_buf_byp, _T_1291, _T_1303) @[lsu_bus_buffer.scala 294:23] + node obuf_sz_in = mux(ibuf_buf_byp, _T_1291, _T_1303) @[lsu_bus_buffer.scala 295:23] wire obuf_merge_en : UInt<1> obuf_merge_en <= UInt<1>("h00") - node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[lsu_bus_buffer.scala 297:25] + node obuf_tag0_in = mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) @[lsu_bus_buffer.scala 298:25] node obuf_tag1_in = mux(ibuf_buf_byp, WrPtr1_r, CmdPtr1) @[lsu_bus_buffer.scala 300:25] wire obuf_cmd_done : UInt<1> obuf_cmd_done <= UInt<1>("h00") @@ -96723,10 +96730,10 @@ circuit quasar_wrapper : node _T_1358 = cat(ldst_byteen_lo_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1359 = cat(UInt<4>("h00"), ldst_byteen_lo_r) @[Cat.scala 29:58] node _T_1360 = mux(_T_1357, _T_1358, _T_1359) @[lsu_bus_buffer.scala 323:46] - node _T_1361 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1362 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1363 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1364 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_1361 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1362 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1363 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1364 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1365 = mux(_T_1361, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1366 = mux(_T_1362, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1367 = mux(_T_1363, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96738,10 +96745,10 @@ circuit quasar_wrapper : _T_1372 <= _T_1371 @[Mux.scala 27:72] node _T_1373 = bits(_T_1372, 2, 2) @[lsu_bus_buffer.scala 324:36] node _T_1374 = bits(_T_1373, 0, 0) @[lsu_bus_buffer.scala 324:46] - node _T_1375 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1376 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1377 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1378 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_1375 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1376 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1377 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1378 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1379 = mux(_T_1375, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1380 = mux(_T_1376, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1381 = mux(_T_1377, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96752,10 +96759,10 @@ circuit quasar_wrapper : wire _T_1386 : UInt<4> @[Mux.scala 27:72] _T_1386 <= _T_1385 @[Mux.scala 27:72] node _T_1387 = cat(_T_1386, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1388 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1389 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1390 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1391 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_1388 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1389 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1390 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1391 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1392 = mux(_T_1388, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1393 = mux(_T_1389, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1394 = mux(_T_1390, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96772,10 +96779,10 @@ circuit quasar_wrapper : node _T_1403 = cat(ldst_byteen_hi_r, UInt<4>("h00")) @[Cat.scala 29:58] node _T_1404 = cat(UInt<4>("h00"), ldst_byteen_hi_r) @[Cat.scala 29:58] node _T_1405 = mux(_T_1402, _T_1403, _T_1404) @[lsu_bus_buffer.scala 325:46] - node _T_1406 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1407 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1408 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1409 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_1406 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1407 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1408 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1409 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1410 = mux(_T_1406, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1411 = mux(_T_1407, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1412 = mux(_T_1408, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96787,10 +96794,10 @@ circuit quasar_wrapper : _T_1417 <= _T_1416 @[Mux.scala 27:72] node _T_1418 = bits(_T_1417, 2, 2) @[lsu_bus_buffer.scala 326:36] node _T_1419 = bits(_T_1418, 0, 0) @[lsu_bus_buffer.scala 326:46] - node _T_1420 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1421 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1422 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1423 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_1420 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1421 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1422 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1423 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1424 = mux(_T_1420, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1425 = mux(_T_1421, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1426 = mux(_T_1422, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96801,10 +96808,10 @@ circuit quasar_wrapper : wire _T_1431 : UInt<4> @[Mux.scala 27:72] _T_1431 <= _T_1430 @[Mux.scala 27:72] node _T_1432 = cat(_T_1431, UInt<4>("h00")) @[Cat.scala 29:58] - node _T_1433 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1434 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1435 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1436 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_1433 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1434 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1435 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1436 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1437 = mux(_T_1433, buf_byteen[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1438 = mux(_T_1434, buf_byteen[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1439 = mux(_T_1435, buf_byteen[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96821,10 +96828,10 @@ circuit quasar_wrapper : node _T_1448 = cat(store_data_lo_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1449 = cat(UInt<32>("h00"), store_data_lo_r) @[Cat.scala 29:58] node _T_1450 = mux(_T_1447, _T_1448, _T_1449) @[lsu_bus_buffer.scala 328:44] - node _T_1451 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1452 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1453 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1454 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_1451 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1452 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1453 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1454 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1455 = mux(_T_1451, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1456 = mux(_T_1452, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1457 = mux(_T_1453, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96836,10 +96843,10 @@ circuit quasar_wrapper : _T_1462 <= _T_1461 @[Mux.scala 27:72] node _T_1463 = bits(_T_1462, 2, 2) @[lsu_bus_buffer.scala 329:36] node _T_1464 = bits(_T_1463, 0, 0) @[lsu_bus_buffer.scala 329:46] - node _T_1465 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1466 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1467 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1468 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_1465 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1466 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1467 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1468 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1469 = mux(_T_1465, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1470 = mux(_T_1466, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1471 = mux(_T_1467, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96850,10 +96857,10 @@ circuit quasar_wrapper : wire _T_1476 : UInt<32> @[Mux.scala 27:72] _T_1476 <= _T_1475 @[Mux.scala 27:72] node _T_1477 = cat(_T_1476, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1478 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1479 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1480 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1481 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_1478 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1479 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1480 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1481 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1482 = mux(_T_1478, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1483 = mux(_T_1479, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1484 = mux(_T_1480, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96870,10 +96877,10 @@ circuit quasar_wrapper : node _T_1493 = cat(store_data_hi_r, UInt<32>("h00")) @[Cat.scala 29:58] node _T_1494 = cat(UInt<32>("h00"), store_data_hi_r) @[Cat.scala 29:58] node _T_1495 = mux(_T_1492, _T_1493, _T_1494) @[lsu_bus_buffer.scala 330:44] - node _T_1496 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1497 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1498 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1499 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_1496 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1497 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1498 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1499 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1500 = mux(_T_1496, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1501 = mux(_T_1497, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1502 = mux(_T_1498, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96885,10 +96892,10 @@ circuit quasar_wrapper : _T_1507 <= _T_1506 @[Mux.scala 27:72] node _T_1508 = bits(_T_1507, 2, 2) @[lsu_bus_buffer.scala 331:36] node _T_1509 = bits(_T_1508, 0, 0) @[lsu_bus_buffer.scala 331:46] - node _T_1510 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1511 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1512 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1513 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_1510 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1511 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1512 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1513 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1514 = mux(_T_1510, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1515 = mux(_T_1511, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1516 = mux(_T_1512, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -96899,10 +96906,10 @@ circuit quasar_wrapper : wire _T_1521 : UInt<32> @[Mux.scala 27:72] _T_1521 <= _T_1520 @[Mux.scala 27:72] node _T_1522 = cat(_T_1521, UInt<32>("h00")) @[Cat.scala 29:58] - node _T_1523 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1524 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1525 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1526 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_1523 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1524 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1525 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1526 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1527 = mux(_T_1523, buf_data[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1528 = mux(_T_1524, buf_data[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1529 = mux(_T_1525, buf_data[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -97009,10 +97016,10 @@ circuit quasar_wrapper : node _T_1621 = neq(CmdPtr0, CmdPtr1) @[lsu_bus_buffer.scala 337:30] node _T_1622 = and(_T_1621, found_cmdptr0) @[lsu_bus_buffer.scala 337:43] node _T_1623 = and(_T_1622, found_cmdptr1) @[lsu_bus_buffer.scala 337:59] - node _T_1624 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1625 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1626 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1627 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_1624 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1625 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1626 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1627 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1628 = mux(_T_1624, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1629 = mux(_T_1625, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1630 = mux(_T_1626, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -97024,10 +97031,10 @@ circuit quasar_wrapper : _T_1635 <= _T_1634 @[Mux.scala 27:72] node _T_1636 = eq(_T_1635, UInt<3>("h02")) @[lsu_bus_buffer.scala 337:107] node _T_1637 = and(_T_1623, _T_1636) @[lsu_bus_buffer.scala 337:75] - node _T_1638 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1639 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1640 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1641 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_1638 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1639 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1640 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1641 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1642 = mux(_T_1638, buf_state[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1643 = mux(_T_1639, buf_state[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1644 = mux(_T_1640, buf_state[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -97042,14 +97049,14 @@ circuit quasar_wrapper : node _T_1652 = cat(buf_cmd_state_bus_en[3], buf_cmd_state_bus_en[2]) @[Cat.scala 29:58] node _T_1653 = cat(_T_1652, buf_cmd_state_bus_en[1]) @[Cat.scala 29:58] node _T_1654 = cat(_T_1653, buf_cmd_state_bus_en[0]) @[Cat.scala 29:58] - node _T_1655 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_1656 = bits(_T_1654, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_1657 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_1658 = bits(_T_1654, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_1659 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_1660 = bits(_T_1654, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_1661 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_1662 = bits(_T_1654, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_1655 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1656 = bits(_T_1654, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1657 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1658 = bits(_T_1654, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1659 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1660 = bits(_T_1654, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1661 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1662 = bits(_T_1654, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_1663 = mux(_T_1655, _T_1656, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1664 = mux(_T_1657, _T_1658, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1665 = mux(_T_1659, _T_1660, UInt<1>("h00")) @[Mux.scala 27:72] @@ -97061,14 +97068,14 @@ circuit quasar_wrapper : _T_1670 <= _T_1669 @[Mux.scala 27:72] node _T_1671 = eq(_T_1670, UInt<1>("h00")) @[lsu_bus_buffer.scala 338:5] node _T_1672 = and(_T_1651, _T_1671) @[lsu_bus_buffer.scala 337:161] - node _T_1673 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_1674 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_1675 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_1676 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_1677 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_1678 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_1679 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_1680 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_1673 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1674 = bits(buf_sideeffect, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1675 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1676 = bits(buf_sideeffect, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1677 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1678 = bits(buf_sideeffect, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1679 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1680 = bits(buf_sideeffect, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_1681 = mux(_T_1673, _T_1674, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1682 = mux(_T_1675, _T_1676, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1683 = mux(_T_1677, _T_1678, UInt<1>("h00")) @[Mux.scala 27:72] @@ -97080,14 +97087,14 @@ circuit quasar_wrapper : _T_1688 <= _T_1687 @[Mux.scala 27:72] node _T_1689 = eq(_T_1688, UInt<1>("h00")) @[lsu_bus_buffer.scala 338:87] node _T_1690 = and(_T_1672, _T_1689) @[lsu_bus_buffer.scala 338:85] - node _T_1691 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_1692 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_1693 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_1694 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_1695 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_1696 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_1697 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_1698 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_1691 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1692 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1693 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1694 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1695 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1696 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1697 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1698 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_1699 = mux(_T_1691, _T_1692, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1700 = mux(_T_1693, _T_1694, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1701 = mux(_T_1695, _T_1696, UInt<1>("h00")) @[Mux.scala 27:72] @@ -97097,14 +97104,14 @@ circuit quasar_wrapper : node _T_1705 = or(_T_1704, _T_1702) @[Mux.scala 27:72] wire _T_1706 : UInt<1> @[Mux.scala 27:72] _T_1706 <= _T_1705 @[Mux.scala 27:72] - node _T_1707 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_1708 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_1709 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_1710 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_1711 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_1712 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_1713 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_1714 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_1707 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1708 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1709 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1710 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1711 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1712 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1713 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1714 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_1715 = mux(_T_1707, _T_1708, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1716 = mux(_T_1709, _T_1710, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1717 = mux(_T_1711, _T_1712, UInt<1>("h00")) @[Mux.scala 27:72] @@ -97115,10 +97122,10 @@ circuit quasar_wrapper : wire _T_1722 : UInt<1> @[Mux.scala 27:72] _T_1722 <= _T_1721 @[Mux.scala 27:72] node _T_1723 = and(_T_1706, _T_1722) @[lsu_bus_buffer.scala 339:36] - node _T_1724 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1725 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1726 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1727 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_1724 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1725 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1726 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1727 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1728 = mux(_T_1724, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1729 = mux(_T_1725, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1730 = mux(_T_1726, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -97129,10 +97136,10 @@ circuit quasar_wrapper : wire _T_1735 : UInt<32> @[Mux.scala 27:72] _T_1735 <= _T_1734 @[Mux.scala 27:72] node _T_1736 = bits(_T_1735, 31, 3) @[lsu_bus_buffer.scala 340:35] - node _T_1737 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_1738 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_1739 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_1740 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_1737 = eq(CmdPtr1, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_1738 = eq(CmdPtr1, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_1739 = eq(CmdPtr1, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_1740 = eq(CmdPtr1, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_1741 = mux(_T_1737, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1742 = mux(_T_1738, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_1743 = mux(_T_1739, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -97149,14 +97156,14 @@ circuit quasar_wrapper : node _T_1753 = and(_T_1751, _T_1752) @[lsu_bus_buffer.scala 340:79] node _T_1754 = eq(UInt<1>("h00"), UInt<1>("h00")) @[lsu_bus_buffer.scala 340:107] node _T_1755 = and(_T_1753, _T_1754) @[lsu_bus_buffer.scala 340:105] - node _T_1756 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_1757 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_1758 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_1759 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_1760 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_1761 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_1762 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_1763 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_1756 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1757 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1758 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1759 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1760 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1761 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1762 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1763 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_1764 = mux(_T_1756, _T_1757, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1765 = mux(_T_1758, _T_1759, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1766 = mux(_T_1760, _T_1761, UInt<1>("h00")) @[Mux.scala 27:72] @@ -97170,14 +97177,14 @@ circuit quasar_wrapper : node _T_1773 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_1774 = cat(_T_1773, buf_dual[1]) @[Cat.scala 29:58] node _T_1775 = cat(_T_1774, buf_dual[0]) @[Cat.scala 29:58] - node _T_1776 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_1777 = bits(_T_1775, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_1778 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_1779 = bits(_T_1775, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_1780 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_1781 = bits(_T_1775, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_1782 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_1783 = bits(_T_1775, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_1776 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1777 = bits(_T_1775, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1778 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1779 = bits(_T_1775, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1780 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1781 = bits(_T_1775, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1782 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1783 = bits(_T_1775, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_1784 = mux(_T_1776, _T_1777, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1785 = mux(_T_1778, _T_1779, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1786 = mux(_T_1780, _T_1781, UInt<1>("h00")) @[Mux.scala 27:72] @@ -97191,14 +97198,14 @@ circuit quasar_wrapper : node _T_1793 = cat(buf_dualhi[3], buf_dualhi[2]) @[Cat.scala 29:58] node _T_1794 = cat(_T_1793, buf_dualhi[1]) @[Cat.scala 29:58] node _T_1795 = cat(_T_1794, buf_dualhi[0]) @[Cat.scala 29:58] - node _T_1796 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_1797 = bits(_T_1795, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_1798 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_1799 = bits(_T_1795, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_1800 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_1801 = bits(_T_1795, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_1802 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_1803 = bits(_T_1795, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_1796 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1797 = bits(_T_1795, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1798 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1799 = bits(_T_1795, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1800 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1801 = bits(_T_1795, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1802 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1803 = bits(_T_1795, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_1804 = mux(_T_1796, _T_1797, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1805 = mux(_T_1798, _T_1799, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1806 = mux(_T_1800, _T_1801, UInt<1>("h00")) @[Mux.scala 27:72] @@ -97213,14 +97220,14 @@ circuit quasar_wrapper : node _T_1814 = cat(buf_samedw[3], buf_samedw[2]) @[Cat.scala 29:58] node _T_1815 = cat(_T_1814, buf_samedw[1]) @[Cat.scala 29:58] node _T_1816 = cat(_T_1815, buf_samedw[0]) @[Cat.scala 29:58] - node _T_1817 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_1818 = bits(_T_1816, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_1819 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_1820 = bits(_T_1816, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_1821 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_1822 = bits(_T_1816, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_1823 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_1824 = bits(_T_1816, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_1817 = eq(CmdPtr0, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_1818 = bits(_T_1816, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_1819 = eq(CmdPtr0, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_1820 = bits(_T_1816, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_1821 = eq(CmdPtr0, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_1822 = bits(_T_1816, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_1823 = eq(CmdPtr0, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_1824 = bits(_T_1816, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_1825 = mux(_T_1817, _T_1818, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1826 = mux(_T_1819, _T_1820, UInt<1>("h00")) @[Mux.scala 27:72] node _T_1827 = mux(_T_1821, _T_1822, UInt<1>("h00")) @[Mux.scala 27:72] @@ -99205,14 +99212,14 @@ circuit quasar_wrapper : node _T_3607 = bits(buf_write, 0, 0) @[lsu_bus_buffer.scala 467:97] node _T_3608 = eq(_T_3607, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] node _T_3609 = and(_T_3606, _T_3608) @[lsu_bus_buffer.scala 467:85] - node _T_3610 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_3611 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_3612 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_3613 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_3614 = eq(buf_dualtag[0], UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_3615 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_3616 = eq(buf_dualtag[0], UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_3617 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_3610 = eq(buf_dualtag[0], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_3611 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_3612 = eq(buf_dualtag[0], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_3613 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_3614 = eq(buf_dualtag[0], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_3615 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_3616 = eq(buf_dualtag[0], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_3617 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_3618 = mux(_T_3610, _T_3611, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3619 = mux(_T_3612, _T_3613, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3620 = mux(_T_3614, _T_3615, UInt<1>("h00")) @[Mux.scala 27:72] @@ -99481,14 +99488,14 @@ circuit quasar_wrapper : node _T_3800 = bits(buf_write, 1, 1) @[lsu_bus_buffer.scala 467:97] node _T_3801 = eq(_T_3800, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] node _T_3802 = and(_T_3799, _T_3801) @[lsu_bus_buffer.scala 467:85] - node _T_3803 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_3804 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_3805 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_3806 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_3807 = eq(buf_dualtag[1], UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_3808 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_3809 = eq(buf_dualtag[1], UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_3810 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_3803 = eq(buf_dualtag[1], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_3804 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_3805 = eq(buf_dualtag[1], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_3806 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_3807 = eq(buf_dualtag[1], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_3808 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_3809 = eq(buf_dualtag[1], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_3810 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_3811 = mux(_T_3803, _T_3804, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3812 = mux(_T_3805, _T_3806, UInt<1>("h00")) @[Mux.scala 27:72] node _T_3813 = mux(_T_3807, _T_3808, UInt<1>("h00")) @[Mux.scala 27:72] @@ -99757,14 +99764,14 @@ circuit quasar_wrapper : node _T_3993 = bits(buf_write, 2, 2) @[lsu_bus_buffer.scala 467:97] node _T_3994 = eq(_T_3993, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] node _T_3995 = and(_T_3992, _T_3994) @[lsu_bus_buffer.scala 467:85] - node _T_3996 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_3997 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_3998 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_3999 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_4000 = eq(buf_dualtag[2], UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_4001 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_4002 = eq(buf_dualtag[2], UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_4003 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_3996 = eq(buf_dualtag[2], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_3997 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_3998 = eq(buf_dualtag[2], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_3999 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4000 = eq(buf_dualtag[2], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4001 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4002 = eq(buf_dualtag[2], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4003 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_4004 = mux(_T_3996, _T_3997, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4005 = mux(_T_3998, _T_3999, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4006 = mux(_T_4000, _T_4001, UInt<1>("h00")) @[Mux.scala 27:72] @@ -100033,14 +100040,14 @@ circuit quasar_wrapper : node _T_4186 = bits(buf_write, 3, 3) @[lsu_bus_buffer.scala 467:97] node _T_4187 = eq(_T_4186, UInt<1>("h00")) @[lsu_bus_buffer.scala 467:87] node _T_4188 = and(_T_4185, _T_4187) @[lsu_bus_buffer.scala 467:85] - node _T_4189 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_4190 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_4191 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_4192 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_4193 = eq(buf_dualtag[3], UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_4194 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_4195 = eq(buf_dualtag[3], UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_4196 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_4189 = eq(buf_dualtag[3], UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_4190 = bits(buf_ldfwd, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_4191 = eq(buf_dualtag[3], UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_4192 = bits(buf_ldfwd, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4193 = eq(buf_dualtag[3], UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4194 = bits(buf_ldfwd, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4195 = eq(buf_dualtag[3], UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4196 = bits(buf_ldfwd, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_4197 = mux(_T_4189, _T_4190, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4198 = mux(_T_4191, _T_4192, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4199 = mux(_T_4193, _T_4194, UInt<1>("h00")) @[Mux.scala 27:72] @@ -100776,10 +100783,10 @@ circuit quasar_wrapper : node _T_4682 = or(_T_4681, _T_4679) @[Mux.scala 27:72] wire lsu_nonblock_load_data_hi : UInt<32> @[Mux.scala 27:72] lsu_nonblock_load_data_hi <= _T_4682 @[Mux.scala 27:72] - node _T_4683 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_4684 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_4685 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_4683 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_4684 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_4685 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_4686 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_4687 = mux(_T_4683, buf_addr[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4688 = mux(_T_4684, buf_addr[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4689 = mux(_T_4685, buf_addr[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -100790,10 +100797,10 @@ circuit quasar_wrapper : wire _T_4694 : UInt<32> @[Mux.scala 27:72] _T_4694 <= _T_4693 @[Mux.scala 27:72] node lsu_nonblock_addr_offset = bits(_T_4694, 1, 0) @[lsu_bus_buffer.scala 539:96] - node _T_4695 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:123] - node _T_4696 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:123] - node _T_4697 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:123] - node _T_4698 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:123] + node _T_4695 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 58:123] + node _T_4696 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 58:123] + node _T_4697 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 58:123] + node _T_4698 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 58:123] node _T_4699 = mux(_T_4695, buf_sz[0], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4700 = mux(_T_4696, buf_sz[1], UInt<1>("h00")) @[Mux.scala 27:72] node _T_4701 = mux(_T_4697, buf_sz[2], UInt<1>("h00")) @[Mux.scala 27:72] @@ -100803,14 +100810,14 @@ circuit quasar_wrapper : node _T_4705 = or(_T_4704, _T_4702) @[Mux.scala 27:72] wire lsu_nonblock_sz : UInt<2> @[Mux.scala 27:72] lsu_nonblock_sz <= _T_4705 @[Mux.scala 27:72] - node _T_4706 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_4707 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_4708 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_4709 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_4710 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_4711 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_4712 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_4713 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_4706 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_4707 = bits(buf_unsign, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_4708 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_4709 = bits(buf_unsign, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4710 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4711 = bits(buf_unsign, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4712 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4713 = bits(buf_unsign, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_4714 = mux(_T_4706, _T_4707, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4715 = mux(_T_4708, _T_4709, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4716 = mux(_T_4710, _T_4711, UInt<1>("h00")) @[Mux.scala 27:72] @@ -100823,14 +100830,14 @@ circuit quasar_wrapper : node _T_4721 = cat(buf_dual[3], buf_dual[2]) @[Cat.scala 29:58] node _T_4722 = cat(_T_4721, buf_dual[1]) @[Cat.scala 29:58] node _T_4723 = cat(_T_4722, buf_dual[0]) @[Cat.scala 29:58] - node _T_4724 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 56:118] - node _T_4725 = bits(_T_4723, 0, 0) @[lsu_bus_buffer.scala 56:129] - node _T_4726 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 56:118] - node _T_4727 = bits(_T_4723, 1, 1) @[lsu_bus_buffer.scala 56:129] - node _T_4728 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 56:118] - node _T_4729 = bits(_T_4723, 2, 2) @[lsu_bus_buffer.scala 56:129] - node _T_4730 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 56:118] - node _T_4731 = bits(_T_4723, 3, 3) @[lsu_bus_buffer.scala 56:129] + node _T_4724 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h00")) @[lsu_bus_buffer.scala 57:118] + node _T_4725 = bits(_T_4723, 0, 0) @[lsu_bus_buffer.scala 57:129] + node _T_4726 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<1>("h01")) @[lsu_bus_buffer.scala 57:118] + node _T_4727 = bits(_T_4723, 1, 1) @[lsu_bus_buffer.scala 57:129] + node _T_4728 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h02")) @[lsu_bus_buffer.scala 57:118] + node _T_4729 = bits(_T_4723, 2, 2) @[lsu_bus_buffer.scala 57:129] + node _T_4730 = eq(io.dctl_busbuff.lsu_nonblock_load_data_tag, UInt<2>("h03")) @[lsu_bus_buffer.scala 57:118] + node _T_4731 = bits(_T_4723, 3, 3) @[lsu_bus_buffer.scala 57:129] node _T_4732 = mux(_T_4724, _T_4725, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4733 = mux(_T_4726, _T_4727, UInt<1>("h00")) @[Mux.scala 27:72] node _T_4734 = mux(_T_4728, _T_4729, UInt<1>("h00")) @[Mux.scala 27:72] @@ -101250,132 +101257,132 @@ circuit quasar_wrapper : ld_full_hit_lo_m <= UInt<1>("h01") wire ld_full_hit_m : UInt<1> ld_full_hit_m <= UInt<1>("h00") - inst bus_buffer of lsu_bus_buffer @[lsu_bus_intf.scala 101:39] + inst bus_buffer of lsu_bus_buffer @[lsu_bus_intf.scala 100:39] bus_buffer.clock <= clock bus_buffer.reset <= reset - bus_buffer.io.scan_mode <= io.scan_mode @[lsu_bus_intf.scala 103:29] - io.tlu_busbuff.lsu_imprecise_error_addr_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_addr_any @[lsu_bus_intf.scala 104:18] - io.tlu_busbuff.lsu_imprecise_error_store_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_store_any @[lsu_bus_intf.scala 104:18] - io.tlu_busbuff.lsu_imprecise_error_load_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_load_any @[lsu_bus_intf.scala 104:18] - bus_buffer.io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= io.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[lsu_bus_intf.scala 104:18] - bus_buffer.io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= io.tlu_busbuff.dec_tlu_wb_coalescing_disable @[lsu_bus_intf.scala 104:18] - bus_buffer.io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= io.tlu_busbuff.dec_tlu_external_ldfwd_disable @[lsu_bus_intf.scala 104:18] - io.tlu_busbuff.lsu_pmu_bus_busy <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_busy @[lsu_bus_intf.scala 104:18] - io.tlu_busbuff.lsu_pmu_bus_error <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_error @[lsu_bus_intf.scala 104:18] - io.tlu_busbuff.lsu_pmu_bus_misaligned <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_misaligned @[lsu_bus_intf.scala 104:18] - io.tlu_busbuff.lsu_pmu_bus_trxn <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_trxn @[lsu_bus_intf.scala 104:18] - bus_buffer.io.dec_tlu_force_halt <= io.dec_tlu_force_halt @[lsu_bus_intf.scala 106:51] - bus_buffer.io.lsu_c2_r_clk <= io.lsu_c2_r_clk @[lsu_bus_intf.scala 107:51] - bus_buffer.io.lsu_bus_ibuf_c1_clk <= io.lsu_bus_ibuf_c1_clk @[lsu_bus_intf.scala 108:51] - bus_buffer.io.lsu_bus_obuf_c1_clk <= io.lsu_bus_obuf_c1_clk @[lsu_bus_intf.scala 109:51] - bus_buffer.io.lsu_bus_buf_c1_clk <= io.lsu_bus_buf_c1_clk @[lsu_bus_intf.scala 110:51] - bus_buffer.io.lsu_free_c2_clk <= io.lsu_free_c2_clk @[lsu_bus_intf.scala 111:51] - bus_buffer.io.lsu_busm_clk <= io.lsu_busm_clk @[lsu_bus_intf.scala 112:51] - bus_buffer.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu_bus_intf.scala 113:51] - bus_buffer.io.lsu_pkt_m.bits.store_data_bypass_m <= io.lsu_pkt_m.bits.store_data_bypass_m @[lsu_bus_intf.scala 116:27] - bus_buffer.io.lsu_pkt_m.bits.load_ldst_bypass_d <= io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu_bus_intf.scala 116:27] - bus_buffer.io.lsu_pkt_m.bits.store_data_bypass_d <= io.lsu_pkt_m.bits.store_data_bypass_d @[lsu_bus_intf.scala 116:27] - bus_buffer.io.lsu_pkt_m.bits.dma <= io.lsu_pkt_m.bits.dma @[lsu_bus_intf.scala 116:27] - bus_buffer.io.lsu_pkt_m.bits.unsign <= io.lsu_pkt_m.bits.unsign @[lsu_bus_intf.scala 116:27] - bus_buffer.io.lsu_pkt_m.bits.store <= io.lsu_pkt_m.bits.store @[lsu_bus_intf.scala 116:27] - bus_buffer.io.lsu_pkt_m.bits.load <= io.lsu_pkt_m.bits.load @[lsu_bus_intf.scala 116:27] - bus_buffer.io.lsu_pkt_m.bits.dword <= io.lsu_pkt_m.bits.dword @[lsu_bus_intf.scala 116:27] - bus_buffer.io.lsu_pkt_m.bits.word <= io.lsu_pkt_m.bits.word @[lsu_bus_intf.scala 116:27] - bus_buffer.io.lsu_pkt_m.bits.half <= io.lsu_pkt_m.bits.half @[lsu_bus_intf.scala 116:27] - bus_buffer.io.lsu_pkt_m.bits.by <= io.lsu_pkt_m.bits.by @[lsu_bus_intf.scala 116:27] - bus_buffer.io.lsu_pkt_m.bits.fast_int <= io.lsu_pkt_m.bits.fast_int @[lsu_bus_intf.scala 116:27] - bus_buffer.io.lsu_pkt_m.valid <= io.lsu_pkt_m.valid @[lsu_bus_intf.scala 116:27] - bus_buffer.io.lsu_pkt_r.bits.store_data_bypass_m <= io.lsu_pkt_r.bits.store_data_bypass_m @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_r.bits.load_ldst_bypass_d <= io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_r.bits.store_data_bypass_d <= io.lsu_pkt_r.bits.store_data_bypass_d @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_r.bits.dma <= io.lsu_pkt_r.bits.dma @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_r.bits.unsign <= io.lsu_pkt_r.bits.unsign @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_r.bits.store <= io.lsu_pkt_r.bits.store @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_r.bits.load <= io.lsu_pkt_r.bits.load @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_r.bits.dword <= io.lsu_pkt_r.bits.dword @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_r.bits.word <= io.lsu_pkt_r.bits.word @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_r.bits.half <= io.lsu_pkt_r.bits.half @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_r.bits.by <= io.lsu_pkt_r.bits.by @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_r.bits.fast_int <= io.lsu_pkt_r.bits.fast_int @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_pkt_r.valid <= io.lsu_pkt_r.valid @[lsu_bus_intf.scala 117:27] - bus_buffer.io.lsu_addr_m <= io.lsu_addr_m @[lsu_bus_intf.scala 120:51] - bus_buffer.io.end_addr_m <= io.end_addr_m @[lsu_bus_intf.scala 121:51] - bus_buffer.io.lsu_addr_r <= io.lsu_addr_r @[lsu_bus_intf.scala 122:51] - bus_buffer.io.end_addr_r <= io.end_addr_r @[lsu_bus_intf.scala 123:51] - bus_buffer.io.store_data_r <= io.store_data_r @[lsu_bus_intf.scala 124:51] - bus_buffer.io.lsu_busreq_m <= io.lsu_busreq_m @[lsu_bus_intf.scala 126:51] - bus_buffer.io.flush_m_up <= io.flush_m_up @[lsu_bus_intf.scala 127:51] - bus_buffer.io.flush_r <= io.flush_r @[lsu_bus_intf.scala 128:51] - bus_buffer.io.lsu_commit_r <= io.lsu_commit_r @[lsu_bus_intf.scala 129:51] - bus_buffer.io.lsu_axi.r.bits.last <= io.axi.r.bits.last @[lsu_bus_intf.scala 130:43] - bus_buffer.io.lsu_axi.r.bits.resp <= io.axi.r.bits.resp @[lsu_bus_intf.scala 130:43] - bus_buffer.io.lsu_axi.r.bits.data <= io.axi.r.bits.data @[lsu_bus_intf.scala 130:43] - bus_buffer.io.lsu_axi.r.bits.id <= io.axi.r.bits.id @[lsu_bus_intf.scala 130:43] - bus_buffer.io.lsu_axi.r.valid <= io.axi.r.valid @[lsu_bus_intf.scala 130:43] - io.axi.r.ready <= bus_buffer.io.lsu_axi.r.ready @[lsu_bus_intf.scala 130:43] - io.axi.ar.bits.qos <= bus_buffer.io.lsu_axi.ar.bits.qos @[lsu_bus_intf.scala 130:43] - io.axi.ar.bits.prot <= bus_buffer.io.lsu_axi.ar.bits.prot @[lsu_bus_intf.scala 130:43] - io.axi.ar.bits.cache <= bus_buffer.io.lsu_axi.ar.bits.cache @[lsu_bus_intf.scala 130:43] - io.axi.ar.bits.lock <= bus_buffer.io.lsu_axi.ar.bits.lock @[lsu_bus_intf.scala 130:43] - io.axi.ar.bits.burst <= bus_buffer.io.lsu_axi.ar.bits.burst @[lsu_bus_intf.scala 130:43] - io.axi.ar.bits.size <= bus_buffer.io.lsu_axi.ar.bits.size @[lsu_bus_intf.scala 130:43] - io.axi.ar.bits.len <= bus_buffer.io.lsu_axi.ar.bits.len @[lsu_bus_intf.scala 130:43] - io.axi.ar.bits.region <= bus_buffer.io.lsu_axi.ar.bits.region @[lsu_bus_intf.scala 130:43] - io.axi.ar.bits.addr <= bus_buffer.io.lsu_axi.ar.bits.addr @[lsu_bus_intf.scala 130:43] - io.axi.ar.bits.id <= bus_buffer.io.lsu_axi.ar.bits.id @[lsu_bus_intf.scala 130:43] - io.axi.ar.valid <= bus_buffer.io.lsu_axi.ar.valid @[lsu_bus_intf.scala 130:43] - bus_buffer.io.lsu_axi.ar.ready <= io.axi.ar.ready @[lsu_bus_intf.scala 130:43] - bus_buffer.io.lsu_axi.b.bits.id <= io.axi.b.bits.id @[lsu_bus_intf.scala 130:43] - bus_buffer.io.lsu_axi.b.bits.resp <= io.axi.b.bits.resp @[lsu_bus_intf.scala 130:43] - bus_buffer.io.lsu_axi.b.valid <= io.axi.b.valid @[lsu_bus_intf.scala 130:43] - io.axi.b.ready <= bus_buffer.io.lsu_axi.b.ready @[lsu_bus_intf.scala 130:43] - io.axi.w.bits.last <= bus_buffer.io.lsu_axi.w.bits.last @[lsu_bus_intf.scala 130:43] - io.axi.w.bits.strb <= bus_buffer.io.lsu_axi.w.bits.strb @[lsu_bus_intf.scala 130:43] - io.axi.w.bits.data <= bus_buffer.io.lsu_axi.w.bits.data @[lsu_bus_intf.scala 130:43] - io.axi.w.valid <= bus_buffer.io.lsu_axi.w.valid @[lsu_bus_intf.scala 130:43] - bus_buffer.io.lsu_axi.w.ready <= io.axi.w.ready @[lsu_bus_intf.scala 130:43] - io.axi.aw.bits.qos <= bus_buffer.io.lsu_axi.aw.bits.qos @[lsu_bus_intf.scala 130:43] - io.axi.aw.bits.prot <= bus_buffer.io.lsu_axi.aw.bits.prot @[lsu_bus_intf.scala 130:43] - io.axi.aw.bits.cache <= bus_buffer.io.lsu_axi.aw.bits.cache @[lsu_bus_intf.scala 130:43] - io.axi.aw.bits.lock <= bus_buffer.io.lsu_axi.aw.bits.lock @[lsu_bus_intf.scala 130:43] - io.axi.aw.bits.burst <= bus_buffer.io.lsu_axi.aw.bits.burst @[lsu_bus_intf.scala 130:43] - io.axi.aw.bits.size <= bus_buffer.io.lsu_axi.aw.bits.size @[lsu_bus_intf.scala 130:43] - io.axi.aw.bits.len <= bus_buffer.io.lsu_axi.aw.bits.len @[lsu_bus_intf.scala 130:43] - io.axi.aw.bits.region <= bus_buffer.io.lsu_axi.aw.bits.region @[lsu_bus_intf.scala 130:43] - io.axi.aw.bits.addr <= bus_buffer.io.lsu_axi.aw.bits.addr @[lsu_bus_intf.scala 130:43] - io.axi.aw.bits.id <= bus_buffer.io.lsu_axi.aw.bits.id @[lsu_bus_intf.scala 130:43] - io.axi.aw.valid <= bus_buffer.io.lsu_axi.aw.valid @[lsu_bus_intf.scala 130:43] - bus_buffer.io.lsu_axi.aw.ready <= io.axi.aw.ready @[lsu_bus_intf.scala 130:43] - bus_buffer.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[lsu_bus_intf.scala 131:51] - io.lsu_busreq_r <= bus_buffer.io.lsu_busreq_r @[lsu_bus_intf.scala 133:38] - io.lsu_bus_buffer_pend_any <= bus_buffer.io.lsu_bus_buffer_pend_any @[lsu_bus_intf.scala 134:38] - io.lsu_bus_buffer_full_any <= bus_buffer.io.lsu_bus_buffer_full_any @[lsu_bus_intf.scala 135:38] - io.lsu_bus_buffer_empty_any <= bus_buffer.io.lsu_bus_buffer_empty_any @[lsu_bus_intf.scala 136:38] - io.lsu_bus_idle_any <= bus_buffer.io.lsu_bus_idle_any @[lsu_bus_intf.scala 137:38] - ld_byte_hit_buf_lo <= bus_buffer.io.ld_byte_hit_buf_lo @[lsu_bus_intf.scala 138:38] - ld_byte_hit_buf_hi <= bus_buffer.io.ld_byte_hit_buf_hi @[lsu_bus_intf.scala 139:38] - ld_fwddata_buf_lo <= bus_buffer.io.ld_fwddata_buf_lo @[lsu_bus_intf.scala 140:38] - ld_fwddata_buf_hi <= bus_buffer.io.ld_fwddata_buf_hi @[lsu_bus_intf.scala 141:38] - io.dctl_busbuff.lsu_nonblock_load_data <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data @[lsu_bus_intf.scala 142:19] - io.dctl_busbuff.lsu_nonblock_load_data_tag <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_tag @[lsu_bus_intf.scala 142:19] - io.dctl_busbuff.lsu_nonblock_load_data_error <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_error @[lsu_bus_intf.scala 142:19] - io.dctl_busbuff.lsu_nonblock_load_data_valid <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_valid @[lsu_bus_intf.scala 142:19] - io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[lsu_bus_intf.scala 142:19] - io.dctl_busbuff.lsu_nonblock_load_inv_r <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_inv_r @[lsu_bus_intf.scala 142:19] - io.dctl_busbuff.lsu_nonblock_load_tag_m <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_tag_m @[lsu_bus_intf.scala 142:19] - io.dctl_busbuff.lsu_nonblock_load_valid_m <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_intf.scala 142:19] - bus_buffer.io.no_word_merge_r <= no_word_merge_r @[lsu_bus_intf.scala 143:51] - bus_buffer.io.no_dword_merge_r <= no_dword_merge_r @[lsu_bus_intf.scala 144:51] - bus_buffer.io.is_sideeffects_r <= is_sideeffects_r @[lsu_bus_intf.scala 145:51] - bus_buffer.io.ldst_dual_d <= ldst_dual_d @[lsu_bus_intf.scala 146:51] - bus_buffer.io.ldst_dual_m <= ldst_dual_m @[lsu_bus_intf.scala 147:51] - bus_buffer.io.ldst_dual_r <= ldst_dual_r @[lsu_bus_intf.scala 148:51] - bus_buffer.io.ldst_byteen_ext_m <= ldst_byteen_ext_m @[lsu_bus_intf.scala 149:51] - bus_buffer.io.ld_full_hit_m <= ld_full_hit_m @[lsu_bus_intf.scala 150:51] - bus_buffer.io.lsu_bus_clk_en_q <= lsu_bus_clk_en_q @[lsu_bus_intf.scala 151:51] - node _T = bits(io.lsu_pkt_m.bits.word, 0, 0) @[lsu_bus_intf.scala 153:63] - node _T_1 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[lsu_bus_intf.scala 153:107] - node _T_2 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[lsu_bus_intf.scala 153:148] + bus_buffer.io.scan_mode <= io.scan_mode @[lsu_bus_intf.scala 102:29] + io.tlu_busbuff.lsu_imprecise_error_addr_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_addr_any @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_imprecise_error_store_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_store_any @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_imprecise_error_load_any <= bus_buffer.io.tlu_busbuff.lsu_imprecise_error_load_any @[lsu_bus_intf.scala 103:18] + bus_buffer.io.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= io.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[lsu_bus_intf.scala 103:18] + bus_buffer.io.tlu_busbuff.dec_tlu_wb_coalescing_disable <= io.tlu_busbuff.dec_tlu_wb_coalescing_disable @[lsu_bus_intf.scala 103:18] + bus_buffer.io.tlu_busbuff.dec_tlu_external_ldfwd_disable <= io.tlu_busbuff.dec_tlu_external_ldfwd_disable @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_busy <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_busy @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_error <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_error @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_misaligned <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_misaligned @[lsu_bus_intf.scala 103:18] + io.tlu_busbuff.lsu_pmu_bus_trxn <= bus_buffer.io.tlu_busbuff.lsu_pmu_bus_trxn @[lsu_bus_intf.scala 103:18] + bus_buffer.io.dec_tlu_force_halt <= io.dec_tlu_force_halt @[lsu_bus_intf.scala 105:51] + bus_buffer.io.lsu_c2_r_clk <= io.lsu_c2_r_clk @[lsu_bus_intf.scala 106:51] + bus_buffer.io.lsu_bus_ibuf_c1_clk <= io.lsu_bus_ibuf_c1_clk @[lsu_bus_intf.scala 107:51] + bus_buffer.io.lsu_bus_obuf_c1_clk <= io.lsu_bus_obuf_c1_clk @[lsu_bus_intf.scala 108:51] + bus_buffer.io.lsu_bus_buf_c1_clk <= io.lsu_bus_buf_c1_clk @[lsu_bus_intf.scala 109:51] + bus_buffer.io.lsu_free_c2_clk <= io.lsu_free_c2_clk @[lsu_bus_intf.scala 110:51] + bus_buffer.io.lsu_busm_clk <= io.lsu_busm_clk @[lsu_bus_intf.scala 111:51] + bus_buffer.io.dec_lsu_valid_raw_d <= io.dec_lsu_valid_raw_d @[lsu_bus_intf.scala 112:51] + bus_buffer.io.lsu_pkt_m.bits.store_data_bypass_m <= io.lsu_pkt_m.bits.store_data_bypass_m @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.load_ldst_bypass_d <= io.lsu_pkt_m.bits.load_ldst_bypass_d @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.store_data_bypass_d <= io.lsu_pkt_m.bits.store_data_bypass_d @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.dma <= io.lsu_pkt_m.bits.dma @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.unsign <= io.lsu_pkt_m.bits.unsign @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.store <= io.lsu_pkt_m.bits.store @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.load <= io.lsu_pkt_m.bits.load @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.dword <= io.lsu_pkt_m.bits.dword @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.word <= io.lsu_pkt_m.bits.word @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.half <= io.lsu_pkt_m.bits.half @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.by <= io.lsu_pkt_m.bits.by @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.bits.fast_int <= io.lsu_pkt_m.bits.fast_int @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_m.valid <= io.lsu_pkt_m.valid @[lsu_bus_intf.scala 115:27] + bus_buffer.io.lsu_pkt_r.bits.store_data_bypass_m <= io.lsu_pkt_r.bits.store_data_bypass_m @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.load_ldst_bypass_d <= io.lsu_pkt_r.bits.load_ldst_bypass_d @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.store_data_bypass_d <= io.lsu_pkt_r.bits.store_data_bypass_d @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.dma <= io.lsu_pkt_r.bits.dma @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.unsign <= io.lsu_pkt_r.bits.unsign @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.store <= io.lsu_pkt_r.bits.store @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.load <= io.lsu_pkt_r.bits.load @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.dword <= io.lsu_pkt_r.bits.dword @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.word <= io.lsu_pkt_r.bits.word @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.half <= io.lsu_pkt_r.bits.half @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.by <= io.lsu_pkt_r.bits.by @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.bits.fast_int <= io.lsu_pkt_r.bits.fast_int @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_pkt_r.valid <= io.lsu_pkt_r.valid @[lsu_bus_intf.scala 116:27] + bus_buffer.io.lsu_addr_m <= io.lsu_addr_m @[lsu_bus_intf.scala 119:51] + bus_buffer.io.end_addr_m <= io.end_addr_m @[lsu_bus_intf.scala 120:51] + bus_buffer.io.lsu_addr_r <= io.lsu_addr_r @[lsu_bus_intf.scala 121:51] + bus_buffer.io.end_addr_r <= io.end_addr_r @[lsu_bus_intf.scala 122:51] + bus_buffer.io.store_data_r <= io.store_data_r @[lsu_bus_intf.scala 123:51] + bus_buffer.io.lsu_busreq_m <= io.lsu_busreq_m @[lsu_bus_intf.scala 125:51] + bus_buffer.io.flush_m_up <= io.flush_m_up @[lsu_bus_intf.scala 126:51] + bus_buffer.io.flush_r <= io.flush_r @[lsu_bus_intf.scala 127:51] + bus_buffer.io.lsu_commit_r <= io.lsu_commit_r @[lsu_bus_intf.scala 128:51] + bus_buffer.io.lsu_axi.r.bits.last <= io.axi.r.bits.last @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.r.bits.resp <= io.axi.r.bits.resp @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.r.bits.data <= io.axi.r.bits.data @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.r.bits.id <= io.axi.r.bits.id @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.r.valid <= io.axi.r.valid @[lsu_bus_intf.scala 129:43] + io.axi.r.ready <= bus_buffer.io.lsu_axi.r.ready @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.qos <= bus_buffer.io.lsu_axi.ar.bits.qos @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.prot <= bus_buffer.io.lsu_axi.ar.bits.prot @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.cache <= bus_buffer.io.lsu_axi.ar.bits.cache @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.lock <= bus_buffer.io.lsu_axi.ar.bits.lock @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.burst <= bus_buffer.io.lsu_axi.ar.bits.burst @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.size <= bus_buffer.io.lsu_axi.ar.bits.size @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.len <= bus_buffer.io.lsu_axi.ar.bits.len @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.region <= bus_buffer.io.lsu_axi.ar.bits.region @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.addr <= bus_buffer.io.lsu_axi.ar.bits.addr @[lsu_bus_intf.scala 129:43] + io.axi.ar.bits.id <= bus_buffer.io.lsu_axi.ar.bits.id @[lsu_bus_intf.scala 129:43] + io.axi.ar.valid <= bus_buffer.io.lsu_axi.ar.valid @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.ar.ready <= io.axi.ar.ready @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.b.bits.id <= io.axi.b.bits.id @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.b.bits.resp <= io.axi.b.bits.resp @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.b.valid <= io.axi.b.valid @[lsu_bus_intf.scala 129:43] + io.axi.b.ready <= bus_buffer.io.lsu_axi.b.ready @[lsu_bus_intf.scala 129:43] + io.axi.w.bits.last <= bus_buffer.io.lsu_axi.w.bits.last @[lsu_bus_intf.scala 129:43] + io.axi.w.bits.strb <= bus_buffer.io.lsu_axi.w.bits.strb @[lsu_bus_intf.scala 129:43] + io.axi.w.bits.data <= bus_buffer.io.lsu_axi.w.bits.data @[lsu_bus_intf.scala 129:43] + io.axi.w.valid <= bus_buffer.io.lsu_axi.w.valid @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.w.ready <= io.axi.w.ready @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.qos <= bus_buffer.io.lsu_axi.aw.bits.qos @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.prot <= bus_buffer.io.lsu_axi.aw.bits.prot @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.cache <= bus_buffer.io.lsu_axi.aw.bits.cache @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.lock <= bus_buffer.io.lsu_axi.aw.bits.lock @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.burst <= bus_buffer.io.lsu_axi.aw.bits.burst @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.size <= bus_buffer.io.lsu_axi.aw.bits.size @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.len <= bus_buffer.io.lsu_axi.aw.bits.len @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.region <= bus_buffer.io.lsu_axi.aw.bits.region @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.addr <= bus_buffer.io.lsu_axi.aw.bits.addr @[lsu_bus_intf.scala 129:43] + io.axi.aw.bits.id <= bus_buffer.io.lsu_axi.aw.bits.id @[lsu_bus_intf.scala 129:43] + io.axi.aw.valid <= bus_buffer.io.lsu_axi.aw.valid @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_axi.aw.ready <= io.axi.aw.ready @[lsu_bus_intf.scala 129:43] + bus_buffer.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[lsu_bus_intf.scala 130:51] + io.lsu_busreq_r <= bus_buffer.io.lsu_busreq_r @[lsu_bus_intf.scala 132:38] + io.lsu_bus_buffer_pend_any <= bus_buffer.io.lsu_bus_buffer_pend_any @[lsu_bus_intf.scala 133:38] + io.lsu_bus_buffer_full_any <= bus_buffer.io.lsu_bus_buffer_full_any @[lsu_bus_intf.scala 134:38] + io.lsu_bus_buffer_empty_any <= bus_buffer.io.lsu_bus_buffer_empty_any @[lsu_bus_intf.scala 135:38] + io.lsu_bus_idle_any <= bus_buffer.io.lsu_bus_idle_any @[lsu_bus_intf.scala 136:38] + ld_byte_hit_buf_lo <= bus_buffer.io.ld_byte_hit_buf_lo @[lsu_bus_intf.scala 137:38] + ld_byte_hit_buf_hi <= bus_buffer.io.ld_byte_hit_buf_hi @[lsu_bus_intf.scala 138:38] + ld_fwddata_buf_lo <= bus_buffer.io.ld_fwddata_buf_lo @[lsu_bus_intf.scala 139:38] + ld_fwddata_buf_hi <= bus_buffer.io.ld_fwddata_buf_hi @[lsu_bus_intf.scala 140:38] + io.dctl_busbuff.lsu_nonblock_load_data <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_data_tag <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_tag @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_data_error <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_error @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_data_valid <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_data_valid @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_inv_r <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_inv_r @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_tag_m <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_tag_m @[lsu_bus_intf.scala 141:19] + io.dctl_busbuff.lsu_nonblock_load_valid_m <= bus_buffer.io.dctl_busbuff.lsu_nonblock_load_valid_m @[lsu_bus_intf.scala 141:19] + bus_buffer.io.no_word_merge_r <= no_word_merge_r @[lsu_bus_intf.scala 142:51] + bus_buffer.io.no_dword_merge_r <= no_dword_merge_r @[lsu_bus_intf.scala 143:51] + bus_buffer.io.is_sideeffects_r <= is_sideeffects_r @[lsu_bus_intf.scala 144:51] + bus_buffer.io.ldst_dual_d <= ldst_dual_d @[lsu_bus_intf.scala 145:51] + bus_buffer.io.ldst_dual_m <= ldst_dual_m @[lsu_bus_intf.scala 146:51] + bus_buffer.io.ldst_dual_r <= ldst_dual_r @[lsu_bus_intf.scala 147:51] + bus_buffer.io.ldst_byteen_ext_m <= ldst_byteen_ext_m @[lsu_bus_intf.scala 148:51] + bus_buffer.io.ld_full_hit_m <= ld_full_hit_m @[lsu_bus_intf.scala 149:51] + bus_buffer.io.lsu_bus_clk_en_q <= lsu_bus_clk_en_q @[lsu_bus_intf.scala 150:51] + node _T = bits(io.lsu_pkt_m.bits.word, 0, 0) @[lsu_bus_intf.scala 152:63] + node _T_1 = bits(io.lsu_pkt_m.bits.half, 0, 0) @[lsu_bus_intf.scala 152:107] + node _T_2 = bits(io.lsu_pkt_m.bits.by, 0, 0) @[lsu_bus_intf.scala 152:148] node _T_3 = mux(_T, UInt<4>("h0f"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_4 = mux(_T_1, UInt<4>("h03"), UInt<1>("h00")) @[Mux.scala 27:72] node _T_5 = mux(_T_2, UInt<4>("h01"), UInt<1>("h00")) @[Mux.scala 27:72] @@ -101383,279 +101390,279 @@ circuit quasar_wrapper : node _T_7 = or(_T_6, _T_5) @[Mux.scala 27:72] wire _T_8 : UInt<4> @[Mux.scala 27:72] _T_8 <= _T_7 @[Mux.scala 27:72] - ldst_byteen_m <= _T_8 @[lsu_bus_intf.scala 153:27] - node _T_9 = bits(io.lsu_addr_d, 2, 2) @[lsu_bus_intf.scala 154:43] - node _T_10 = bits(io.end_addr_d, 2, 2) @[lsu_bus_intf.scala 154:64] - node _T_11 = neq(_T_9, _T_10) @[lsu_bus_intf.scala 154:47] - ldst_dual_d <= _T_11 @[lsu_bus_intf.scala 154:27] - node _T_12 = bits(io.lsu_addr_r, 31, 3) @[lsu_bus_intf.scala 155:44] - node _T_13 = bits(io.lsu_addr_m, 31, 3) @[lsu_bus_intf.scala 155:68] - node _T_14 = eq(_T_12, _T_13) @[lsu_bus_intf.scala 155:51] - addr_match_dw_lo_r_m <= _T_14 @[lsu_bus_intf.scala 155:27] - node _T_15 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_intf.scala 156:68] - node _T_16 = bits(io.lsu_addr_m, 2, 2) @[lsu_bus_intf.scala 156:85] - node _T_17 = xor(_T_15, _T_16) @[lsu_bus_intf.scala 156:71] - node _T_18 = eq(_T_17, UInt<1>("h00")) @[lsu_bus_intf.scala 156:53] - node _T_19 = and(addr_match_dw_lo_r_m, _T_18) @[lsu_bus_intf.scala 156:51] - addr_match_word_lo_r_m <= _T_19 @[lsu_bus_intf.scala 156:27] - node _T_20 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_bus_intf.scala 157:48] - node _T_21 = and(io.lsu_busreq_r, _T_20) @[lsu_bus_intf.scala 157:46] - node _T_22 = and(_T_21, io.lsu_busreq_m) @[lsu_bus_intf.scala 157:61] - node _T_23 = eq(addr_match_word_lo_r_m, UInt<1>("h00")) @[lsu_bus_intf.scala 157:107] - node _T_24 = or(io.lsu_pkt_m.bits.load, _T_23) @[lsu_bus_intf.scala 157:105] - node _T_25 = and(_T_22, _T_24) @[lsu_bus_intf.scala 157:79] - no_word_merge_r <= _T_25 @[lsu_bus_intf.scala 157:27] - node _T_26 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_bus_intf.scala 158:48] - node _T_27 = and(io.lsu_busreq_r, _T_26) @[lsu_bus_intf.scala 158:46] - node _T_28 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_intf.scala 158:61] - node _T_29 = eq(addr_match_dw_lo_r_m, UInt<1>("h00")) @[lsu_bus_intf.scala 158:107] - node _T_30 = or(io.lsu_pkt_m.bits.load, _T_29) @[lsu_bus_intf.scala 158:105] - node _T_31 = and(_T_28, _T_30) @[lsu_bus_intf.scala 158:79] - no_dword_merge_r <= _T_31 @[lsu_bus_intf.scala 158:27] - node _T_32 = bits(ldst_byteen_m, 3, 0) @[lsu_bus_intf.scala 160:43] - node _T_33 = bits(io.lsu_addr_m, 1, 0) @[lsu_bus_intf.scala 160:65] - node _T_34 = dshl(_T_32, _T_33) @[lsu_bus_intf.scala 160:49] - ldst_byteen_ext_m <= _T_34 @[lsu_bus_intf.scala 160:27] - node _T_35 = bits(ldst_byteen_r, 3, 0) @[lsu_bus_intf.scala 161:43] - node _T_36 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_intf.scala 161:65] - node _T_37 = dshl(_T_35, _T_36) @[lsu_bus_intf.scala 161:49] - ldst_byteen_ext_r <= _T_37 @[lsu_bus_intf.scala 161:27] - node _T_38 = bits(io.store_data_r, 31, 0) @[lsu_bus_intf.scala 162:45] - node _T_39 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_intf.scala 162:72] + ldst_byteen_m <= _T_8 @[lsu_bus_intf.scala 152:27] + node _T_9 = bits(io.lsu_addr_d, 2, 2) @[lsu_bus_intf.scala 153:43] + node _T_10 = bits(io.end_addr_d, 2, 2) @[lsu_bus_intf.scala 153:64] + node _T_11 = neq(_T_9, _T_10) @[lsu_bus_intf.scala 153:47] + ldst_dual_d <= _T_11 @[lsu_bus_intf.scala 153:27] + node _T_12 = bits(io.lsu_addr_r, 31, 3) @[lsu_bus_intf.scala 154:44] + node _T_13 = bits(io.lsu_addr_m, 31, 3) @[lsu_bus_intf.scala 154:68] + node _T_14 = eq(_T_12, _T_13) @[lsu_bus_intf.scala 154:51] + addr_match_dw_lo_r_m <= _T_14 @[lsu_bus_intf.scala 154:27] + node _T_15 = bits(io.lsu_addr_r, 2, 2) @[lsu_bus_intf.scala 155:68] + node _T_16 = bits(io.lsu_addr_m, 2, 2) @[lsu_bus_intf.scala 155:85] + node _T_17 = xor(_T_15, _T_16) @[lsu_bus_intf.scala 155:71] + node _T_18 = eq(_T_17, UInt<1>("h00")) @[lsu_bus_intf.scala 155:53] + node _T_19 = and(addr_match_dw_lo_r_m, _T_18) @[lsu_bus_intf.scala 155:51] + addr_match_word_lo_r_m <= _T_19 @[lsu_bus_intf.scala 155:27] + node _T_20 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_bus_intf.scala 156:48] + node _T_21 = and(io.lsu_busreq_r, _T_20) @[lsu_bus_intf.scala 156:46] + node _T_22 = and(_T_21, io.lsu_busreq_m) @[lsu_bus_intf.scala 156:61] + node _T_23 = eq(addr_match_word_lo_r_m, UInt<1>("h00")) @[lsu_bus_intf.scala 156:107] + node _T_24 = or(io.lsu_pkt_m.bits.load, _T_23) @[lsu_bus_intf.scala 156:105] + node _T_25 = and(_T_22, _T_24) @[lsu_bus_intf.scala 156:79] + no_word_merge_r <= _T_25 @[lsu_bus_intf.scala 156:27] + node _T_26 = eq(ldst_dual_r, UInt<1>("h00")) @[lsu_bus_intf.scala 157:48] + node _T_27 = and(io.lsu_busreq_r, _T_26) @[lsu_bus_intf.scala 157:46] + node _T_28 = and(_T_27, io.lsu_busreq_m) @[lsu_bus_intf.scala 157:61] + node _T_29 = eq(addr_match_dw_lo_r_m, UInt<1>("h00")) @[lsu_bus_intf.scala 157:107] + node _T_30 = or(io.lsu_pkt_m.bits.load, _T_29) @[lsu_bus_intf.scala 157:105] + node _T_31 = and(_T_28, _T_30) @[lsu_bus_intf.scala 157:79] + no_dword_merge_r <= _T_31 @[lsu_bus_intf.scala 157:27] + node _T_32 = bits(ldst_byteen_m, 3, 0) @[lsu_bus_intf.scala 159:43] + node _T_33 = bits(io.lsu_addr_m, 1, 0) @[lsu_bus_intf.scala 159:65] + node _T_34 = dshl(_T_32, _T_33) @[lsu_bus_intf.scala 159:49] + ldst_byteen_ext_m <= _T_34 @[lsu_bus_intf.scala 159:27] + node _T_35 = bits(ldst_byteen_r, 3, 0) @[lsu_bus_intf.scala 160:43] + node _T_36 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_intf.scala 160:65] + node _T_37 = dshl(_T_35, _T_36) @[lsu_bus_intf.scala 160:49] + ldst_byteen_ext_r <= _T_37 @[lsu_bus_intf.scala 160:27] + node _T_38 = bits(io.store_data_r, 31, 0) @[lsu_bus_intf.scala 161:45] + node _T_39 = bits(io.lsu_addr_r, 1, 0) @[lsu_bus_intf.scala 161:72] node _T_40 = cat(_T_39, UInt<3>("h00")) @[Cat.scala 29:58] - node _T_41 = dshl(_T_38, _T_40) @[lsu_bus_intf.scala 162:52] - store_data_ext_r <= _T_41 @[lsu_bus_intf.scala 162:27] - node _T_42 = bits(ldst_byteen_ext_m, 7, 4) @[lsu_bus_intf.scala 163:47] - ldst_byteen_hi_m <= _T_42 @[lsu_bus_intf.scala 163:27] - node _T_43 = bits(ldst_byteen_ext_m, 3, 0) @[lsu_bus_intf.scala 164:47] - ldst_byteen_lo_m <= _T_43 @[lsu_bus_intf.scala 164:27] - node _T_44 = bits(ldst_byteen_ext_r, 7, 4) @[lsu_bus_intf.scala 165:47] - ldst_byteen_hi_r <= _T_44 @[lsu_bus_intf.scala 165:27] - node _T_45 = bits(ldst_byteen_ext_r, 3, 0) @[lsu_bus_intf.scala 166:47] - ldst_byteen_lo_r <= _T_45 @[lsu_bus_intf.scala 166:27] - node _T_46 = bits(store_data_ext_r, 63, 32) @[lsu_bus_intf.scala 168:46] - store_data_hi_r <= _T_46 @[lsu_bus_intf.scala 168:27] - node _T_47 = bits(store_data_ext_r, 31, 0) @[lsu_bus_intf.scala 169:46] - store_data_lo_r <= _T_47 @[lsu_bus_intf.scala 169:27] - node _T_48 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_intf.scala 170:44] - node _T_49 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_intf.scala 170:68] - node _T_50 = eq(_T_48, _T_49) @[lsu_bus_intf.scala 170:51] - node _T_51 = and(_T_50, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 170:76] - node _T_52 = and(_T_51, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 170:97] - node _T_53 = and(_T_52, io.lsu_busreq_m) @[lsu_bus_intf.scala 170:123] - ld_addr_rhit_lo_lo <= _T_53 @[lsu_bus_intf.scala 170:27] - node _T_54 = bits(io.end_addr_m, 31, 2) @[lsu_bus_intf.scala 171:44] - node _T_55 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_intf.scala 171:68] - node _T_56 = eq(_T_54, _T_55) @[lsu_bus_intf.scala 171:51] - node _T_57 = and(_T_56, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 171:76] - node _T_58 = and(_T_57, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 171:97] - node _T_59 = and(_T_58, io.lsu_busreq_m) @[lsu_bus_intf.scala 171:123] - ld_addr_rhit_lo_hi <= _T_59 @[lsu_bus_intf.scala 171:27] - node _T_60 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_intf.scala 172:44] - node _T_61 = bits(io.end_addr_r, 31, 2) @[lsu_bus_intf.scala 172:68] - node _T_62 = eq(_T_60, _T_61) @[lsu_bus_intf.scala 172:51] - node _T_63 = and(_T_62, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 172:76] - node _T_64 = and(_T_63, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 172:97] - node _T_65 = and(_T_64, io.lsu_busreq_m) @[lsu_bus_intf.scala 172:123] - ld_addr_rhit_hi_lo <= _T_65 @[lsu_bus_intf.scala 172:27] - node _T_66 = bits(io.end_addr_m, 31, 2) @[lsu_bus_intf.scala 173:44] - node _T_67 = bits(io.end_addr_r, 31, 2) @[lsu_bus_intf.scala 173:68] - node _T_68 = eq(_T_66, _T_67) @[lsu_bus_intf.scala 173:51] - node _T_69 = and(_T_68, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 173:76] - node _T_70 = and(_T_69, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 173:97] - node _T_71 = and(_T_70, io.lsu_busreq_m) @[lsu_bus_intf.scala 173:123] - ld_addr_rhit_hi_hi <= _T_71 @[lsu_bus_intf.scala 173:27] - node _T_72 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_intf.scala 175:88] - node _T_73 = and(ld_addr_rhit_lo_lo, _T_72) @[lsu_bus_intf.scala 175:70] - node _T_74 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 175:110] - node _T_75 = and(_T_73, _T_74) @[lsu_bus_intf.scala 175:92] - node _T_76 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_intf.scala 175:88] - node _T_77 = and(ld_addr_rhit_lo_lo, _T_76) @[lsu_bus_intf.scala 175:70] - node _T_78 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 175:110] - node _T_79 = and(_T_77, _T_78) @[lsu_bus_intf.scala 175:92] - node _T_80 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_intf.scala 175:88] - node _T_81 = and(ld_addr_rhit_lo_lo, _T_80) @[lsu_bus_intf.scala 175:70] - node _T_82 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 175:110] - node _T_83 = and(_T_81, _T_82) @[lsu_bus_intf.scala 175:92] - node _T_84 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_intf.scala 175:88] - node _T_85 = and(ld_addr_rhit_lo_lo, _T_84) @[lsu_bus_intf.scala 175:70] - node _T_86 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 175:110] - node _T_87 = and(_T_85, _T_86) @[lsu_bus_intf.scala 175:92] + node _T_41 = dshl(_T_38, _T_40) @[lsu_bus_intf.scala 161:52] + store_data_ext_r <= _T_41 @[lsu_bus_intf.scala 161:27] + node _T_42 = bits(ldst_byteen_ext_m, 7, 4) @[lsu_bus_intf.scala 162:47] + ldst_byteen_hi_m <= _T_42 @[lsu_bus_intf.scala 162:27] + node _T_43 = bits(ldst_byteen_ext_m, 3, 0) @[lsu_bus_intf.scala 163:47] + ldst_byteen_lo_m <= _T_43 @[lsu_bus_intf.scala 163:27] + node _T_44 = bits(ldst_byteen_ext_r, 7, 4) @[lsu_bus_intf.scala 164:47] + ldst_byteen_hi_r <= _T_44 @[lsu_bus_intf.scala 164:27] + node _T_45 = bits(ldst_byteen_ext_r, 3, 0) @[lsu_bus_intf.scala 165:47] + ldst_byteen_lo_r <= _T_45 @[lsu_bus_intf.scala 165:27] + node _T_46 = bits(store_data_ext_r, 63, 32) @[lsu_bus_intf.scala 167:46] + store_data_hi_r <= _T_46 @[lsu_bus_intf.scala 167:27] + node _T_47 = bits(store_data_ext_r, 31, 0) @[lsu_bus_intf.scala 168:46] + store_data_lo_r <= _T_47 @[lsu_bus_intf.scala 168:27] + node _T_48 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_intf.scala 169:44] + node _T_49 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_intf.scala 169:68] + node _T_50 = eq(_T_48, _T_49) @[lsu_bus_intf.scala 169:51] + node _T_51 = and(_T_50, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 169:76] + node _T_52 = and(_T_51, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 169:97] + node _T_53 = and(_T_52, io.lsu_busreq_m) @[lsu_bus_intf.scala 169:123] + ld_addr_rhit_lo_lo <= _T_53 @[lsu_bus_intf.scala 169:27] + node _T_54 = bits(io.end_addr_m, 31, 2) @[lsu_bus_intf.scala 170:44] + node _T_55 = bits(io.lsu_addr_r, 31, 2) @[lsu_bus_intf.scala 170:68] + node _T_56 = eq(_T_54, _T_55) @[lsu_bus_intf.scala 170:51] + node _T_57 = and(_T_56, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 170:76] + node _T_58 = and(_T_57, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 170:97] + node _T_59 = and(_T_58, io.lsu_busreq_m) @[lsu_bus_intf.scala 170:123] + ld_addr_rhit_lo_hi <= _T_59 @[lsu_bus_intf.scala 170:27] + node _T_60 = bits(io.lsu_addr_m, 31, 2) @[lsu_bus_intf.scala 171:44] + node _T_61 = bits(io.end_addr_r, 31, 2) @[lsu_bus_intf.scala 171:68] + node _T_62 = eq(_T_60, _T_61) @[lsu_bus_intf.scala 171:51] + node _T_63 = and(_T_62, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 171:76] + node _T_64 = and(_T_63, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 171:97] + node _T_65 = and(_T_64, io.lsu_busreq_m) @[lsu_bus_intf.scala 171:123] + ld_addr_rhit_hi_lo <= _T_65 @[lsu_bus_intf.scala 171:27] + node _T_66 = bits(io.end_addr_m, 31, 2) @[lsu_bus_intf.scala 172:44] + node _T_67 = bits(io.end_addr_r, 31, 2) @[lsu_bus_intf.scala 172:68] + node _T_68 = eq(_T_66, _T_67) @[lsu_bus_intf.scala 172:51] + node _T_69 = and(_T_68, io.lsu_pkt_r.valid) @[lsu_bus_intf.scala 172:76] + node _T_70 = and(_T_69, io.lsu_pkt_r.bits.store) @[lsu_bus_intf.scala 172:97] + node _T_71 = and(_T_70, io.lsu_busreq_m) @[lsu_bus_intf.scala 172:123] + ld_addr_rhit_hi_hi <= _T_71 @[lsu_bus_intf.scala 172:27] + node _T_72 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_intf.scala 174:88] + node _T_73 = and(ld_addr_rhit_lo_lo, _T_72) @[lsu_bus_intf.scala 174:70] + node _T_74 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 174:110] + node _T_75 = and(_T_73, _T_74) @[lsu_bus_intf.scala 174:92] + node _T_76 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_intf.scala 174:88] + node _T_77 = and(ld_addr_rhit_lo_lo, _T_76) @[lsu_bus_intf.scala 174:70] + node _T_78 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 174:110] + node _T_79 = and(_T_77, _T_78) @[lsu_bus_intf.scala 174:92] + node _T_80 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_intf.scala 174:88] + node _T_81 = and(ld_addr_rhit_lo_lo, _T_80) @[lsu_bus_intf.scala 174:70] + node _T_82 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 174:110] + node _T_83 = and(_T_81, _T_82) @[lsu_bus_intf.scala 174:92] + node _T_84 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_intf.scala 174:88] + node _T_85 = and(ld_addr_rhit_lo_lo, _T_84) @[lsu_bus_intf.scala 174:70] + node _T_86 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 174:110] + node _T_87 = and(_T_85, _T_86) @[lsu_bus_intf.scala 174:92] node _T_88 = cat(_T_87, _T_83) @[Cat.scala 29:58] node _T_89 = cat(_T_88, _T_79) @[Cat.scala 29:58] node _T_90 = cat(_T_89, _T_75) @[Cat.scala 29:58] - ld_byte_rhit_lo_lo <= _T_90 @[lsu_bus_intf.scala 175:27] - node _T_91 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_intf.scala 176:88] - node _T_92 = and(ld_addr_rhit_lo_hi, _T_91) @[lsu_bus_intf.scala 176:70] - node _T_93 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 176:110] - node _T_94 = and(_T_92, _T_93) @[lsu_bus_intf.scala 176:92] - node _T_95 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_intf.scala 176:88] - node _T_96 = and(ld_addr_rhit_lo_hi, _T_95) @[lsu_bus_intf.scala 176:70] - node _T_97 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 176:110] - node _T_98 = and(_T_96, _T_97) @[lsu_bus_intf.scala 176:92] - node _T_99 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_intf.scala 176:88] - node _T_100 = and(ld_addr_rhit_lo_hi, _T_99) @[lsu_bus_intf.scala 176:70] - node _T_101 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 176:110] - node _T_102 = and(_T_100, _T_101) @[lsu_bus_intf.scala 176:92] - node _T_103 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_intf.scala 176:88] - node _T_104 = and(ld_addr_rhit_lo_hi, _T_103) @[lsu_bus_intf.scala 176:70] - node _T_105 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 176:110] - node _T_106 = and(_T_104, _T_105) @[lsu_bus_intf.scala 176:92] + ld_byte_rhit_lo_lo <= _T_90 @[lsu_bus_intf.scala 174:27] + node _T_91 = bits(ldst_byteen_lo_r, 0, 0) @[lsu_bus_intf.scala 175:88] + node _T_92 = and(ld_addr_rhit_lo_hi, _T_91) @[lsu_bus_intf.scala 175:70] + node _T_93 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 175:110] + node _T_94 = and(_T_92, _T_93) @[lsu_bus_intf.scala 175:92] + node _T_95 = bits(ldst_byteen_lo_r, 1, 1) @[lsu_bus_intf.scala 175:88] + node _T_96 = and(ld_addr_rhit_lo_hi, _T_95) @[lsu_bus_intf.scala 175:70] + node _T_97 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 175:110] + node _T_98 = and(_T_96, _T_97) @[lsu_bus_intf.scala 175:92] + node _T_99 = bits(ldst_byteen_lo_r, 2, 2) @[lsu_bus_intf.scala 175:88] + node _T_100 = and(ld_addr_rhit_lo_hi, _T_99) @[lsu_bus_intf.scala 175:70] + node _T_101 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 175:110] + node _T_102 = and(_T_100, _T_101) @[lsu_bus_intf.scala 175:92] + node _T_103 = bits(ldst_byteen_lo_r, 3, 3) @[lsu_bus_intf.scala 175:88] + node _T_104 = and(ld_addr_rhit_lo_hi, _T_103) @[lsu_bus_intf.scala 175:70] + node _T_105 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 175:110] + node _T_106 = and(_T_104, _T_105) @[lsu_bus_intf.scala 175:92] node _T_107 = cat(_T_106, _T_102) @[Cat.scala 29:58] node _T_108 = cat(_T_107, _T_98) @[Cat.scala 29:58] node _T_109 = cat(_T_108, _T_94) @[Cat.scala 29:58] - ld_byte_rhit_lo_hi <= _T_109 @[lsu_bus_intf.scala 176:27] - node _T_110 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_bus_intf.scala 177:88] - node _T_111 = and(ld_addr_rhit_hi_lo, _T_110) @[lsu_bus_intf.scala 177:70] - node _T_112 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 177:110] - node _T_113 = and(_T_111, _T_112) @[lsu_bus_intf.scala 177:92] - node _T_114 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_bus_intf.scala 177:88] - node _T_115 = and(ld_addr_rhit_hi_lo, _T_114) @[lsu_bus_intf.scala 177:70] - node _T_116 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 177:110] - node _T_117 = and(_T_115, _T_116) @[lsu_bus_intf.scala 177:92] - node _T_118 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_bus_intf.scala 177:88] - node _T_119 = and(ld_addr_rhit_hi_lo, _T_118) @[lsu_bus_intf.scala 177:70] - node _T_120 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 177:110] - node _T_121 = and(_T_119, _T_120) @[lsu_bus_intf.scala 177:92] - node _T_122 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_bus_intf.scala 177:88] - node _T_123 = and(ld_addr_rhit_hi_lo, _T_122) @[lsu_bus_intf.scala 177:70] - node _T_124 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 177:110] - node _T_125 = and(_T_123, _T_124) @[lsu_bus_intf.scala 177:92] + ld_byte_rhit_lo_hi <= _T_109 @[lsu_bus_intf.scala 175:27] + node _T_110 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_bus_intf.scala 176:88] + node _T_111 = and(ld_addr_rhit_hi_lo, _T_110) @[lsu_bus_intf.scala 176:70] + node _T_112 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 176:110] + node _T_113 = and(_T_111, _T_112) @[lsu_bus_intf.scala 176:92] + node _T_114 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_bus_intf.scala 176:88] + node _T_115 = and(ld_addr_rhit_hi_lo, _T_114) @[lsu_bus_intf.scala 176:70] + node _T_116 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 176:110] + node _T_117 = and(_T_115, _T_116) @[lsu_bus_intf.scala 176:92] + node _T_118 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_bus_intf.scala 176:88] + node _T_119 = and(ld_addr_rhit_hi_lo, _T_118) @[lsu_bus_intf.scala 176:70] + node _T_120 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 176:110] + node _T_121 = and(_T_119, _T_120) @[lsu_bus_intf.scala 176:92] + node _T_122 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_bus_intf.scala 176:88] + node _T_123 = and(ld_addr_rhit_hi_lo, _T_122) @[lsu_bus_intf.scala 176:70] + node _T_124 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 176:110] + node _T_125 = and(_T_123, _T_124) @[lsu_bus_intf.scala 176:92] node _T_126 = cat(_T_125, _T_121) @[Cat.scala 29:58] node _T_127 = cat(_T_126, _T_117) @[Cat.scala 29:58] node _T_128 = cat(_T_127, _T_113) @[Cat.scala 29:58] - ld_byte_rhit_hi_lo <= _T_128 @[lsu_bus_intf.scala 177:27] - node _T_129 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_bus_intf.scala 178:88] - node _T_130 = and(ld_addr_rhit_hi_hi, _T_129) @[lsu_bus_intf.scala 178:70] - node _T_131 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 178:110] - node _T_132 = and(_T_130, _T_131) @[lsu_bus_intf.scala 178:92] - node _T_133 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_bus_intf.scala 178:88] - node _T_134 = and(ld_addr_rhit_hi_hi, _T_133) @[lsu_bus_intf.scala 178:70] - node _T_135 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 178:110] - node _T_136 = and(_T_134, _T_135) @[lsu_bus_intf.scala 178:92] - node _T_137 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_bus_intf.scala 178:88] - node _T_138 = and(ld_addr_rhit_hi_hi, _T_137) @[lsu_bus_intf.scala 178:70] - node _T_139 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 178:110] - node _T_140 = and(_T_138, _T_139) @[lsu_bus_intf.scala 178:92] - node _T_141 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_bus_intf.scala 178:88] - node _T_142 = and(ld_addr_rhit_hi_hi, _T_141) @[lsu_bus_intf.scala 178:70] - node _T_143 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 178:110] - node _T_144 = and(_T_142, _T_143) @[lsu_bus_intf.scala 178:92] + ld_byte_rhit_hi_lo <= _T_128 @[lsu_bus_intf.scala 176:27] + node _T_129 = bits(ldst_byteen_hi_r, 0, 0) @[lsu_bus_intf.scala 177:88] + node _T_130 = and(ld_addr_rhit_hi_hi, _T_129) @[lsu_bus_intf.scala 177:70] + node _T_131 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 177:110] + node _T_132 = and(_T_130, _T_131) @[lsu_bus_intf.scala 177:92] + node _T_133 = bits(ldst_byteen_hi_r, 1, 1) @[lsu_bus_intf.scala 177:88] + node _T_134 = and(ld_addr_rhit_hi_hi, _T_133) @[lsu_bus_intf.scala 177:70] + node _T_135 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 177:110] + node _T_136 = and(_T_134, _T_135) @[lsu_bus_intf.scala 177:92] + node _T_137 = bits(ldst_byteen_hi_r, 2, 2) @[lsu_bus_intf.scala 177:88] + node _T_138 = and(ld_addr_rhit_hi_hi, _T_137) @[lsu_bus_intf.scala 177:70] + node _T_139 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 177:110] + node _T_140 = and(_T_138, _T_139) @[lsu_bus_intf.scala 177:92] + node _T_141 = bits(ldst_byteen_hi_r, 3, 3) @[lsu_bus_intf.scala 177:88] + node _T_142 = and(ld_addr_rhit_hi_hi, _T_141) @[lsu_bus_intf.scala 177:70] + node _T_143 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 177:110] + node _T_144 = and(_T_142, _T_143) @[lsu_bus_intf.scala 177:92] node _T_145 = cat(_T_144, _T_140) @[Cat.scala 29:58] node _T_146 = cat(_T_145, _T_136) @[Cat.scala 29:58] node _T_147 = cat(_T_146, _T_132) @[Cat.scala 29:58] - ld_byte_rhit_hi_hi <= _T_147 @[lsu_bus_intf.scala 178:27] - node _T_148 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 180:69] - node _T_149 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 180:93] - node _T_150 = or(_T_148, _T_149) @[lsu_bus_intf.scala 180:73] - node _T_151 = bits(ld_byte_hit_buf_lo, 0, 0) @[lsu_bus_intf.scala 180:117] - node _T_152 = or(_T_150, _T_151) @[lsu_bus_intf.scala 180:97] - node _T_153 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 180:69] - node _T_154 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 180:93] - node _T_155 = or(_T_153, _T_154) @[lsu_bus_intf.scala 180:73] - node _T_156 = bits(ld_byte_hit_buf_lo, 1, 1) @[lsu_bus_intf.scala 180:117] - node _T_157 = or(_T_155, _T_156) @[lsu_bus_intf.scala 180:97] - node _T_158 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 180:69] - node _T_159 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 180:93] - node _T_160 = or(_T_158, _T_159) @[lsu_bus_intf.scala 180:73] - node _T_161 = bits(ld_byte_hit_buf_lo, 2, 2) @[lsu_bus_intf.scala 180:117] - node _T_162 = or(_T_160, _T_161) @[lsu_bus_intf.scala 180:97] - node _T_163 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 180:69] - node _T_164 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 180:93] - node _T_165 = or(_T_163, _T_164) @[lsu_bus_intf.scala 180:73] - node _T_166 = bits(ld_byte_hit_buf_lo, 3, 3) @[lsu_bus_intf.scala 180:117] - node _T_167 = or(_T_165, _T_166) @[lsu_bus_intf.scala 180:97] + ld_byte_rhit_hi_hi <= _T_147 @[lsu_bus_intf.scala 177:27] + node _T_148 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 179:69] + node _T_149 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 179:93] + node _T_150 = or(_T_148, _T_149) @[lsu_bus_intf.scala 179:73] + node _T_151 = bits(ld_byte_hit_buf_lo, 0, 0) @[lsu_bus_intf.scala 179:117] + node _T_152 = or(_T_150, _T_151) @[lsu_bus_intf.scala 179:97] + node _T_153 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 179:69] + node _T_154 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 179:93] + node _T_155 = or(_T_153, _T_154) @[lsu_bus_intf.scala 179:73] + node _T_156 = bits(ld_byte_hit_buf_lo, 1, 1) @[lsu_bus_intf.scala 179:117] + node _T_157 = or(_T_155, _T_156) @[lsu_bus_intf.scala 179:97] + node _T_158 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 179:69] + node _T_159 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 179:93] + node _T_160 = or(_T_158, _T_159) @[lsu_bus_intf.scala 179:73] + node _T_161 = bits(ld_byte_hit_buf_lo, 2, 2) @[lsu_bus_intf.scala 179:117] + node _T_162 = or(_T_160, _T_161) @[lsu_bus_intf.scala 179:97] + node _T_163 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 179:69] + node _T_164 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 179:93] + node _T_165 = or(_T_163, _T_164) @[lsu_bus_intf.scala 179:73] + node _T_166 = bits(ld_byte_hit_buf_lo, 3, 3) @[lsu_bus_intf.scala 179:117] + node _T_167 = or(_T_165, _T_166) @[lsu_bus_intf.scala 179:97] node _T_168 = cat(_T_167, _T_162) @[Cat.scala 29:58] node _T_169 = cat(_T_168, _T_157) @[Cat.scala 29:58] node _T_170 = cat(_T_169, _T_152) @[Cat.scala 29:58] - ld_byte_hit_lo <= _T_170 @[lsu_bus_intf.scala 180:27] - node _T_171 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 181:69] - node _T_172 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 181:93] - node _T_173 = or(_T_171, _T_172) @[lsu_bus_intf.scala 181:73] - node _T_174 = bits(ld_byte_hit_buf_hi, 0, 0) @[lsu_bus_intf.scala 181:117] - node _T_175 = or(_T_173, _T_174) @[lsu_bus_intf.scala 181:97] - node _T_176 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 181:69] - node _T_177 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 181:93] - node _T_178 = or(_T_176, _T_177) @[lsu_bus_intf.scala 181:73] - node _T_179 = bits(ld_byte_hit_buf_hi, 1, 1) @[lsu_bus_intf.scala 181:117] - node _T_180 = or(_T_178, _T_179) @[lsu_bus_intf.scala 181:97] - node _T_181 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 181:69] - node _T_182 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 181:93] - node _T_183 = or(_T_181, _T_182) @[lsu_bus_intf.scala 181:73] - node _T_184 = bits(ld_byte_hit_buf_hi, 2, 2) @[lsu_bus_intf.scala 181:117] - node _T_185 = or(_T_183, _T_184) @[lsu_bus_intf.scala 181:97] - node _T_186 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 181:69] - node _T_187 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 181:93] - node _T_188 = or(_T_186, _T_187) @[lsu_bus_intf.scala 181:73] - node _T_189 = bits(ld_byte_hit_buf_hi, 3, 3) @[lsu_bus_intf.scala 181:117] - node _T_190 = or(_T_188, _T_189) @[lsu_bus_intf.scala 181:97] + ld_byte_hit_lo <= _T_170 @[lsu_bus_intf.scala 179:27] + node _T_171 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 180:69] + node _T_172 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 180:93] + node _T_173 = or(_T_171, _T_172) @[lsu_bus_intf.scala 180:73] + node _T_174 = bits(ld_byte_hit_buf_hi, 0, 0) @[lsu_bus_intf.scala 180:117] + node _T_175 = or(_T_173, _T_174) @[lsu_bus_intf.scala 180:97] + node _T_176 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 180:69] + node _T_177 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 180:93] + node _T_178 = or(_T_176, _T_177) @[lsu_bus_intf.scala 180:73] + node _T_179 = bits(ld_byte_hit_buf_hi, 1, 1) @[lsu_bus_intf.scala 180:117] + node _T_180 = or(_T_178, _T_179) @[lsu_bus_intf.scala 180:97] + node _T_181 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 180:69] + node _T_182 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 180:93] + node _T_183 = or(_T_181, _T_182) @[lsu_bus_intf.scala 180:73] + node _T_184 = bits(ld_byte_hit_buf_hi, 2, 2) @[lsu_bus_intf.scala 180:117] + node _T_185 = or(_T_183, _T_184) @[lsu_bus_intf.scala 180:97] + node _T_186 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 180:69] + node _T_187 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 180:93] + node _T_188 = or(_T_186, _T_187) @[lsu_bus_intf.scala 180:73] + node _T_189 = bits(ld_byte_hit_buf_hi, 3, 3) @[lsu_bus_intf.scala 180:117] + node _T_190 = or(_T_188, _T_189) @[lsu_bus_intf.scala 180:97] node _T_191 = cat(_T_190, _T_185) @[Cat.scala 29:58] node _T_192 = cat(_T_191, _T_180) @[Cat.scala 29:58] node _T_193 = cat(_T_192, _T_175) @[Cat.scala 29:58] - ld_byte_hit_hi <= _T_193 @[lsu_bus_intf.scala 181:27] - node _T_194 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 182:69] - node _T_195 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 182:93] - node _T_196 = or(_T_194, _T_195) @[lsu_bus_intf.scala 182:73] - node _T_197 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 182:69] - node _T_198 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 182:93] - node _T_199 = or(_T_197, _T_198) @[lsu_bus_intf.scala 182:73] - node _T_200 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 182:69] - node _T_201 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 182:93] - node _T_202 = or(_T_200, _T_201) @[lsu_bus_intf.scala 182:73] - node _T_203 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 182:69] - node _T_204 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 182:93] - node _T_205 = or(_T_203, _T_204) @[lsu_bus_intf.scala 182:73] + ld_byte_hit_hi <= _T_193 @[lsu_bus_intf.scala 180:27] + node _T_194 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 181:69] + node _T_195 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 181:93] + node _T_196 = or(_T_194, _T_195) @[lsu_bus_intf.scala 181:73] + node _T_197 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 181:69] + node _T_198 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 181:93] + node _T_199 = or(_T_197, _T_198) @[lsu_bus_intf.scala 181:73] + node _T_200 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 181:69] + node _T_201 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 181:93] + node _T_202 = or(_T_200, _T_201) @[lsu_bus_intf.scala 181:73] + node _T_203 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 181:69] + node _T_204 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 181:93] + node _T_205 = or(_T_203, _T_204) @[lsu_bus_intf.scala 181:73] node _T_206 = cat(_T_205, _T_202) @[Cat.scala 29:58] node _T_207 = cat(_T_206, _T_199) @[Cat.scala 29:58] node _T_208 = cat(_T_207, _T_196) @[Cat.scala 29:58] - ld_byte_rhit_lo <= _T_208 @[lsu_bus_intf.scala 182:27] - node _T_209 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 183:69] - node _T_210 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 183:93] - node _T_211 = or(_T_209, _T_210) @[lsu_bus_intf.scala 183:73] - node _T_212 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 183:69] - node _T_213 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 183:93] - node _T_214 = or(_T_212, _T_213) @[lsu_bus_intf.scala 183:73] - node _T_215 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 183:69] - node _T_216 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 183:93] - node _T_217 = or(_T_215, _T_216) @[lsu_bus_intf.scala 183:73] - node _T_218 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 183:69] - node _T_219 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 183:93] - node _T_220 = or(_T_218, _T_219) @[lsu_bus_intf.scala 183:73] + ld_byte_rhit_lo <= _T_208 @[lsu_bus_intf.scala 181:27] + node _T_209 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 182:69] + node _T_210 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 182:93] + node _T_211 = or(_T_209, _T_210) @[lsu_bus_intf.scala 182:73] + node _T_212 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 182:69] + node _T_213 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 182:93] + node _T_214 = or(_T_212, _T_213) @[lsu_bus_intf.scala 182:73] + node _T_215 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 182:69] + node _T_216 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 182:93] + node _T_217 = or(_T_215, _T_216) @[lsu_bus_intf.scala 182:73] + node _T_218 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 182:69] + node _T_219 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 182:93] + node _T_220 = or(_T_218, _T_219) @[lsu_bus_intf.scala 182:73] node _T_221 = cat(_T_220, _T_217) @[Cat.scala 29:58] node _T_222 = cat(_T_221, _T_214) @[Cat.scala 29:58] node _T_223 = cat(_T_222, _T_211) @[Cat.scala 29:58] - ld_byte_rhit_hi <= _T_223 @[lsu_bus_intf.scala 183:27] - node _T_224 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 184:79] - node _T_225 = bits(store_data_lo_r, 7, 0) @[lsu_bus_intf.scala 184:101] - node _T_226 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 184:136] - node _T_227 = bits(store_data_hi_r, 7, 0) @[lsu_bus_intf.scala 184:158] + ld_byte_rhit_hi <= _T_223 @[lsu_bus_intf.scala 182:27] + node _T_224 = bits(ld_byte_rhit_lo_lo, 0, 0) @[lsu_bus_intf.scala 183:79] + node _T_225 = bits(store_data_lo_r, 7, 0) @[lsu_bus_intf.scala 183:101] + node _T_226 = bits(ld_byte_rhit_hi_lo, 0, 0) @[lsu_bus_intf.scala 183:136] + node _T_227 = bits(store_data_hi_r, 7, 0) @[lsu_bus_intf.scala 183:158] node _T_228 = mux(_T_224, _T_225, UInt<1>("h00")) @[Mux.scala 27:72] node _T_229 = mux(_T_226, _T_227, UInt<1>("h00")) @[Mux.scala 27:72] node _T_230 = or(_T_228, _T_229) @[Mux.scala 27:72] wire _T_231 : UInt<8> @[Mux.scala 27:72] _T_231 <= _T_230 @[Mux.scala 27:72] - node _T_232 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 184:79] - node _T_233 = bits(store_data_lo_r, 15, 8) @[lsu_bus_intf.scala 184:101] - node _T_234 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 184:136] - node _T_235 = bits(store_data_hi_r, 15, 8) @[lsu_bus_intf.scala 184:158] + node _T_232 = bits(ld_byte_rhit_lo_lo, 1, 1) @[lsu_bus_intf.scala 183:79] + node _T_233 = bits(store_data_lo_r, 15, 8) @[lsu_bus_intf.scala 183:101] + node _T_234 = bits(ld_byte_rhit_hi_lo, 1, 1) @[lsu_bus_intf.scala 183:136] + node _T_235 = bits(store_data_hi_r, 15, 8) @[lsu_bus_intf.scala 183:158] node _T_236 = mux(_T_232, _T_233, UInt<1>("h00")) @[Mux.scala 27:72] node _T_237 = mux(_T_234, _T_235, UInt<1>("h00")) @[Mux.scala 27:72] node _T_238 = or(_T_236, _T_237) @[Mux.scala 27:72] wire _T_239 : UInt<8> @[Mux.scala 27:72] _T_239 <= _T_238 @[Mux.scala 27:72] - node _T_240 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 184:79] - node _T_241 = bits(store_data_lo_r, 23, 16) @[lsu_bus_intf.scala 184:101] - node _T_242 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 184:136] - node _T_243 = bits(store_data_hi_r, 23, 16) @[lsu_bus_intf.scala 184:158] + node _T_240 = bits(ld_byte_rhit_lo_lo, 2, 2) @[lsu_bus_intf.scala 183:79] + node _T_241 = bits(store_data_lo_r, 23, 16) @[lsu_bus_intf.scala 183:101] + node _T_242 = bits(ld_byte_rhit_hi_lo, 2, 2) @[lsu_bus_intf.scala 183:136] + node _T_243 = bits(store_data_hi_r, 23, 16) @[lsu_bus_intf.scala 183:158] node _T_244 = mux(_T_240, _T_241, UInt<1>("h00")) @[Mux.scala 27:72] node _T_245 = mux(_T_242, _T_243, UInt<1>("h00")) @[Mux.scala 27:72] node _T_246 = or(_T_244, _T_245) @[Mux.scala 27:72] wire _T_247 : UInt<8> @[Mux.scala 27:72] _T_247 <= _T_246 @[Mux.scala 27:72] - node _T_248 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 184:79] - node _T_249 = bits(store_data_lo_r, 31, 24) @[lsu_bus_intf.scala 184:101] - node _T_250 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 184:136] - node _T_251 = bits(store_data_hi_r, 31, 24) @[lsu_bus_intf.scala 184:158] + node _T_248 = bits(ld_byte_rhit_lo_lo, 3, 3) @[lsu_bus_intf.scala 183:79] + node _T_249 = bits(store_data_lo_r, 31, 24) @[lsu_bus_intf.scala 183:101] + node _T_250 = bits(ld_byte_rhit_hi_lo, 3, 3) @[lsu_bus_intf.scala 183:136] + node _T_251 = bits(store_data_hi_r, 31, 24) @[lsu_bus_intf.scala 183:158] node _T_252 = mux(_T_248, _T_249, UInt<1>("h00")) @[Mux.scala 27:72] node _T_253 = mux(_T_250, _T_251, UInt<1>("h00")) @[Mux.scala 27:72] node _T_254 = or(_T_252, _T_253) @[Mux.scala 27:72] @@ -101664,38 +101671,38 @@ circuit quasar_wrapper : node _T_256 = cat(_T_255, _T_247) @[Cat.scala 29:58] node _T_257 = cat(_T_256, _T_239) @[Cat.scala 29:58] node _T_258 = cat(_T_257, _T_231) @[Cat.scala 29:58] - ld_fwddata_rpipe_lo <= _T_258 @[lsu_bus_intf.scala 184:27] - node _T_259 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 185:79] - node _T_260 = bits(store_data_lo_r, 7, 0) @[lsu_bus_intf.scala 185:101] - node _T_261 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 185:136] - node _T_262 = bits(store_data_hi_r, 7, 0) @[lsu_bus_intf.scala 185:158] + ld_fwddata_rpipe_lo <= _T_258 @[lsu_bus_intf.scala 183:27] + node _T_259 = bits(ld_byte_rhit_lo_hi, 0, 0) @[lsu_bus_intf.scala 184:79] + node _T_260 = bits(store_data_lo_r, 7, 0) @[lsu_bus_intf.scala 184:101] + node _T_261 = bits(ld_byte_rhit_hi_hi, 0, 0) @[lsu_bus_intf.scala 184:136] + node _T_262 = bits(store_data_hi_r, 7, 0) @[lsu_bus_intf.scala 184:158] node _T_263 = mux(_T_259, _T_260, UInt<1>("h00")) @[Mux.scala 27:72] node _T_264 = mux(_T_261, _T_262, UInt<1>("h00")) @[Mux.scala 27:72] node _T_265 = or(_T_263, _T_264) @[Mux.scala 27:72] wire _T_266 : UInt<8> @[Mux.scala 27:72] _T_266 <= _T_265 @[Mux.scala 27:72] - node _T_267 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 185:79] - node _T_268 = bits(store_data_lo_r, 15, 8) @[lsu_bus_intf.scala 185:101] - node _T_269 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 185:136] - node _T_270 = bits(store_data_hi_r, 15, 8) @[lsu_bus_intf.scala 185:158] + node _T_267 = bits(ld_byte_rhit_lo_hi, 1, 1) @[lsu_bus_intf.scala 184:79] + node _T_268 = bits(store_data_lo_r, 15, 8) @[lsu_bus_intf.scala 184:101] + node _T_269 = bits(ld_byte_rhit_hi_hi, 1, 1) @[lsu_bus_intf.scala 184:136] + node _T_270 = bits(store_data_hi_r, 15, 8) @[lsu_bus_intf.scala 184:158] node _T_271 = mux(_T_267, _T_268, UInt<1>("h00")) @[Mux.scala 27:72] node _T_272 = mux(_T_269, _T_270, UInt<1>("h00")) @[Mux.scala 27:72] node _T_273 = or(_T_271, _T_272) @[Mux.scala 27:72] wire _T_274 : UInt<8> @[Mux.scala 27:72] _T_274 <= _T_273 @[Mux.scala 27:72] - node _T_275 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 185:79] - node _T_276 = bits(store_data_lo_r, 23, 16) @[lsu_bus_intf.scala 185:101] - node _T_277 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 185:136] - node _T_278 = bits(store_data_hi_r, 23, 16) @[lsu_bus_intf.scala 185:158] + node _T_275 = bits(ld_byte_rhit_lo_hi, 2, 2) @[lsu_bus_intf.scala 184:79] + node _T_276 = bits(store_data_lo_r, 23, 16) @[lsu_bus_intf.scala 184:101] + node _T_277 = bits(ld_byte_rhit_hi_hi, 2, 2) @[lsu_bus_intf.scala 184:136] + node _T_278 = bits(store_data_hi_r, 23, 16) @[lsu_bus_intf.scala 184:158] node _T_279 = mux(_T_275, _T_276, UInt<1>("h00")) @[Mux.scala 27:72] node _T_280 = mux(_T_277, _T_278, UInt<1>("h00")) @[Mux.scala 27:72] node _T_281 = or(_T_279, _T_280) @[Mux.scala 27:72] wire _T_282 : UInt<8> @[Mux.scala 27:72] _T_282 <= _T_281 @[Mux.scala 27:72] - node _T_283 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 185:79] - node _T_284 = bits(store_data_lo_r, 31, 24) @[lsu_bus_intf.scala 185:101] - node _T_285 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 185:136] - node _T_286 = bits(store_data_hi_r, 31, 24) @[lsu_bus_intf.scala 185:158] + node _T_283 = bits(ld_byte_rhit_lo_hi, 3, 3) @[lsu_bus_intf.scala 184:79] + node _T_284 = bits(store_data_lo_r, 31, 24) @[lsu_bus_intf.scala 184:101] + node _T_285 = bits(ld_byte_rhit_hi_hi, 3, 3) @[lsu_bus_intf.scala 184:136] + node _T_286 = bits(store_data_hi_r, 31, 24) @[lsu_bus_intf.scala 184:158] node _T_287 = mux(_T_283, _T_284, UInt<1>("h00")) @[Mux.scala 27:72] node _T_288 = mux(_T_285, _T_286, UInt<1>("h00")) @[Mux.scala 27:72] node _T_289 = or(_T_287, _T_288) @[Mux.scala 27:72] @@ -101704,117 +101711,117 @@ circuit quasar_wrapper : node _T_291 = cat(_T_290, _T_282) @[Cat.scala 29:58] node _T_292 = cat(_T_291, _T_274) @[Cat.scala 29:58] node _T_293 = cat(_T_292, _T_266) @[Cat.scala 29:58] - ld_fwddata_rpipe_hi <= _T_293 @[lsu_bus_intf.scala 185:27] - node _T_294 = bits(ld_byte_rhit_lo, 0, 0) @[lsu_bus_intf.scala 186:70] - node _T_295 = bits(ld_fwddata_rpipe_lo, 7, 0) @[lsu_bus_intf.scala 186:94] - node _T_296 = bits(ld_fwddata_buf_lo, 7, 0) @[lsu_bus_intf.scala 186:128] - node _T_297 = mux(_T_294, _T_295, _T_296) @[lsu_bus_intf.scala 186:54] - node _T_298 = bits(ld_byte_rhit_lo, 1, 1) @[lsu_bus_intf.scala 186:70] - node _T_299 = bits(ld_fwddata_rpipe_lo, 15, 8) @[lsu_bus_intf.scala 186:94] - node _T_300 = bits(ld_fwddata_buf_lo, 15, 8) @[lsu_bus_intf.scala 186:128] - node _T_301 = mux(_T_298, _T_299, _T_300) @[lsu_bus_intf.scala 186:54] - node _T_302 = bits(ld_byte_rhit_lo, 2, 2) @[lsu_bus_intf.scala 186:70] - node _T_303 = bits(ld_fwddata_rpipe_lo, 23, 16) @[lsu_bus_intf.scala 186:94] - node _T_304 = bits(ld_fwddata_buf_lo, 23, 16) @[lsu_bus_intf.scala 186:128] - node _T_305 = mux(_T_302, _T_303, _T_304) @[lsu_bus_intf.scala 186:54] - node _T_306 = bits(ld_byte_rhit_lo, 3, 3) @[lsu_bus_intf.scala 186:70] - node _T_307 = bits(ld_fwddata_rpipe_lo, 31, 24) @[lsu_bus_intf.scala 186:94] - node _T_308 = bits(ld_fwddata_buf_lo, 31, 24) @[lsu_bus_intf.scala 186:128] - node _T_309 = mux(_T_306, _T_307, _T_308) @[lsu_bus_intf.scala 186:54] + ld_fwddata_rpipe_hi <= _T_293 @[lsu_bus_intf.scala 184:27] + node _T_294 = bits(ld_byte_rhit_lo, 0, 0) @[lsu_bus_intf.scala 185:70] + node _T_295 = bits(ld_fwddata_rpipe_lo, 7, 0) @[lsu_bus_intf.scala 185:94] + node _T_296 = bits(ld_fwddata_buf_lo, 7, 0) @[lsu_bus_intf.scala 185:128] + node _T_297 = mux(_T_294, _T_295, _T_296) @[lsu_bus_intf.scala 185:54] + node _T_298 = bits(ld_byte_rhit_lo, 1, 1) @[lsu_bus_intf.scala 185:70] + node _T_299 = bits(ld_fwddata_rpipe_lo, 15, 8) @[lsu_bus_intf.scala 185:94] + node _T_300 = bits(ld_fwddata_buf_lo, 15, 8) @[lsu_bus_intf.scala 185:128] + node _T_301 = mux(_T_298, _T_299, _T_300) @[lsu_bus_intf.scala 185:54] + node _T_302 = bits(ld_byte_rhit_lo, 2, 2) @[lsu_bus_intf.scala 185:70] + node _T_303 = bits(ld_fwddata_rpipe_lo, 23, 16) @[lsu_bus_intf.scala 185:94] + node _T_304 = bits(ld_fwddata_buf_lo, 23, 16) @[lsu_bus_intf.scala 185:128] + node _T_305 = mux(_T_302, _T_303, _T_304) @[lsu_bus_intf.scala 185:54] + node _T_306 = bits(ld_byte_rhit_lo, 3, 3) @[lsu_bus_intf.scala 185:70] + node _T_307 = bits(ld_fwddata_rpipe_lo, 31, 24) @[lsu_bus_intf.scala 185:94] + node _T_308 = bits(ld_fwddata_buf_lo, 31, 24) @[lsu_bus_intf.scala 185:128] + node _T_309 = mux(_T_306, _T_307, _T_308) @[lsu_bus_intf.scala 185:54] node _T_310 = cat(_T_309, _T_305) @[Cat.scala 29:58] node _T_311 = cat(_T_310, _T_301) @[Cat.scala 29:58] node _T_312 = cat(_T_311, _T_297) @[Cat.scala 29:58] - ld_fwddata_lo <= _T_312 @[lsu_bus_intf.scala 186:27] - node _T_313 = bits(ld_byte_rhit_hi, 0, 0) @[lsu_bus_intf.scala 187:70] - node _T_314 = bits(ld_fwddata_rpipe_hi, 7, 0) @[lsu_bus_intf.scala 187:94] - node _T_315 = bits(ld_fwddata_buf_hi, 7, 0) @[lsu_bus_intf.scala 187:128] - node _T_316 = mux(_T_313, _T_314, _T_315) @[lsu_bus_intf.scala 187:54] - node _T_317 = bits(ld_byte_rhit_hi, 1, 1) @[lsu_bus_intf.scala 187:70] - node _T_318 = bits(ld_fwddata_rpipe_hi, 15, 8) @[lsu_bus_intf.scala 187:94] - node _T_319 = bits(ld_fwddata_buf_hi, 15, 8) @[lsu_bus_intf.scala 187:128] - node _T_320 = mux(_T_317, _T_318, _T_319) @[lsu_bus_intf.scala 187:54] - node _T_321 = bits(ld_byte_rhit_hi, 2, 2) @[lsu_bus_intf.scala 187:70] - node _T_322 = bits(ld_fwddata_rpipe_hi, 23, 16) @[lsu_bus_intf.scala 187:94] - node _T_323 = bits(ld_fwddata_buf_hi, 23, 16) @[lsu_bus_intf.scala 187:128] - node _T_324 = mux(_T_321, _T_322, _T_323) @[lsu_bus_intf.scala 187:54] - node _T_325 = bits(ld_byte_rhit_hi, 3, 3) @[lsu_bus_intf.scala 187:70] - node _T_326 = bits(ld_fwddata_rpipe_hi, 31, 24) @[lsu_bus_intf.scala 187:94] - node _T_327 = bits(ld_fwddata_buf_hi, 31, 24) @[lsu_bus_intf.scala 187:128] - node _T_328 = mux(_T_325, _T_326, _T_327) @[lsu_bus_intf.scala 187:54] + ld_fwddata_lo <= _T_312 @[lsu_bus_intf.scala 185:27] + node _T_313 = bits(ld_byte_rhit_hi, 0, 0) @[lsu_bus_intf.scala 186:70] + node _T_314 = bits(ld_fwddata_rpipe_hi, 7, 0) @[lsu_bus_intf.scala 186:94] + node _T_315 = bits(ld_fwddata_buf_hi, 7, 0) @[lsu_bus_intf.scala 186:128] + node _T_316 = mux(_T_313, _T_314, _T_315) @[lsu_bus_intf.scala 186:54] + node _T_317 = bits(ld_byte_rhit_hi, 1, 1) @[lsu_bus_intf.scala 186:70] + node _T_318 = bits(ld_fwddata_rpipe_hi, 15, 8) @[lsu_bus_intf.scala 186:94] + node _T_319 = bits(ld_fwddata_buf_hi, 15, 8) @[lsu_bus_intf.scala 186:128] + node _T_320 = mux(_T_317, _T_318, _T_319) @[lsu_bus_intf.scala 186:54] + node _T_321 = bits(ld_byte_rhit_hi, 2, 2) @[lsu_bus_intf.scala 186:70] + node _T_322 = bits(ld_fwddata_rpipe_hi, 23, 16) @[lsu_bus_intf.scala 186:94] + node _T_323 = bits(ld_fwddata_buf_hi, 23, 16) @[lsu_bus_intf.scala 186:128] + node _T_324 = mux(_T_321, _T_322, _T_323) @[lsu_bus_intf.scala 186:54] + node _T_325 = bits(ld_byte_rhit_hi, 3, 3) @[lsu_bus_intf.scala 186:70] + node _T_326 = bits(ld_fwddata_rpipe_hi, 31, 24) @[lsu_bus_intf.scala 186:94] + node _T_327 = bits(ld_fwddata_buf_hi, 31, 24) @[lsu_bus_intf.scala 186:128] + node _T_328 = mux(_T_325, _T_326, _T_327) @[lsu_bus_intf.scala 186:54] node _T_329 = cat(_T_328, _T_324) @[Cat.scala 29:58] node _T_330 = cat(_T_329, _T_320) @[Cat.scala 29:58] node _T_331 = cat(_T_330, _T_316) @[Cat.scala 29:58] - ld_fwddata_hi <= _T_331 @[lsu_bus_intf.scala 187:27] - node _T_332 = bits(ld_byte_hit_lo, 0, 0) @[lsu_bus_intf.scala 188:66] - node _T_333 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 188:89] - node _T_334 = eq(_T_333, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] - node _T_335 = or(_T_332, _T_334) @[lsu_bus_intf.scala 188:70] - node _T_336 = bits(ld_byte_hit_lo, 1, 1) @[lsu_bus_intf.scala 188:66] - node _T_337 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 188:89] - node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] - node _T_339 = or(_T_336, _T_338) @[lsu_bus_intf.scala 188:70] - node _T_340 = bits(ld_byte_hit_lo, 2, 2) @[lsu_bus_intf.scala 188:66] - node _T_341 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 188:89] - node _T_342 = eq(_T_341, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] - node _T_343 = or(_T_340, _T_342) @[lsu_bus_intf.scala 188:70] - node _T_344 = bits(ld_byte_hit_lo, 3, 3) @[lsu_bus_intf.scala 188:66] - node _T_345 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 188:89] - node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] - node _T_347 = or(_T_344, _T_346) @[lsu_bus_intf.scala 188:70] - node _T_348 = and(_T_335, _T_339) @[lsu_bus_intf.scala 188:111] - node _T_349 = and(_T_348, _T_343) @[lsu_bus_intf.scala 188:111] - node _T_350 = and(_T_349, _T_347) @[lsu_bus_intf.scala 188:111] - ld_full_hit_lo_m <= _T_350 @[lsu_bus_intf.scala 188:27] - node _T_351 = bits(ld_byte_hit_hi, 0, 0) @[lsu_bus_intf.scala 189:66] - node _T_352 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 189:89] - node _T_353 = eq(_T_352, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72] - node _T_354 = or(_T_351, _T_353) @[lsu_bus_intf.scala 189:70] - node _T_355 = bits(ld_byte_hit_hi, 1, 1) @[lsu_bus_intf.scala 189:66] - node _T_356 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 189:89] - node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72] - node _T_358 = or(_T_355, _T_357) @[lsu_bus_intf.scala 189:70] - node _T_359 = bits(ld_byte_hit_hi, 2, 2) @[lsu_bus_intf.scala 189:66] - node _T_360 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 189:89] - node _T_361 = eq(_T_360, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72] - node _T_362 = or(_T_359, _T_361) @[lsu_bus_intf.scala 189:70] - node _T_363 = bits(ld_byte_hit_hi, 3, 3) @[lsu_bus_intf.scala 189:66] - node _T_364 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 189:89] - node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_intf.scala 189:72] - node _T_366 = or(_T_363, _T_365) @[lsu_bus_intf.scala 189:70] - node _T_367 = and(_T_354, _T_358) @[lsu_bus_intf.scala 189:111] - node _T_368 = and(_T_367, _T_362) @[lsu_bus_intf.scala 189:111] - node _T_369 = and(_T_368, _T_366) @[lsu_bus_intf.scala 189:111] - ld_full_hit_hi_m <= _T_369 @[lsu_bus_intf.scala 189:27] - node _T_370 = and(ld_full_hit_lo_m, ld_full_hit_hi_m) @[lsu_bus_intf.scala 190:47] - node _T_371 = and(_T_370, io.lsu_busreq_m) @[lsu_bus_intf.scala 190:66] - node _T_372 = and(_T_371, io.lsu_pkt_m.bits.load) @[lsu_bus_intf.scala 190:84] - node _T_373 = eq(io.is_sideeffects_m, UInt<1>("h00")) @[lsu_bus_intf.scala 190:111] - node _T_374 = and(_T_372, _T_373) @[lsu_bus_intf.scala 190:109] - ld_full_hit_m <= _T_374 @[lsu_bus_intf.scala 190:27] - node _T_375 = bits(ld_fwddata_hi, 31, 0) @[lsu_bus_intf.scala 191:47] - node _T_376 = bits(ld_fwddata_lo, 31, 0) @[lsu_bus_intf.scala 191:68] + ld_fwddata_hi <= _T_331 @[lsu_bus_intf.scala 186:27] + node _T_332 = bits(ld_byte_hit_lo, 0, 0) @[lsu_bus_intf.scala 187:66] + node _T_333 = bits(ldst_byteen_lo_m, 0, 0) @[lsu_bus_intf.scala 187:89] + node _T_334 = eq(_T_333, UInt<1>("h00")) @[lsu_bus_intf.scala 187:72] + node _T_335 = or(_T_332, _T_334) @[lsu_bus_intf.scala 187:70] + node _T_336 = bits(ld_byte_hit_lo, 1, 1) @[lsu_bus_intf.scala 187:66] + node _T_337 = bits(ldst_byteen_lo_m, 1, 1) @[lsu_bus_intf.scala 187:89] + node _T_338 = eq(_T_337, UInt<1>("h00")) @[lsu_bus_intf.scala 187:72] + node _T_339 = or(_T_336, _T_338) @[lsu_bus_intf.scala 187:70] + node _T_340 = bits(ld_byte_hit_lo, 2, 2) @[lsu_bus_intf.scala 187:66] + node _T_341 = bits(ldst_byteen_lo_m, 2, 2) @[lsu_bus_intf.scala 187:89] + node _T_342 = eq(_T_341, UInt<1>("h00")) @[lsu_bus_intf.scala 187:72] + node _T_343 = or(_T_340, _T_342) @[lsu_bus_intf.scala 187:70] + node _T_344 = bits(ld_byte_hit_lo, 3, 3) @[lsu_bus_intf.scala 187:66] + node _T_345 = bits(ldst_byteen_lo_m, 3, 3) @[lsu_bus_intf.scala 187:89] + node _T_346 = eq(_T_345, UInt<1>("h00")) @[lsu_bus_intf.scala 187:72] + node _T_347 = or(_T_344, _T_346) @[lsu_bus_intf.scala 187:70] + node _T_348 = and(_T_335, _T_339) @[lsu_bus_intf.scala 187:111] + node _T_349 = and(_T_348, _T_343) @[lsu_bus_intf.scala 187:111] + node _T_350 = and(_T_349, _T_347) @[lsu_bus_intf.scala 187:111] + ld_full_hit_lo_m <= _T_350 @[lsu_bus_intf.scala 187:27] + node _T_351 = bits(ld_byte_hit_hi, 0, 0) @[lsu_bus_intf.scala 188:66] + node _T_352 = bits(ldst_byteen_hi_m, 0, 0) @[lsu_bus_intf.scala 188:89] + node _T_353 = eq(_T_352, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_354 = or(_T_351, _T_353) @[lsu_bus_intf.scala 188:70] + node _T_355 = bits(ld_byte_hit_hi, 1, 1) @[lsu_bus_intf.scala 188:66] + node _T_356 = bits(ldst_byteen_hi_m, 1, 1) @[lsu_bus_intf.scala 188:89] + node _T_357 = eq(_T_356, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_358 = or(_T_355, _T_357) @[lsu_bus_intf.scala 188:70] + node _T_359 = bits(ld_byte_hit_hi, 2, 2) @[lsu_bus_intf.scala 188:66] + node _T_360 = bits(ldst_byteen_hi_m, 2, 2) @[lsu_bus_intf.scala 188:89] + node _T_361 = eq(_T_360, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_362 = or(_T_359, _T_361) @[lsu_bus_intf.scala 188:70] + node _T_363 = bits(ld_byte_hit_hi, 3, 3) @[lsu_bus_intf.scala 188:66] + node _T_364 = bits(ldst_byteen_hi_m, 3, 3) @[lsu_bus_intf.scala 188:89] + node _T_365 = eq(_T_364, UInt<1>("h00")) @[lsu_bus_intf.scala 188:72] + node _T_366 = or(_T_363, _T_365) @[lsu_bus_intf.scala 188:70] + node _T_367 = and(_T_354, _T_358) @[lsu_bus_intf.scala 188:111] + node _T_368 = and(_T_367, _T_362) @[lsu_bus_intf.scala 188:111] + node _T_369 = and(_T_368, _T_366) @[lsu_bus_intf.scala 188:111] + ld_full_hit_hi_m <= _T_369 @[lsu_bus_intf.scala 188:27] + node _T_370 = and(ld_full_hit_lo_m, ld_full_hit_hi_m) @[lsu_bus_intf.scala 189:47] + node _T_371 = and(_T_370, io.lsu_busreq_m) @[lsu_bus_intf.scala 189:66] + node _T_372 = and(_T_371, io.lsu_pkt_m.bits.load) @[lsu_bus_intf.scala 189:84] + node _T_373 = eq(io.is_sideeffects_m, UInt<1>("h00")) @[lsu_bus_intf.scala 189:111] + node _T_374 = and(_T_372, _T_373) @[lsu_bus_intf.scala 189:109] + ld_full_hit_m <= _T_374 @[lsu_bus_intf.scala 189:27] + node _T_375 = bits(ld_fwddata_hi, 31, 0) @[lsu_bus_intf.scala 190:47] + node _T_376 = bits(ld_fwddata_lo, 31, 0) @[lsu_bus_intf.scala 190:68] node _T_377 = cat(_T_375, _T_376) @[Cat.scala 29:58] - node _T_378 = bits(io.lsu_addr_m, 1, 0) @[lsu_bus_intf.scala 191:97] - node _T_379 = mul(UInt<4>("h08"), _T_378) @[lsu_bus_intf.scala 191:83] - node _T_380 = dshr(_T_377, _T_379) @[lsu_bus_intf.scala 191:76] - ld_fwddata_m <= _T_380 @[lsu_bus_intf.scala 191:27] - node _T_381 = bits(ld_fwddata_m, 31, 0) @[lsu_bus_intf.scala 192:42] - io.bus_read_data_m <= _T_381 @[lsu_bus_intf.scala 192:27] - reg _T_382 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 195:32] - _T_382 <= io.lsu_bus_clk_en @[lsu_bus_intf.scala 195:32] - lsu_bus_clk_en_q <= _T_382 @[lsu_bus_intf.scala 195:22] - reg _T_383 : UInt<1>, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 198:27] - _T_383 <= ldst_dual_d @[lsu_bus_intf.scala 198:27] - ldst_dual_m <= _T_383 @[lsu_bus_intf.scala 198:17] - reg _T_384 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 201:33] - _T_384 <= ldst_dual_m @[lsu_bus_intf.scala 201:33] - ldst_dual_r <= _T_384 @[lsu_bus_intf.scala 201:23] - reg _T_385 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 202:33] - _T_385 <= io.is_sideeffects_m @[lsu_bus_intf.scala 202:33] - is_sideeffects_r <= _T_385 @[lsu_bus_intf.scala 202:23] - reg _T_386 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<4>("h00"))) @[lsu_bus_intf.scala 203:33] - _T_386 <= ldst_byteen_m @[lsu_bus_intf.scala 203:33] - ldst_byteen_r <= _T_386 @[lsu_bus_intf.scala 203:23] + node _T_378 = bits(io.lsu_addr_m, 1, 0) @[lsu_bus_intf.scala 190:97] + node _T_379 = mul(UInt<4>("h08"), _T_378) @[lsu_bus_intf.scala 190:83] + node _T_380 = dshr(_T_377, _T_379) @[lsu_bus_intf.scala 190:76] + ld_fwddata_m <= _T_380 @[lsu_bus_intf.scala 190:27] + node _T_381 = bits(ld_fwddata_m, 31, 0) @[lsu_bus_intf.scala 191:42] + io.bus_read_data_m <= _T_381 @[lsu_bus_intf.scala 191:27] + reg _T_382 : UInt<1>, io.free_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 194:32] + _T_382 <= io.lsu_bus_clk_en @[lsu_bus_intf.scala 194:32] + lsu_bus_clk_en_q <= _T_382 @[lsu_bus_intf.scala 194:22] + reg _T_383 : UInt<1>, io.lsu_c1_m_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 197:27] + _T_383 <= ldst_dual_d @[lsu_bus_intf.scala 197:27] + ldst_dual_m <= _T_383 @[lsu_bus_intf.scala 197:17] + reg _T_384 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 200:33] + _T_384 <= ldst_dual_m @[lsu_bus_intf.scala 200:33] + ldst_dual_r <= _T_384 @[lsu_bus_intf.scala 200:23] + reg _T_385 : UInt<1>, io.lsu_c1_r_clk with : (reset => (reset, UInt<1>("h00"))) @[lsu_bus_intf.scala 201:33] + _T_385 <= io.is_sideeffects_m @[lsu_bus_intf.scala 201:33] + is_sideeffects_r <= _T_385 @[lsu_bus_intf.scala 201:23] + reg _T_386 : UInt, io.lsu_c1_r_clk with : (reset => (reset, UInt<4>("h00"))) @[lsu_bus_intf.scala 202:33] + _T_386 <= ldst_byteen_m @[lsu_bus_intf.scala 202:33] + ldst_byteen_r <= _T_386 @[lsu_bus_intf.scala 202:23] module lsu : input clock : Clock @@ -109048,4831 +109055,6 @@ circuit quasar_wrapper : clkhdr.EN <= io.en @[lib.scala 337:18] clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - extmodule gated_latch_849 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_849 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_849 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_850 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_850 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_850 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_851 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_851 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_851 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_852 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_852 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_852 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_853 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_853 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_853 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_854 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_854 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_854 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_855 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_855 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_855 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_856 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_856 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_856 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_857 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_857 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_857 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_858 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_858 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_858 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - module axi4_to_ahb : - input clock : Clock - input reset : AsyncReset - output io : {flip scan_mode : UInt<1>, flip bus_clk_en : UInt<1>, flip clk_override : UInt<1>, flip axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, ahb : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}} - - wire buf_rst : UInt<1> - buf_rst <= UInt<1>("h00") - buf_rst <= UInt<1>("h00") @[axi4_to_ahb.scala 21:11] - io.ahb.out.htrans <= UInt<1>("h00") @[axi4_to_ahb.scala 22:21] - wire buf_state_en : UInt<1> - buf_state_en <= UInt<1>("h00") - wire ahbm_clk : Clock @[axi4_to_ahb.scala 24:22] - wire ahbm_addr_clk : Clock @[axi4_to_ahb.scala 25:27] - wire ahbm_data_clk : Clock @[axi4_to_ahb.scala 26:27] - wire buf_state : UInt<3> - buf_state <= UInt<3>("h00") - wire buf_nxtstate : UInt<3> - buf_nxtstate <= UInt<3>("h00") - node _T = bits(buf_state_en, 0, 0) @[axi4_to_ahb.scala 30:70] - node _T_1 = mux(_T, buf_nxtstate, buf_state) @[axi4_to_ahb.scala 30:50] - node _T_2 = eq(buf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 30:108] - node _T_3 = bits(_T_2, 0, 0) @[Bitwise.scala 72:15] - node _T_4 = mux(_T_3, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_5 = and(_T_1, _T_4) @[axi4_to_ahb.scala 30:98] - reg _T_6 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 30:45] - _T_6 <= _T_5 @[axi4_to_ahb.scala 30:45] - buf_state <= _T_6 @[axi4_to_ahb.scala 30:13] - wire slave_valid : UInt<1> - slave_valid <= UInt<1>("h00") - wire slave_ready : UInt<1> - slave_ready <= UInt<1>("h00") - wire slave_tag : UInt<1> - slave_tag <= UInt<1>("h00") - wire slave_rdata : UInt<64> - slave_rdata <= UInt<64>("h00") - wire slave_opc : UInt<4> - slave_opc <= UInt<4>("h00") - wire wrbuf_en : UInt<1> - wrbuf_en <= UInt<1>("h00") - wire wrbuf_data_en : UInt<1> - wrbuf_data_en <= UInt<1>("h00") - wire wrbuf_cmd_sent : UInt<1> - wrbuf_cmd_sent <= UInt<1>("h00") - wire wrbuf_rst : UInt<1> - wrbuf_rst <= UInt<1>("h00") - wire wrbuf_vld : UInt<1> - wrbuf_vld <= UInt<1>("h00") - wire wrbuf_data_vld : UInt<1> - wrbuf_data_vld <= UInt<1>("h00") - wire wrbuf_tag : UInt<1> - wrbuf_tag <= UInt<1>("h00") - wire wrbuf_size : UInt<3> - wrbuf_size <= UInt<3>("h00") - wire wrbuf_addr : UInt<32> - wrbuf_addr <= UInt<32>("h00") - wire wrbuf_data : UInt<64> - wrbuf_data <= UInt<64>("h00") - wire wrbuf_byteen : UInt<8> - wrbuf_byteen <= UInt<8>("h00") - wire bus_write_clk_en : UInt<1> - bus_write_clk_en <= UInt<1>("h00") - wire bus_clk : Clock @[axi4_to_ahb.scala 50:21] - wire bus_write_clk : Clock @[axi4_to_ahb.scala 51:27] - wire master_valid : UInt<1> - master_valid <= UInt<1>("h00") - wire master_ready : UInt<1> - master_ready <= UInt<1>("h00") - wire master_tag : UInt<1> - master_tag <= UInt<1>("h00") - wire master_addr : UInt<32> - master_addr <= UInt<32>("h00") - wire master_wdata : UInt<64> - master_wdata <= UInt<64>("h00") - wire master_size : UInt<3> - master_size <= UInt<3>("h00") - wire master_opc : UInt<3> - master_opc <= UInt<3>("h00") - wire master_byteen : UInt<8> - master_byteen <= UInt<8>("h00") - wire buf_addr : UInt<32> - buf_addr <= UInt<32>("h00") - wire buf_size : UInt<2> - buf_size <= UInt<2>("h00") - wire buf_write : UInt<1> - buf_write <= UInt<1>("h00") - wire buf_byteen : UInt<8> - buf_byteen <= UInt<8>("h00") - wire buf_aligned : UInt<1> - buf_aligned <= UInt<1>("h00") - wire buf_data : UInt<64> - buf_data <= UInt<64>("h00") - wire buf_tag : UInt<1> - buf_tag <= UInt<1>("h00") - wire buf_tag_in : UInt<1> - buf_tag_in <= UInt<1>("h00") - wire buf_addr_in : UInt<32> - buf_addr_in <= UInt<32>("h00") - wire buf_byteen_in : UInt<8> - buf_byteen_in <= UInt<8>("h00") - wire buf_data_in : UInt<64> - buf_data_in <= UInt<64>("h00") - wire buf_write_in : UInt<1> - buf_write_in <= UInt<1>("h00") - wire buf_aligned_in : UInt<1> - buf_aligned_in <= UInt<1>("h00") - wire buf_size_in : UInt<3> - buf_size_in <= UInt<3>("h00") - wire buf_wr_en : UInt<1> - buf_wr_en <= UInt<1>("h00") - wire buf_data_wr_en : UInt<1> - buf_data_wr_en <= UInt<1>("h00") - wire slvbuf_error_en : UInt<1> - slvbuf_error_en <= UInt<1>("h00") - wire wr_cmd_vld : UInt<1> - wr_cmd_vld <= UInt<1>("h00") - wire cmd_done_rst : UInt<1> - cmd_done_rst <= UInt<1>("h00") - wire cmd_done : UInt<1> - cmd_done <= UInt<1>("h00") - wire cmd_doneQ : UInt<1> - cmd_doneQ <= UInt<1>("h00") - wire trxn_done : UInt<1> - trxn_done <= UInt<1>("h00") - wire buf_cmd_byte_ptr : UInt<3> - buf_cmd_byte_ptr <= UInt<3>("h00") - wire buf_cmd_byte_ptrQ : UInt<3> - buf_cmd_byte_ptrQ <= UInt<3>("h00") - wire buf_cmd_nxtbyte_ptr : UInt<3> - buf_cmd_nxtbyte_ptr <= UInt<3>("h00") - wire buf_cmd_byte_ptr_en : UInt<1> - buf_cmd_byte_ptr_en <= UInt<1>("h00") - wire found : UInt<1> - found <= UInt<1>("h00") - wire slave_valid_pre : UInt<1> - slave_valid_pre <= UInt<1>("h00") - wire ahb_hready_q : UInt<1> - ahb_hready_q <= UInt<1>("h00") - wire ahb_hresp_q : UInt<1> - ahb_hresp_q <= UInt<1>("h00") - wire ahb_htrans_q : UInt<2> - ahb_htrans_q <= UInt<2>("h00") - wire ahb_hwrite_q : UInt<1> - ahb_hwrite_q <= UInt<1>("h00") - wire ahb_hrdata_q : UInt<64> - ahb_hrdata_q <= UInt<64>("h00") - wire slvbuf_write : UInt<1> - slvbuf_write <= UInt<1>("h00") - wire slvbuf_error : UInt<1> - slvbuf_error <= UInt<1>("h00") - wire slvbuf_tag : UInt<1> - slvbuf_tag <= UInt<1>("h00") - wire slvbuf_error_in : UInt<1> - slvbuf_error_in <= UInt<1>("h00") - wire slvbuf_wr_en : UInt<1> - slvbuf_wr_en <= UInt<1>("h00") - wire bypass_en : UInt<1> - bypass_en <= UInt<1>("h00") - wire rd_bypass_idle : UInt<1> - rd_bypass_idle <= UInt<1>("h00") - wire last_addr_en : UInt<1> - last_addr_en <= UInt<1>("h00") - wire last_bus_addr : UInt<32> - last_bus_addr <= UInt<32>("h00") - wire buf_clken : UInt<1> - buf_clken <= UInt<1>("h00") - wire slvbuf_clken : UInt<1> - slvbuf_clken <= UInt<1>("h00") - wire ahbm_addr_clken : UInt<1> - ahbm_addr_clken <= UInt<1>("h00") - wire ahbm_data_clken : UInt<1> - ahbm_data_clken <= UInt<1>("h00") - wire buf_clk : Clock @[axi4_to_ahb.scala 118:21] - node _T_7 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 139:27] - wr_cmd_vld <= _T_7 @[axi4_to_ahb.scala 139:14] - node _T_8 = or(wr_cmd_vld, io.axi.ar.valid) @[axi4_to_ahb.scala 140:30] - master_valid <= _T_8 @[axi4_to_ahb.scala 140:16] - node _T_9 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 141:38] - node _T_10 = bits(wrbuf_tag, 0, 0) @[axi4_to_ahb.scala 141:51] - node _T_11 = bits(io.axi.ar.bits.id, 0, 0) @[axi4_to_ahb.scala 141:82] - node _T_12 = mux(_T_9, _T_10, _T_11) @[axi4_to_ahb.scala 141:20] - master_tag <= _T_12 @[axi4_to_ahb.scala 141:14] - node _T_13 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 142:38] - node _T_14 = mux(_T_13, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 142:20] - master_opc <= _T_14 @[axi4_to_ahb.scala 142:14] - node _T_15 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 143:39] - node _T_16 = bits(wrbuf_addr, 31, 0) @[axi4_to_ahb.scala 143:53] - node _T_17 = bits(io.axi.ar.bits.addr, 31, 0) @[axi4_to_ahb.scala 143:81] - node _T_18 = mux(_T_15, _T_16, _T_17) @[axi4_to_ahb.scala 143:21] - master_addr <= _T_18 @[axi4_to_ahb.scala 143:15] - node _T_19 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 144:39] - node _T_20 = bits(wrbuf_size, 2, 0) @[axi4_to_ahb.scala 144:53] - node _T_21 = bits(io.axi.ar.bits.size, 2, 0) @[axi4_to_ahb.scala 144:80] - node _T_22 = mux(_T_19, _T_20, _T_21) @[axi4_to_ahb.scala 144:21] - master_size <= _T_22 @[axi4_to_ahb.scala 144:15] - node _T_23 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 145:32] - master_byteen <= _T_23 @[axi4_to_ahb.scala 145:17] - node _T_24 = bits(wrbuf_data, 63, 0) @[axi4_to_ahb.scala 146:29] - master_wdata <= _T_24 @[axi4_to_ahb.scala 146:16] - node _T_25 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 149:33] - node _T_26 = bits(slave_opc, 3, 3) @[axi4_to_ahb.scala 149:58] - node _T_27 = and(_T_25, _T_26) @[axi4_to_ahb.scala 149:47] - io.axi.b.valid <= _T_27 @[axi4_to_ahb.scala 149:18] - node _T_28 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 150:38] - node _T_29 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 150:65] - node _T_30 = mux(_T_29, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 150:55] - node _T_31 = mux(_T_28, UInt<2>("h02"), _T_30) @[axi4_to_ahb.scala 150:28] - io.axi.b.bits.resp <= _T_31 @[axi4_to_ahb.scala 150:22] - node _T_32 = bits(slave_tag, 0, 0) @[axi4_to_ahb.scala 151:32] - io.axi.b.bits.id <= _T_32 @[axi4_to_ahb.scala 151:20] - node _T_33 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 153:33] - node _T_34 = bits(slave_opc, 3, 2) @[axi4_to_ahb.scala 153:59] - node _T_35 = eq(_T_34, UInt<1>("h00")) @[axi4_to_ahb.scala 153:66] - node _T_36 = and(_T_33, _T_35) @[axi4_to_ahb.scala 153:47] - io.axi.r.valid <= _T_36 @[axi4_to_ahb.scala 153:18] - node _T_37 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 154:38] - node _T_38 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 154:65] - node _T_39 = mux(_T_38, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 154:55] - node _T_40 = mux(_T_37, UInt<2>("h02"), _T_39) @[axi4_to_ahb.scala 154:28] - io.axi.r.bits.resp <= _T_40 @[axi4_to_ahb.scala 154:22] - node _T_41 = bits(slave_tag, 0, 0) @[axi4_to_ahb.scala 155:32] - io.axi.r.bits.id <= _T_41 @[axi4_to_ahb.scala 155:20] - node _T_42 = bits(slave_rdata, 63, 0) @[axi4_to_ahb.scala 156:36] - io.axi.r.bits.data <= _T_42 @[axi4_to_ahb.scala 156:22] - node _T_43 = and(io.axi.b.ready, io.axi.r.ready) @[axi4_to_ahb.scala 157:33] - slave_ready <= _T_43 @[axi4_to_ahb.scala 157:15] - node _T_44 = and(io.axi.aw.valid, io.axi.aw.ready) @[axi4_to_ahb.scala 160:57] - node _T_45 = and(io.axi.w.valid, io.axi.w.ready) @[axi4_to_ahb.scala 160:94] - node _T_46 = or(_T_44, _T_45) @[axi4_to_ahb.scala 160:76] - node _T_47 = and(io.bus_clk_en, _T_46) @[axi4_to_ahb.scala 160:37] - bus_write_clk_en <= _T_47 @[axi4_to_ahb.scala 160:20] - inst rvclkhdr of rvclkhdr_849 @[lib.scala 343:22] - rvclkhdr.clock <= clock - rvclkhdr.reset <= reset - rvclkhdr.io.clk <= clock @[lib.scala 344:17] - rvclkhdr.io.en <= io.bus_clk_en @[lib.scala 345:16] - rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - bus_clk <= rvclkhdr.io.l1clk @[axi4_to_ahb.scala 162:11] - node _T_48 = bits(bus_write_clk_en, 0, 0) @[axi4_to_ahb.scala 163:59] - inst rvclkhdr_1 of rvclkhdr_850 @[lib.scala 343:22] - rvclkhdr_1.clock <= clock - rvclkhdr_1.reset <= reset - rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_1.io.en <= _T_48 @[lib.scala 345:16] - rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - bus_write_clk <= rvclkhdr_1.io.l1clk @[axi4_to_ahb.scala 163:17] - node _T_49 = eq(UInt<3>("h00"), buf_state) @[Conditional.scala 37:30] - when _T_49 : @[Conditional.scala 40:58] - master_ready <= UInt<1>("h01") @[axi4_to_ahb.scala 167:20] - node _T_50 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 168:34] - node _T_51 = eq(_T_50, UInt<1>("h01")) @[axi4_to_ahb.scala 168:41] - buf_write_in <= _T_51 @[axi4_to_ahb.scala 168:20] - node _T_52 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 169:46] - node _T_53 = mux(_T_52, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 169:26] - buf_nxtstate <= _T_53 @[axi4_to_ahb.scala 169:20] - node _T_54 = and(master_valid, UInt<1>("h01")) @[axi4_to_ahb.scala 170:36] - buf_state_en <= _T_54 @[axi4_to_ahb.scala 170:20] - buf_wr_en <= buf_state_en @[axi4_to_ahb.scala 171:17] - node _T_55 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 172:54] - node _T_56 = and(buf_state_en, _T_55) @[axi4_to_ahb.scala 172:38] - buf_data_wr_en <= _T_56 @[axi4_to_ahb.scala 172:22] - buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 173:27] - node _T_57 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 175:50] - node _T_58 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 175:94] - node _T_59 = add(UInt<3>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_60 = tail(_T_59, 1) @[axi4_to_ahb.scala 135:52] - node _T_61 = mux(UInt<1>("h00"), _T_60, UInt<3>("h00")) @[axi4_to_ahb.scala 135:24] - node _T_62 = bits(_T_58, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_63 = geq(UInt<1>("h00"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_64 = and(_T_62, _T_63) @[axi4_to_ahb.scala 136:48] - node _T_65 = bits(_T_58, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_66 = geq(UInt<1>("h01"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_67 = and(_T_65, _T_66) @[axi4_to_ahb.scala 136:48] - node _T_68 = bits(_T_58, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_69 = geq(UInt<2>("h02"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_70 = and(_T_68, _T_69) @[axi4_to_ahb.scala 136:48] - node _T_71 = bits(_T_58, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_72 = geq(UInt<2>("h03"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_73 = and(_T_71, _T_72) @[axi4_to_ahb.scala 136:48] - node _T_74 = bits(_T_58, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_75 = geq(UInt<3>("h04"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_76 = and(_T_74, _T_75) @[axi4_to_ahb.scala 136:48] - node _T_77 = bits(_T_58, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_78 = geq(UInt<3>("h05"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_79 = and(_T_77, _T_78) @[axi4_to_ahb.scala 136:48] - node _T_80 = bits(_T_58, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_81 = geq(UInt<3>("h06"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_82 = and(_T_80, _T_81) @[axi4_to_ahb.scala 136:48] - node _T_83 = bits(_T_58, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_84 = geq(UInt<3>("h07"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_85 = and(_T_83, _T_84) @[axi4_to_ahb.scala 136:48] - node _T_86 = mux(_T_85, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_87 = mux(_T_82, UInt<3>("h06"), _T_86) @[Mux.scala 98:16] - node _T_88 = mux(_T_79, UInt<3>("h05"), _T_87) @[Mux.scala 98:16] - node _T_89 = mux(_T_76, UInt<3>("h04"), _T_88) @[Mux.scala 98:16] - node _T_90 = mux(_T_73, UInt<2>("h03"), _T_89) @[Mux.scala 98:16] - node _T_91 = mux(_T_70, UInt<2>("h02"), _T_90) @[Mux.scala 98:16] - node _T_92 = mux(_T_67, UInt<1>("h01"), _T_91) @[Mux.scala 98:16] - node _T_93 = mux(_T_64, UInt<1>("h00"), _T_92) @[Mux.scala 98:16] - node _T_94 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 175:124] - node _T_95 = mux(_T_57, _T_93, _T_94) @[axi4_to_ahb.scala 175:30] - buf_cmd_byte_ptr <= _T_95 @[axi4_to_ahb.scala 175:24] - bypass_en <= buf_state_en @[axi4_to_ahb.scala 176:17] - node _T_96 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 177:51] - node _T_97 = and(bypass_en, _T_96) @[axi4_to_ahb.scala 177:35] - rd_bypass_idle <= _T_97 @[axi4_to_ahb.scala 177:22] - node _T_98 = bits(bypass_en, 0, 0) @[Bitwise.scala 72:15] - node _T_99 = mux(_T_98, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_100 = and(_T_99, UInt<2>("h02")) @[axi4_to_ahb.scala 178:49] - io.ahb.out.htrans <= _T_100 @[axi4_to_ahb.scala 178:25] - skip @[Conditional.scala 40:58] - else : @[Conditional.scala 39:67] - node _T_101 = eq(UInt<3>("h01"), buf_state) @[Conditional.scala 37:30] - when _T_101 : @[Conditional.scala 39:67] - node _T_102 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 182:54] - node _T_103 = eq(_T_102, UInt<1>("h00")) @[axi4_to_ahb.scala 182:61] - node _T_104 = and(master_valid, _T_103) @[axi4_to_ahb.scala 182:41] - node _T_105 = bits(_T_104, 0, 0) @[axi4_to_ahb.scala 182:82] - node _T_106 = mux(_T_105, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 182:26] - buf_nxtstate <= _T_106 @[axi4_to_ahb.scala 182:20] - node _T_107 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 183:51] - node _T_108 = neq(_T_107, UInt<1>("h00")) @[axi4_to_ahb.scala 183:58] - node _T_109 = and(ahb_hready_q, _T_108) @[axi4_to_ahb.scala 183:36] - node _T_110 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 183:72] - node _T_111 = and(_T_109, _T_110) @[axi4_to_ahb.scala 183:70] - buf_state_en <= _T_111 @[axi4_to_ahb.scala 183:20] - node _T_112 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 184:34] - node _T_113 = and(buf_state_en, _T_112) @[axi4_to_ahb.scala 184:32] - cmd_done <= _T_113 @[axi4_to_ahb.scala 184:16] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 185:20] - node _T_114 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 186:52] - node _T_115 = neq(_T_114, UInt<1>("h00")) @[axi4_to_ahb.scala 186:59] - node _T_116 = and(ahb_hready_q, _T_115) @[axi4_to_ahb.scala 186:37] - node _T_117 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 186:73] - node _T_118 = and(_T_116, _T_117) @[axi4_to_ahb.scala 186:71] - node _T_119 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 186:122] - node _T_120 = eq(_T_119, UInt<1>("h00")) @[axi4_to_ahb.scala 186:129] - node _T_121 = and(master_valid, _T_120) @[axi4_to_ahb.scala 186:109] - node _T_122 = bits(_T_121, 0, 0) @[axi4_to_ahb.scala 186:150] - node _T_123 = mux(_T_122, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 186:94] - node _T_124 = eq(_T_123, UInt<3>("h06")) @[axi4_to_ahb.scala 186:174] - node _T_125 = and(_T_118, _T_124) @[axi4_to_ahb.scala 186:88] - master_ready <= _T_125 @[axi4_to_ahb.scala 186:20] - buf_wr_en <= master_ready @[axi4_to_ahb.scala 187:17] - node _T_126 = and(master_ready, master_valid) @[axi4_to_ahb.scala 188:33] - bypass_en <= _T_126 @[axi4_to_ahb.scala 188:17] - node _T_127 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 189:47] - node _T_128 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 189:62] - node _T_129 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 189:78] - node _T_130 = mux(_T_127, _T_128, _T_129) @[axi4_to_ahb.scala 189:30] - buf_cmd_byte_ptr <= _T_130 @[axi4_to_ahb.scala 189:24] - node _T_131 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 190:48] - node _T_132 = or(_T_131, bypass_en) @[axi4_to_ahb.scala 190:62] - node _T_133 = bits(_T_132, 0, 0) @[Bitwise.scala 72:15] - node _T_134 = mux(_T_133, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_135 = and(UInt<2>("h02"), _T_134) @[axi4_to_ahb.scala 190:36] - io.ahb.out.htrans <= _T_135 @[axi4_to_ahb.scala 190:25] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_136 = eq(UInt<3>("h06"), buf_state) @[Conditional.scala 37:30] - when _T_136 : @[Conditional.scala 39:67] - node _T_137 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 194:39] - node _T_138 = and(ahb_hready_q, _T_137) @[axi4_to_ahb.scala 194:37] - node _T_139 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 194:82] - node _T_140 = eq(_T_139, UInt<1>("h01")) @[axi4_to_ahb.scala 194:89] - node _T_141 = and(master_valid, _T_140) @[axi4_to_ahb.scala 194:70] - node _T_142 = not(_T_141) @[axi4_to_ahb.scala 194:55] - node _T_143 = and(_T_138, _T_142) @[axi4_to_ahb.scala 194:53] - master_ready <= _T_143 @[axi4_to_ahb.scala 194:20] - node _T_144 = and(master_valid, master_ready) @[axi4_to_ahb.scala 195:34] - node _T_145 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 195:62] - node _T_146 = eq(_T_145, UInt<1>("h00")) @[axi4_to_ahb.scala 195:69] - node _T_147 = and(_T_144, _T_146) @[axi4_to_ahb.scala 195:49] - buf_wr_en <= _T_147 @[axi4_to_ahb.scala 195:17] - node _T_148 = bits(ahb_hresp_q, 0, 0) @[axi4_to_ahb.scala 196:45] - node _T_149 = and(master_valid, master_ready) @[axi4_to_ahb.scala 196:82] - node _T_150 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 196:110] - node _T_151 = eq(_T_150, UInt<1>("h00")) @[axi4_to_ahb.scala 196:117] - node _T_152 = and(_T_149, _T_151) @[axi4_to_ahb.scala 196:97] - node _T_153 = bits(_T_152, 0, 0) @[axi4_to_ahb.scala 196:138] - node _T_154 = mux(_T_153, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 196:67] - node _T_155 = mux(_T_148, UInt<3>("h07"), _T_154) @[axi4_to_ahb.scala 196:26] - buf_nxtstate <= _T_155 @[axi4_to_ahb.scala 196:20] - node _T_156 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 197:37] - buf_state_en <= _T_156 @[axi4_to_ahb.scala 197:20] - buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 198:22] - slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 199:23] - slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 200:23] - node _T_157 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 201:41] - node _T_158 = and(buf_state_en, _T_157) @[axi4_to_ahb.scala 201:39] - slave_valid_pre <= _T_158 @[axi4_to_ahb.scala 201:23] - node _T_159 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 202:34] - node _T_160 = and(buf_state_en, _T_159) @[axi4_to_ahb.scala 202:32] - cmd_done <= _T_160 @[axi4_to_ahb.scala 202:16] - node _T_161 = and(master_ready, master_valid) @[axi4_to_ahb.scala 203:33] - node _T_162 = eq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 203:64] - node _T_163 = and(_T_161, _T_162) @[axi4_to_ahb.scala 203:48] - node _T_164 = and(_T_163, buf_state_en) @[axi4_to_ahb.scala 203:79] - bypass_en <= _T_164 @[axi4_to_ahb.scala 203:17] - node _T_165 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 204:47] - node _T_166 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 204:62] - node _T_167 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 204:78] - node _T_168 = mux(_T_165, _T_166, _T_167) @[axi4_to_ahb.scala 204:30] - buf_cmd_byte_ptr <= _T_168 @[axi4_to_ahb.scala 204:24] - node _T_169 = neq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 205:63] - node _T_170 = and(_T_169, buf_state_en) @[axi4_to_ahb.scala 205:78] - node _T_171 = eq(_T_170, UInt<1>("h00")) @[axi4_to_ahb.scala 205:47] - node _T_172 = bits(_T_171, 0, 0) @[Bitwise.scala 72:15] - node _T_173 = mux(_T_172, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_174 = and(UInt<2>("h02"), _T_173) @[axi4_to_ahb.scala 205:36] - io.ahb.out.htrans <= _T_174 @[axi4_to_ahb.scala 205:25] - slvbuf_wr_en <= buf_wr_en @[axi4_to_ahb.scala 206:20] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_175 = eq(UInt<3>("h07"), buf_state) @[Conditional.scala 37:30] - when _T_175 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h03") @[axi4_to_ahb.scala 210:20] - node _T_176 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 211:51] - node _T_177 = neq(_T_176, UInt<1>("h00")) @[axi4_to_ahb.scala 211:58] - node _T_178 = and(ahb_hready_q, _T_177) @[axi4_to_ahb.scala 211:36] - node _T_179 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 211:72] - node _T_180 = and(_T_178, _T_179) @[axi4_to_ahb.scala 211:70] - buf_state_en <= _T_180 @[axi4_to_ahb.scala 211:20] - slave_valid_pre <= buf_state_en @[axi4_to_ahb.scala 212:23] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 213:20] - node _T_181 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 214:35] - buf_cmd_byte_ptr <= _T_181 @[axi4_to_ahb.scala 214:24] - node _T_182 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 215:51] - node _T_183 = bits(_T_182, 0, 0) @[Bitwise.scala 72:15] - node _T_184 = mux(_T_183, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_185 = and(UInt<2>("h02"), _T_184) @[axi4_to_ahb.scala 215:41] - io.ahb.out.htrans <= _T_185 @[axi4_to_ahb.scala 215:25] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_186 = eq(UInt<3>("h03"), buf_state) @[Conditional.scala 37:30] - when _T_186 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h05") @[axi4_to_ahb.scala 219:20] - node _T_187 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 220:37] - buf_state_en <= _T_187 @[axi4_to_ahb.scala 220:20] - buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 221:22] - slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 222:23] - slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 223:23] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 224:20] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_188 = eq(UInt<3>("h02"), buf_state) @[Conditional.scala 37:30] - when _T_188 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h04") @[axi4_to_ahb.scala 228:20] - node _T_189 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 229:33] - node _T_190 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 229:63] - node _T_191 = neq(_T_190, UInt<1>("h00")) @[axi4_to_ahb.scala 229:70] - node _T_192 = and(_T_189, _T_191) @[axi4_to_ahb.scala 229:48] - trxn_done <= _T_192 @[axi4_to_ahb.scala 229:17] - buf_state_en <= trxn_done @[axi4_to_ahb.scala 230:20] - buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 231:27] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 232:20] - node _T_193 = bits(trxn_done, 0, 0) @[axi4_to_ahb.scala 233:47] - node _T_194 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 233:85] - node _T_195 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 233:103] - node _T_196 = add(_T_194, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_197 = tail(_T_196, 1) @[axi4_to_ahb.scala 135:52] - node _T_198 = mux(UInt<1>("h01"), _T_197, _T_194) @[axi4_to_ahb.scala 135:24] - node _T_199 = bits(_T_195, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_200 = geq(UInt<1>("h00"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_201 = and(_T_199, _T_200) @[axi4_to_ahb.scala 136:48] - node _T_202 = bits(_T_195, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_203 = geq(UInt<1>("h01"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_204 = and(_T_202, _T_203) @[axi4_to_ahb.scala 136:48] - node _T_205 = bits(_T_195, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_206 = geq(UInt<2>("h02"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_207 = and(_T_205, _T_206) @[axi4_to_ahb.scala 136:48] - node _T_208 = bits(_T_195, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_209 = geq(UInt<2>("h03"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_210 = and(_T_208, _T_209) @[axi4_to_ahb.scala 136:48] - node _T_211 = bits(_T_195, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_212 = geq(UInt<3>("h04"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_213 = and(_T_211, _T_212) @[axi4_to_ahb.scala 136:48] - node _T_214 = bits(_T_195, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_215 = geq(UInt<3>("h05"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_216 = and(_T_214, _T_215) @[axi4_to_ahb.scala 136:48] - node _T_217 = bits(_T_195, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_218 = geq(UInt<3>("h06"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_219 = and(_T_217, _T_218) @[axi4_to_ahb.scala 136:48] - node _T_220 = bits(_T_195, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_221 = geq(UInt<3>("h07"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_222 = and(_T_220, _T_221) @[axi4_to_ahb.scala 136:48] - node _T_223 = mux(_T_222, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_224 = mux(_T_219, UInt<3>("h06"), _T_223) @[Mux.scala 98:16] - node _T_225 = mux(_T_216, UInt<3>("h05"), _T_224) @[Mux.scala 98:16] - node _T_226 = mux(_T_213, UInt<3>("h04"), _T_225) @[Mux.scala 98:16] - node _T_227 = mux(_T_210, UInt<2>("h03"), _T_226) @[Mux.scala 98:16] - node _T_228 = mux(_T_207, UInt<2>("h02"), _T_227) @[Mux.scala 98:16] - node _T_229 = mux(_T_204, UInt<1>("h01"), _T_228) @[Mux.scala 98:16] - node _T_230 = mux(_T_201, UInt<1>("h00"), _T_229) @[Mux.scala 98:16] - node _T_231 = mux(_T_193, _T_230, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 233:30] - buf_cmd_byte_ptr <= _T_231 @[axi4_to_ahb.scala 233:24] - node _T_232 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 234:65] - node _T_233 = or(buf_aligned, _T_232) @[axi4_to_ahb.scala 234:44] - node _T_234 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 234:127] - node _T_235 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 234:145] - node _T_236 = add(_T_234, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_237 = tail(_T_236, 1) @[axi4_to_ahb.scala 135:52] - node _T_238 = mux(UInt<1>("h01"), _T_237, _T_234) @[axi4_to_ahb.scala 135:24] - node _T_239 = bits(_T_235, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_240 = geq(UInt<1>("h00"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_241 = and(_T_239, _T_240) @[axi4_to_ahb.scala 136:48] - node _T_242 = bits(_T_235, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_243 = geq(UInt<1>("h01"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_244 = and(_T_242, _T_243) @[axi4_to_ahb.scala 136:48] - node _T_245 = bits(_T_235, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_246 = geq(UInt<2>("h02"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_247 = and(_T_245, _T_246) @[axi4_to_ahb.scala 136:48] - node _T_248 = bits(_T_235, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_249 = geq(UInt<2>("h03"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_250 = and(_T_248, _T_249) @[axi4_to_ahb.scala 136:48] - node _T_251 = bits(_T_235, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_252 = geq(UInt<3>("h04"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_253 = and(_T_251, _T_252) @[axi4_to_ahb.scala 136:48] - node _T_254 = bits(_T_235, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_255 = geq(UInt<3>("h05"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_256 = and(_T_254, _T_255) @[axi4_to_ahb.scala 136:48] - node _T_257 = bits(_T_235, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_258 = geq(UInt<3>("h06"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_259 = and(_T_257, _T_258) @[axi4_to_ahb.scala 136:48] - node _T_260 = bits(_T_235, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_261 = geq(UInt<3>("h07"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_262 = and(_T_260, _T_261) @[axi4_to_ahb.scala 136:48] - node _T_263 = mux(_T_262, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_264 = mux(_T_259, UInt<3>("h06"), _T_263) @[Mux.scala 98:16] - node _T_265 = mux(_T_256, UInt<3>("h05"), _T_264) @[Mux.scala 98:16] - node _T_266 = mux(_T_253, UInt<3>("h04"), _T_265) @[Mux.scala 98:16] - node _T_267 = mux(_T_250, UInt<2>("h03"), _T_266) @[Mux.scala 98:16] - node _T_268 = mux(_T_247, UInt<2>("h02"), _T_267) @[Mux.scala 98:16] - node _T_269 = mux(_T_244, UInt<1>("h01"), _T_268) @[Mux.scala 98:16] - node _T_270 = mux(_T_241, UInt<1>("h00"), _T_269) @[Mux.scala 98:16] - node _T_271 = dshr(buf_byteen, _T_270) @[axi4_to_ahb.scala 234:92] - node _T_272 = bits(_T_271, 0, 0) @[axi4_to_ahb.scala 234:92] - node _T_273 = eq(_T_272, UInt<1>("h00")) @[axi4_to_ahb.scala 234:163] - node _T_274 = or(_T_233, _T_273) @[axi4_to_ahb.scala 234:79] - node _T_275 = and(trxn_done, _T_274) @[axi4_to_ahb.scala 234:29] - cmd_done <= _T_275 @[axi4_to_ahb.scala 234:16] - node _T_276 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 235:47] - node _T_277 = eq(_T_276, UInt<1>("h00")) @[axi4_to_ahb.scala 235:36] - node _T_278 = bits(_T_277, 0, 0) @[Bitwise.scala 72:15] - node _T_279 = mux(_T_278, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_280 = and(_T_279, UInt<2>("h02")) @[axi4_to_ahb.scala 235:61] - io.ahb.out.htrans <= _T_280 @[axi4_to_ahb.scala 235:25] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_281 = eq(UInt<3>("h04"), buf_state) @[Conditional.scala 37:30] - when _T_281 : @[Conditional.scala 39:67] - node _T_282 = and(cmd_doneQ, ahb_hready_q) @[axi4_to_ahb.scala 239:34] - node _T_283 = or(_T_282, ahb_hresp_q) @[axi4_to_ahb.scala 239:50] - buf_state_en <= _T_283 @[axi4_to_ahb.scala 239:20] - node _T_284 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 240:38] - node _T_285 = and(buf_state_en, _T_284) @[axi4_to_ahb.scala 240:36] - node _T_286 = and(_T_285, slave_ready) @[axi4_to_ahb.scala 240:51] - master_ready <= _T_286 @[axi4_to_ahb.scala 240:20] - node _T_287 = eq(slave_ready, UInt<1>("h00")) @[axi4_to_ahb.scala 241:42] - node _T_288 = or(ahb_hresp_q, _T_287) @[axi4_to_ahb.scala 241:40] - node _T_289 = and(master_valid, master_valid) @[axi4_to_ahb.scala 241:80] - node _T_290 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 241:111] - node _T_291 = eq(_T_290, UInt<1>("h01")) @[axi4_to_ahb.scala 241:117] - node _T_292 = bits(_T_291, 0, 0) @[axi4_to_ahb.scala 241:132] - node _T_293 = mux(_T_292, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 241:99] - node _T_294 = mux(_T_289, _T_293, UInt<3>("h00")) @[axi4_to_ahb.scala 241:65] - node _T_295 = mux(_T_288, UInt<3>("h05"), _T_294) @[axi4_to_ahb.scala 241:26] - buf_nxtstate <= _T_295 @[axi4_to_ahb.scala 241:20] - slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 242:23] - slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 243:23] - node _T_296 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 244:33] - node _T_297 = eq(_T_296, UInt<1>("h01")) @[axi4_to_ahb.scala 244:39] - buf_write_in <= _T_297 @[axi4_to_ahb.scala 244:20] - node _T_298 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 245:50] - node _T_299 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 245:78] - node _T_300 = or(_T_298, _T_299) @[axi4_to_ahb.scala 245:62] - node _T_301 = and(buf_state_en, _T_300) @[axi4_to_ahb.scala 245:33] - buf_wr_en <= _T_301 @[axi4_to_ahb.scala 245:17] - buf_data_wr_en <= buf_wr_en @[axi4_to_ahb.scala 246:22] - node _T_302 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 247:65] - node _T_303 = neq(_T_302, UInt<1>("h00")) @[axi4_to_ahb.scala 247:71] - node _T_304 = and(ahb_hready_q, _T_303) @[axi4_to_ahb.scala 247:50] - node _T_305 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 248:29] - node _T_306 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 248:85] - node _T_307 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 248:101] - node _T_308 = add(_T_306, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_309 = tail(_T_308, 1) @[axi4_to_ahb.scala 135:52] - node _T_310 = mux(UInt<1>("h01"), _T_309, _T_306) @[axi4_to_ahb.scala 135:24] - node _T_311 = bits(_T_307, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_312 = geq(UInt<1>("h00"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_313 = and(_T_311, _T_312) @[axi4_to_ahb.scala 136:48] - node _T_314 = bits(_T_307, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_315 = geq(UInt<1>("h01"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_316 = and(_T_314, _T_315) @[axi4_to_ahb.scala 136:48] - node _T_317 = bits(_T_307, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_318 = geq(UInt<2>("h02"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_319 = and(_T_317, _T_318) @[axi4_to_ahb.scala 136:48] - node _T_320 = bits(_T_307, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_321 = geq(UInt<2>("h03"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_322 = and(_T_320, _T_321) @[axi4_to_ahb.scala 136:48] - node _T_323 = bits(_T_307, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_324 = geq(UInt<3>("h04"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_325 = and(_T_323, _T_324) @[axi4_to_ahb.scala 136:48] - node _T_326 = bits(_T_307, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_327 = geq(UInt<3>("h05"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_328 = and(_T_326, _T_327) @[axi4_to_ahb.scala 136:48] - node _T_329 = bits(_T_307, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_330 = geq(UInt<3>("h06"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_331 = and(_T_329, _T_330) @[axi4_to_ahb.scala 136:48] - node _T_332 = bits(_T_307, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_333 = geq(UInt<3>("h07"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_334 = and(_T_332, _T_333) @[axi4_to_ahb.scala 136:48] - node _T_335 = mux(_T_334, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_336 = mux(_T_331, UInt<3>("h06"), _T_335) @[Mux.scala 98:16] - node _T_337 = mux(_T_328, UInt<3>("h05"), _T_336) @[Mux.scala 98:16] - node _T_338 = mux(_T_325, UInt<3>("h04"), _T_337) @[Mux.scala 98:16] - node _T_339 = mux(_T_322, UInt<2>("h03"), _T_338) @[Mux.scala 98:16] - node _T_340 = mux(_T_319, UInt<2>("h02"), _T_339) @[Mux.scala 98:16] - node _T_341 = mux(_T_316, UInt<1>("h01"), _T_340) @[Mux.scala 98:16] - node _T_342 = mux(_T_313, UInt<1>("h00"), _T_341) @[Mux.scala 98:16] - node _T_343 = dshr(buf_byteen, _T_342) @[axi4_to_ahb.scala 248:51] - node _T_344 = bits(_T_343, 0, 0) @[axi4_to_ahb.scala 248:51] - node _T_345 = eq(_T_344, UInt<1>("h00")) @[axi4_to_ahb.scala 248:116] - node _T_346 = or(_T_305, _T_345) @[axi4_to_ahb.scala 248:38] - node _T_347 = and(_T_304, _T_346) @[axi4_to_ahb.scala 247:80] - node _T_348 = or(ahb_hresp_q, _T_347) @[axi4_to_ahb.scala 247:34] - cmd_done <= _T_348 @[axi4_to_ahb.scala 247:16] - node _T_349 = and(buf_state_en, buf_write_in) @[axi4_to_ahb.scala 249:33] - node _T_350 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 249:64] - node _T_351 = and(_T_349, _T_350) @[axi4_to_ahb.scala 249:48] - bypass_en <= _T_351 @[axi4_to_ahb.scala 249:17] - node _T_352 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 250:48] - node _T_353 = eq(_T_352, UInt<1>("h00")) @[axi4_to_ahb.scala 250:37] - node _T_354 = or(_T_353, bypass_en) @[axi4_to_ahb.scala 250:61] - node _T_355 = bits(_T_354, 0, 0) @[Bitwise.scala 72:15] - node _T_356 = mux(_T_355, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_357 = and(_T_356, UInt<2>("h02")) @[axi4_to_ahb.scala 250:75] - io.ahb.out.htrans <= _T_357 @[axi4_to_ahb.scala 250:25] - node _T_358 = neq(buf_nxtstate, UInt<3>("h05")) @[axi4_to_ahb.scala 251:55] - node _T_359 = and(buf_state_en, _T_358) @[axi4_to_ahb.scala 251:39] - slave_valid_pre <= _T_359 @[axi4_to_ahb.scala 251:23] - node _T_360 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 252:33] - node _T_361 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 252:63] - node _T_362 = neq(_T_361, UInt<1>("h00")) @[axi4_to_ahb.scala 252:69] - node _T_363 = and(_T_360, _T_362) @[axi4_to_ahb.scala 252:48] - trxn_done <= _T_363 @[axi4_to_ahb.scala 252:17] - node _T_364 = or(trxn_done, bypass_en) @[axi4_to_ahb.scala 253:40] - buf_cmd_byte_ptr_en <= _T_364 @[axi4_to_ahb.scala 253:27] - node _T_365 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 254:79] - node _T_366 = add(UInt<3>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_367 = tail(_T_366, 1) @[axi4_to_ahb.scala 135:52] - node _T_368 = mux(UInt<1>("h00"), _T_367, UInt<3>("h00")) @[axi4_to_ahb.scala 135:24] - node _T_369 = bits(_T_365, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_370 = geq(UInt<1>("h00"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_371 = and(_T_369, _T_370) @[axi4_to_ahb.scala 136:48] - node _T_372 = bits(_T_365, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_373 = geq(UInt<1>("h01"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_374 = and(_T_372, _T_373) @[axi4_to_ahb.scala 136:48] - node _T_375 = bits(_T_365, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_376 = geq(UInt<2>("h02"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_377 = and(_T_375, _T_376) @[axi4_to_ahb.scala 136:48] - node _T_378 = bits(_T_365, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_379 = geq(UInt<2>("h03"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_380 = and(_T_378, _T_379) @[axi4_to_ahb.scala 136:48] - node _T_381 = bits(_T_365, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_382 = geq(UInt<3>("h04"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_383 = and(_T_381, _T_382) @[axi4_to_ahb.scala 136:48] - node _T_384 = bits(_T_365, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_385 = geq(UInt<3>("h05"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_386 = and(_T_384, _T_385) @[axi4_to_ahb.scala 136:48] - node _T_387 = bits(_T_365, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_388 = geq(UInt<3>("h06"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_389 = and(_T_387, _T_388) @[axi4_to_ahb.scala 136:48] - node _T_390 = bits(_T_365, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_391 = geq(UInt<3>("h07"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_392 = and(_T_390, _T_391) @[axi4_to_ahb.scala 136:48] - node _T_393 = mux(_T_392, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_394 = mux(_T_389, UInt<3>("h06"), _T_393) @[Mux.scala 98:16] - node _T_395 = mux(_T_386, UInt<3>("h05"), _T_394) @[Mux.scala 98:16] - node _T_396 = mux(_T_383, UInt<3>("h04"), _T_395) @[Mux.scala 98:16] - node _T_397 = mux(_T_380, UInt<2>("h03"), _T_396) @[Mux.scala 98:16] - node _T_398 = mux(_T_377, UInt<2>("h02"), _T_397) @[Mux.scala 98:16] - node _T_399 = mux(_T_374, UInt<1>("h01"), _T_398) @[Mux.scala 98:16] - node _T_400 = mux(_T_371, UInt<1>("h00"), _T_399) @[Mux.scala 98:16] - node _T_401 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 254:141] - node _T_402 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 254:157] - node _T_403 = add(_T_401, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_404 = tail(_T_403, 1) @[axi4_to_ahb.scala 135:52] - node _T_405 = mux(UInt<1>("h01"), _T_404, _T_401) @[axi4_to_ahb.scala 135:24] - node _T_406 = bits(_T_402, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_407 = geq(UInt<1>("h00"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_408 = and(_T_406, _T_407) @[axi4_to_ahb.scala 136:48] - node _T_409 = bits(_T_402, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_410 = geq(UInt<1>("h01"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_411 = and(_T_409, _T_410) @[axi4_to_ahb.scala 136:48] - node _T_412 = bits(_T_402, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_413 = geq(UInt<2>("h02"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_414 = and(_T_412, _T_413) @[axi4_to_ahb.scala 136:48] - node _T_415 = bits(_T_402, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_416 = geq(UInt<2>("h03"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_417 = and(_T_415, _T_416) @[axi4_to_ahb.scala 136:48] - node _T_418 = bits(_T_402, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_419 = geq(UInt<3>("h04"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_420 = and(_T_418, _T_419) @[axi4_to_ahb.scala 136:48] - node _T_421 = bits(_T_402, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_422 = geq(UInt<3>("h05"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_423 = and(_T_421, _T_422) @[axi4_to_ahb.scala 136:48] - node _T_424 = bits(_T_402, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_425 = geq(UInt<3>("h06"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_426 = and(_T_424, _T_425) @[axi4_to_ahb.scala 136:48] - node _T_427 = bits(_T_402, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_428 = geq(UInt<3>("h07"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_429 = and(_T_427, _T_428) @[axi4_to_ahb.scala 136:48] - node _T_430 = mux(_T_429, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_431 = mux(_T_426, UInt<3>("h06"), _T_430) @[Mux.scala 98:16] - node _T_432 = mux(_T_423, UInt<3>("h05"), _T_431) @[Mux.scala 98:16] - node _T_433 = mux(_T_420, UInt<3>("h04"), _T_432) @[Mux.scala 98:16] - node _T_434 = mux(_T_417, UInt<2>("h03"), _T_433) @[Mux.scala 98:16] - node _T_435 = mux(_T_414, UInt<2>("h02"), _T_434) @[Mux.scala 98:16] - node _T_436 = mux(_T_411, UInt<1>("h01"), _T_435) @[Mux.scala 98:16] - node _T_437 = mux(_T_408, UInt<1>("h00"), _T_436) @[Mux.scala 98:16] - node _T_438 = mux(trxn_done, _T_437, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 254:97] - node _T_439 = mux(bypass_en, _T_400, _T_438) @[axi4_to_ahb.scala 254:30] - buf_cmd_byte_ptr <= _T_439 @[axi4_to_ahb.scala 254:24] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_440 = eq(UInt<3>("h05"), buf_state) @[Conditional.scala 37:30] - when _T_440 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h00") @[axi4_to_ahb.scala 257:20] - buf_state_en <= slave_ready @[axi4_to_ahb.scala 258:20] - slvbuf_error_en <= UInt<1>("h01") @[axi4_to_ahb.scala 259:23] - slave_valid_pre <= UInt<1>("h01") @[axi4_to_ahb.scala 260:23] - skip @[Conditional.scala 39:67] - cmd_done_rst <= slave_valid_pre @[axi4_to_ahb.scala 264:16] - node _T_441 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 265:33] - node _T_442 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 265:73] - node _T_443 = eq(_T_442, UInt<1>("h01")) @[axi4_to_ahb.scala 265:80] - node _T_444 = and(buf_aligned_in, _T_443) @[axi4_to_ahb.scala 265:60] - node _T_445 = bits(_T_444, 0, 0) @[axi4_to_ahb.scala 265:100] - node _T_446 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 265:132] - node _T_447 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:50] - node _T_448 = eq(_T_447, UInt<8>("h0ff")) @[axi4_to_ahb.scala 127:57] - node _T_449 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:81] - node _T_450 = eq(_T_449, UInt<8>("h0f")) @[axi4_to_ahb.scala 127:88] - node _T_451 = or(_T_448, _T_450) @[axi4_to_ahb.scala 127:70] - node _T_452 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:117] - node _T_453 = eq(_T_452, UInt<8>("h03")) @[axi4_to_ahb.scala 127:124] - node _T_454 = or(_T_451, _T_453) @[axi4_to_ahb.scala 127:106] - node _T_455 = bits(_T_454, 0, 0) @[Bitwise.scala 72:15] - node _T_456 = mux(_T_455, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_457 = and(UInt<3>("h00"), _T_456) @[axi4_to_ahb.scala 127:29] - node _T_458 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 128:35] - node _T_459 = eq(_T_458, UInt<8>("h0c")) @[axi4_to_ahb.scala 128:42] - node _T_460 = bits(_T_459, 0, 0) @[Bitwise.scala 72:15] - node _T_461 = mux(_T_460, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_462 = and(UInt<2>("h02"), _T_461) @[axi4_to_ahb.scala 128:15] - node _T_463 = or(_T_457, _T_462) @[axi4_to_ahb.scala 127:146] - node _T_464 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 129:36] - node _T_465 = eq(_T_464, UInt<8>("h0f0")) @[axi4_to_ahb.scala 129:43] - node _T_466 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 129:67] - node _T_467 = eq(_T_466, UInt<8>("h03")) @[axi4_to_ahb.scala 129:74] - node _T_468 = or(_T_465, _T_467) @[axi4_to_ahb.scala 129:56] - node _T_469 = bits(_T_468, 0, 0) @[Bitwise.scala 72:15] - node _T_470 = mux(_T_469, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_471 = and(UInt<3>("h04"), _T_470) @[axi4_to_ahb.scala 129:15] - node _T_472 = or(_T_463, _T_471) @[axi4_to_ahb.scala 128:63] - node _T_473 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 130:35] - node _T_474 = eq(_T_473, UInt<8>("h0c0")) @[axi4_to_ahb.scala 130:42] - node _T_475 = bits(_T_474, 0, 0) @[Bitwise.scala 72:15] - node _T_476 = mux(_T_475, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_477 = and(UInt<3>("h06"), _T_476) @[axi4_to_ahb.scala 130:15] - node _T_478 = or(_T_472, _T_477) @[axi4_to_ahb.scala 129:96] - node _T_479 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 131:33] - node _T_480 = eq(_T_479, UInt<8>("h0c0")) @[axi4_to_ahb.scala 131:40] - node _T_481 = bits(_T_480, 0, 0) @[Bitwise.scala 72:15] - node _T_482 = mux(_T_481, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_483 = and(UInt<3>("h06"), _T_482) @[axi4_to_ahb.scala 131:13] - node _T_484 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 265:152] - node _T_485 = mux(_T_445, _T_478, _T_484) @[axi4_to_ahb.scala 265:43] - node _T_486 = cat(_T_441, _T_485) @[Cat.scala 29:58] - buf_addr_in <= _T_486 @[axi4_to_ahb.scala 265:15] - node _T_487 = bits(master_tag, 0, 0) @[axi4_to_ahb.scala 266:27] - buf_tag_in <= _T_487 @[axi4_to_ahb.scala 266:14] - node _T_488 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 267:32] - buf_byteen_in <= _T_488 @[axi4_to_ahb.scala 267:17] - node _T_489 = eq(buf_state, UInt<3>("h03")) @[axi4_to_ahb.scala 268:33] - node _T_490 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 268:59] - node _T_491 = bits(master_wdata, 63, 0) @[axi4_to_ahb.scala 268:80] - node _T_492 = mux(_T_489, _T_490, _T_491) @[axi4_to_ahb.scala 268:21] - buf_data_in <= _T_492 @[axi4_to_ahb.scala 268:15] - node _T_493 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 269:52] - node _T_494 = eq(_T_493, UInt<2>("h03")) @[axi4_to_ahb.scala 269:58] - node _T_495 = and(buf_aligned_in, _T_494) @[axi4_to_ahb.scala 269:38] - node _T_496 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 269:84] - node _T_497 = eq(_T_496, UInt<1>("h01")) @[axi4_to_ahb.scala 269:91] - node _T_498 = and(_T_495, _T_497) @[axi4_to_ahb.scala 269:71] - node _T_499 = bits(_T_498, 0, 0) @[axi4_to_ahb.scala 269:111] - node _T_500 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 269:142] - node _T_501 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 121:42] - node _T_502 = eq(_T_501, UInt<8>("h0ff")) @[axi4_to_ahb.scala 121:49] - node _T_503 = bits(_T_502, 0, 0) @[Bitwise.scala 72:15] - node _T_504 = mux(_T_503, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_505 = and(UInt<2>("h03"), _T_504) @[axi4_to_ahb.scala 121:25] - node _T_506 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 122:35] - node _T_507 = eq(_T_506, UInt<8>("h0f0")) @[axi4_to_ahb.scala 122:42] - node _T_508 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 122:64] - node _T_509 = eq(_T_508, UInt<8>("h0f")) @[axi4_to_ahb.scala 122:71] - node _T_510 = or(_T_507, _T_509) @[axi4_to_ahb.scala 122:55] - node _T_511 = bits(_T_510, 0, 0) @[Bitwise.scala 72:15] - node _T_512 = mux(_T_511, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_513 = and(UInt<2>("h02"), _T_512) @[axi4_to_ahb.scala 122:16] - node _T_514 = or(_T_505, _T_513) @[axi4_to_ahb.scala 121:64] - node _T_515 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:40] - node _T_516 = eq(_T_515, UInt<8>("h0c0")) @[axi4_to_ahb.scala 123:47] - node _T_517 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:69] - node _T_518 = eq(_T_517, UInt<6>("h030")) @[axi4_to_ahb.scala 123:76] - node _T_519 = or(_T_516, _T_518) @[axi4_to_ahb.scala 123:60] - node _T_520 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:98] - node _T_521 = eq(_T_520, UInt<8>("h0c")) @[axi4_to_ahb.scala 123:105] - node _T_522 = or(_T_519, _T_521) @[axi4_to_ahb.scala 123:89] - node _T_523 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:132] - node _T_524 = eq(_T_523, UInt<8>("h03")) @[axi4_to_ahb.scala 123:139] - node _T_525 = or(_T_522, _T_524) @[axi4_to_ahb.scala 123:123] - node _T_526 = bits(_T_525, 0, 0) @[Bitwise.scala 72:15] - node _T_527 = mux(_T_526, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_528 = and(UInt<2>("h01"), _T_527) @[axi4_to_ahb.scala 123:21] - node _T_529 = or(_T_514, _T_528) @[axi4_to_ahb.scala 122:93] - node _T_530 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 269:161] - node _T_531 = mux(_T_499, _T_529, _T_530) @[axi4_to_ahb.scala 269:21] - buf_size_in <= _T_531 @[axi4_to_ahb.scala 269:15] - node _T_532 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 270:32] - node _T_533 = eq(_T_532, UInt<1>("h00")) @[axi4_to_ahb.scala 270:39] - node _T_534 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:17] - node _T_535 = eq(_T_534, UInt<1>("h00")) @[axi4_to_ahb.scala 271:24] - node _T_536 = or(_T_533, _T_535) @[axi4_to_ahb.scala 270:48] - node _T_537 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:47] - node _T_538 = eq(_T_537, UInt<2>("h01")) @[axi4_to_ahb.scala 271:54] - node _T_539 = or(_T_536, _T_538) @[axi4_to_ahb.scala 271:33] - node _T_540 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:86] - node _T_541 = eq(_T_540, UInt<2>("h02")) @[axi4_to_ahb.scala 271:93] - node _T_542 = or(_T_539, _T_541) @[axi4_to_ahb.scala 271:72] - node _T_543 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 272:18] - node _T_544 = eq(_T_543, UInt<2>("h03")) @[axi4_to_ahb.scala 272:25] - node _T_545 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:55] - node _T_546 = eq(_T_545, UInt<2>("h03")) @[axi4_to_ahb.scala 272:62] - node _T_547 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:90] - node _T_548 = eq(_T_547, UInt<4>("h0c")) @[axi4_to_ahb.scala 272:97] - node _T_549 = or(_T_546, _T_548) @[axi4_to_ahb.scala 272:74] - node _T_550 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:125] - node _T_551 = eq(_T_550, UInt<6>("h030")) @[axi4_to_ahb.scala 272:132] - node _T_552 = or(_T_549, _T_551) @[axi4_to_ahb.scala 272:109] - node _T_553 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:161] - node _T_554 = eq(_T_553, UInt<8>("h0c0")) @[axi4_to_ahb.scala 272:168] - node _T_555 = or(_T_552, _T_554) @[axi4_to_ahb.scala 272:145] - node _T_556 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:21] - node _T_557 = eq(_T_556, UInt<4>("h0f")) @[axi4_to_ahb.scala 273:28] - node _T_558 = or(_T_555, _T_557) @[axi4_to_ahb.scala 272:181] - node _T_559 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:56] - node _T_560 = eq(_T_559, UInt<8>("h0f0")) @[axi4_to_ahb.scala 273:63] - node _T_561 = or(_T_558, _T_560) @[axi4_to_ahb.scala 273:40] - node _T_562 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:92] - node _T_563 = eq(_T_562, UInt<8>("h0ff")) @[axi4_to_ahb.scala 273:99] - node _T_564 = or(_T_561, _T_563) @[axi4_to_ahb.scala 273:76] - node _T_565 = and(_T_544, _T_564) @[axi4_to_ahb.scala 272:38] - node _T_566 = or(_T_542, _T_565) @[axi4_to_ahb.scala 271:106] - buf_aligned_in <= _T_566 @[axi4_to_ahb.scala 270:18] - node _T_567 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 275:43] - node _T_568 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 275:62] - node _T_569 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 275:87] - node _T_570 = cat(_T_568, _T_569) @[Cat.scala 29:58] - node _T_571 = bits(buf_addr, 31, 3) @[axi4_to_ahb.scala 275:108] - node _T_572 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 275:133] - node _T_573 = cat(_T_571, _T_572) @[Cat.scala 29:58] - node _T_574 = mux(_T_567, _T_570, _T_573) @[axi4_to_ahb.scala 275:26] - io.ahb.out.haddr <= _T_574 @[axi4_to_ahb.scala 275:20] - node _T_575 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 276:43] - node _T_576 = bits(buf_aligned_in, 0, 0) @[Bitwise.scala 72:15] - node _T_577 = mux(_T_576, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_578 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 276:94] - node _T_579 = and(_T_577, _T_578) @[axi4_to_ahb.scala 276:81] - node _T_580 = cat(UInt<1>("h00"), _T_579) @[Cat.scala 29:58] - node _T_581 = bits(buf_aligned, 0, 0) @[Bitwise.scala 72:15] - node _T_582 = mux(_T_581, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_583 = bits(buf_size, 1, 0) @[axi4_to_ahb.scala 276:148] - node _T_584 = and(_T_582, _T_583) @[axi4_to_ahb.scala 276:138] - node _T_585 = cat(UInt<1>("h00"), _T_584) @[Cat.scala 29:58] - node _T_586 = mux(_T_575, _T_580, _T_585) @[axi4_to_ahb.scala 276:26] - io.ahb.out.hsize <= _T_586 @[axi4_to_ahb.scala 276:20] - io.ahb.out.hburst <= UInt<1>("h00") @[axi4_to_ahb.scala 278:21] - io.ahb.out.hmastlock <= UInt<1>("h00") @[axi4_to_ahb.scala 279:24] - node _T_587 = bits(io.axi.ar.bits.prot, 2, 2) @[axi4_to_ahb.scala 280:57] - node _T_588 = eq(_T_587, UInt<1>("h00")) @[axi4_to_ahb.scala 280:37] - node _T_589 = cat(UInt<1>("h01"), _T_588) @[Cat.scala 29:58] - io.ahb.out.hprot <= _T_589 @[axi4_to_ahb.scala 280:20] - node _T_590 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 281:44] - node _T_591 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 281:59] - node _T_592 = eq(_T_591, UInt<1>("h01")) @[axi4_to_ahb.scala 281:66] - node _T_593 = mux(_T_590, _T_592, buf_write) @[axi4_to_ahb.scala 281:27] - io.ahb.out.hwrite <= _T_593 @[axi4_to_ahb.scala 281:21] - node _T_594 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 282:32] - io.ahb.out.hwdata <= _T_594 @[axi4_to_ahb.scala 282:21] - slave_valid <= slave_valid_pre @[axi4_to_ahb.scala 284:15] - node _T_595 = bits(slvbuf_write, 0, 0) @[axi4_to_ahb.scala 285:43] - node _T_596 = mux(_T_595, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 285:23] - node _T_597 = bits(slvbuf_error, 0, 0) @[Bitwise.scala 72:15] - node _T_598 = mux(_T_597, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_599 = and(_T_598, UInt<2>("h02")) @[axi4_to_ahb.scala 285:88] - node _T_600 = cat(_T_596, _T_599) @[Cat.scala 29:58] - slave_opc <= _T_600 @[axi4_to_ahb.scala 285:13] - node _T_601 = bits(slvbuf_error, 0, 0) @[axi4_to_ahb.scala 286:41] - node _T_602 = bits(last_bus_addr, 31, 0) @[axi4_to_ahb.scala 286:66] - node _T_603 = cat(_T_602, _T_602) @[Cat.scala 29:58] - node _T_604 = eq(buf_state, UInt<3>("h05")) @[axi4_to_ahb.scala 286:91] - node _T_605 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 286:110] - node _T_606 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 286:131] - node _T_607 = mux(_T_604, _T_605, _T_606) @[axi4_to_ahb.scala 286:79] - node _T_608 = mux(_T_601, _T_603, _T_607) @[axi4_to_ahb.scala 286:21] - slave_rdata <= _T_608 @[axi4_to_ahb.scala 286:15] - node _T_609 = bits(slvbuf_tag, 0, 0) @[axi4_to_ahb.scala 287:26] - slave_tag <= _T_609 @[axi4_to_ahb.scala 287:13] - node _T_610 = bits(io.ahb.out.htrans, 1, 0) @[axi4_to_ahb.scala 289:37] - node _T_611 = neq(_T_610, UInt<1>("h00")) @[axi4_to_ahb.scala 289:44] - node _T_612 = and(_T_611, io.ahb.in.hready) @[axi4_to_ahb.scala 289:56] - node _T_613 = and(_T_612, io.ahb.out.hwrite) @[axi4_to_ahb.scala 289:75] - last_addr_en <= _T_613 @[axi4_to_ahb.scala 289:16] - node _T_614 = and(io.axi.aw.valid, io.axi.aw.ready) @[axi4_to_ahb.scala 291:31] - node _T_615 = and(_T_614, master_ready) @[axi4_to_ahb.scala 291:49] - wrbuf_en <= _T_615 @[axi4_to_ahb.scala 291:12] - node _T_616 = and(io.axi.w.valid, io.axi.w.ready) @[axi4_to_ahb.scala 292:35] - node _T_617 = and(_T_616, master_ready) @[axi4_to_ahb.scala 292:52] - wrbuf_data_en <= _T_617 @[axi4_to_ahb.scala 292:17] - node _T_618 = and(master_valid, master_ready) @[axi4_to_ahb.scala 293:34] - node _T_619 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 293:62] - node _T_620 = eq(_T_619, UInt<1>("h01")) @[axi4_to_ahb.scala 293:69] - node _T_621 = and(_T_618, _T_620) @[axi4_to_ahb.scala 293:49] - wrbuf_cmd_sent <= _T_621 @[axi4_to_ahb.scala 293:18] - node _T_622 = eq(wrbuf_en, UInt<1>("h00")) @[axi4_to_ahb.scala 294:33] - node _T_623 = and(wrbuf_cmd_sent, _T_622) @[axi4_to_ahb.scala 294:31] - wrbuf_rst <= _T_623 @[axi4_to_ahb.scala 294:13] - node _T_624 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 296:36] - node _T_625 = and(wrbuf_vld, _T_624) @[axi4_to_ahb.scala 296:34] - node _T_626 = eq(_T_625, UInt<1>("h00")) @[axi4_to_ahb.scala 296:22] - node _T_627 = and(_T_626, master_ready) @[axi4_to_ahb.scala 296:53] - io.axi.aw.ready <= _T_627 @[axi4_to_ahb.scala 296:19] - node _T_628 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 297:40] - node _T_629 = and(wrbuf_data_vld, _T_628) @[axi4_to_ahb.scala 297:38] - node _T_630 = eq(_T_629, UInt<1>("h00")) @[axi4_to_ahb.scala 297:21] - node _T_631 = and(_T_630, master_ready) @[axi4_to_ahb.scala 297:57] - io.axi.w.ready <= _T_631 @[axi4_to_ahb.scala 297:18] - node _T_632 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 298:34] - node _T_633 = eq(_T_632, UInt<1>("h00")) @[axi4_to_ahb.scala 298:22] - node _T_634 = and(_T_633, master_ready) @[axi4_to_ahb.scala 298:52] - io.axi.ar.ready <= _T_634 @[axi4_to_ahb.scala 298:19] - io.axi.r.bits.last <= UInt<1>("h01") @[axi4_to_ahb.scala 299:22] - node _T_635 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 301:71] - node _T_636 = mux(_T_635, UInt<1>("h01"), wrbuf_vld) @[axi4_to_ahb.scala 301:55] - node _T_637 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 301:91] - node _T_638 = and(_T_636, _T_637) @[axi4_to_ahb.scala 301:89] - reg _T_639 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 301:51] - _T_639 <= _T_638 @[axi4_to_ahb.scala 301:51] - wrbuf_vld <= _T_639 @[axi4_to_ahb.scala 301:21] - node _T_640 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 302:76] - node _T_641 = mux(_T_640, UInt<1>("h01"), wrbuf_data_vld) @[axi4_to_ahb.scala 302:55] - node _T_642 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 302:102] - node _T_643 = and(_T_641, _T_642) @[axi4_to_ahb.scala 302:100] - reg _T_644 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 302:51] - _T_644 <= _T_643 @[axi4_to_ahb.scala 302:51] - wrbuf_data_vld <= _T_644 @[axi4_to_ahb.scala 302:21] - node _T_645 = bits(io.axi.aw.bits.id, 0, 0) @[axi4_to_ahb.scala 303:71] - node _T_646 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 303:105] - reg _T_647 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_646 : @[Reg.scala 28:19] - _T_647 <= _T_645 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - wrbuf_tag <= _T_647 @[axi4_to_ahb.scala 303:21] - node _T_648 = bits(io.axi.aw.bits.size, 2, 0) @[axi4_to_ahb.scala 304:73] - node _T_649 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 304:101] - reg _T_650 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_649 : @[Reg.scala 28:19] - _T_650 <= _T_648 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - wrbuf_size <= _T_650 @[axi4_to_ahb.scala 304:21] - node _T_651 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 305:61] - inst rvclkhdr_2 of rvclkhdr_851 @[lib.scala 368:23] - rvclkhdr_2.clock <= clock - rvclkhdr_2.reset <= reset - rvclkhdr_2.io.clk <= bus_clk @[lib.scala 370:18] - rvclkhdr_2.io.en <= _T_651 @[lib.scala 371:17] - rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_652 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_652 <= io.axi.aw.bits.addr @[lib.scala 374:16] - wrbuf_addr <= _T_652 @[axi4_to_ahb.scala 305:21] - node _T_653 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 306:65] - inst rvclkhdr_3 of rvclkhdr_852 @[lib.scala 368:23] - rvclkhdr_3.clock <= clock - rvclkhdr_3.reset <= reset - rvclkhdr_3.io.clk <= bus_clk @[lib.scala 370:18] - rvclkhdr_3.io.en <= _T_653 @[lib.scala 371:17] - rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_654 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_654 <= io.axi.w.bits.data @[lib.scala 374:16] - wrbuf_data <= _T_654 @[axi4_to_ahb.scala 306:21] - node _T_655 = bits(io.axi.w.bits.strb, 7, 0) @[axi4_to_ahb.scala 307:72] - node _T_656 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 307:105] - reg _T_657 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_656 : @[Reg.scala 28:19] - _T_657 <= _T_655 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - wrbuf_byteen <= _T_657 @[axi4_to_ahb.scala 307:21] - node _T_658 = bits(io.ahb.out.haddr, 31, 0) @[axi4_to_ahb.scala 308:71] - node _T_659 = bits(last_addr_en, 0, 0) @[axi4_to_ahb.scala 308:104] - reg _T_660 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_659 : @[Reg.scala 28:19] - _T_660 <= _T_658 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - last_bus_addr <= _T_660 @[axi4_to_ahb.scala 308:21] - node _T_661 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 309:89] - reg _T_662 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_661 : @[Reg.scala 28:19] - _T_662 <= buf_write_in @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_write <= _T_662 @[axi4_to_ahb.scala 309:21] - node _T_663 = bits(buf_tag_in, 0, 0) @[axi4_to_ahb.scala 310:64] - node _T_664 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 310:99] - reg _T_665 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_664 : @[Reg.scala 28:19] - _T_665 <= _T_663 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_tag <= _T_665 @[axi4_to_ahb.scala 310:21] - node _T_666 = bits(buf_addr_in, 31, 0) @[axi4_to_ahb.scala 311:42] - node _T_667 = and(buf_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 311:61] - node _T_668 = bits(_T_667, 0, 0) @[axi4_to_ahb.scala 311:78] - inst rvclkhdr_4 of rvclkhdr_853 @[lib.scala 368:23] - rvclkhdr_4.clock <= clock - rvclkhdr_4.reset <= reset - rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_4.io.en <= _T_668 @[lib.scala 371:17] - rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_669 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_669 <= _T_666 @[lib.scala 374:16] - buf_addr <= _T_669 @[axi4_to_ahb.scala 311:21] - node _T_670 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 312:65] - node _T_671 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 312:94] - reg _T_672 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_671 : @[Reg.scala 28:19] - _T_672 <= _T_670 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_size <= _T_672 @[axi4_to_ahb.scala 312:21] - node _T_673 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 313:91] - reg _T_674 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_673 : @[Reg.scala 28:19] - _T_674 <= buf_aligned_in @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_aligned <= _T_674 @[axi4_to_ahb.scala 313:21] - node _T_675 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 314:67] - node _T_676 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 314:96] - reg _T_677 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_676 : @[Reg.scala 28:19] - _T_677 <= _T_675 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_byteen <= _T_677 @[axi4_to_ahb.scala 314:21] - node _T_678 = bits(buf_data_in, 63, 0) @[axi4_to_ahb.scala 315:42] - node _T_679 = and(buf_data_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 315:66] - node _T_680 = bits(_T_679, 0, 0) @[axi4_to_ahb.scala 315:89] - inst rvclkhdr_5 of rvclkhdr_854 @[lib.scala 368:23] - rvclkhdr_5.clock <= clock - rvclkhdr_5.reset <= reset - rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_5.io.en <= _T_680 @[lib.scala 371:17] - rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_681 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_681 <= _T_678 @[lib.scala 374:16] - buf_data <= _T_681 @[axi4_to_ahb.scala 315:21] - node _T_682 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 316:89] - reg _T_683 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_682 : @[Reg.scala 28:19] - _T_683 <= buf_write @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - slvbuf_write <= _T_683 @[axi4_to_ahb.scala 316:21] - node _T_684 = bits(buf_tag, 0, 0) @[axi4_to_ahb.scala 317:61] - node _T_685 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 317:99] - reg _T_686 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_685 : @[Reg.scala 28:19] - _T_686 <= _T_684 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - slvbuf_tag <= _T_686 @[axi4_to_ahb.scala 317:21] - node _T_687 = bits(slvbuf_error_en, 0, 0) @[axi4_to_ahb.scala 318:99] - reg _T_688 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_687 : @[Reg.scala 28:19] - _T_688 <= slvbuf_error_in @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - slvbuf_error <= _T_688 @[axi4_to_ahb.scala 318:21] - node _T_689 = bits(cmd_done, 0, 0) @[axi4_to_ahb.scala 319:72] - node _T_690 = mux(_T_689, UInt<1>("h01"), cmd_doneQ) @[axi4_to_ahb.scala 319:56] - node _T_691 = eq(cmd_done_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 319:92] - node _T_692 = and(_T_690, _T_691) @[axi4_to_ahb.scala 319:90] - reg _T_693 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 319:52] - _T_693 <= _T_692 @[axi4_to_ahb.scala 319:52] - cmd_doneQ <= _T_693 @[axi4_to_ahb.scala 319:21] - node _T_694 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 320:71] - node _T_695 = bits(buf_cmd_byte_ptr_en, 0, 0) @[axi4_to_ahb.scala 320:110] - reg _T_696 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_695 : @[Reg.scala 28:19] - _T_696 <= _T_694 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_cmd_byte_ptrQ <= _T_696 @[axi4_to_ahb.scala 320:21] - reg _T_697 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 321:52] - _T_697 <= io.ahb.in.hready @[axi4_to_ahb.scala 321:52] - ahb_hready_q <= _T_697 @[axi4_to_ahb.scala 321:21] - node _T_698 = bits(io.ahb.out.htrans, 1, 0) @[axi4_to_ahb.scala 322:70] - reg _T_699 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 322:52] - _T_699 <= _T_698 @[axi4_to_ahb.scala 322:52] - ahb_htrans_q <= _T_699 @[axi4_to_ahb.scala 322:21] - reg _T_700 : UInt<1>, ahbm_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 323:57] - _T_700 <= io.ahb.out.hwrite @[axi4_to_ahb.scala 323:57] - ahb_hwrite_q <= _T_700 @[axi4_to_ahb.scala 323:21] - reg _T_701 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 324:52] - _T_701 <= io.ahb.in.hresp @[axi4_to_ahb.scala 324:52] - ahb_hresp_q <= _T_701 @[axi4_to_ahb.scala 324:21] - node _T_702 = bits(io.ahb.in.hrdata, 63, 0) @[axi4_to_ahb.scala 325:74] - reg _T_703 : UInt, ahbm_data_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 325:57] - _T_703 <= _T_702 @[axi4_to_ahb.scala 325:57] - ahb_hrdata_q <= _T_703 @[axi4_to_ahb.scala 325:21] - node _T_704 = or(buf_wr_en, slvbuf_wr_en) @[axi4_to_ahb.scala 327:43] - node _T_705 = or(_T_704, io.clk_override) @[axi4_to_ahb.scala 327:58] - node _T_706 = and(io.bus_clk_en, _T_705) @[axi4_to_ahb.scala 327:30] - buf_clken <= _T_706 @[axi4_to_ahb.scala 327:13] - node _T_707 = bits(io.ahb.out.htrans, 1, 1) @[axi4_to_ahb.scala 328:76] - node _T_708 = and(io.ahb.in.hready, _T_707) @[axi4_to_ahb.scala 328:57] - node _T_709 = or(_T_708, io.clk_override) @[axi4_to_ahb.scala 328:81] - node _T_710 = and(io.bus_clk_en, _T_709) @[axi4_to_ahb.scala 328:36] - ahbm_addr_clken <= _T_710 @[axi4_to_ahb.scala 328:19] - node _T_711 = neq(buf_state, UInt<3>("h00")) @[axi4_to_ahb.scala 329:50] - node _T_712 = or(_T_711, io.clk_override) @[axi4_to_ahb.scala 329:60] - node _T_713 = and(io.bus_clk_en, _T_712) @[axi4_to_ahb.scala 329:36] - ahbm_data_clken <= _T_713 @[axi4_to_ahb.scala 329:19] - inst rvclkhdr_6 of rvclkhdr_855 @[lib.scala 343:22] - rvclkhdr_6.clock <= clock - rvclkhdr_6.reset <= reset - rvclkhdr_6.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_6.io.en <= buf_clken @[lib.scala 345:16] - rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - buf_clk <= rvclkhdr_6.io.l1clk @[axi4_to_ahb.scala 332:12] - inst rvclkhdr_7 of rvclkhdr_856 @[lib.scala 343:22] - rvclkhdr_7.clock <= clock - rvclkhdr_7.reset <= reset - rvclkhdr_7.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_7.io.en <= io.bus_clk_en @[lib.scala 345:16] - rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - ahbm_clk <= rvclkhdr_7.io.l1clk @[axi4_to_ahb.scala 333:12] - inst rvclkhdr_8 of rvclkhdr_857 @[lib.scala 343:22] - rvclkhdr_8.clock <= clock - rvclkhdr_8.reset <= reset - rvclkhdr_8.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_8.io.en <= ahbm_addr_clken @[lib.scala 345:16] - rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - ahbm_addr_clk <= rvclkhdr_8.io.l1clk @[axi4_to_ahb.scala 334:17] - inst rvclkhdr_9 of rvclkhdr_858 @[lib.scala 343:22] - rvclkhdr_9.clock <= clock - rvclkhdr_9.reset <= reset - rvclkhdr_9.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_9.io.en <= ahbm_data_clken @[lib.scala 345:16] - rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - ahbm_data_clk <= rvclkhdr_9.io.l1clk @[axi4_to_ahb.scala 335:17] - - extmodule gated_latch_859 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_859 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_859 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_860 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_860 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_860 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_861 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_861 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_861 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_862 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_862 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_862 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_863 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_863 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_863 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_864 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_864 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_864 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_865 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_865 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_865 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_866 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_866 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_866 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_867 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_867 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_867 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_868 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_868 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_868 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - module axi4_to_ahb_1 : - input clock : Clock - input reset : AsyncReset - output io : {flip scan_mode : UInt<1>, flip bus_clk_en : UInt<1>, flip clk_override : UInt<1>, flip axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, ahb : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}} - - wire buf_rst : UInt<1> - buf_rst <= UInt<1>("h00") - buf_rst <= UInt<1>("h00") @[axi4_to_ahb.scala 21:11] - io.ahb.out.htrans <= UInt<1>("h00") @[axi4_to_ahb.scala 22:21] - wire buf_state_en : UInt<1> - buf_state_en <= UInt<1>("h00") - wire ahbm_clk : Clock @[axi4_to_ahb.scala 24:22] - wire ahbm_addr_clk : Clock @[axi4_to_ahb.scala 25:27] - wire ahbm_data_clk : Clock @[axi4_to_ahb.scala 26:27] - wire buf_state : UInt<3> - buf_state <= UInt<3>("h00") - wire buf_nxtstate : UInt<3> - buf_nxtstate <= UInt<3>("h00") - node _T = bits(buf_state_en, 0, 0) @[axi4_to_ahb.scala 30:70] - node _T_1 = mux(_T, buf_nxtstate, buf_state) @[axi4_to_ahb.scala 30:50] - node _T_2 = eq(buf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 30:108] - node _T_3 = bits(_T_2, 0, 0) @[Bitwise.scala 72:15] - node _T_4 = mux(_T_3, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_5 = and(_T_1, _T_4) @[axi4_to_ahb.scala 30:98] - reg _T_6 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 30:45] - _T_6 <= _T_5 @[axi4_to_ahb.scala 30:45] - buf_state <= _T_6 @[axi4_to_ahb.scala 30:13] - wire slave_valid : UInt<1> - slave_valid <= UInt<1>("h00") - wire slave_ready : UInt<1> - slave_ready <= UInt<1>("h00") - wire slave_tag : UInt<3> - slave_tag <= UInt<3>("h00") - wire slave_rdata : UInt<64> - slave_rdata <= UInt<64>("h00") - wire slave_opc : UInt<4> - slave_opc <= UInt<4>("h00") - wire wrbuf_en : UInt<1> - wrbuf_en <= UInt<1>("h00") - wire wrbuf_data_en : UInt<1> - wrbuf_data_en <= UInt<1>("h00") - wire wrbuf_cmd_sent : UInt<1> - wrbuf_cmd_sent <= UInt<1>("h00") - wire wrbuf_rst : UInt<1> - wrbuf_rst <= UInt<1>("h00") - wire wrbuf_vld : UInt<1> - wrbuf_vld <= UInt<1>("h00") - wire wrbuf_data_vld : UInt<1> - wrbuf_data_vld <= UInt<1>("h00") - wire wrbuf_tag : UInt<3> - wrbuf_tag <= UInt<3>("h00") - wire wrbuf_size : UInt<3> - wrbuf_size <= UInt<3>("h00") - wire wrbuf_addr : UInt<32> - wrbuf_addr <= UInt<32>("h00") - wire wrbuf_data : UInt<64> - wrbuf_data <= UInt<64>("h00") - wire wrbuf_byteen : UInt<8> - wrbuf_byteen <= UInt<8>("h00") - wire bus_write_clk_en : UInt<1> - bus_write_clk_en <= UInt<1>("h00") - wire bus_clk : Clock @[axi4_to_ahb.scala 50:21] - wire bus_write_clk : Clock @[axi4_to_ahb.scala 51:27] - wire master_valid : UInt<1> - master_valid <= UInt<1>("h00") - wire master_ready : UInt<1> - master_ready <= UInt<1>("h00") - wire master_tag : UInt<3> - master_tag <= UInt<3>("h00") - wire master_addr : UInt<32> - master_addr <= UInt<32>("h00") - wire master_wdata : UInt<64> - master_wdata <= UInt<64>("h00") - wire master_size : UInt<3> - master_size <= UInt<3>("h00") - wire master_opc : UInt<3> - master_opc <= UInt<3>("h00") - wire master_byteen : UInt<8> - master_byteen <= UInt<8>("h00") - wire buf_addr : UInt<32> - buf_addr <= UInt<32>("h00") - wire buf_size : UInt<2> - buf_size <= UInt<2>("h00") - wire buf_write : UInt<1> - buf_write <= UInt<1>("h00") - wire buf_byteen : UInt<8> - buf_byteen <= UInt<8>("h00") - wire buf_aligned : UInt<1> - buf_aligned <= UInt<1>("h00") - wire buf_data : UInt<64> - buf_data <= UInt<64>("h00") - wire buf_tag : UInt<3> - buf_tag <= UInt<3>("h00") - wire buf_tag_in : UInt<3> - buf_tag_in <= UInt<3>("h00") - wire buf_addr_in : UInt<32> - buf_addr_in <= UInt<32>("h00") - wire buf_byteen_in : UInt<8> - buf_byteen_in <= UInt<8>("h00") - wire buf_data_in : UInt<64> - buf_data_in <= UInt<64>("h00") - wire buf_write_in : UInt<1> - buf_write_in <= UInt<1>("h00") - wire buf_aligned_in : UInt<1> - buf_aligned_in <= UInt<1>("h00") - wire buf_size_in : UInt<3> - buf_size_in <= UInt<3>("h00") - wire buf_wr_en : UInt<1> - buf_wr_en <= UInt<1>("h00") - wire buf_data_wr_en : UInt<1> - buf_data_wr_en <= UInt<1>("h00") - wire slvbuf_error_en : UInt<1> - slvbuf_error_en <= UInt<1>("h00") - wire wr_cmd_vld : UInt<1> - wr_cmd_vld <= UInt<1>("h00") - wire cmd_done_rst : UInt<1> - cmd_done_rst <= UInt<1>("h00") - wire cmd_done : UInt<1> - cmd_done <= UInt<1>("h00") - wire cmd_doneQ : UInt<1> - cmd_doneQ <= UInt<1>("h00") - wire trxn_done : UInt<1> - trxn_done <= UInt<1>("h00") - wire buf_cmd_byte_ptr : UInt<3> - buf_cmd_byte_ptr <= UInt<3>("h00") - wire buf_cmd_byte_ptrQ : UInt<3> - buf_cmd_byte_ptrQ <= UInt<3>("h00") - wire buf_cmd_nxtbyte_ptr : UInt<3> - buf_cmd_nxtbyte_ptr <= UInt<3>("h00") - wire buf_cmd_byte_ptr_en : UInt<1> - buf_cmd_byte_ptr_en <= UInt<1>("h00") - wire found : UInt<1> - found <= UInt<1>("h00") - wire slave_valid_pre : UInt<1> - slave_valid_pre <= UInt<1>("h00") - wire ahb_hready_q : UInt<1> - ahb_hready_q <= UInt<1>("h00") - wire ahb_hresp_q : UInt<1> - ahb_hresp_q <= UInt<1>("h00") - wire ahb_htrans_q : UInt<2> - ahb_htrans_q <= UInt<2>("h00") - wire ahb_hwrite_q : UInt<1> - ahb_hwrite_q <= UInt<1>("h00") - wire ahb_hrdata_q : UInt<64> - ahb_hrdata_q <= UInt<64>("h00") - wire slvbuf_write : UInt<1> - slvbuf_write <= UInt<1>("h00") - wire slvbuf_error : UInt<1> - slvbuf_error <= UInt<1>("h00") - wire slvbuf_tag : UInt<3> - slvbuf_tag <= UInt<3>("h00") - wire slvbuf_error_in : UInt<1> - slvbuf_error_in <= UInt<1>("h00") - wire slvbuf_wr_en : UInt<1> - slvbuf_wr_en <= UInt<1>("h00") - wire bypass_en : UInt<1> - bypass_en <= UInt<1>("h00") - wire rd_bypass_idle : UInt<1> - rd_bypass_idle <= UInt<1>("h00") - wire last_addr_en : UInt<1> - last_addr_en <= UInt<1>("h00") - wire last_bus_addr : UInt<32> - last_bus_addr <= UInt<32>("h00") - wire buf_clken : UInt<1> - buf_clken <= UInt<1>("h00") - wire slvbuf_clken : UInt<1> - slvbuf_clken <= UInt<1>("h00") - wire ahbm_addr_clken : UInt<1> - ahbm_addr_clken <= UInt<1>("h00") - wire ahbm_data_clken : UInt<1> - ahbm_data_clken <= UInt<1>("h00") - wire buf_clk : Clock @[axi4_to_ahb.scala 118:21] - node _T_7 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 139:27] - wr_cmd_vld <= _T_7 @[axi4_to_ahb.scala 139:14] - node _T_8 = or(wr_cmd_vld, io.axi.ar.valid) @[axi4_to_ahb.scala 140:30] - master_valid <= _T_8 @[axi4_to_ahb.scala 140:16] - node _T_9 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 141:38] - node _T_10 = bits(wrbuf_tag, 2, 0) @[axi4_to_ahb.scala 141:51] - node _T_11 = bits(io.axi.ar.bits.id, 2, 0) @[axi4_to_ahb.scala 141:82] - node _T_12 = mux(_T_9, _T_10, _T_11) @[axi4_to_ahb.scala 141:20] - master_tag <= _T_12 @[axi4_to_ahb.scala 141:14] - node _T_13 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 142:38] - node _T_14 = mux(_T_13, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 142:20] - master_opc <= _T_14 @[axi4_to_ahb.scala 142:14] - node _T_15 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 143:39] - node _T_16 = bits(wrbuf_addr, 31, 0) @[axi4_to_ahb.scala 143:53] - node _T_17 = bits(io.axi.ar.bits.addr, 31, 0) @[axi4_to_ahb.scala 143:81] - node _T_18 = mux(_T_15, _T_16, _T_17) @[axi4_to_ahb.scala 143:21] - master_addr <= _T_18 @[axi4_to_ahb.scala 143:15] - node _T_19 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 144:39] - node _T_20 = bits(wrbuf_size, 2, 0) @[axi4_to_ahb.scala 144:53] - node _T_21 = bits(io.axi.ar.bits.size, 2, 0) @[axi4_to_ahb.scala 144:80] - node _T_22 = mux(_T_19, _T_20, _T_21) @[axi4_to_ahb.scala 144:21] - master_size <= _T_22 @[axi4_to_ahb.scala 144:15] - node _T_23 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 145:32] - master_byteen <= _T_23 @[axi4_to_ahb.scala 145:17] - node _T_24 = bits(wrbuf_data, 63, 0) @[axi4_to_ahb.scala 146:29] - master_wdata <= _T_24 @[axi4_to_ahb.scala 146:16] - node _T_25 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 149:33] - node _T_26 = bits(slave_opc, 3, 3) @[axi4_to_ahb.scala 149:58] - node _T_27 = and(_T_25, _T_26) @[axi4_to_ahb.scala 149:47] - io.axi.b.valid <= _T_27 @[axi4_to_ahb.scala 149:18] - node _T_28 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 150:38] - node _T_29 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 150:65] - node _T_30 = mux(_T_29, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 150:55] - node _T_31 = mux(_T_28, UInt<2>("h02"), _T_30) @[axi4_to_ahb.scala 150:28] - io.axi.b.bits.resp <= _T_31 @[axi4_to_ahb.scala 150:22] - node _T_32 = bits(slave_tag, 2, 0) @[axi4_to_ahb.scala 151:32] - io.axi.b.bits.id <= _T_32 @[axi4_to_ahb.scala 151:20] - node _T_33 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 153:33] - node _T_34 = bits(slave_opc, 3, 2) @[axi4_to_ahb.scala 153:59] - node _T_35 = eq(_T_34, UInt<1>("h00")) @[axi4_to_ahb.scala 153:66] - node _T_36 = and(_T_33, _T_35) @[axi4_to_ahb.scala 153:47] - io.axi.r.valid <= _T_36 @[axi4_to_ahb.scala 153:18] - node _T_37 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 154:38] - node _T_38 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 154:65] - node _T_39 = mux(_T_38, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 154:55] - node _T_40 = mux(_T_37, UInt<2>("h02"), _T_39) @[axi4_to_ahb.scala 154:28] - io.axi.r.bits.resp <= _T_40 @[axi4_to_ahb.scala 154:22] - node _T_41 = bits(slave_tag, 2, 0) @[axi4_to_ahb.scala 155:32] - io.axi.r.bits.id <= _T_41 @[axi4_to_ahb.scala 155:20] - node _T_42 = bits(slave_rdata, 63, 0) @[axi4_to_ahb.scala 156:36] - io.axi.r.bits.data <= _T_42 @[axi4_to_ahb.scala 156:22] - node _T_43 = and(io.axi.b.ready, io.axi.r.ready) @[axi4_to_ahb.scala 157:33] - slave_ready <= _T_43 @[axi4_to_ahb.scala 157:15] - node _T_44 = and(io.axi.aw.valid, io.axi.aw.ready) @[axi4_to_ahb.scala 160:57] - node _T_45 = and(io.axi.w.valid, io.axi.w.ready) @[axi4_to_ahb.scala 160:94] - node _T_46 = or(_T_44, _T_45) @[axi4_to_ahb.scala 160:76] - node _T_47 = and(io.bus_clk_en, _T_46) @[axi4_to_ahb.scala 160:37] - bus_write_clk_en <= _T_47 @[axi4_to_ahb.scala 160:20] - inst rvclkhdr of rvclkhdr_859 @[lib.scala 343:22] - rvclkhdr.clock <= clock - rvclkhdr.reset <= reset - rvclkhdr.io.clk <= clock @[lib.scala 344:17] - rvclkhdr.io.en <= io.bus_clk_en @[lib.scala 345:16] - rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - bus_clk <= rvclkhdr.io.l1clk @[axi4_to_ahb.scala 162:11] - node _T_48 = bits(bus_write_clk_en, 0, 0) @[axi4_to_ahb.scala 163:59] - inst rvclkhdr_1 of rvclkhdr_860 @[lib.scala 343:22] - rvclkhdr_1.clock <= clock - rvclkhdr_1.reset <= reset - rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_1.io.en <= _T_48 @[lib.scala 345:16] - rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - bus_write_clk <= rvclkhdr_1.io.l1clk @[axi4_to_ahb.scala 163:17] - node _T_49 = eq(UInt<3>("h00"), buf_state) @[Conditional.scala 37:30] - when _T_49 : @[Conditional.scala 40:58] - master_ready <= UInt<1>("h01") @[axi4_to_ahb.scala 167:20] - node _T_50 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 168:34] - node _T_51 = eq(_T_50, UInt<1>("h01")) @[axi4_to_ahb.scala 168:41] - buf_write_in <= _T_51 @[axi4_to_ahb.scala 168:20] - node _T_52 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 169:46] - node _T_53 = mux(_T_52, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 169:26] - buf_nxtstate <= _T_53 @[axi4_to_ahb.scala 169:20] - node _T_54 = and(master_valid, UInt<1>("h01")) @[axi4_to_ahb.scala 170:36] - buf_state_en <= _T_54 @[axi4_to_ahb.scala 170:20] - buf_wr_en <= buf_state_en @[axi4_to_ahb.scala 171:17] - node _T_55 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 172:54] - node _T_56 = and(buf_state_en, _T_55) @[axi4_to_ahb.scala 172:38] - buf_data_wr_en <= _T_56 @[axi4_to_ahb.scala 172:22] - buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 173:27] - node _T_57 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 175:50] - node _T_58 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 175:94] - node _T_59 = add(UInt<3>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_60 = tail(_T_59, 1) @[axi4_to_ahb.scala 135:52] - node _T_61 = mux(UInt<1>("h00"), _T_60, UInt<3>("h00")) @[axi4_to_ahb.scala 135:24] - node _T_62 = bits(_T_58, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_63 = geq(UInt<1>("h00"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_64 = and(_T_62, _T_63) @[axi4_to_ahb.scala 136:48] - node _T_65 = bits(_T_58, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_66 = geq(UInt<1>("h01"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_67 = and(_T_65, _T_66) @[axi4_to_ahb.scala 136:48] - node _T_68 = bits(_T_58, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_69 = geq(UInt<2>("h02"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_70 = and(_T_68, _T_69) @[axi4_to_ahb.scala 136:48] - node _T_71 = bits(_T_58, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_72 = geq(UInt<2>("h03"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_73 = and(_T_71, _T_72) @[axi4_to_ahb.scala 136:48] - node _T_74 = bits(_T_58, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_75 = geq(UInt<3>("h04"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_76 = and(_T_74, _T_75) @[axi4_to_ahb.scala 136:48] - node _T_77 = bits(_T_58, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_78 = geq(UInt<3>("h05"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_79 = and(_T_77, _T_78) @[axi4_to_ahb.scala 136:48] - node _T_80 = bits(_T_58, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_81 = geq(UInt<3>("h06"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_82 = and(_T_80, _T_81) @[axi4_to_ahb.scala 136:48] - node _T_83 = bits(_T_58, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_84 = geq(UInt<3>("h07"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_85 = and(_T_83, _T_84) @[axi4_to_ahb.scala 136:48] - node _T_86 = mux(_T_85, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_87 = mux(_T_82, UInt<3>("h06"), _T_86) @[Mux.scala 98:16] - node _T_88 = mux(_T_79, UInt<3>("h05"), _T_87) @[Mux.scala 98:16] - node _T_89 = mux(_T_76, UInt<3>("h04"), _T_88) @[Mux.scala 98:16] - node _T_90 = mux(_T_73, UInt<2>("h03"), _T_89) @[Mux.scala 98:16] - node _T_91 = mux(_T_70, UInt<2>("h02"), _T_90) @[Mux.scala 98:16] - node _T_92 = mux(_T_67, UInt<1>("h01"), _T_91) @[Mux.scala 98:16] - node _T_93 = mux(_T_64, UInt<1>("h00"), _T_92) @[Mux.scala 98:16] - node _T_94 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 175:124] - node _T_95 = mux(_T_57, _T_93, _T_94) @[axi4_to_ahb.scala 175:30] - buf_cmd_byte_ptr <= _T_95 @[axi4_to_ahb.scala 175:24] - bypass_en <= buf_state_en @[axi4_to_ahb.scala 176:17] - node _T_96 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 177:51] - node _T_97 = and(bypass_en, _T_96) @[axi4_to_ahb.scala 177:35] - rd_bypass_idle <= _T_97 @[axi4_to_ahb.scala 177:22] - node _T_98 = bits(bypass_en, 0, 0) @[Bitwise.scala 72:15] - node _T_99 = mux(_T_98, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_100 = and(_T_99, UInt<2>("h02")) @[axi4_to_ahb.scala 178:49] - io.ahb.out.htrans <= _T_100 @[axi4_to_ahb.scala 178:25] - skip @[Conditional.scala 40:58] - else : @[Conditional.scala 39:67] - node _T_101 = eq(UInt<3>("h01"), buf_state) @[Conditional.scala 37:30] - when _T_101 : @[Conditional.scala 39:67] - node _T_102 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 182:54] - node _T_103 = eq(_T_102, UInt<1>("h00")) @[axi4_to_ahb.scala 182:61] - node _T_104 = and(master_valid, _T_103) @[axi4_to_ahb.scala 182:41] - node _T_105 = bits(_T_104, 0, 0) @[axi4_to_ahb.scala 182:82] - node _T_106 = mux(_T_105, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 182:26] - buf_nxtstate <= _T_106 @[axi4_to_ahb.scala 182:20] - node _T_107 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 183:51] - node _T_108 = neq(_T_107, UInt<1>("h00")) @[axi4_to_ahb.scala 183:58] - node _T_109 = and(ahb_hready_q, _T_108) @[axi4_to_ahb.scala 183:36] - node _T_110 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 183:72] - node _T_111 = and(_T_109, _T_110) @[axi4_to_ahb.scala 183:70] - buf_state_en <= _T_111 @[axi4_to_ahb.scala 183:20] - node _T_112 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 184:34] - node _T_113 = and(buf_state_en, _T_112) @[axi4_to_ahb.scala 184:32] - cmd_done <= _T_113 @[axi4_to_ahb.scala 184:16] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 185:20] - node _T_114 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 186:52] - node _T_115 = neq(_T_114, UInt<1>("h00")) @[axi4_to_ahb.scala 186:59] - node _T_116 = and(ahb_hready_q, _T_115) @[axi4_to_ahb.scala 186:37] - node _T_117 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 186:73] - node _T_118 = and(_T_116, _T_117) @[axi4_to_ahb.scala 186:71] - node _T_119 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 186:122] - node _T_120 = eq(_T_119, UInt<1>("h00")) @[axi4_to_ahb.scala 186:129] - node _T_121 = and(master_valid, _T_120) @[axi4_to_ahb.scala 186:109] - node _T_122 = bits(_T_121, 0, 0) @[axi4_to_ahb.scala 186:150] - node _T_123 = mux(_T_122, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 186:94] - node _T_124 = eq(_T_123, UInt<3>("h06")) @[axi4_to_ahb.scala 186:174] - node _T_125 = and(_T_118, _T_124) @[axi4_to_ahb.scala 186:88] - master_ready <= _T_125 @[axi4_to_ahb.scala 186:20] - buf_wr_en <= master_ready @[axi4_to_ahb.scala 187:17] - node _T_126 = and(master_ready, master_valid) @[axi4_to_ahb.scala 188:33] - bypass_en <= _T_126 @[axi4_to_ahb.scala 188:17] - node _T_127 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 189:47] - node _T_128 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 189:62] - node _T_129 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 189:78] - node _T_130 = mux(_T_127, _T_128, _T_129) @[axi4_to_ahb.scala 189:30] - buf_cmd_byte_ptr <= _T_130 @[axi4_to_ahb.scala 189:24] - node _T_131 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 190:48] - node _T_132 = or(_T_131, bypass_en) @[axi4_to_ahb.scala 190:62] - node _T_133 = bits(_T_132, 0, 0) @[Bitwise.scala 72:15] - node _T_134 = mux(_T_133, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_135 = and(UInt<2>("h02"), _T_134) @[axi4_to_ahb.scala 190:36] - io.ahb.out.htrans <= _T_135 @[axi4_to_ahb.scala 190:25] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_136 = eq(UInt<3>("h06"), buf_state) @[Conditional.scala 37:30] - when _T_136 : @[Conditional.scala 39:67] - node _T_137 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 194:39] - node _T_138 = and(ahb_hready_q, _T_137) @[axi4_to_ahb.scala 194:37] - node _T_139 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 194:82] - node _T_140 = eq(_T_139, UInt<1>("h01")) @[axi4_to_ahb.scala 194:89] - node _T_141 = and(master_valid, _T_140) @[axi4_to_ahb.scala 194:70] - node _T_142 = not(_T_141) @[axi4_to_ahb.scala 194:55] - node _T_143 = and(_T_138, _T_142) @[axi4_to_ahb.scala 194:53] - master_ready <= _T_143 @[axi4_to_ahb.scala 194:20] - node _T_144 = and(master_valid, master_ready) @[axi4_to_ahb.scala 195:34] - node _T_145 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 195:62] - node _T_146 = eq(_T_145, UInt<1>("h00")) @[axi4_to_ahb.scala 195:69] - node _T_147 = and(_T_144, _T_146) @[axi4_to_ahb.scala 195:49] - buf_wr_en <= _T_147 @[axi4_to_ahb.scala 195:17] - node _T_148 = bits(ahb_hresp_q, 0, 0) @[axi4_to_ahb.scala 196:45] - node _T_149 = and(master_valid, master_ready) @[axi4_to_ahb.scala 196:82] - node _T_150 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 196:110] - node _T_151 = eq(_T_150, UInt<1>("h00")) @[axi4_to_ahb.scala 196:117] - node _T_152 = and(_T_149, _T_151) @[axi4_to_ahb.scala 196:97] - node _T_153 = bits(_T_152, 0, 0) @[axi4_to_ahb.scala 196:138] - node _T_154 = mux(_T_153, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 196:67] - node _T_155 = mux(_T_148, UInt<3>("h07"), _T_154) @[axi4_to_ahb.scala 196:26] - buf_nxtstate <= _T_155 @[axi4_to_ahb.scala 196:20] - node _T_156 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 197:37] - buf_state_en <= _T_156 @[axi4_to_ahb.scala 197:20] - buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 198:22] - slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 199:23] - slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 200:23] - node _T_157 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 201:41] - node _T_158 = and(buf_state_en, _T_157) @[axi4_to_ahb.scala 201:39] - slave_valid_pre <= _T_158 @[axi4_to_ahb.scala 201:23] - node _T_159 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 202:34] - node _T_160 = and(buf_state_en, _T_159) @[axi4_to_ahb.scala 202:32] - cmd_done <= _T_160 @[axi4_to_ahb.scala 202:16] - node _T_161 = and(master_ready, master_valid) @[axi4_to_ahb.scala 203:33] - node _T_162 = eq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 203:64] - node _T_163 = and(_T_161, _T_162) @[axi4_to_ahb.scala 203:48] - node _T_164 = and(_T_163, buf_state_en) @[axi4_to_ahb.scala 203:79] - bypass_en <= _T_164 @[axi4_to_ahb.scala 203:17] - node _T_165 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 204:47] - node _T_166 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 204:62] - node _T_167 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 204:78] - node _T_168 = mux(_T_165, _T_166, _T_167) @[axi4_to_ahb.scala 204:30] - buf_cmd_byte_ptr <= _T_168 @[axi4_to_ahb.scala 204:24] - node _T_169 = neq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 205:63] - node _T_170 = and(_T_169, buf_state_en) @[axi4_to_ahb.scala 205:78] - node _T_171 = eq(_T_170, UInt<1>("h00")) @[axi4_to_ahb.scala 205:47] - node _T_172 = bits(_T_171, 0, 0) @[Bitwise.scala 72:15] - node _T_173 = mux(_T_172, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_174 = and(UInt<2>("h02"), _T_173) @[axi4_to_ahb.scala 205:36] - io.ahb.out.htrans <= _T_174 @[axi4_to_ahb.scala 205:25] - slvbuf_wr_en <= buf_wr_en @[axi4_to_ahb.scala 206:20] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_175 = eq(UInt<3>("h07"), buf_state) @[Conditional.scala 37:30] - when _T_175 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h03") @[axi4_to_ahb.scala 210:20] - node _T_176 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 211:51] - node _T_177 = neq(_T_176, UInt<1>("h00")) @[axi4_to_ahb.scala 211:58] - node _T_178 = and(ahb_hready_q, _T_177) @[axi4_to_ahb.scala 211:36] - node _T_179 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 211:72] - node _T_180 = and(_T_178, _T_179) @[axi4_to_ahb.scala 211:70] - buf_state_en <= _T_180 @[axi4_to_ahb.scala 211:20] - slave_valid_pre <= buf_state_en @[axi4_to_ahb.scala 212:23] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 213:20] - node _T_181 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 214:35] - buf_cmd_byte_ptr <= _T_181 @[axi4_to_ahb.scala 214:24] - node _T_182 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 215:51] - node _T_183 = bits(_T_182, 0, 0) @[Bitwise.scala 72:15] - node _T_184 = mux(_T_183, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_185 = and(UInt<2>("h02"), _T_184) @[axi4_to_ahb.scala 215:41] - io.ahb.out.htrans <= _T_185 @[axi4_to_ahb.scala 215:25] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_186 = eq(UInt<3>("h03"), buf_state) @[Conditional.scala 37:30] - when _T_186 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h05") @[axi4_to_ahb.scala 219:20] - node _T_187 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 220:37] - buf_state_en <= _T_187 @[axi4_to_ahb.scala 220:20] - buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 221:22] - slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 222:23] - slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 223:23] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 224:20] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_188 = eq(UInt<3>("h02"), buf_state) @[Conditional.scala 37:30] - when _T_188 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h04") @[axi4_to_ahb.scala 228:20] - node _T_189 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 229:33] - node _T_190 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 229:63] - node _T_191 = neq(_T_190, UInt<1>("h00")) @[axi4_to_ahb.scala 229:70] - node _T_192 = and(_T_189, _T_191) @[axi4_to_ahb.scala 229:48] - trxn_done <= _T_192 @[axi4_to_ahb.scala 229:17] - buf_state_en <= trxn_done @[axi4_to_ahb.scala 230:20] - buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 231:27] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 232:20] - node _T_193 = bits(trxn_done, 0, 0) @[axi4_to_ahb.scala 233:47] - node _T_194 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 233:85] - node _T_195 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 233:103] - node _T_196 = add(_T_194, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_197 = tail(_T_196, 1) @[axi4_to_ahb.scala 135:52] - node _T_198 = mux(UInt<1>("h01"), _T_197, _T_194) @[axi4_to_ahb.scala 135:24] - node _T_199 = bits(_T_195, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_200 = geq(UInt<1>("h00"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_201 = and(_T_199, _T_200) @[axi4_to_ahb.scala 136:48] - node _T_202 = bits(_T_195, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_203 = geq(UInt<1>("h01"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_204 = and(_T_202, _T_203) @[axi4_to_ahb.scala 136:48] - node _T_205 = bits(_T_195, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_206 = geq(UInt<2>("h02"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_207 = and(_T_205, _T_206) @[axi4_to_ahb.scala 136:48] - node _T_208 = bits(_T_195, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_209 = geq(UInt<2>("h03"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_210 = and(_T_208, _T_209) @[axi4_to_ahb.scala 136:48] - node _T_211 = bits(_T_195, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_212 = geq(UInt<3>("h04"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_213 = and(_T_211, _T_212) @[axi4_to_ahb.scala 136:48] - node _T_214 = bits(_T_195, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_215 = geq(UInt<3>("h05"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_216 = and(_T_214, _T_215) @[axi4_to_ahb.scala 136:48] - node _T_217 = bits(_T_195, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_218 = geq(UInt<3>("h06"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_219 = and(_T_217, _T_218) @[axi4_to_ahb.scala 136:48] - node _T_220 = bits(_T_195, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_221 = geq(UInt<3>("h07"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_222 = and(_T_220, _T_221) @[axi4_to_ahb.scala 136:48] - node _T_223 = mux(_T_222, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_224 = mux(_T_219, UInt<3>("h06"), _T_223) @[Mux.scala 98:16] - node _T_225 = mux(_T_216, UInt<3>("h05"), _T_224) @[Mux.scala 98:16] - node _T_226 = mux(_T_213, UInt<3>("h04"), _T_225) @[Mux.scala 98:16] - node _T_227 = mux(_T_210, UInt<2>("h03"), _T_226) @[Mux.scala 98:16] - node _T_228 = mux(_T_207, UInt<2>("h02"), _T_227) @[Mux.scala 98:16] - node _T_229 = mux(_T_204, UInt<1>("h01"), _T_228) @[Mux.scala 98:16] - node _T_230 = mux(_T_201, UInt<1>("h00"), _T_229) @[Mux.scala 98:16] - node _T_231 = mux(_T_193, _T_230, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 233:30] - buf_cmd_byte_ptr <= _T_231 @[axi4_to_ahb.scala 233:24] - node _T_232 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 234:65] - node _T_233 = or(buf_aligned, _T_232) @[axi4_to_ahb.scala 234:44] - node _T_234 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 234:127] - node _T_235 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 234:145] - node _T_236 = add(_T_234, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_237 = tail(_T_236, 1) @[axi4_to_ahb.scala 135:52] - node _T_238 = mux(UInt<1>("h01"), _T_237, _T_234) @[axi4_to_ahb.scala 135:24] - node _T_239 = bits(_T_235, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_240 = geq(UInt<1>("h00"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_241 = and(_T_239, _T_240) @[axi4_to_ahb.scala 136:48] - node _T_242 = bits(_T_235, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_243 = geq(UInt<1>("h01"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_244 = and(_T_242, _T_243) @[axi4_to_ahb.scala 136:48] - node _T_245 = bits(_T_235, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_246 = geq(UInt<2>("h02"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_247 = and(_T_245, _T_246) @[axi4_to_ahb.scala 136:48] - node _T_248 = bits(_T_235, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_249 = geq(UInt<2>("h03"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_250 = and(_T_248, _T_249) @[axi4_to_ahb.scala 136:48] - node _T_251 = bits(_T_235, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_252 = geq(UInt<3>("h04"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_253 = and(_T_251, _T_252) @[axi4_to_ahb.scala 136:48] - node _T_254 = bits(_T_235, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_255 = geq(UInt<3>("h05"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_256 = and(_T_254, _T_255) @[axi4_to_ahb.scala 136:48] - node _T_257 = bits(_T_235, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_258 = geq(UInt<3>("h06"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_259 = and(_T_257, _T_258) @[axi4_to_ahb.scala 136:48] - node _T_260 = bits(_T_235, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_261 = geq(UInt<3>("h07"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_262 = and(_T_260, _T_261) @[axi4_to_ahb.scala 136:48] - node _T_263 = mux(_T_262, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_264 = mux(_T_259, UInt<3>("h06"), _T_263) @[Mux.scala 98:16] - node _T_265 = mux(_T_256, UInt<3>("h05"), _T_264) @[Mux.scala 98:16] - node _T_266 = mux(_T_253, UInt<3>("h04"), _T_265) @[Mux.scala 98:16] - node _T_267 = mux(_T_250, UInt<2>("h03"), _T_266) @[Mux.scala 98:16] - node _T_268 = mux(_T_247, UInt<2>("h02"), _T_267) @[Mux.scala 98:16] - node _T_269 = mux(_T_244, UInt<1>("h01"), _T_268) @[Mux.scala 98:16] - node _T_270 = mux(_T_241, UInt<1>("h00"), _T_269) @[Mux.scala 98:16] - node _T_271 = dshr(buf_byteen, _T_270) @[axi4_to_ahb.scala 234:92] - node _T_272 = bits(_T_271, 0, 0) @[axi4_to_ahb.scala 234:92] - node _T_273 = eq(_T_272, UInt<1>("h00")) @[axi4_to_ahb.scala 234:163] - node _T_274 = or(_T_233, _T_273) @[axi4_to_ahb.scala 234:79] - node _T_275 = and(trxn_done, _T_274) @[axi4_to_ahb.scala 234:29] - cmd_done <= _T_275 @[axi4_to_ahb.scala 234:16] - node _T_276 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 235:47] - node _T_277 = eq(_T_276, UInt<1>("h00")) @[axi4_to_ahb.scala 235:36] - node _T_278 = bits(_T_277, 0, 0) @[Bitwise.scala 72:15] - node _T_279 = mux(_T_278, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_280 = and(_T_279, UInt<2>("h02")) @[axi4_to_ahb.scala 235:61] - io.ahb.out.htrans <= _T_280 @[axi4_to_ahb.scala 235:25] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_281 = eq(UInt<3>("h04"), buf_state) @[Conditional.scala 37:30] - when _T_281 : @[Conditional.scala 39:67] - node _T_282 = and(cmd_doneQ, ahb_hready_q) @[axi4_to_ahb.scala 239:34] - node _T_283 = or(_T_282, ahb_hresp_q) @[axi4_to_ahb.scala 239:50] - buf_state_en <= _T_283 @[axi4_to_ahb.scala 239:20] - node _T_284 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 240:38] - node _T_285 = and(buf_state_en, _T_284) @[axi4_to_ahb.scala 240:36] - node _T_286 = and(_T_285, slave_ready) @[axi4_to_ahb.scala 240:51] - master_ready <= _T_286 @[axi4_to_ahb.scala 240:20] - node _T_287 = eq(slave_ready, UInt<1>("h00")) @[axi4_to_ahb.scala 241:42] - node _T_288 = or(ahb_hresp_q, _T_287) @[axi4_to_ahb.scala 241:40] - node _T_289 = and(master_valid, master_valid) @[axi4_to_ahb.scala 241:80] - node _T_290 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 241:111] - node _T_291 = eq(_T_290, UInt<1>("h01")) @[axi4_to_ahb.scala 241:117] - node _T_292 = bits(_T_291, 0, 0) @[axi4_to_ahb.scala 241:132] - node _T_293 = mux(_T_292, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 241:99] - node _T_294 = mux(_T_289, _T_293, UInt<3>("h00")) @[axi4_to_ahb.scala 241:65] - node _T_295 = mux(_T_288, UInt<3>("h05"), _T_294) @[axi4_to_ahb.scala 241:26] - buf_nxtstate <= _T_295 @[axi4_to_ahb.scala 241:20] - slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 242:23] - slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 243:23] - node _T_296 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 244:33] - node _T_297 = eq(_T_296, UInt<1>("h01")) @[axi4_to_ahb.scala 244:39] - buf_write_in <= _T_297 @[axi4_to_ahb.scala 244:20] - node _T_298 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 245:50] - node _T_299 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 245:78] - node _T_300 = or(_T_298, _T_299) @[axi4_to_ahb.scala 245:62] - node _T_301 = and(buf_state_en, _T_300) @[axi4_to_ahb.scala 245:33] - buf_wr_en <= _T_301 @[axi4_to_ahb.scala 245:17] - buf_data_wr_en <= buf_wr_en @[axi4_to_ahb.scala 246:22] - node _T_302 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 247:65] - node _T_303 = neq(_T_302, UInt<1>("h00")) @[axi4_to_ahb.scala 247:71] - node _T_304 = and(ahb_hready_q, _T_303) @[axi4_to_ahb.scala 247:50] - node _T_305 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 248:29] - node _T_306 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 248:85] - node _T_307 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 248:101] - node _T_308 = add(_T_306, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_309 = tail(_T_308, 1) @[axi4_to_ahb.scala 135:52] - node _T_310 = mux(UInt<1>("h01"), _T_309, _T_306) @[axi4_to_ahb.scala 135:24] - node _T_311 = bits(_T_307, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_312 = geq(UInt<1>("h00"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_313 = and(_T_311, _T_312) @[axi4_to_ahb.scala 136:48] - node _T_314 = bits(_T_307, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_315 = geq(UInt<1>("h01"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_316 = and(_T_314, _T_315) @[axi4_to_ahb.scala 136:48] - node _T_317 = bits(_T_307, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_318 = geq(UInt<2>("h02"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_319 = and(_T_317, _T_318) @[axi4_to_ahb.scala 136:48] - node _T_320 = bits(_T_307, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_321 = geq(UInt<2>("h03"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_322 = and(_T_320, _T_321) @[axi4_to_ahb.scala 136:48] - node _T_323 = bits(_T_307, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_324 = geq(UInt<3>("h04"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_325 = and(_T_323, _T_324) @[axi4_to_ahb.scala 136:48] - node _T_326 = bits(_T_307, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_327 = geq(UInt<3>("h05"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_328 = and(_T_326, _T_327) @[axi4_to_ahb.scala 136:48] - node _T_329 = bits(_T_307, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_330 = geq(UInt<3>("h06"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_331 = and(_T_329, _T_330) @[axi4_to_ahb.scala 136:48] - node _T_332 = bits(_T_307, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_333 = geq(UInt<3>("h07"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_334 = and(_T_332, _T_333) @[axi4_to_ahb.scala 136:48] - node _T_335 = mux(_T_334, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_336 = mux(_T_331, UInt<3>("h06"), _T_335) @[Mux.scala 98:16] - node _T_337 = mux(_T_328, UInt<3>("h05"), _T_336) @[Mux.scala 98:16] - node _T_338 = mux(_T_325, UInt<3>("h04"), _T_337) @[Mux.scala 98:16] - node _T_339 = mux(_T_322, UInt<2>("h03"), _T_338) @[Mux.scala 98:16] - node _T_340 = mux(_T_319, UInt<2>("h02"), _T_339) @[Mux.scala 98:16] - node _T_341 = mux(_T_316, UInt<1>("h01"), _T_340) @[Mux.scala 98:16] - node _T_342 = mux(_T_313, UInt<1>("h00"), _T_341) @[Mux.scala 98:16] - node _T_343 = dshr(buf_byteen, _T_342) @[axi4_to_ahb.scala 248:51] - node _T_344 = bits(_T_343, 0, 0) @[axi4_to_ahb.scala 248:51] - node _T_345 = eq(_T_344, UInt<1>("h00")) @[axi4_to_ahb.scala 248:116] - node _T_346 = or(_T_305, _T_345) @[axi4_to_ahb.scala 248:38] - node _T_347 = and(_T_304, _T_346) @[axi4_to_ahb.scala 247:80] - node _T_348 = or(ahb_hresp_q, _T_347) @[axi4_to_ahb.scala 247:34] - cmd_done <= _T_348 @[axi4_to_ahb.scala 247:16] - node _T_349 = and(buf_state_en, buf_write_in) @[axi4_to_ahb.scala 249:33] - node _T_350 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 249:64] - node _T_351 = and(_T_349, _T_350) @[axi4_to_ahb.scala 249:48] - bypass_en <= _T_351 @[axi4_to_ahb.scala 249:17] - node _T_352 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 250:48] - node _T_353 = eq(_T_352, UInt<1>("h00")) @[axi4_to_ahb.scala 250:37] - node _T_354 = or(_T_353, bypass_en) @[axi4_to_ahb.scala 250:61] - node _T_355 = bits(_T_354, 0, 0) @[Bitwise.scala 72:15] - node _T_356 = mux(_T_355, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_357 = and(_T_356, UInt<2>("h02")) @[axi4_to_ahb.scala 250:75] - io.ahb.out.htrans <= _T_357 @[axi4_to_ahb.scala 250:25] - node _T_358 = neq(buf_nxtstate, UInt<3>("h05")) @[axi4_to_ahb.scala 251:55] - node _T_359 = and(buf_state_en, _T_358) @[axi4_to_ahb.scala 251:39] - slave_valid_pre <= _T_359 @[axi4_to_ahb.scala 251:23] - node _T_360 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 252:33] - node _T_361 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 252:63] - node _T_362 = neq(_T_361, UInt<1>("h00")) @[axi4_to_ahb.scala 252:69] - node _T_363 = and(_T_360, _T_362) @[axi4_to_ahb.scala 252:48] - trxn_done <= _T_363 @[axi4_to_ahb.scala 252:17] - node _T_364 = or(trxn_done, bypass_en) @[axi4_to_ahb.scala 253:40] - buf_cmd_byte_ptr_en <= _T_364 @[axi4_to_ahb.scala 253:27] - node _T_365 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 254:79] - node _T_366 = add(UInt<3>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_367 = tail(_T_366, 1) @[axi4_to_ahb.scala 135:52] - node _T_368 = mux(UInt<1>("h00"), _T_367, UInt<3>("h00")) @[axi4_to_ahb.scala 135:24] - node _T_369 = bits(_T_365, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_370 = geq(UInt<1>("h00"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_371 = and(_T_369, _T_370) @[axi4_to_ahb.scala 136:48] - node _T_372 = bits(_T_365, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_373 = geq(UInt<1>("h01"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_374 = and(_T_372, _T_373) @[axi4_to_ahb.scala 136:48] - node _T_375 = bits(_T_365, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_376 = geq(UInt<2>("h02"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_377 = and(_T_375, _T_376) @[axi4_to_ahb.scala 136:48] - node _T_378 = bits(_T_365, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_379 = geq(UInt<2>("h03"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_380 = and(_T_378, _T_379) @[axi4_to_ahb.scala 136:48] - node _T_381 = bits(_T_365, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_382 = geq(UInt<3>("h04"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_383 = and(_T_381, _T_382) @[axi4_to_ahb.scala 136:48] - node _T_384 = bits(_T_365, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_385 = geq(UInt<3>("h05"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_386 = and(_T_384, _T_385) @[axi4_to_ahb.scala 136:48] - node _T_387 = bits(_T_365, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_388 = geq(UInt<3>("h06"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_389 = and(_T_387, _T_388) @[axi4_to_ahb.scala 136:48] - node _T_390 = bits(_T_365, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_391 = geq(UInt<3>("h07"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_392 = and(_T_390, _T_391) @[axi4_to_ahb.scala 136:48] - node _T_393 = mux(_T_392, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_394 = mux(_T_389, UInt<3>("h06"), _T_393) @[Mux.scala 98:16] - node _T_395 = mux(_T_386, UInt<3>("h05"), _T_394) @[Mux.scala 98:16] - node _T_396 = mux(_T_383, UInt<3>("h04"), _T_395) @[Mux.scala 98:16] - node _T_397 = mux(_T_380, UInt<2>("h03"), _T_396) @[Mux.scala 98:16] - node _T_398 = mux(_T_377, UInt<2>("h02"), _T_397) @[Mux.scala 98:16] - node _T_399 = mux(_T_374, UInt<1>("h01"), _T_398) @[Mux.scala 98:16] - node _T_400 = mux(_T_371, UInt<1>("h00"), _T_399) @[Mux.scala 98:16] - node _T_401 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 254:141] - node _T_402 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 254:157] - node _T_403 = add(_T_401, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_404 = tail(_T_403, 1) @[axi4_to_ahb.scala 135:52] - node _T_405 = mux(UInt<1>("h01"), _T_404, _T_401) @[axi4_to_ahb.scala 135:24] - node _T_406 = bits(_T_402, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_407 = geq(UInt<1>("h00"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_408 = and(_T_406, _T_407) @[axi4_to_ahb.scala 136:48] - node _T_409 = bits(_T_402, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_410 = geq(UInt<1>("h01"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_411 = and(_T_409, _T_410) @[axi4_to_ahb.scala 136:48] - node _T_412 = bits(_T_402, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_413 = geq(UInt<2>("h02"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_414 = and(_T_412, _T_413) @[axi4_to_ahb.scala 136:48] - node _T_415 = bits(_T_402, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_416 = geq(UInt<2>("h03"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_417 = and(_T_415, _T_416) @[axi4_to_ahb.scala 136:48] - node _T_418 = bits(_T_402, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_419 = geq(UInt<3>("h04"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_420 = and(_T_418, _T_419) @[axi4_to_ahb.scala 136:48] - node _T_421 = bits(_T_402, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_422 = geq(UInt<3>("h05"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_423 = and(_T_421, _T_422) @[axi4_to_ahb.scala 136:48] - node _T_424 = bits(_T_402, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_425 = geq(UInt<3>("h06"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_426 = and(_T_424, _T_425) @[axi4_to_ahb.scala 136:48] - node _T_427 = bits(_T_402, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_428 = geq(UInt<3>("h07"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_429 = and(_T_427, _T_428) @[axi4_to_ahb.scala 136:48] - node _T_430 = mux(_T_429, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_431 = mux(_T_426, UInt<3>("h06"), _T_430) @[Mux.scala 98:16] - node _T_432 = mux(_T_423, UInt<3>("h05"), _T_431) @[Mux.scala 98:16] - node _T_433 = mux(_T_420, UInt<3>("h04"), _T_432) @[Mux.scala 98:16] - node _T_434 = mux(_T_417, UInt<2>("h03"), _T_433) @[Mux.scala 98:16] - node _T_435 = mux(_T_414, UInt<2>("h02"), _T_434) @[Mux.scala 98:16] - node _T_436 = mux(_T_411, UInt<1>("h01"), _T_435) @[Mux.scala 98:16] - node _T_437 = mux(_T_408, UInt<1>("h00"), _T_436) @[Mux.scala 98:16] - node _T_438 = mux(trxn_done, _T_437, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 254:97] - node _T_439 = mux(bypass_en, _T_400, _T_438) @[axi4_to_ahb.scala 254:30] - buf_cmd_byte_ptr <= _T_439 @[axi4_to_ahb.scala 254:24] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_440 = eq(UInt<3>("h05"), buf_state) @[Conditional.scala 37:30] - when _T_440 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h00") @[axi4_to_ahb.scala 257:20] - buf_state_en <= slave_ready @[axi4_to_ahb.scala 258:20] - slvbuf_error_en <= UInt<1>("h01") @[axi4_to_ahb.scala 259:23] - slave_valid_pre <= UInt<1>("h01") @[axi4_to_ahb.scala 260:23] - skip @[Conditional.scala 39:67] - cmd_done_rst <= slave_valid_pre @[axi4_to_ahb.scala 264:16] - node _T_441 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 265:33] - node _T_442 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 265:73] - node _T_443 = eq(_T_442, UInt<1>("h01")) @[axi4_to_ahb.scala 265:80] - node _T_444 = and(buf_aligned_in, _T_443) @[axi4_to_ahb.scala 265:60] - node _T_445 = bits(_T_444, 0, 0) @[axi4_to_ahb.scala 265:100] - node _T_446 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 265:132] - node _T_447 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:50] - node _T_448 = eq(_T_447, UInt<8>("h0ff")) @[axi4_to_ahb.scala 127:57] - node _T_449 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:81] - node _T_450 = eq(_T_449, UInt<8>("h0f")) @[axi4_to_ahb.scala 127:88] - node _T_451 = or(_T_448, _T_450) @[axi4_to_ahb.scala 127:70] - node _T_452 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:117] - node _T_453 = eq(_T_452, UInt<8>("h03")) @[axi4_to_ahb.scala 127:124] - node _T_454 = or(_T_451, _T_453) @[axi4_to_ahb.scala 127:106] - node _T_455 = bits(_T_454, 0, 0) @[Bitwise.scala 72:15] - node _T_456 = mux(_T_455, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_457 = and(UInt<3>("h00"), _T_456) @[axi4_to_ahb.scala 127:29] - node _T_458 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 128:35] - node _T_459 = eq(_T_458, UInt<8>("h0c")) @[axi4_to_ahb.scala 128:42] - node _T_460 = bits(_T_459, 0, 0) @[Bitwise.scala 72:15] - node _T_461 = mux(_T_460, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_462 = and(UInt<2>("h02"), _T_461) @[axi4_to_ahb.scala 128:15] - node _T_463 = or(_T_457, _T_462) @[axi4_to_ahb.scala 127:146] - node _T_464 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 129:36] - node _T_465 = eq(_T_464, UInt<8>("h0f0")) @[axi4_to_ahb.scala 129:43] - node _T_466 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 129:67] - node _T_467 = eq(_T_466, UInt<8>("h03")) @[axi4_to_ahb.scala 129:74] - node _T_468 = or(_T_465, _T_467) @[axi4_to_ahb.scala 129:56] - node _T_469 = bits(_T_468, 0, 0) @[Bitwise.scala 72:15] - node _T_470 = mux(_T_469, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_471 = and(UInt<3>("h04"), _T_470) @[axi4_to_ahb.scala 129:15] - node _T_472 = or(_T_463, _T_471) @[axi4_to_ahb.scala 128:63] - node _T_473 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 130:35] - node _T_474 = eq(_T_473, UInt<8>("h0c0")) @[axi4_to_ahb.scala 130:42] - node _T_475 = bits(_T_474, 0, 0) @[Bitwise.scala 72:15] - node _T_476 = mux(_T_475, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_477 = and(UInt<3>("h06"), _T_476) @[axi4_to_ahb.scala 130:15] - node _T_478 = or(_T_472, _T_477) @[axi4_to_ahb.scala 129:96] - node _T_479 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 131:33] - node _T_480 = eq(_T_479, UInt<8>("h0c0")) @[axi4_to_ahb.scala 131:40] - node _T_481 = bits(_T_480, 0, 0) @[Bitwise.scala 72:15] - node _T_482 = mux(_T_481, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_483 = and(UInt<3>("h06"), _T_482) @[axi4_to_ahb.scala 131:13] - node _T_484 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 265:152] - node _T_485 = mux(_T_445, _T_478, _T_484) @[axi4_to_ahb.scala 265:43] - node _T_486 = cat(_T_441, _T_485) @[Cat.scala 29:58] - buf_addr_in <= _T_486 @[axi4_to_ahb.scala 265:15] - node _T_487 = bits(master_tag, 2, 0) @[axi4_to_ahb.scala 266:27] - buf_tag_in <= _T_487 @[axi4_to_ahb.scala 266:14] - node _T_488 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 267:32] - buf_byteen_in <= _T_488 @[axi4_to_ahb.scala 267:17] - node _T_489 = eq(buf_state, UInt<3>("h03")) @[axi4_to_ahb.scala 268:33] - node _T_490 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 268:59] - node _T_491 = bits(master_wdata, 63, 0) @[axi4_to_ahb.scala 268:80] - node _T_492 = mux(_T_489, _T_490, _T_491) @[axi4_to_ahb.scala 268:21] - buf_data_in <= _T_492 @[axi4_to_ahb.scala 268:15] - node _T_493 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 269:52] - node _T_494 = eq(_T_493, UInt<2>("h03")) @[axi4_to_ahb.scala 269:58] - node _T_495 = and(buf_aligned_in, _T_494) @[axi4_to_ahb.scala 269:38] - node _T_496 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 269:84] - node _T_497 = eq(_T_496, UInt<1>("h01")) @[axi4_to_ahb.scala 269:91] - node _T_498 = and(_T_495, _T_497) @[axi4_to_ahb.scala 269:71] - node _T_499 = bits(_T_498, 0, 0) @[axi4_to_ahb.scala 269:111] - node _T_500 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 269:142] - node _T_501 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 121:42] - node _T_502 = eq(_T_501, UInt<8>("h0ff")) @[axi4_to_ahb.scala 121:49] - node _T_503 = bits(_T_502, 0, 0) @[Bitwise.scala 72:15] - node _T_504 = mux(_T_503, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_505 = and(UInt<2>("h03"), _T_504) @[axi4_to_ahb.scala 121:25] - node _T_506 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 122:35] - node _T_507 = eq(_T_506, UInt<8>("h0f0")) @[axi4_to_ahb.scala 122:42] - node _T_508 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 122:64] - node _T_509 = eq(_T_508, UInt<8>("h0f")) @[axi4_to_ahb.scala 122:71] - node _T_510 = or(_T_507, _T_509) @[axi4_to_ahb.scala 122:55] - node _T_511 = bits(_T_510, 0, 0) @[Bitwise.scala 72:15] - node _T_512 = mux(_T_511, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_513 = and(UInt<2>("h02"), _T_512) @[axi4_to_ahb.scala 122:16] - node _T_514 = or(_T_505, _T_513) @[axi4_to_ahb.scala 121:64] - node _T_515 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:40] - node _T_516 = eq(_T_515, UInt<8>("h0c0")) @[axi4_to_ahb.scala 123:47] - node _T_517 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:69] - node _T_518 = eq(_T_517, UInt<6>("h030")) @[axi4_to_ahb.scala 123:76] - node _T_519 = or(_T_516, _T_518) @[axi4_to_ahb.scala 123:60] - node _T_520 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:98] - node _T_521 = eq(_T_520, UInt<8>("h0c")) @[axi4_to_ahb.scala 123:105] - node _T_522 = or(_T_519, _T_521) @[axi4_to_ahb.scala 123:89] - node _T_523 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:132] - node _T_524 = eq(_T_523, UInt<8>("h03")) @[axi4_to_ahb.scala 123:139] - node _T_525 = or(_T_522, _T_524) @[axi4_to_ahb.scala 123:123] - node _T_526 = bits(_T_525, 0, 0) @[Bitwise.scala 72:15] - node _T_527 = mux(_T_526, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_528 = and(UInt<2>("h01"), _T_527) @[axi4_to_ahb.scala 123:21] - node _T_529 = or(_T_514, _T_528) @[axi4_to_ahb.scala 122:93] - node _T_530 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 269:161] - node _T_531 = mux(_T_499, _T_529, _T_530) @[axi4_to_ahb.scala 269:21] - buf_size_in <= _T_531 @[axi4_to_ahb.scala 269:15] - node _T_532 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 270:32] - node _T_533 = eq(_T_532, UInt<1>("h00")) @[axi4_to_ahb.scala 270:39] - node _T_534 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:17] - node _T_535 = eq(_T_534, UInt<1>("h00")) @[axi4_to_ahb.scala 271:24] - node _T_536 = or(_T_533, _T_535) @[axi4_to_ahb.scala 270:48] - node _T_537 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:47] - node _T_538 = eq(_T_537, UInt<2>("h01")) @[axi4_to_ahb.scala 271:54] - node _T_539 = or(_T_536, _T_538) @[axi4_to_ahb.scala 271:33] - node _T_540 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:86] - node _T_541 = eq(_T_540, UInt<2>("h02")) @[axi4_to_ahb.scala 271:93] - node _T_542 = or(_T_539, _T_541) @[axi4_to_ahb.scala 271:72] - node _T_543 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 272:18] - node _T_544 = eq(_T_543, UInt<2>("h03")) @[axi4_to_ahb.scala 272:25] - node _T_545 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:55] - node _T_546 = eq(_T_545, UInt<2>("h03")) @[axi4_to_ahb.scala 272:62] - node _T_547 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:90] - node _T_548 = eq(_T_547, UInt<4>("h0c")) @[axi4_to_ahb.scala 272:97] - node _T_549 = or(_T_546, _T_548) @[axi4_to_ahb.scala 272:74] - node _T_550 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:125] - node _T_551 = eq(_T_550, UInt<6>("h030")) @[axi4_to_ahb.scala 272:132] - node _T_552 = or(_T_549, _T_551) @[axi4_to_ahb.scala 272:109] - node _T_553 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:161] - node _T_554 = eq(_T_553, UInt<8>("h0c0")) @[axi4_to_ahb.scala 272:168] - node _T_555 = or(_T_552, _T_554) @[axi4_to_ahb.scala 272:145] - node _T_556 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:21] - node _T_557 = eq(_T_556, UInt<4>("h0f")) @[axi4_to_ahb.scala 273:28] - node _T_558 = or(_T_555, _T_557) @[axi4_to_ahb.scala 272:181] - node _T_559 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:56] - node _T_560 = eq(_T_559, UInt<8>("h0f0")) @[axi4_to_ahb.scala 273:63] - node _T_561 = or(_T_558, _T_560) @[axi4_to_ahb.scala 273:40] - node _T_562 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:92] - node _T_563 = eq(_T_562, UInt<8>("h0ff")) @[axi4_to_ahb.scala 273:99] - node _T_564 = or(_T_561, _T_563) @[axi4_to_ahb.scala 273:76] - node _T_565 = and(_T_544, _T_564) @[axi4_to_ahb.scala 272:38] - node _T_566 = or(_T_542, _T_565) @[axi4_to_ahb.scala 271:106] - buf_aligned_in <= _T_566 @[axi4_to_ahb.scala 270:18] - node _T_567 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 275:43] - node _T_568 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 275:62] - node _T_569 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 275:87] - node _T_570 = cat(_T_568, _T_569) @[Cat.scala 29:58] - node _T_571 = bits(buf_addr, 31, 3) @[axi4_to_ahb.scala 275:108] - node _T_572 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 275:133] - node _T_573 = cat(_T_571, _T_572) @[Cat.scala 29:58] - node _T_574 = mux(_T_567, _T_570, _T_573) @[axi4_to_ahb.scala 275:26] - io.ahb.out.haddr <= _T_574 @[axi4_to_ahb.scala 275:20] - node _T_575 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 276:43] - node _T_576 = bits(buf_aligned_in, 0, 0) @[Bitwise.scala 72:15] - node _T_577 = mux(_T_576, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_578 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 276:94] - node _T_579 = and(_T_577, _T_578) @[axi4_to_ahb.scala 276:81] - node _T_580 = cat(UInt<1>("h00"), _T_579) @[Cat.scala 29:58] - node _T_581 = bits(buf_aligned, 0, 0) @[Bitwise.scala 72:15] - node _T_582 = mux(_T_581, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_583 = bits(buf_size, 1, 0) @[axi4_to_ahb.scala 276:148] - node _T_584 = and(_T_582, _T_583) @[axi4_to_ahb.scala 276:138] - node _T_585 = cat(UInt<1>("h00"), _T_584) @[Cat.scala 29:58] - node _T_586 = mux(_T_575, _T_580, _T_585) @[axi4_to_ahb.scala 276:26] - io.ahb.out.hsize <= _T_586 @[axi4_to_ahb.scala 276:20] - io.ahb.out.hburst <= UInt<1>("h00") @[axi4_to_ahb.scala 278:21] - io.ahb.out.hmastlock <= UInt<1>("h00") @[axi4_to_ahb.scala 279:24] - node _T_587 = bits(io.axi.ar.bits.prot, 2, 2) @[axi4_to_ahb.scala 280:57] - node _T_588 = eq(_T_587, UInt<1>("h00")) @[axi4_to_ahb.scala 280:37] - node _T_589 = cat(UInt<1>("h01"), _T_588) @[Cat.scala 29:58] - io.ahb.out.hprot <= _T_589 @[axi4_to_ahb.scala 280:20] - node _T_590 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 281:44] - node _T_591 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 281:59] - node _T_592 = eq(_T_591, UInt<1>("h01")) @[axi4_to_ahb.scala 281:66] - node _T_593 = mux(_T_590, _T_592, buf_write) @[axi4_to_ahb.scala 281:27] - io.ahb.out.hwrite <= _T_593 @[axi4_to_ahb.scala 281:21] - node _T_594 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 282:32] - io.ahb.out.hwdata <= _T_594 @[axi4_to_ahb.scala 282:21] - slave_valid <= slave_valid_pre @[axi4_to_ahb.scala 284:15] - node _T_595 = bits(slvbuf_write, 0, 0) @[axi4_to_ahb.scala 285:43] - node _T_596 = mux(_T_595, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 285:23] - node _T_597 = bits(slvbuf_error, 0, 0) @[Bitwise.scala 72:15] - node _T_598 = mux(_T_597, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_599 = and(_T_598, UInt<2>("h02")) @[axi4_to_ahb.scala 285:88] - node _T_600 = cat(_T_596, _T_599) @[Cat.scala 29:58] - slave_opc <= _T_600 @[axi4_to_ahb.scala 285:13] - node _T_601 = bits(slvbuf_error, 0, 0) @[axi4_to_ahb.scala 286:41] - node _T_602 = bits(last_bus_addr, 31, 0) @[axi4_to_ahb.scala 286:66] - node _T_603 = cat(_T_602, _T_602) @[Cat.scala 29:58] - node _T_604 = eq(buf_state, UInt<3>("h05")) @[axi4_to_ahb.scala 286:91] - node _T_605 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 286:110] - node _T_606 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 286:131] - node _T_607 = mux(_T_604, _T_605, _T_606) @[axi4_to_ahb.scala 286:79] - node _T_608 = mux(_T_601, _T_603, _T_607) @[axi4_to_ahb.scala 286:21] - slave_rdata <= _T_608 @[axi4_to_ahb.scala 286:15] - node _T_609 = bits(slvbuf_tag, 2, 0) @[axi4_to_ahb.scala 287:26] - slave_tag <= _T_609 @[axi4_to_ahb.scala 287:13] - node _T_610 = bits(io.ahb.out.htrans, 1, 0) @[axi4_to_ahb.scala 289:37] - node _T_611 = neq(_T_610, UInt<1>("h00")) @[axi4_to_ahb.scala 289:44] - node _T_612 = and(_T_611, io.ahb.in.hready) @[axi4_to_ahb.scala 289:56] - node _T_613 = and(_T_612, io.ahb.out.hwrite) @[axi4_to_ahb.scala 289:75] - last_addr_en <= _T_613 @[axi4_to_ahb.scala 289:16] - node _T_614 = and(io.axi.aw.valid, io.axi.aw.ready) @[axi4_to_ahb.scala 291:31] - node _T_615 = and(_T_614, master_ready) @[axi4_to_ahb.scala 291:49] - wrbuf_en <= _T_615 @[axi4_to_ahb.scala 291:12] - node _T_616 = and(io.axi.w.valid, io.axi.w.ready) @[axi4_to_ahb.scala 292:35] - node _T_617 = and(_T_616, master_ready) @[axi4_to_ahb.scala 292:52] - wrbuf_data_en <= _T_617 @[axi4_to_ahb.scala 292:17] - node _T_618 = and(master_valid, master_ready) @[axi4_to_ahb.scala 293:34] - node _T_619 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 293:62] - node _T_620 = eq(_T_619, UInt<1>("h01")) @[axi4_to_ahb.scala 293:69] - node _T_621 = and(_T_618, _T_620) @[axi4_to_ahb.scala 293:49] - wrbuf_cmd_sent <= _T_621 @[axi4_to_ahb.scala 293:18] - node _T_622 = eq(wrbuf_en, UInt<1>("h00")) @[axi4_to_ahb.scala 294:33] - node _T_623 = and(wrbuf_cmd_sent, _T_622) @[axi4_to_ahb.scala 294:31] - wrbuf_rst <= _T_623 @[axi4_to_ahb.scala 294:13] - node _T_624 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 296:36] - node _T_625 = and(wrbuf_vld, _T_624) @[axi4_to_ahb.scala 296:34] - node _T_626 = eq(_T_625, UInt<1>("h00")) @[axi4_to_ahb.scala 296:22] - node _T_627 = and(_T_626, master_ready) @[axi4_to_ahb.scala 296:53] - io.axi.aw.ready <= _T_627 @[axi4_to_ahb.scala 296:19] - node _T_628 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 297:40] - node _T_629 = and(wrbuf_data_vld, _T_628) @[axi4_to_ahb.scala 297:38] - node _T_630 = eq(_T_629, UInt<1>("h00")) @[axi4_to_ahb.scala 297:21] - node _T_631 = and(_T_630, master_ready) @[axi4_to_ahb.scala 297:57] - io.axi.w.ready <= _T_631 @[axi4_to_ahb.scala 297:18] - node _T_632 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 298:34] - node _T_633 = eq(_T_632, UInt<1>("h00")) @[axi4_to_ahb.scala 298:22] - node _T_634 = and(_T_633, master_ready) @[axi4_to_ahb.scala 298:52] - io.axi.ar.ready <= _T_634 @[axi4_to_ahb.scala 298:19] - io.axi.r.bits.last <= UInt<1>("h01") @[axi4_to_ahb.scala 299:22] - node _T_635 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 301:71] - node _T_636 = mux(_T_635, UInt<1>("h01"), wrbuf_vld) @[axi4_to_ahb.scala 301:55] - node _T_637 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 301:91] - node _T_638 = and(_T_636, _T_637) @[axi4_to_ahb.scala 301:89] - reg _T_639 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 301:51] - _T_639 <= _T_638 @[axi4_to_ahb.scala 301:51] - wrbuf_vld <= _T_639 @[axi4_to_ahb.scala 301:21] - node _T_640 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 302:76] - node _T_641 = mux(_T_640, UInt<1>("h01"), wrbuf_data_vld) @[axi4_to_ahb.scala 302:55] - node _T_642 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 302:102] - node _T_643 = and(_T_641, _T_642) @[axi4_to_ahb.scala 302:100] - reg _T_644 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 302:51] - _T_644 <= _T_643 @[axi4_to_ahb.scala 302:51] - wrbuf_data_vld <= _T_644 @[axi4_to_ahb.scala 302:21] - node _T_645 = bits(io.axi.aw.bits.id, 2, 0) @[axi4_to_ahb.scala 303:71] - node _T_646 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 303:105] - reg _T_647 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_646 : @[Reg.scala 28:19] - _T_647 <= _T_645 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - wrbuf_tag <= _T_647 @[axi4_to_ahb.scala 303:21] - node _T_648 = bits(io.axi.aw.bits.size, 2, 0) @[axi4_to_ahb.scala 304:73] - node _T_649 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 304:101] - reg _T_650 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_649 : @[Reg.scala 28:19] - _T_650 <= _T_648 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - wrbuf_size <= _T_650 @[axi4_to_ahb.scala 304:21] - node _T_651 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 305:61] - inst rvclkhdr_2 of rvclkhdr_861 @[lib.scala 368:23] - rvclkhdr_2.clock <= clock - rvclkhdr_2.reset <= reset - rvclkhdr_2.io.clk <= bus_clk @[lib.scala 370:18] - rvclkhdr_2.io.en <= _T_651 @[lib.scala 371:17] - rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_652 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_652 <= io.axi.aw.bits.addr @[lib.scala 374:16] - wrbuf_addr <= _T_652 @[axi4_to_ahb.scala 305:21] - node _T_653 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 306:65] - inst rvclkhdr_3 of rvclkhdr_862 @[lib.scala 368:23] - rvclkhdr_3.clock <= clock - rvclkhdr_3.reset <= reset - rvclkhdr_3.io.clk <= bus_clk @[lib.scala 370:18] - rvclkhdr_3.io.en <= _T_653 @[lib.scala 371:17] - rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_654 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_654 <= io.axi.w.bits.data @[lib.scala 374:16] - wrbuf_data <= _T_654 @[axi4_to_ahb.scala 306:21] - node _T_655 = bits(io.axi.w.bits.strb, 7, 0) @[axi4_to_ahb.scala 307:72] - node _T_656 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 307:105] - reg _T_657 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_656 : @[Reg.scala 28:19] - _T_657 <= _T_655 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - wrbuf_byteen <= _T_657 @[axi4_to_ahb.scala 307:21] - node _T_658 = bits(io.ahb.out.haddr, 31, 0) @[axi4_to_ahb.scala 308:71] - node _T_659 = bits(last_addr_en, 0, 0) @[axi4_to_ahb.scala 308:104] - reg _T_660 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_659 : @[Reg.scala 28:19] - _T_660 <= _T_658 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - last_bus_addr <= _T_660 @[axi4_to_ahb.scala 308:21] - node _T_661 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 309:89] - reg _T_662 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_661 : @[Reg.scala 28:19] - _T_662 <= buf_write_in @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_write <= _T_662 @[axi4_to_ahb.scala 309:21] - node _T_663 = bits(buf_tag_in, 2, 0) @[axi4_to_ahb.scala 310:64] - node _T_664 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 310:99] - reg _T_665 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_664 : @[Reg.scala 28:19] - _T_665 <= _T_663 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_tag <= _T_665 @[axi4_to_ahb.scala 310:21] - node _T_666 = bits(buf_addr_in, 31, 0) @[axi4_to_ahb.scala 311:42] - node _T_667 = and(buf_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 311:61] - node _T_668 = bits(_T_667, 0, 0) @[axi4_to_ahb.scala 311:78] - inst rvclkhdr_4 of rvclkhdr_863 @[lib.scala 368:23] - rvclkhdr_4.clock <= clock - rvclkhdr_4.reset <= reset - rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_4.io.en <= _T_668 @[lib.scala 371:17] - rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_669 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_669 <= _T_666 @[lib.scala 374:16] - buf_addr <= _T_669 @[axi4_to_ahb.scala 311:21] - node _T_670 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 312:65] - node _T_671 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 312:94] - reg _T_672 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_671 : @[Reg.scala 28:19] - _T_672 <= _T_670 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_size <= _T_672 @[axi4_to_ahb.scala 312:21] - node _T_673 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 313:91] - reg _T_674 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_673 : @[Reg.scala 28:19] - _T_674 <= buf_aligned_in @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_aligned <= _T_674 @[axi4_to_ahb.scala 313:21] - node _T_675 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 314:67] - node _T_676 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 314:96] - reg _T_677 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_676 : @[Reg.scala 28:19] - _T_677 <= _T_675 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_byteen <= _T_677 @[axi4_to_ahb.scala 314:21] - node _T_678 = bits(buf_data_in, 63, 0) @[axi4_to_ahb.scala 315:42] - node _T_679 = and(buf_data_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 315:66] - node _T_680 = bits(_T_679, 0, 0) @[axi4_to_ahb.scala 315:89] - inst rvclkhdr_5 of rvclkhdr_864 @[lib.scala 368:23] - rvclkhdr_5.clock <= clock - rvclkhdr_5.reset <= reset - rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_5.io.en <= _T_680 @[lib.scala 371:17] - rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_681 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_681 <= _T_678 @[lib.scala 374:16] - buf_data <= _T_681 @[axi4_to_ahb.scala 315:21] - node _T_682 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 316:89] - reg _T_683 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_682 : @[Reg.scala 28:19] - _T_683 <= buf_write @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - slvbuf_write <= _T_683 @[axi4_to_ahb.scala 316:21] - node _T_684 = bits(buf_tag, 2, 0) @[axi4_to_ahb.scala 317:61] - node _T_685 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 317:99] - reg _T_686 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_685 : @[Reg.scala 28:19] - _T_686 <= _T_684 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - slvbuf_tag <= _T_686 @[axi4_to_ahb.scala 317:21] - node _T_687 = bits(slvbuf_error_en, 0, 0) @[axi4_to_ahb.scala 318:99] - reg _T_688 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_687 : @[Reg.scala 28:19] - _T_688 <= slvbuf_error_in @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - slvbuf_error <= _T_688 @[axi4_to_ahb.scala 318:21] - node _T_689 = bits(cmd_done, 0, 0) @[axi4_to_ahb.scala 319:72] - node _T_690 = mux(_T_689, UInt<1>("h01"), cmd_doneQ) @[axi4_to_ahb.scala 319:56] - node _T_691 = eq(cmd_done_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 319:92] - node _T_692 = and(_T_690, _T_691) @[axi4_to_ahb.scala 319:90] - reg _T_693 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 319:52] - _T_693 <= _T_692 @[axi4_to_ahb.scala 319:52] - cmd_doneQ <= _T_693 @[axi4_to_ahb.scala 319:21] - node _T_694 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 320:71] - node _T_695 = bits(buf_cmd_byte_ptr_en, 0, 0) @[axi4_to_ahb.scala 320:110] - reg _T_696 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_695 : @[Reg.scala 28:19] - _T_696 <= _T_694 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_cmd_byte_ptrQ <= _T_696 @[axi4_to_ahb.scala 320:21] - reg _T_697 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 321:52] - _T_697 <= io.ahb.in.hready @[axi4_to_ahb.scala 321:52] - ahb_hready_q <= _T_697 @[axi4_to_ahb.scala 321:21] - node _T_698 = bits(io.ahb.out.htrans, 1, 0) @[axi4_to_ahb.scala 322:70] - reg _T_699 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 322:52] - _T_699 <= _T_698 @[axi4_to_ahb.scala 322:52] - ahb_htrans_q <= _T_699 @[axi4_to_ahb.scala 322:21] - reg _T_700 : UInt<1>, ahbm_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 323:57] - _T_700 <= io.ahb.out.hwrite @[axi4_to_ahb.scala 323:57] - ahb_hwrite_q <= _T_700 @[axi4_to_ahb.scala 323:21] - reg _T_701 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 324:52] - _T_701 <= io.ahb.in.hresp @[axi4_to_ahb.scala 324:52] - ahb_hresp_q <= _T_701 @[axi4_to_ahb.scala 324:21] - node _T_702 = bits(io.ahb.in.hrdata, 63, 0) @[axi4_to_ahb.scala 325:74] - reg _T_703 : UInt, ahbm_data_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 325:57] - _T_703 <= _T_702 @[axi4_to_ahb.scala 325:57] - ahb_hrdata_q <= _T_703 @[axi4_to_ahb.scala 325:21] - node _T_704 = or(buf_wr_en, slvbuf_wr_en) @[axi4_to_ahb.scala 327:43] - node _T_705 = or(_T_704, io.clk_override) @[axi4_to_ahb.scala 327:58] - node _T_706 = and(io.bus_clk_en, _T_705) @[axi4_to_ahb.scala 327:30] - buf_clken <= _T_706 @[axi4_to_ahb.scala 327:13] - node _T_707 = bits(io.ahb.out.htrans, 1, 1) @[axi4_to_ahb.scala 328:76] - node _T_708 = and(io.ahb.in.hready, _T_707) @[axi4_to_ahb.scala 328:57] - node _T_709 = or(_T_708, io.clk_override) @[axi4_to_ahb.scala 328:81] - node _T_710 = and(io.bus_clk_en, _T_709) @[axi4_to_ahb.scala 328:36] - ahbm_addr_clken <= _T_710 @[axi4_to_ahb.scala 328:19] - node _T_711 = neq(buf_state, UInt<3>("h00")) @[axi4_to_ahb.scala 329:50] - node _T_712 = or(_T_711, io.clk_override) @[axi4_to_ahb.scala 329:60] - node _T_713 = and(io.bus_clk_en, _T_712) @[axi4_to_ahb.scala 329:36] - ahbm_data_clken <= _T_713 @[axi4_to_ahb.scala 329:19] - inst rvclkhdr_6 of rvclkhdr_865 @[lib.scala 343:22] - rvclkhdr_6.clock <= clock - rvclkhdr_6.reset <= reset - rvclkhdr_6.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_6.io.en <= buf_clken @[lib.scala 345:16] - rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - buf_clk <= rvclkhdr_6.io.l1clk @[axi4_to_ahb.scala 332:12] - inst rvclkhdr_7 of rvclkhdr_866 @[lib.scala 343:22] - rvclkhdr_7.clock <= clock - rvclkhdr_7.reset <= reset - rvclkhdr_7.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_7.io.en <= io.bus_clk_en @[lib.scala 345:16] - rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - ahbm_clk <= rvclkhdr_7.io.l1clk @[axi4_to_ahb.scala 333:12] - inst rvclkhdr_8 of rvclkhdr_867 @[lib.scala 343:22] - rvclkhdr_8.clock <= clock - rvclkhdr_8.reset <= reset - rvclkhdr_8.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_8.io.en <= ahbm_addr_clken @[lib.scala 345:16] - rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - ahbm_addr_clk <= rvclkhdr_8.io.l1clk @[axi4_to_ahb.scala 334:17] - inst rvclkhdr_9 of rvclkhdr_868 @[lib.scala 343:22] - rvclkhdr_9.clock <= clock - rvclkhdr_9.reset <= reset - rvclkhdr_9.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_9.io.en <= ahbm_data_clken @[lib.scala 345:16] - rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - ahbm_data_clk <= rvclkhdr_9.io.l1clk @[axi4_to_ahb.scala 335:17] - - extmodule gated_latch_869 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_869 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_869 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_870 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_870 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_870 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_871 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_871 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_871 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_872 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_872 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_872 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_873 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_873 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_873 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_874 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_874 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_874 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_875 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_875 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_875 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_876 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_876 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_876 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_877 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_877 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_877 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_878 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_878 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_878 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - module axi4_to_ahb_2 : - input clock : Clock - input reset : AsyncReset - output io : {flip scan_mode : UInt<1>, flip bus_clk_en : UInt<1>, flip clk_override : UInt<1>, flip axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, ahb : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}} - - wire buf_rst : UInt<1> - buf_rst <= UInt<1>("h00") - buf_rst <= UInt<1>("h00") @[axi4_to_ahb.scala 21:11] - io.ahb.out.htrans <= UInt<1>("h00") @[axi4_to_ahb.scala 22:21] - wire buf_state_en : UInt<1> - buf_state_en <= UInt<1>("h00") - wire ahbm_clk : Clock @[axi4_to_ahb.scala 24:22] - wire ahbm_addr_clk : Clock @[axi4_to_ahb.scala 25:27] - wire ahbm_data_clk : Clock @[axi4_to_ahb.scala 26:27] - wire buf_state : UInt<3> - buf_state <= UInt<3>("h00") - wire buf_nxtstate : UInt<3> - buf_nxtstate <= UInt<3>("h00") - node _T = bits(buf_state_en, 0, 0) @[axi4_to_ahb.scala 30:70] - node _T_1 = mux(_T, buf_nxtstate, buf_state) @[axi4_to_ahb.scala 30:50] - node _T_2 = eq(buf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 30:108] - node _T_3 = bits(_T_2, 0, 0) @[Bitwise.scala 72:15] - node _T_4 = mux(_T_3, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_5 = and(_T_1, _T_4) @[axi4_to_ahb.scala 30:98] - reg _T_6 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 30:45] - _T_6 <= _T_5 @[axi4_to_ahb.scala 30:45] - buf_state <= _T_6 @[axi4_to_ahb.scala 30:13] - wire slave_valid : UInt<1> - slave_valid <= UInt<1>("h00") - wire slave_ready : UInt<1> - slave_ready <= UInt<1>("h00") - wire slave_tag : UInt<3> - slave_tag <= UInt<3>("h00") - wire slave_rdata : UInt<64> - slave_rdata <= UInt<64>("h00") - wire slave_opc : UInt<4> - slave_opc <= UInt<4>("h00") - wire wrbuf_en : UInt<1> - wrbuf_en <= UInt<1>("h00") - wire wrbuf_data_en : UInt<1> - wrbuf_data_en <= UInt<1>("h00") - wire wrbuf_cmd_sent : UInt<1> - wrbuf_cmd_sent <= UInt<1>("h00") - wire wrbuf_rst : UInt<1> - wrbuf_rst <= UInt<1>("h00") - wire wrbuf_vld : UInt<1> - wrbuf_vld <= UInt<1>("h00") - wire wrbuf_data_vld : UInt<1> - wrbuf_data_vld <= UInt<1>("h00") - wire wrbuf_tag : UInt<3> - wrbuf_tag <= UInt<3>("h00") - wire wrbuf_size : UInt<3> - wrbuf_size <= UInt<3>("h00") - wire wrbuf_addr : UInt<32> - wrbuf_addr <= UInt<32>("h00") - wire wrbuf_data : UInt<64> - wrbuf_data <= UInt<64>("h00") - wire wrbuf_byteen : UInt<8> - wrbuf_byteen <= UInt<8>("h00") - wire bus_write_clk_en : UInt<1> - bus_write_clk_en <= UInt<1>("h00") - wire bus_clk : Clock @[axi4_to_ahb.scala 50:21] - wire bus_write_clk : Clock @[axi4_to_ahb.scala 51:27] - wire master_valid : UInt<1> - master_valid <= UInt<1>("h00") - wire master_ready : UInt<1> - master_ready <= UInt<1>("h00") - wire master_tag : UInt<3> - master_tag <= UInt<3>("h00") - wire master_addr : UInt<32> - master_addr <= UInt<32>("h00") - wire master_wdata : UInt<64> - master_wdata <= UInt<64>("h00") - wire master_size : UInt<3> - master_size <= UInt<3>("h00") - wire master_opc : UInt<3> - master_opc <= UInt<3>("h00") - wire master_byteen : UInt<8> - master_byteen <= UInt<8>("h00") - wire buf_addr : UInt<32> - buf_addr <= UInt<32>("h00") - wire buf_size : UInt<2> - buf_size <= UInt<2>("h00") - wire buf_write : UInt<1> - buf_write <= UInt<1>("h00") - wire buf_byteen : UInt<8> - buf_byteen <= UInt<8>("h00") - wire buf_aligned : UInt<1> - buf_aligned <= UInt<1>("h00") - wire buf_data : UInt<64> - buf_data <= UInt<64>("h00") - wire buf_tag : UInt<3> - buf_tag <= UInt<3>("h00") - wire buf_tag_in : UInt<3> - buf_tag_in <= UInt<3>("h00") - wire buf_addr_in : UInt<32> - buf_addr_in <= UInt<32>("h00") - wire buf_byteen_in : UInt<8> - buf_byteen_in <= UInt<8>("h00") - wire buf_data_in : UInt<64> - buf_data_in <= UInt<64>("h00") - wire buf_write_in : UInt<1> - buf_write_in <= UInt<1>("h00") - wire buf_aligned_in : UInt<1> - buf_aligned_in <= UInt<1>("h00") - wire buf_size_in : UInt<3> - buf_size_in <= UInt<3>("h00") - wire buf_wr_en : UInt<1> - buf_wr_en <= UInt<1>("h00") - wire buf_data_wr_en : UInt<1> - buf_data_wr_en <= UInt<1>("h00") - wire slvbuf_error_en : UInt<1> - slvbuf_error_en <= UInt<1>("h00") - wire wr_cmd_vld : UInt<1> - wr_cmd_vld <= UInt<1>("h00") - wire cmd_done_rst : UInt<1> - cmd_done_rst <= UInt<1>("h00") - wire cmd_done : UInt<1> - cmd_done <= UInt<1>("h00") - wire cmd_doneQ : UInt<1> - cmd_doneQ <= UInt<1>("h00") - wire trxn_done : UInt<1> - trxn_done <= UInt<1>("h00") - wire buf_cmd_byte_ptr : UInt<3> - buf_cmd_byte_ptr <= UInt<3>("h00") - wire buf_cmd_byte_ptrQ : UInt<3> - buf_cmd_byte_ptrQ <= UInt<3>("h00") - wire buf_cmd_nxtbyte_ptr : UInt<3> - buf_cmd_nxtbyte_ptr <= UInt<3>("h00") - wire buf_cmd_byte_ptr_en : UInt<1> - buf_cmd_byte_ptr_en <= UInt<1>("h00") - wire found : UInt<1> - found <= UInt<1>("h00") - wire slave_valid_pre : UInt<1> - slave_valid_pre <= UInt<1>("h00") - wire ahb_hready_q : UInt<1> - ahb_hready_q <= UInt<1>("h00") - wire ahb_hresp_q : UInt<1> - ahb_hresp_q <= UInt<1>("h00") - wire ahb_htrans_q : UInt<2> - ahb_htrans_q <= UInt<2>("h00") - wire ahb_hwrite_q : UInt<1> - ahb_hwrite_q <= UInt<1>("h00") - wire ahb_hrdata_q : UInt<64> - ahb_hrdata_q <= UInt<64>("h00") - wire slvbuf_write : UInt<1> - slvbuf_write <= UInt<1>("h00") - wire slvbuf_error : UInt<1> - slvbuf_error <= UInt<1>("h00") - wire slvbuf_tag : UInt<3> - slvbuf_tag <= UInt<3>("h00") - wire slvbuf_error_in : UInt<1> - slvbuf_error_in <= UInt<1>("h00") - wire slvbuf_wr_en : UInt<1> - slvbuf_wr_en <= UInt<1>("h00") - wire bypass_en : UInt<1> - bypass_en <= UInt<1>("h00") - wire rd_bypass_idle : UInt<1> - rd_bypass_idle <= UInt<1>("h00") - wire last_addr_en : UInt<1> - last_addr_en <= UInt<1>("h00") - wire last_bus_addr : UInt<32> - last_bus_addr <= UInt<32>("h00") - wire buf_clken : UInt<1> - buf_clken <= UInt<1>("h00") - wire slvbuf_clken : UInt<1> - slvbuf_clken <= UInt<1>("h00") - wire ahbm_addr_clken : UInt<1> - ahbm_addr_clken <= UInt<1>("h00") - wire ahbm_data_clken : UInt<1> - ahbm_data_clken <= UInt<1>("h00") - wire buf_clk : Clock @[axi4_to_ahb.scala 118:21] - node _T_7 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 139:27] - wr_cmd_vld <= _T_7 @[axi4_to_ahb.scala 139:14] - node _T_8 = or(wr_cmd_vld, io.axi.ar.valid) @[axi4_to_ahb.scala 140:30] - master_valid <= _T_8 @[axi4_to_ahb.scala 140:16] - node _T_9 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 141:38] - node _T_10 = bits(wrbuf_tag, 2, 0) @[axi4_to_ahb.scala 141:51] - node _T_11 = bits(io.axi.ar.bits.id, 2, 0) @[axi4_to_ahb.scala 141:82] - node _T_12 = mux(_T_9, _T_10, _T_11) @[axi4_to_ahb.scala 141:20] - master_tag <= _T_12 @[axi4_to_ahb.scala 141:14] - node _T_13 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 142:38] - node _T_14 = mux(_T_13, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 142:20] - master_opc <= _T_14 @[axi4_to_ahb.scala 142:14] - node _T_15 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 143:39] - node _T_16 = bits(wrbuf_addr, 31, 0) @[axi4_to_ahb.scala 143:53] - node _T_17 = bits(io.axi.ar.bits.addr, 31, 0) @[axi4_to_ahb.scala 143:81] - node _T_18 = mux(_T_15, _T_16, _T_17) @[axi4_to_ahb.scala 143:21] - master_addr <= _T_18 @[axi4_to_ahb.scala 143:15] - node _T_19 = bits(wr_cmd_vld, 0, 0) @[axi4_to_ahb.scala 144:39] - node _T_20 = bits(wrbuf_size, 2, 0) @[axi4_to_ahb.scala 144:53] - node _T_21 = bits(io.axi.ar.bits.size, 2, 0) @[axi4_to_ahb.scala 144:80] - node _T_22 = mux(_T_19, _T_20, _T_21) @[axi4_to_ahb.scala 144:21] - master_size <= _T_22 @[axi4_to_ahb.scala 144:15] - node _T_23 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 145:32] - master_byteen <= _T_23 @[axi4_to_ahb.scala 145:17] - node _T_24 = bits(wrbuf_data, 63, 0) @[axi4_to_ahb.scala 146:29] - master_wdata <= _T_24 @[axi4_to_ahb.scala 146:16] - node _T_25 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 149:33] - node _T_26 = bits(slave_opc, 3, 3) @[axi4_to_ahb.scala 149:58] - node _T_27 = and(_T_25, _T_26) @[axi4_to_ahb.scala 149:47] - io.axi.b.valid <= _T_27 @[axi4_to_ahb.scala 149:18] - node _T_28 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 150:38] - node _T_29 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 150:65] - node _T_30 = mux(_T_29, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 150:55] - node _T_31 = mux(_T_28, UInt<2>("h02"), _T_30) @[axi4_to_ahb.scala 150:28] - io.axi.b.bits.resp <= _T_31 @[axi4_to_ahb.scala 150:22] - node _T_32 = bits(slave_tag, 2, 0) @[axi4_to_ahb.scala 151:32] - io.axi.b.bits.id <= _T_32 @[axi4_to_ahb.scala 151:20] - node _T_33 = and(slave_valid, slave_ready) @[axi4_to_ahb.scala 153:33] - node _T_34 = bits(slave_opc, 3, 2) @[axi4_to_ahb.scala 153:59] - node _T_35 = eq(_T_34, UInt<1>("h00")) @[axi4_to_ahb.scala 153:66] - node _T_36 = and(_T_33, _T_35) @[axi4_to_ahb.scala 153:47] - io.axi.r.valid <= _T_36 @[axi4_to_ahb.scala 153:18] - node _T_37 = bits(slave_opc, 0, 0) @[axi4_to_ahb.scala 154:38] - node _T_38 = bits(slave_opc, 1, 1) @[axi4_to_ahb.scala 154:65] - node _T_39 = mux(_T_38, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 154:55] - node _T_40 = mux(_T_37, UInt<2>("h02"), _T_39) @[axi4_to_ahb.scala 154:28] - io.axi.r.bits.resp <= _T_40 @[axi4_to_ahb.scala 154:22] - node _T_41 = bits(slave_tag, 2, 0) @[axi4_to_ahb.scala 155:32] - io.axi.r.bits.id <= _T_41 @[axi4_to_ahb.scala 155:20] - node _T_42 = bits(slave_rdata, 63, 0) @[axi4_to_ahb.scala 156:36] - io.axi.r.bits.data <= _T_42 @[axi4_to_ahb.scala 156:22] - node _T_43 = and(io.axi.b.ready, io.axi.r.ready) @[axi4_to_ahb.scala 157:33] - slave_ready <= _T_43 @[axi4_to_ahb.scala 157:15] - node _T_44 = and(io.axi.aw.valid, io.axi.aw.ready) @[axi4_to_ahb.scala 160:57] - node _T_45 = and(io.axi.w.valid, io.axi.w.ready) @[axi4_to_ahb.scala 160:94] - node _T_46 = or(_T_44, _T_45) @[axi4_to_ahb.scala 160:76] - node _T_47 = and(io.bus_clk_en, _T_46) @[axi4_to_ahb.scala 160:37] - bus_write_clk_en <= _T_47 @[axi4_to_ahb.scala 160:20] - inst rvclkhdr of rvclkhdr_869 @[lib.scala 343:22] - rvclkhdr.clock <= clock - rvclkhdr.reset <= reset - rvclkhdr.io.clk <= clock @[lib.scala 344:17] - rvclkhdr.io.en <= io.bus_clk_en @[lib.scala 345:16] - rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - bus_clk <= rvclkhdr.io.l1clk @[axi4_to_ahb.scala 162:11] - node _T_48 = bits(bus_write_clk_en, 0, 0) @[axi4_to_ahb.scala 163:59] - inst rvclkhdr_1 of rvclkhdr_870 @[lib.scala 343:22] - rvclkhdr_1.clock <= clock - rvclkhdr_1.reset <= reset - rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_1.io.en <= _T_48 @[lib.scala 345:16] - rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - bus_write_clk <= rvclkhdr_1.io.l1clk @[axi4_to_ahb.scala 163:17] - node _T_49 = eq(UInt<3>("h00"), buf_state) @[Conditional.scala 37:30] - when _T_49 : @[Conditional.scala 40:58] - master_ready <= UInt<1>("h01") @[axi4_to_ahb.scala 167:20] - node _T_50 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 168:34] - node _T_51 = eq(_T_50, UInt<1>("h01")) @[axi4_to_ahb.scala 168:41] - buf_write_in <= _T_51 @[axi4_to_ahb.scala 168:20] - node _T_52 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 169:46] - node _T_53 = mux(_T_52, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 169:26] - buf_nxtstate <= _T_53 @[axi4_to_ahb.scala 169:20] - node _T_54 = and(master_valid, UInt<1>("h01")) @[axi4_to_ahb.scala 170:36] - buf_state_en <= _T_54 @[axi4_to_ahb.scala 170:20] - buf_wr_en <= buf_state_en @[axi4_to_ahb.scala 171:17] - node _T_55 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 172:54] - node _T_56 = and(buf_state_en, _T_55) @[axi4_to_ahb.scala 172:38] - buf_data_wr_en <= _T_56 @[axi4_to_ahb.scala 172:22] - buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 173:27] - node _T_57 = bits(buf_write_in, 0, 0) @[axi4_to_ahb.scala 175:50] - node _T_58 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 175:94] - node _T_59 = add(UInt<3>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_60 = tail(_T_59, 1) @[axi4_to_ahb.scala 135:52] - node _T_61 = mux(UInt<1>("h00"), _T_60, UInt<3>("h00")) @[axi4_to_ahb.scala 135:24] - node _T_62 = bits(_T_58, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_63 = geq(UInt<1>("h00"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_64 = and(_T_62, _T_63) @[axi4_to_ahb.scala 136:48] - node _T_65 = bits(_T_58, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_66 = geq(UInt<1>("h01"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_67 = and(_T_65, _T_66) @[axi4_to_ahb.scala 136:48] - node _T_68 = bits(_T_58, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_69 = geq(UInt<2>("h02"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_70 = and(_T_68, _T_69) @[axi4_to_ahb.scala 136:48] - node _T_71 = bits(_T_58, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_72 = geq(UInt<2>("h03"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_73 = and(_T_71, _T_72) @[axi4_to_ahb.scala 136:48] - node _T_74 = bits(_T_58, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_75 = geq(UInt<3>("h04"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_76 = and(_T_74, _T_75) @[axi4_to_ahb.scala 136:48] - node _T_77 = bits(_T_58, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_78 = geq(UInt<3>("h05"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_79 = and(_T_77, _T_78) @[axi4_to_ahb.scala 136:48] - node _T_80 = bits(_T_58, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_81 = geq(UInt<3>("h06"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_82 = and(_T_80, _T_81) @[axi4_to_ahb.scala 136:48] - node _T_83 = bits(_T_58, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_84 = geq(UInt<3>("h07"), _T_61) @[axi4_to_ahb.scala 136:62] - node _T_85 = and(_T_83, _T_84) @[axi4_to_ahb.scala 136:48] - node _T_86 = mux(_T_85, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_87 = mux(_T_82, UInt<3>("h06"), _T_86) @[Mux.scala 98:16] - node _T_88 = mux(_T_79, UInt<3>("h05"), _T_87) @[Mux.scala 98:16] - node _T_89 = mux(_T_76, UInt<3>("h04"), _T_88) @[Mux.scala 98:16] - node _T_90 = mux(_T_73, UInt<2>("h03"), _T_89) @[Mux.scala 98:16] - node _T_91 = mux(_T_70, UInt<2>("h02"), _T_90) @[Mux.scala 98:16] - node _T_92 = mux(_T_67, UInt<1>("h01"), _T_91) @[Mux.scala 98:16] - node _T_93 = mux(_T_64, UInt<1>("h00"), _T_92) @[Mux.scala 98:16] - node _T_94 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 175:124] - node _T_95 = mux(_T_57, _T_93, _T_94) @[axi4_to_ahb.scala 175:30] - buf_cmd_byte_ptr <= _T_95 @[axi4_to_ahb.scala 175:24] - bypass_en <= buf_state_en @[axi4_to_ahb.scala 176:17] - node _T_96 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 177:51] - node _T_97 = and(bypass_en, _T_96) @[axi4_to_ahb.scala 177:35] - rd_bypass_idle <= _T_97 @[axi4_to_ahb.scala 177:22] - node _T_98 = bits(bypass_en, 0, 0) @[Bitwise.scala 72:15] - node _T_99 = mux(_T_98, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_100 = and(_T_99, UInt<2>("h02")) @[axi4_to_ahb.scala 178:49] - io.ahb.out.htrans <= _T_100 @[axi4_to_ahb.scala 178:25] - skip @[Conditional.scala 40:58] - else : @[Conditional.scala 39:67] - node _T_101 = eq(UInt<3>("h01"), buf_state) @[Conditional.scala 37:30] - when _T_101 : @[Conditional.scala 39:67] - node _T_102 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 182:54] - node _T_103 = eq(_T_102, UInt<1>("h00")) @[axi4_to_ahb.scala 182:61] - node _T_104 = and(master_valid, _T_103) @[axi4_to_ahb.scala 182:41] - node _T_105 = bits(_T_104, 0, 0) @[axi4_to_ahb.scala 182:82] - node _T_106 = mux(_T_105, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 182:26] - buf_nxtstate <= _T_106 @[axi4_to_ahb.scala 182:20] - node _T_107 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 183:51] - node _T_108 = neq(_T_107, UInt<1>("h00")) @[axi4_to_ahb.scala 183:58] - node _T_109 = and(ahb_hready_q, _T_108) @[axi4_to_ahb.scala 183:36] - node _T_110 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 183:72] - node _T_111 = and(_T_109, _T_110) @[axi4_to_ahb.scala 183:70] - buf_state_en <= _T_111 @[axi4_to_ahb.scala 183:20] - node _T_112 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 184:34] - node _T_113 = and(buf_state_en, _T_112) @[axi4_to_ahb.scala 184:32] - cmd_done <= _T_113 @[axi4_to_ahb.scala 184:16] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 185:20] - node _T_114 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 186:52] - node _T_115 = neq(_T_114, UInt<1>("h00")) @[axi4_to_ahb.scala 186:59] - node _T_116 = and(ahb_hready_q, _T_115) @[axi4_to_ahb.scala 186:37] - node _T_117 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 186:73] - node _T_118 = and(_T_116, _T_117) @[axi4_to_ahb.scala 186:71] - node _T_119 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 186:122] - node _T_120 = eq(_T_119, UInt<1>("h00")) @[axi4_to_ahb.scala 186:129] - node _T_121 = and(master_valid, _T_120) @[axi4_to_ahb.scala 186:109] - node _T_122 = bits(_T_121, 0, 0) @[axi4_to_ahb.scala 186:150] - node _T_123 = mux(_T_122, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 186:94] - node _T_124 = eq(_T_123, UInt<3>("h06")) @[axi4_to_ahb.scala 186:174] - node _T_125 = and(_T_118, _T_124) @[axi4_to_ahb.scala 186:88] - master_ready <= _T_125 @[axi4_to_ahb.scala 186:20] - buf_wr_en <= master_ready @[axi4_to_ahb.scala 187:17] - node _T_126 = and(master_ready, master_valid) @[axi4_to_ahb.scala 188:33] - bypass_en <= _T_126 @[axi4_to_ahb.scala 188:17] - node _T_127 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 189:47] - node _T_128 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 189:62] - node _T_129 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 189:78] - node _T_130 = mux(_T_127, _T_128, _T_129) @[axi4_to_ahb.scala 189:30] - buf_cmd_byte_ptr <= _T_130 @[axi4_to_ahb.scala 189:24] - node _T_131 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 190:48] - node _T_132 = or(_T_131, bypass_en) @[axi4_to_ahb.scala 190:62] - node _T_133 = bits(_T_132, 0, 0) @[Bitwise.scala 72:15] - node _T_134 = mux(_T_133, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_135 = and(UInt<2>("h02"), _T_134) @[axi4_to_ahb.scala 190:36] - io.ahb.out.htrans <= _T_135 @[axi4_to_ahb.scala 190:25] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_136 = eq(UInt<3>("h06"), buf_state) @[Conditional.scala 37:30] - when _T_136 : @[Conditional.scala 39:67] - node _T_137 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 194:39] - node _T_138 = and(ahb_hready_q, _T_137) @[axi4_to_ahb.scala 194:37] - node _T_139 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 194:82] - node _T_140 = eq(_T_139, UInt<1>("h01")) @[axi4_to_ahb.scala 194:89] - node _T_141 = and(master_valid, _T_140) @[axi4_to_ahb.scala 194:70] - node _T_142 = not(_T_141) @[axi4_to_ahb.scala 194:55] - node _T_143 = and(_T_138, _T_142) @[axi4_to_ahb.scala 194:53] - master_ready <= _T_143 @[axi4_to_ahb.scala 194:20] - node _T_144 = and(master_valid, master_ready) @[axi4_to_ahb.scala 195:34] - node _T_145 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 195:62] - node _T_146 = eq(_T_145, UInt<1>("h00")) @[axi4_to_ahb.scala 195:69] - node _T_147 = and(_T_144, _T_146) @[axi4_to_ahb.scala 195:49] - buf_wr_en <= _T_147 @[axi4_to_ahb.scala 195:17] - node _T_148 = bits(ahb_hresp_q, 0, 0) @[axi4_to_ahb.scala 196:45] - node _T_149 = and(master_valid, master_ready) @[axi4_to_ahb.scala 196:82] - node _T_150 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 196:110] - node _T_151 = eq(_T_150, UInt<1>("h00")) @[axi4_to_ahb.scala 196:117] - node _T_152 = and(_T_149, _T_151) @[axi4_to_ahb.scala 196:97] - node _T_153 = bits(_T_152, 0, 0) @[axi4_to_ahb.scala 196:138] - node _T_154 = mux(_T_153, UInt<3>("h06"), UInt<3>("h03")) @[axi4_to_ahb.scala 196:67] - node _T_155 = mux(_T_148, UInt<3>("h07"), _T_154) @[axi4_to_ahb.scala 196:26] - buf_nxtstate <= _T_155 @[axi4_to_ahb.scala 196:20] - node _T_156 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 197:37] - buf_state_en <= _T_156 @[axi4_to_ahb.scala 197:20] - buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 198:22] - slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 199:23] - slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 200:23] - node _T_157 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 201:41] - node _T_158 = and(buf_state_en, _T_157) @[axi4_to_ahb.scala 201:39] - slave_valid_pre <= _T_158 @[axi4_to_ahb.scala 201:23] - node _T_159 = eq(master_valid, UInt<1>("h00")) @[axi4_to_ahb.scala 202:34] - node _T_160 = and(buf_state_en, _T_159) @[axi4_to_ahb.scala 202:32] - cmd_done <= _T_160 @[axi4_to_ahb.scala 202:16] - node _T_161 = and(master_ready, master_valid) @[axi4_to_ahb.scala 203:33] - node _T_162 = eq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 203:64] - node _T_163 = and(_T_161, _T_162) @[axi4_to_ahb.scala 203:48] - node _T_164 = and(_T_163, buf_state_en) @[axi4_to_ahb.scala 203:79] - bypass_en <= _T_164 @[axi4_to_ahb.scala 203:17] - node _T_165 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 204:47] - node _T_166 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 204:62] - node _T_167 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 204:78] - node _T_168 = mux(_T_165, _T_166, _T_167) @[axi4_to_ahb.scala 204:30] - buf_cmd_byte_ptr <= _T_168 @[axi4_to_ahb.scala 204:24] - node _T_169 = neq(buf_nxtstate, UInt<3>("h06")) @[axi4_to_ahb.scala 205:63] - node _T_170 = and(_T_169, buf_state_en) @[axi4_to_ahb.scala 205:78] - node _T_171 = eq(_T_170, UInt<1>("h00")) @[axi4_to_ahb.scala 205:47] - node _T_172 = bits(_T_171, 0, 0) @[Bitwise.scala 72:15] - node _T_173 = mux(_T_172, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_174 = and(UInt<2>("h02"), _T_173) @[axi4_to_ahb.scala 205:36] - io.ahb.out.htrans <= _T_174 @[axi4_to_ahb.scala 205:25] - slvbuf_wr_en <= buf_wr_en @[axi4_to_ahb.scala 206:20] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_175 = eq(UInt<3>("h07"), buf_state) @[Conditional.scala 37:30] - when _T_175 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h03") @[axi4_to_ahb.scala 210:20] - node _T_176 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 211:51] - node _T_177 = neq(_T_176, UInt<1>("h00")) @[axi4_to_ahb.scala 211:58] - node _T_178 = and(ahb_hready_q, _T_177) @[axi4_to_ahb.scala 211:36] - node _T_179 = eq(ahb_hwrite_q, UInt<1>("h00")) @[axi4_to_ahb.scala 211:72] - node _T_180 = and(_T_178, _T_179) @[axi4_to_ahb.scala 211:70] - buf_state_en <= _T_180 @[axi4_to_ahb.scala 211:20] - slave_valid_pre <= buf_state_en @[axi4_to_ahb.scala 212:23] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 213:20] - node _T_181 = bits(buf_addr, 2, 0) @[axi4_to_ahb.scala 214:35] - buf_cmd_byte_ptr <= _T_181 @[axi4_to_ahb.scala 214:24] - node _T_182 = eq(buf_state_en, UInt<1>("h00")) @[axi4_to_ahb.scala 215:51] - node _T_183 = bits(_T_182, 0, 0) @[Bitwise.scala 72:15] - node _T_184 = mux(_T_183, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_185 = and(UInt<2>("h02"), _T_184) @[axi4_to_ahb.scala 215:41] - io.ahb.out.htrans <= _T_185 @[axi4_to_ahb.scala 215:25] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_186 = eq(UInt<3>("h03"), buf_state) @[Conditional.scala 37:30] - when _T_186 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h05") @[axi4_to_ahb.scala 219:20] - node _T_187 = or(ahb_hready_q, ahb_hresp_q) @[axi4_to_ahb.scala 220:37] - buf_state_en <= _T_187 @[axi4_to_ahb.scala 220:20] - buf_data_wr_en <= buf_state_en @[axi4_to_ahb.scala 221:22] - slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 222:23] - slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 223:23] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 224:20] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_188 = eq(UInt<3>("h02"), buf_state) @[Conditional.scala 37:30] - when _T_188 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h04") @[axi4_to_ahb.scala 228:20] - node _T_189 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 229:33] - node _T_190 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 229:63] - node _T_191 = neq(_T_190, UInt<1>("h00")) @[axi4_to_ahb.scala 229:70] - node _T_192 = and(_T_189, _T_191) @[axi4_to_ahb.scala 229:48] - trxn_done <= _T_192 @[axi4_to_ahb.scala 229:17] - buf_state_en <= trxn_done @[axi4_to_ahb.scala 230:20] - buf_cmd_byte_ptr_en <= buf_state_en @[axi4_to_ahb.scala 231:27] - slvbuf_wr_en <= buf_state_en @[axi4_to_ahb.scala 232:20] - node _T_193 = bits(trxn_done, 0, 0) @[axi4_to_ahb.scala 233:47] - node _T_194 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 233:85] - node _T_195 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 233:103] - node _T_196 = add(_T_194, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_197 = tail(_T_196, 1) @[axi4_to_ahb.scala 135:52] - node _T_198 = mux(UInt<1>("h01"), _T_197, _T_194) @[axi4_to_ahb.scala 135:24] - node _T_199 = bits(_T_195, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_200 = geq(UInt<1>("h00"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_201 = and(_T_199, _T_200) @[axi4_to_ahb.scala 136:48] - node _T_202 = bits(_T_195, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_203 = geq(UInt<1>("h01"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_204 = and(_T_202, _T_203) @[axi4_to_ahb.scala 136:48] - node _T_205 = bits(_T_195, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_206 = geq(UInt<2>("h02"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_207 = and(_T_205, _T_206) @[axi4_to_ahb.scala 136:48] - node _T_208 = bits(_T_195, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_209 = geq(UInt<2>("h03"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_210 = and(_T_208, _T_209) @[axi4_to_ahb.scala 136:48] - node _T_211 = bits(_T_195, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_212 = geq(UInt<3>("h04"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_213 = and(_T_211, _T_212) @[axi4_to_ahb.scala 136:48] - node _T_214 = bits(_T_195, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_215 = geq(UInt<3>("h05"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_216 = and(_T_214, _T_215) @[axi4_to_ahb.scala 136:48] - node _T_217 = bits(_T_195, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_218 = geq(UInt<3>("h06"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_219 = and(_T_217, _T_218) @[axi4_to_ahb.scala 136:48] - node _T_220 = bits(_T_195, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_221 = geq(UInt<3>("h07"), _T_198) @[axi4_to_ahb.scala 136:62] - node _T_222 = and(_T_220, _T_221) @[axi4_to_ahb.scala 136:48] - node _T_223 = mux(_T_222, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_224 = mux(_T_219, UInt<3>("h06"), _T_223) @[Mux.scala 98:16] - node _T_225 = mux(_T_216, UInt<3>("h05"), _T_224) @[Mux.scala 98:16] - node _T_226 = mux(_T_213, UInt<3>("h04"), _T_225) @[Mux.scala 98:16] - node _T_227 = mux(_T_210, UInt<2>("h03"), _T_226) @[Mux.scala 98:16] - node _T_228 = mux(_T_207, UInt<2>("h02"), _T_227) @[Mux.scala 98:16] - node _T_229 = mux(_T_204, UInt<1>("h01"), _T_228) @[Mux.scala 98:16] - node _T_230 = mux(_T_201, UInt<1>("h00"), _T_229) @[Mux.scala 98:16] - node _T_231 = mux(_T_193, _T_230, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 233:30] - buf_cmd_byte_ptr <= _T_231 @[axi4_to_ahb.scala 233:24] - node _T_232 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 234:65] - node _T_233 = or(buf_aligned, _T_232) @[axi4_to_ahb.scala 234:44] - node _T_234 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 234:127] - node _T_235 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 234:145] - node _T_236 = add(_T_234, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_237 = tail(_T_236, 1) @[axi4_to_ahb.scala 135:52] - node _T_238 = mux(UInt<1>("h01"), _T_237, _T_234) @[axi4_to_ahb.scala 135:24] - node _T_239 = bits(_T_235, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_240 = geq(UInt<1>("h00"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_241 = and(_T_239, _T_240) @[axi4_to_ahb.scala 136:48] - node _T_242 = bits(_T_235, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_243 = geq(UInt<1>("h01"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_244 = and(_T_242, _T_243) @[axi4_to_ahb.scala 136:48] - node _T_245 = bits(_T_235, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_246 = geq(UInt<2>("h02"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_247 = and(_T_245, _T_246) @[axi4_to_ahb.scala 136:48] - node _T_248 = bits(_T_235, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_249 = geq(UInt<2>("h03"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_250 = and(_T_248, _T_249) @[axi4_to_ahb.scala 136:48] - node _T_251 = bits(_T_235, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_252 = geq(UInt<3>("h04"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_253 = and(_T_251, _T_252) @[axi4_to_ahb.scala 136:48] - node _T_254 = bits(_T_235, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_255 = geq(UInt<3>("h05"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_256 = and(_T_254, _T_255) @[axi4_to_ahb.scala 136:48] - node _T_257 = bits(_T_235, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_258 = geq(UInt<3>("h06"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_259 = and(_T_257, _T_258) @[axi4_to_ahb.scala 136:48] - node _T_260 = bits(_T_235, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_261 = geq(UInt<3>("h07"), _T_238) @[axi4_to_ahb.scala 136:62] - node _T_262 = and(_T_260, _T_261) @[axi4_to_ahb.scala 136:48] - node _T_263 = mux(_T_262, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_264 = mux(_T_259, UInt<3>("h06"), _T_263) @[Mux.scala 98:16] - node _T_265 = mux(_T_256, UInt<3>("h05"), _T_264) @[Mux.scala 98:16] - node _T_266 = mux(_T_253, UInt<3>("h04"), _T_265) @[Mux.scala 98:16] - node _T_267 = mux(_T_250, UInt<2>("h03"), _T_266) @[Mux.scala 98:16] - node _T_268 = mux(_T_247, UInt<2>("h02"), _T_267) @[Mux.scala 98:16] - node _T_269 = mux(_T_244, UInt<1>("h01"), _T_268) @[Mux.scala 98:16] - node _T_270 = mux(_T_241, UInt<1>("h00"), _T_269) @[Mux.scala 98:16] - node _T_271 = dshr(buf_byteen, _T_270) @[axi4_to_ahb.scala 234:92] - node _T_272 = bits(_T_271, 0, 0) @[axi4_to_ahb.scala 234:92] - node _T_273 = eq(_T_272, UInt<1>("h00")) @[axi4_to_ahb.scala 234:163] - node _T_274 = or(_T_233, _T_273) @[axi4_to_ahb.scala 234:79] - node _T_275 = and(trxn_done, _T_274) @[axi4_to_ahb.scala 234:29] - cmd_done <= _T_275 @[axi4_to_ahb.scala 234:16] - node _T_276 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 235:47] - node _T_277 = eq(_T_276, UInt<1>("h00")) @[axi4_to_ahb.scala 235:36] - node _T_278 = bits(_T_277, 0, 0) @[Bitwise.scala 72:15] - node _T_279 = mux(_T_278, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_280 = and(_T_279, UInt<2>("h02")) @[axi4_to_ahb.scala 235:61] - io.ahb.out.htrans <= _T_280 @[axi4_to_ahb.scala 235:25] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_281 = eq(UInt<3>("h04"), buf_state) @[Conditional.scala 37:30] - when _T_281 : @[Conditional.scala 39:67] - node _T_282 = and(cmd_doneQ, ahb_hready_q) @[axi4_to_ahb.scala 239:34] - node _T_283 = or(_T_282, ahb_hresp_q) @[axi4_to_ahb.scala 239:50] - buf_state_en <= _T_283 @[axi4_to_ahb.scala 239:20] - node _T_284 = eq(ahb_hresp_q, UInt<1>("h00")) @[axi4_to_ahb.scala 240:38] - node _T_285 = and(buf_state_en, _T_284) @[axi4_to_ahb.scala 240:36] - node _T_286 = and(_T_285, slave_ready) @[axi4_to_ahb.scala 240:51] - master_ready <= _T_286 @[axi4_to_ahb.scala 240:20] - node _T_287 = eq(slave_ready, UInt<1>("h00")) @[axi4_to_ahb.scala 241:42] - node _T_288 = or(ahb_hresp_q, _T_287) @[axi4_to_ahb.scala 241:40] - node _T_289 = and(master_valid, master_valid) @[axi4_to_ahb.scala 241:80] - node _T_290 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 241:111] - node _T_291 = eq(_T_290, UInt<1>("h01")) @[axi4_to_ahb.scala 241:117] - node _T_292 = bits(_T_291, 0, 0) @[axi4_to_ahb.scala 241:132] - node _T_293 = mux(_T_292, UInt<3>("h02"), UInt<3>("h01")) @[axi4_to_ahb.scala 241:99] - node _T_294 = mux(_T_289, _T_293, UInt<3>("h00")) @[axi4_to_ahb.scala 241:65] - node _T_295 = mux(_T_288, UInt<3>("h05"), _T_294) @[axi4_to_ahb.scala 241:26] - buf_nxtstate <= _T_295 @[axi4_to_ahb.scala 241:20] - slvbuf_error_in <= ahb_hresp_q @[axi4_to_ahb.scala 242:23] - slvbuf_error_en <= buf_state_en @[axi4_to_ahb.scala 243:23] - node _T_296 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 244:33] - node _T_297 = eq(_T_296, UInt<1>("h01")) @[axi4_to_ahb.scala 244:39] - buf_write_in <= _T_297 @[axi4_to_ahb.scala 244:20] - node _T_298 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 245:50] - node _T_299 = eq(buf_nxtstate, UInt<3>("h01")) @[axi4_to_ahb.scala 245:78] - node _T_300 = or(_T_298, _T_299) @[axi4_to_ahb.scala 245:62] - node _T_301 = and(buf_state_en, _T_300) @[axi4_to_ahb.scala 245:33] - buf_wr_en <= _T_301 @[axi4_to_ahb.scala 245:17] - buf_data_wr_en <= buf_wr_en @[axi4_to_ahb.scala 246:22] - node _T_302 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 247:65] - node _T_303 = neq(_T_302, UInt<1>("h00")) @[axi4_to_ahb.scala 247:71] - node _T_304 = and(ahb_hready_q, _T_303) @[axi4_to_ahb.scala 247:50] - node _T_305 = eq(buf_cmd_byte_ptrQ, UInt<3>("h07")) @[axi4_to_ahb.scala 248:29] - node _T_306 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 248:85] - node _T_307 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 248:101] - node _T_308 = add(_T_306, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_309 = tail(_T_308, 1) @[axi4_to_ahb.scala 135:52] - node _T_310 = mux(UInt<1>("h01"), _T_309, _T_306) @[axi4_to_ahb.scala 135:24] - node _T_311 = bits(_T_307, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_312 = geq(UInt<1>("h00"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_313 = and(_T_311, _T_312) @[axi4_to_ahb.scala 136:48] - node _T_314 = bits(_T_307, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_315 = geq(UInt<1>("h01"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_316 = and(_T_314, _T_315) @[axi4_to_ahb.scala 136:48] - node _T_317 = bits(_T_307, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_318 = geq(UInt<2>("h02"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_319 = and(_T_317, _T_318) @[axi4_to_ahb.scala 136:48] - node _T_320 = bits(_T_307, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_321 = geq(UInt<2>("h03"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_322 = and(_T_320, _T_321) @[axi4_to_ahb.scala 136:48] - node _T_323 = bits(_T_307, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_324 = geq(UInt<3>("h04"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_325 = and(_T_323, _T_324) @[axi4_to_ahb.scala 136:48] - node _T_326 = bits(_T_307, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_327 = geq(UInt<3>("h05"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_328 = and(_T_326, _T_327) @[axi4_to_ahb.scala 136:48] - node _T_329 = bits(_T_307, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_330 = geq(UInt<3>("h06"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_331 = and(_T_329, _T_330) @[axi4_to_ahb.scala 136:48] - node _T_332 = bits(_T_307, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_333 = geq(UInt<3>("h07"), _T_310) @[axi4_to_ahb.scala 136:62] - node _T_334 = and(_T_332, _T_333) @[axi4_to_ahb.scala 136:48] - node _T_335 = mux(_T_334, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_336 = mux(_T_331, UInt<3>("h06"), _T_335) @[Mux.scala 98:16] - node _T_337 = mux(_T_328, UInt<3>("h05"), _T_336) @[Mux.scala 98:16] - node _T_338 = mux(_T_325, UInt<3>("h04"), _T_337) @[Mux.scala 98:16] - node _T_339 = mux(_T_322, UInt<2>("h03"), _T_338) @[Mux.scala 98:16] - node _T_340 = mux(_T_319, UInt<2>("h02"), _T_339) @[Mux.scala 98:16] - node _T_341 = mux(_T_316, UInt<1>("h01"), _T_340) @[Mux.scala 98:16] - node _T_342 = mux(_T_313, UInt<1>("h00"), _T_341) @[Mux.scala 98:16] - node _T_343 = dshr(buf_byteen, _T_342) @[axi4_to_ahb.scala 248:51] - node _T_344 = bits(_T_343, 0, 0) @[axi4_to_ahb.scala 248:51] - node _T_345 = eq(_T_344, UInt<1>("h00")) @[axi4_to_ahb.scala 248:116] - node _T_346 = or(_T_305, _T_345) @[axi4_to_ahb.scala 248:38] - node _T_347 = and(_T_304, _T_346) @[axi4_to_ahb.scala 247:80] - node _T_348 = or(ahb_hresp_q, _T_347) @[axi4_to_ahb.scala 247:34] - cmd_done <= _T_348 @[axi4_to_ahb.scala 247:16] - node _T_349 = and(buf_state_en, buf_write_in) @[axi4_to_ahb.scala 249:33] - node _T_350 = eq(buf_nxtstate, UInt<3>("h02")) @[axi4_to_ahb.scala 249:64] - node _T_351 = and(_T_349, _T_350) @[axi4_to_ahb.scala 249:48] - bypass_en <= _T_351 @[axi4_to_ahb.scala 249:17] - node _T_352 = or(cmd_done, cmd_doneQ) @[axi4_to_ahb.scala 250:48] - node _T_353 = eq(_T_352, UInt<1>("h00")) @[axi4_to_ahb.scala 250:37] - node _T_354 = or(_T_353, bypass_en) @[axi4_to_ahb.scala 250:61] - node _T_355 = bits(_T_354, 0, 0) @[Bitwise.scala 72:15] - node _T_356 = mux(_T_355, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_357 = and(_T_356, UInt<2>("h02")) @[axi4_to_ahb.scala 250:75] - io.ahb.out.htrans <= _T_357 @[axi4_to_ahb.scala 250:25] - node _T_358 = neq(buf_nxtstate, UInt<3>("h05")) @[axi4_to_ahb.scala 251:55] - node _T_359 = and(buf_state_en, _T_358) @[axi4_to_ahb.scala 251:39] - slave_valid_pre <= _T_359 @[axi4_to_ahb.scala 251:23] - node _T_360 = and(ahb_hready_q, ahb_hwrite_q) @[axi4_to_ahb.scala 252:33] - node _T_361 = bits(ahb_htrans_q, 1, 0) @[axi4_to_ahb.scala 252:63] - node _T_362 = neq(_T_361, UInt<1>("h00")) @[axi4_to_ahb.scala 252:69] - node _T_363 = and(_T_360, _T_362) @[axi4_to_ahb.scala 252:48] - trxn_done <= _T_363 @[axi4_to_ahb.scala 252:17] - node _T_364 = or(trxn_done, bypass_en) @[axi4_to_ahb.scala 253:40] - buf_cmd_byte_ptr_en <= _T_364 @[axi4_to_ahb.scala 253:27] - node _T_365 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 254:79] - node _T_366 = add(UInt<3>("h00"), UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_367 = tail(_T_366, 1) @[axi4_to_ahb.scala 135:52] - node _T_368 = mux(UInt<1>("h00"), _T_367, UInt<3>("h00")) @[axi4_to_ahb.scala 135:24] - node _T_369 = bits(_T_365, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_370 = geq(UInt<1>("h00"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_371 = and(_T_369, _T_370) @[axi4_to_ahb.scala 136:48] - node _T_372 = bits(_T_365, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_373 = geq(UInt<1>("h01"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_374 = and(_T_372, _T_373) @[axi4_to_ahb.scala 136:48] - node _T_375 = bits(_T_365, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_376 = geq(UInt<2>("h02"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_377 = and(_T_375, _T_376) @[axi4_to_ahb.scala 136:48] - node _T_378 = bits(_T_365, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_379 = geq(UInt<2>("h03"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_380 = and(_T_378, _T_379) @[axi4_to_ahb.scala 136:48] - node _T_381 = bits(_T_365, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_382 = geq(UInt<3>("h04"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_383 = and(_T_381, _T_382) @[axi4_to_ahb.scala 136:48] - node _T_384 = bits(_T_365, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_385 = geq(UInt<3>("h05"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_386 = and(_T_384, _T_385) @[axi4_to_ahb.scala 136:48] - node _T_387 = bits(_T_365, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_388 = geq(UInt<3>("h06"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_389 = and(_T_387, _T_388) @[axi4_to_ahb.scala 136:48] - node _T_390 = bits(_T_365, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_391 = geq(UInt<3>("h07"), _T_368) @[axi4_to_ahb.scala 136:62] - node _T_392 = and(_T_390, _T_391) @[axi4_to_ahb.scala 136:48] - node _T_393 = mux(_T_392, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_394 = mux(_T_389, UInt<3>("h06"), _T_393) @[Mux.scala 98:16] - node _T_395 = mux(_T_386, UInt<3>("h05"), _T_394) @[Mux.scala 98:16] - node _T_396 = mux(_T_383, UInt<3>("h04"), _T_395) @[Mux.scala 98:16] - node _T_397 = mux(_T_380, UInt<2>("h03"), _T_396) @[Mux.scala 98:16] - node _T_398 = mux(_T_377, UInt<2>("h02"), _T_397) @[Mux.scala 98:16] - node _T_399 = mux(_T_374, UInt<1>("h01"), _T_398) @[Mux.scala 98:16] - node _T_400 = mux(_T_371, UInt<1>("h00"), _T_399) @[Mux.scala 98:16] - node _T_401 = bits(buf_cmd_byte_ptrQ, 2, 0) @[axi4_to_ahb.scala 254:141] - node _T_402 = bits(buf_byteen, 7, 0) @[axi4_to_ahb.scala 254:157] - node _T_403 = add(_T_401, UInt<1>("h01")) @[axi4_to_ahb.scala 135:52] - node _T_404 = tail(_T_403, 1) @[axi4_to_ahb.scala 135:52] - node _T_405 = mux(UInt<1>("h01"), _T_404, _T_401) @[axi4_to_ahb.scala 135:24] - node _T_406 = bits(_T_402, 0, 0) @[axi4_to_ahb.scala 136:44] - node _T_407 = geq(UInt<1>("h00"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_408 = and(_T_406, _T_407) @[axi4_to_ahb.scala 136:48] - node _T_409 = bits(_T_402, 1, 1) @[axi4_to_ahb.scala 136:44] - node _T_410 = geq(UInt<1>("h01"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_411 = and(_T_409, _T_410) @[axi4_to_ahb.scala 136:48] - node _T_412 = bits(_T_402, 2, 2) @[axi4_to_ahb.scala 136:44] - node _T_413 = geq(UInt<2>("h02"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_414 = and(_T_412, _T_413) @[axi4_to_ahb.scala 136:48] - node _T_415 = bits(_T_402, 3, 3) @[axi4_to_ahb.scala 136:44] - node _T_416 = geq(UInt<2>("h03"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_417 = and(_T_415, _T_416) @[axi4_to_ahb.scala 136:48] - node _T_418 = bits(_T_402, 4, 4) @[axi4_to_ahb.scala 136:44] - node _T_419 = geq(UInt<3>("h04"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_420 = and(_T_418, _T_419) @[axi4_to_ahb.scala 136:48] - node _T_421 = bits(_T_402, 5, 5) @[axi4_to_ahb.scala 136:44] - node _T_422 = geq(UInt<3>("h05"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_423 = and(_T_421, _T_422) @[axi4_to_ahb.scala 136:48] - node _T_424 = bits(_T_402, 6, 6) @[axi4_to_ahb.scala 136:44] - node _T_425 = geq(UInt<3>("h06"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_426 = and(_T_424, _T_425) @[axi4_to_ahb.scala 136:48] - node _T_427 = bits(_T_402, 7, 7) @[axi4_to_ahb.scala 136:44] - node _T_428 = geq(UInt<3>("h07"), _T_405) @[axi4_to_ahb.scala 136:62] - node _T_429 = and(_T_427, _T_428) @[axi4_to_ahb.scala 136:48] - node _T_430 = mux(_T_429, UInt<3>("h07"), UInt<3>("h07")) @[Mux.scala 98:16] - node _T_431 = mux(_T_426, UInt<3>("h06"), _T_430) @[Mux.scala 98:16] - node _T_432 = mux(_T_423, UInt<3>("h05"), _T_431) @[Mux.scala 98:16] - node _T_433 = mux(_T_420, UInt<3>("h04"), _T_432) @[Mux.scala 98:16] - node _T_434 = mux(_T_417, UInt<2>("h03"), _T_433) @[Mux.scala 98:16] - node _T_435 = mux(_T_414, UInt<2>("h02"), _T_434) @[Mux.scala 98:16] - node _T_436 = mux(_T_411, UInt<1>("h01"), _T_435) @[Mux.scala 98:16] - node _T_437 = mux(_T_408, UInt<1>("h00"), _T_436) @[Mux.scala 98:16] - node _T_438 = mux(trxn_done, _T_437, buf_cmd_byte_ptrQ) @[axi4_to_ahb.scala 254:97] - node _T_439 = mux(bypass_en, _T_400, _T_438) @[axi4_to_ahb.scala 254:30] - buf_cmd_byte_ptr <= _T_439 @[axi4_to_ahb.scala 254:24] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_440 = eq(UInt<3>("h05"), buf_state) @[Conditional.scala 37:30] - when _T_440 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<3>("h00") @[axi4_to_ahb.scala 257:20] - buf_state_en <= slave_ready @[axi4_to_ahb.scala 258:20] - slvbuf_error_en <= UInt<1>("h01") @[axi4_to_ahb.scala 259:23] - slave_valid_pre <= UInt<1>("h01") @[axi4_to_ahb.scala 260:23] - skip @[Conditional.scala 39:67] - cmd_done_rst <= slave_valid_pre @[axi4_to_ahb.scala 264:16] - node _T_441 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 265:33] - node _T_442 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 265:73] - node _T_443 = eq(_T_442, UInt<1>("h01")) @[axi4_to_ahb.scala 265:80] - node _T_444 = and(buf_aligned_in, _T_443) @[axi4_to_ahb.scala 265:60] - node _T_445 = bits(_T_444, 0, 0) @[axi4_to_ahb.scala 265:100] - node _T_446 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 265:132] - node _T_447 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:50] - node _T_448 = eq(_T_447, UInt<8>("h0ff")) @[axi4_to_ahb.scala 127:57] - node _T_449 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:81] - node _T_450 = eq(_T_449, UInt<8>("h0f")) @[axi4_to_ahb.scala 127:88] - node _T_451 = or(_T_448, _T_450) @[axi4_to_ahb.scala 127:70] - node _T_452 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 127:117] - node _T_453 = eq(_T_452, UInt<8>("h03")) @[axi4_to_ahb.scala 127:124] - node _T_454 = or(_T_451, _T_453) @[axi4_to_ahb.scala 127:106] - node _T_455 = bits(_T_454, 0, 0) @[Bitwise.scala 72:15] - node _T_456 = mux(_T_455, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_457 = and(UInt<3>("h00"), _T_456) @[axi4_to_ahb.scala 127:29] - node _T_458 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 128:35] - node _T_459 = eq(_T_458, UInt<8>("h0c")) @[axi4_to_ahb.scala 128:42] - node _T_460 = bits(_T_459, 0, 0) @[Bitwise.scala 72:15] - node _T_461 = mux(_T_460, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_462 = and(UInt<2>("h02"), _T_461) @[axi4_to_ahb.scala 128:15] - node _T_463 = or(_T_457, _T_462) @[axi4_to_ahb.scala 127:146] - node _T_464 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 129:36] - node _T_465 = eq(_T_464, UInt<8>("h0f0")) @[axi4_to_ahb.scala 129:43] - node _T_466 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 129:67] - node _T_467 = eq(_T_466, UInt<8>("h03")) @[axi4_to_ahb.scala 129:74] - node _T_468 = or(_T_465, _T_467) @[axi4_to_ahb.scala 129:56] - node _T_469 = bits(_T_468, 0, 0) @[Bitwise.scala 72:15] - node _T_470 = mux(_T_469, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_471 = and(UInt<3>("h04"), _T_470) @[axi4_to_ahb.scala 129:15] - node _T_472 = or(_T_463, _T_471) @[axi4_to_ahb.scala 128:63] - node _T_473 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 130:35] - node _T_474 = eq(_T_473, UInt<8>("h0c0")) @[axi4_to_ahb.scala 130:42] - node _T_475 = bits(_T_474, 0, 0) @[Bitwise.scala 72:15] - node _T_476 = mux(_T_475, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_477 = and(UInt<3>("h06"), _T_476) @[axi4_to_ahb.scala 130:15] - node _T_478 = or(_T_472, _T_477) @[axi4_to_ahb.scala 129:96] - node _T_479 = bits(_T_446, 7, 0) @[axi4_to_ahb.scala 131:33] - node _T_480 = eq(_T_479, UInt<8>("h0c0")) @[axi4_to_ahb.scala 131:40] - node _T_481 = bits(_T_480, 0, 0) @[Bitwise.scala 72:15] - node _T_482 = mux(_T_481, UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - node _T_483 = and(UInt<3>("h06"), _T_482) @[axi4_to_ahb.scala 131:13] - node _T_484 = bits(master_addr, 2, 0) @[axi4_to_ahb.scala 265:152] - node _T_485 = mux(_T_445, _T_478, _T_484) @[axi4_to_ahb.scala 265:43] - node _T_486 = cat(_T_441, _T_485) @[Cat.scala 29:58] - buf_addr_in <= _T_486 @[axi4_to_ahb.scala 265:15] - node _T_487 = bits(master_tag, 2, 0) @[axi4_to_ahb.scala 266:27] - buf_tag_in <= _T_487 @[axi4_to_ahb.scala 266:14] - node _T_488 = bits(wrbuf_byteen, 7, 0) @[axi4_to_ahb.scala 267:32] - buf_byteen_in <= _T_488 @[axi4_to_ahb.scala 267:17] - node _T_489 = eq(buf_state, UInt<3>("h03")) @[axi4_to_ahb.scala 268:33] - node _T_490 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 268:59] - node _T_491 = bits(master_wdata, 63, 0) @[axi4_to_ahb.scala 268:80] - node _T_492 = mux(_T_489, _T_490, _T_491) @[axi4_to_ahb.scala 268:21] - buf_data_in <= _T_492 @[axi4_to_ahb.scala 268:15] - node _T_493 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 269:52] - node _T_494 = eq(_T_493, UInt<2>("h03")) @[axi4_to_ahb.scala 269:58] - node _T_495 = and(buf_aligned_in, _T_494) @[axi4_to_ahb.scala 269:38] - node _T_496 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 269:84] - node _T_497 = eq(_T_496, UInt<1>("h01")) @[axi4_to_ahb.scala 269:91] - node _T_498 = and(_T_495, _T_497) @[axi4_to_ahb.scala 269:71] - node _T_499 = bits(_T_498, 0, 0) @[axi4_to_ahb.scala 269:111] - node _T_500 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 269:142] - node _T_501 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 121:42] - node _T_502 = eq(_T_501, UInt<8>("h0ff")) @[axi4_to_ahb.scala 121:49] - node _T_503 = bits(_T_502, 0, 0) @[Bitwise.scala 72:15] - node _T_504 = mux(_T_503, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_505 = and(UInt<2>("h03"), _T_504) @[axi4_to_ahb.scala 121:25] - node _T_506 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 122:35] - node _T_507 = eq(_T_506, UInt<8>("h0f0")) @[axi4_to_ahb.scala 122:42] - node _T_508 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 122:64] - node _T_509 = eq(_T_508, UInt<8>("h0f")) @[axi4_to_ahb.scala 122:71] - node _T_510 = or(_T_507, _T_509) @[axi4_to_ahb.scala 122:55] - node _T_511 = bits(_T_510, 0, 0) @[Bitwise.scala 72:15] - node _T_512 = mux(_T_511, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_513 = and(UInt<2>("h02"), _T_512) @[axi4_to_ahb.scala 122:16] - node _T_514 = or(_T_505, _T_513) @[axi4_to_ahb.scala 121:64] - node _T_515 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:40] - node _T_516 = eq(_T_515, UInt<8>("h0c0")) @[axi4_to_ahb.scala 123:47] - node _T_517 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:69] - node _T_518 = eq(_T_517, UInt<6>("h030")) @[axi4_to_ahb.scala 123:76] - node _T_519 = or(_T_516, _T_518) @[axi4_to_ahb.scala 123:60] - node _T_520 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:98] - node _T_521 = eq(_T_520, UInt<8>("h0c")) @[axi4_to_ahb.scala 123:105] - node _T_522 = or(_T_519, _T_521) @[axi4_to_ahb.scala 123:89] - node _T_523 = bits(_T_500, 7, 0) @[axi4_to_ahb.scala 123:132] - node _T_524 = eq(_T_523, UInt<8>("h03")) @[axi4_to_ahb.scala 123:139] - node _T_525 = or(_T_522, _T_524) @[axi4_to_ahb.scala 123:123] - node _T_526 = bits(_T_525, 0, 0) @[Bitwise.scala 72:15] - node _T_527 = mux(_T_526, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_528 = and(UInt<2>("h01"), _T_527) @[axi4_to_ahb.scala 123:21] - node _T_529 = or(_T_514, _T_528) @[axi4_to_ahb.scala 122:93] - node _T_530 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 269:161] - node _T_531 = mux(_T_499, _T_529, _T_530) @[axi4_to_ahb.scala 269:21] - buf_size_in <= _T_531 @[axi4_to_ahb.scala 269:15] - node _T_532 = bits(master_opc, 2, 0) @[axi4_to_ahb.scala 270:32] - node _T_533 = eq(_T_532, UInt<1>("h00")) @[axi4_to_ahb.scala 270:39] - node _T_534 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:17] - node _T_535 = eq(_T_534, UInt<1>("h00")) @[axi4_to_ahb.scala 271:24] - node _T_536 = or(_T_533, _T_535) @[axi4_to_ahb.scala 270:48] - node _T_537 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:47] - node _T_538 = eq(_T_537, UInt<2>("h01")) @[axi4_to_ahb.scala 271:54] - node _T_539 = or(_T_536, _T_538) @[axi4_to_ahb.scala 271:33] - node _T_540 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 271:86] - node _T_541 = eq(_T_540, UInt<2>("h02")) @[axi4_to_ahb.scala 271:93] - node _T_542 = or(_T_539, _T_541) @[axi4_to_ahb.scala 271:72] - node _T_543 = bits(master_size, 1, 0) @[axi4_to_ahb.scala 272:18] - node _T_544 = eq(_T_543, UInt<2>("h03")) @[axi4_to_ahb.scala 272:25] - node _T_545 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:55] - node _T_546 = eq(_T_545, UInt<2>("h03")) @[axi4_to_ahb.scala 272:62] - node _T_547 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:90] - node _T_548 = eq(_T_547, UInt<4>("h0c")) @[axi4_to_ahb.scala 272:97] - node _T_549 = or(_T_546, _T_548) @[axi4_to_ahb.scala 272:74] - node _T_550 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:125] - node _T_551 = eq(_T_550, UInt<6>("h030")) @[axi4_to_ahb.scala 272:132] - node _T_552 = or(_T_549, _T_551) @[axi4_to_ahb.scala 272:109] - node _T_553 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 272:161] - node _T_554 = eq(_T_553, UInt<8>("h0c0")) @[axi4_to_ahb.scala 272:168] - node _T_555 = or(_T_552, _T_554) @[axi4_to_ahb.scala 272:145] - node _T_556 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:21] - node _T_557 = eq(_T_556, UInt<4>("h0f")) @[axi4_to_ahb.scala 273:28] - node _T_558 = or(_T_555, _T_557) @[axi4_to_ahb.scala 272:181] - node _T_559 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:56] - node _T_560 = eq(_T_559, UInt<8>("h0f0")) @[axi4_to_ahb.scala 273:63] - node _T_561 = or(_T_558, _T_560) @[axi4_to_ahb.scala 273:40] - node _T_562 = bits(master_byteen, 7, 0) @[axi4_to_ahb.scala 273:92] - node _T_563 = eq(_T_562, UInt<8>("h0ff")) @[axi4_to_ahb.scala 273:99] - node _T_564 = or(_T_561, _T_563) @[axi4_to_ahb.scala 273:76] - node _T_565 = and(_T_544, _T_564) @[axi4_to_ahb.scala 272:38] - node _T_566 = or(_T_542, _T_565) @[axi4_to_ahb.scala 271:106] - buf_aligned_in <= _T_566 @[axi4_to_ahb.scala 270:18] - node _T_567 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 275:43] - node _T_568 = bits(master_addr, 31, 3) @[axi4_to_ahb.scala 275:62] - node _T_569 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 275:87] - node _T_570 = cat(_T_568, _T_569) @[Cat.scala 29:58] - node _T_571 = bits(buf_addr, 31, 3) @[axi4_to_ahb.scala 275:108] - node _T_572 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 275:133] - node _T_573 = cat(_T_571, _T_572) @[Cat.scala 29:58] - node _T_574 = mux(_T_567, _T_570, _T_573) @[axi4_to_ahb.scala 275:26] - io.ahb.out.haddr <= _T_574 @[axi4_to_ahb.scala 275:20] - node _T_575 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 276:43] - node _T_576 = bits(buf_aligned_in, 0, 0) @[Bitwise.scala 72:15] - node _T_577 = mux(_T_576, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_578 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 276:94] - node _T_579 = and(_T_577, _T_578) @[axi4_to_ahb.scala 276:81] - node _T_580 = cat(UInt<1>("h00"), _T_579) @[Cat.scala 29:58] - node _T_581 = bits(buf_aligned, 0, 0) @[Bitwise.scala 72:15] - node _T_582 = mux(_T_581, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_583 = bits(buf_size, 1, 0) @[axi4_to_ahb.scala 276:148] - node _T_584 = and(_T_582, _T_583) @[axi4_to_ahb.scala 276:138] - node _T_585 = cat(UInt<1>("h00"), _T_584) @[Cat.scala 29:58] - node _T_586 = mux(_T_575, _T_580, _T_585) @[axi4_to_ahb.scala 276:26] - io.ahb.out.hsize <= _T_586 @[axi4_to_ahb.scala 276:20] - io.ahb.out.hburst <= UInt<1>("h00") @[axi4_to_ahb.scala 278:21] - io.ahb.out.hmastlock <= UInt<1>("h00") @[axi4_to_ahb.scala 279:24] - node _T_587 = bits(io.axi.ar.bits.prot, 2, 2) @[axi4_to_ahb.scala 280:57] - node _T_588 = eq(_T_587, UInt<1>("h00")) @[axi4_to_ahb.scala 280:37] - node _T_589 = cat(UInt<1>("h01"), _T_588) @[Cat.scala 29:58] - io.ahb.out.hprot <= _T_589 @[axi4_to_ahb.scala 280:20] - node _T_590 = bits(bypass_en, 0, 0) @[axi4_to_ahb.scala 281:44] - node _T_591 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 281:59] - node _T_592 = eq(_T_591, UInt<1>("h01")) @[axi4_to_ahb.scala 281:66] - node _T_593 = mux(_T_590, _T_592, buf_write) @[axi4_to_ahb.scala 281:27] - io.ahb.out.hwrite <= _T_593 @[axi4_to_ahb.scala 281:21] - node _T_594 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 282:32] - io.ahb.out.hwdata <= _T_594 @[axi4_to_ahb.scala 282:21] - slave_valid <= slave_valid_pre @[axi4_to_ahb.scala 284:15] - node _T_595 = bits(slvbuf_write, 0, 0) @[axi4_to_ahb.scala 285:43] - node _T_596 = mux(_T_595, UInt<2>("h03"), UInt<1>("h00")) @[axi4_to_ahb.scala 285:23] - node _T_597 = bits(slvbuf_error, 0, 0) @[Bitwise.scala 72:15] - node _T_598 = mux(_T_597, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_599 = and(_T_598, UInt<2>("h02")) @[axi4_to_ahb.scala 285:88] - node _T_600 = cat(_T_596, _T_599) @[Cat.scala 29:58] - slave_opc <= _T_600 @[axi4_to_ahb.scala 285:13] - node _T_601 = bits(slvbuf_error, 0, 0) @[axi4_to_ahb.scala 286:41] - node _T_602 = bits(last_bus_addr, 31, 0) @[axi4_to_ahb.scala 286:66] - node _T_603 = cat(_T_602, _T_602) @[Cat.scala 29:58] - node _T_604 = eq(buf_state, UInt<3>("h05")) @[axi4_to_ahb.scala 286:91] - node _T_605 = bits(buf_data, 63, 0) @[axi4_to_ahb.scala 286:110] - node _T_606 = bits(ahb_hrdata_q, 63, 0) @[axi4_to_ahb.scala 286:131] - node _T_607 = mux(_T_604, _T_605, _T_606) @[axi4_to_ahb.scala 286:79] - node _T_608 = mux(_T_601, _T_603, _T_607) @[axi4_to_ahb.scala 286:21] - slave_rdata <= _T_608 @[axi4_to_ahb.scala 286:15] - node _T_609 = bits(slvbuf_tag, 2, 0) @[axi4_to_ahb.scala 287:26] - slave_tag <= _T_609 @[axi4_to_ahb.scala 287:13] - node _T_610 = bits(io.ahb.out.htrans, 1, 0) @[axi4_to_ahb.scala 289:37] - node _T_611 = neq(_T_610, UInt<1>("h00")) @[axi4_to_ahb.scala 289:44] - node _T_612 = and(_T_611, io.ahb.in.hready) @[axi4_to_ahb.scala 289:56] - node _T_613 = and(_T_612, io.ahb.out.hwrite) @[axi4_to_ahb.scala 289:75] - last_addr_en <= _T_613 @[axi4_to_ahb.scala 289:16] - node _T_614 = and(io.axi.aw.valid, io.axi.aw.ready) @[axi4_to_ahb.scala 291:31] - node _T_615 = and(_T_614, master_ready) @[axi4_to_ahb.scala 291:49] - wrbuf_en <= _T_615 @[axi4_to_ahb.scala 291:12] - node _T_616 = and(io.axi.w.valid, io.axi.w.ready) @[axi4_to_ahb.scala 292:35] - node _T_617 = and(_T_616, master_ready) @[axi4_to_ahb.scala 292:52] - wrbuf_data_en <= _T_617 @[axi4_to_ahb.scala 292:17] - node _T_618 = and(master_valid, master_ready) @[axi4_to_ahb.scala 293:34] - node _T_619 = bits(master_opc, 2, 1) @[axi4_to_ahb.scala 293:62] - node _T_620 = eq(_T_619, UInt<1>("h01")) @[axi4_to_ahb.scala 293:69] - node _T_621 = and(_T_618, _T_620) @[axi4_to_ahb.scala 293:49] - wrbuf_cmd_sent <= _T_621 @[axi4_to_ahb.scala 293:18] - node _T_622 = eq(wrbuf_en, UInt<1>("h00")) @[axi4_to_ahb.scala 294:33] - node _T_623 = and(wrbuf_cmd_sent, _T_622) @[axi4_to_ahb.scala 294:31] - wrbuf_rst <= _T_623 @[axi4_to_ahb.scala 294:13] - node _T_624 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 296:36] - node _T_625 = and(wrbuf_vld, _T_624) @[axi4_to_ahb.scala 296:34] - node _T_626 = eq(_T_625, UInt<1>("h00")) @[axi4_to_ahb.scala 296:22] - node _T_627 = and(_T_626, master_ready) @[axi4_to_ahb.scala 296:53] - io.axi.aw.ready <= _T_627 @[axi4_to_ahb.scala 296:19] - node _T_628 = eq(wrbuf_cmd_sent, UInt<1>("h00")) @[axi4_to_ahb.scala 297:40] - node _T_629 = and(wrbuf_data_vld, _T_628) @[axi4_to_ahb.scala 297:38] - node _T_630 = eq(_T_629, UInt<1>("h00")) @[axi4_to_ahb.scala 297:21] - node _T_631 = and(_T_630, master_ready) @[axi4_to_ahb.scala 297:57] - io.axi.w.ready <= _T_631 @[axi4_to_ahb.scala 297:18] - node _T_632 = and(wrbuf_vld, wrbuf_data_vld) @[axi4_to_ahb.scala 298:34] - node _T_633 = eq(_T_632, UInt<1>("h00")) @[axi4_to_ahb.scala 298:22] - node _T_634 = and(_T_633, master_ready) @[axi4_to_ahb.scala 298:52] - io.axi.ar.ready <= _T_634 @[axi4_to_ahb.scala 298:19] - io.axi.r.bits.last <= UInt<1>("h01") @[axi4_to_ahb.scala 299:22] - node _T_635 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 301:71] - node _T_636 = mux(_T_635, UInt<1>("h01"), wrbuf_vld) @[axi4_to_ahb.scala 301:55] - node _T_637 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 301:91] - node _T_638 = and(_T_636, _T_637) @[axi4_to_ahb.scala 301:89] - reg _T_639 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 301:51] - _T_639 <= _T_638 @[axi4_to_ahb.scala 301:51] - wrbuf_vld <= _T_639 @[axi4_to_ahb.scala 301:21] - node _T_640 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 302:76] - node _T_641 = mux(_T_640, UInt<1>("h01"), wrbuf_data_vld) @[axi4_to_ahb.scala 302:55] - node _T_642 = eq(wrbuf_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 302:102] - node _T_643 = and(_T_641, _T_642) @[axi4_to_ahb.scala 302:100] - reg _T_644 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 302:51] - _T_644 <= _T_643 @[axi4_to_ahb.scala 302:51] - wrbuf_data_vld <= _T_644 @[axi4_to_ahb.scala 302:21] - node _T_645 = bits(io.axi.aw.bits.id, 2, 0) @[axi4_to_ahb.scala 303:71] - node _T_646 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 303:105] - reg _T_647 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_646 : @[Reg.scala 28:19] - _T_647 <= _T_645 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - wrbuf_tag <= _T_647 @[axi4_to_ahb.scala 303:21] - node _T_648 = bits(io.axi.aw.bits.size, 2, 0) @[axi4_to_ahb.scala 304:73] - node _T_649 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 304:101] - reg _T_650 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_649 : @[Reg.scala 28:19] - _T_650 <= _T_648 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - wrbuf_size <= _T_650 @[axi4_to_ahb.scala 304:21] - node _T_651 = bits(wrbuf_en, 0, 0) @[axi4_to_ahb.scala 305:61] - inst rvclkhdr_2 of rvclkhdr_871 @[lib.scala 368:23] - rvclkhdr_2.clock <= clock - rvclkhdr_2.reset <= reset - rvclkhdr_2.io.clk <= bus_clk @[lib.scala 370:18] - rvclkhdr_2.io.en <= _T_651 @[lib.scala 371:17] - rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_652 : UInt, rvclkhdr_2.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_652 <= io.axi.aw.bits.addr @[lib.scala 374:16] - wrbuf_addr <= _T_652 @[axi4_to_ahb.scala 305:21] - node _T_653 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 306:65] - inst rvclkhdr_3 of rvclkhdr_872 @[lib.scala 368:23] - rvclkhdr_3.clock <= clock - rvclkhdr_3.reset <= reset - rvclkhdr_3.io.clk <= bus_clk @[lib.scala 370:18] - rvclkhdr_3.io.en <= _T_653 @[lib.scala 371:17] - rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_654 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_654 <= io.axi.w.bits.data @[lib.scala 374:16] - wrbuf_data <= _T_654 @[axi4_to_ahb.scala 306:21] - node _T_655 = bits(io.axi.w.bits.strb, 7, 0) @[axi4_to_ahb.scala 307:72] - node _T_656 = bits(wrbuf_data_en, 0, 0) @[axi4_to_ahb.scala 307:105] - reg _T_657 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_656 : @[Reg.scala 28:19] - _T_657 <= _T_655 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - wrbuf_byteen <= _T_657 @[axi4_to_ahb.scala 307:21] - node _T_658 = bits(io.ahb.out.haddr, 31, 0) @[axi4_to_ahb.scala 308:71] - node _T_659 = bits(last_addr_en, 0, 0) @[axi4_to_ahb.scala 308:104] - reg _T_660 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_659 : @[Reg.scala 28:19] - _T_660 <= _T_658 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - last_bus_addr <= _T_660 @[axi4_to_ahb.scala 308:21] - node _T_661 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 309:89] - reg _T_662 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_661 : @[Reg.scala 28:19] - _T_662 <= buf_write_in @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_write <= _T_662 @[axi4_to_ahb.scala 309:21] - node _T_663 = bits(buf_tag_in, 2, 0) @[axi4_to_ahb.scala 310:64] - node _T_664 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 310:99] - reg _T_665 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_664 : @[Reg.scala 28:19] - _T_665 <= _T_663 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_tag <= _T_665 @[axi4_to_ahb.scala 310:21] - node _T_666 = bits(buf_addr_in, 31, 0) @[axi4_to_ahb.scala 311:42] - node _T_667 = and(buf_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 311:61] - node _T_668 = bits(_T_667, 0, 0) @[axi4_to_ahb.scala 311:78] - inst rvclkhdr_4 of rvclkhdr_873 @[lib.scala 368:23] - rvclkhdr_4.clock <= clock - rvclkhdr_4.reset <= reset - rvclkhdr_4.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_4.io.en <= _T_668 @[lib.scala 371:17] - rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_669 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_669 <= _T_666 @[lib.scala 374:16] - buf_addr <= _T_669 @[axi4_to_ahb.scala 311:21] - node _T_670 = bits(buf_size_in, 1, 0) @[axi4_to_ahb.scala 312:65] - node _T_671 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 312:94] - reg _T_672 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_671 : @[Reg.scala 28:19] - _T_672 <= _T_670 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_size <= _T_672 @[axi4_to_ahb.scala 312:21] - node _T_673 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 313:91] - reg _T_674 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_673 : @[Reg.scala 28:19] - _T_674 <= buf_aligned_in @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_aligned <= _T_674 @[axi4_to_ahb.scala 313:21] - node _T_675 = bits(buf_byteen_in, 7, 0) @[axi4_to_ahb.scala 314:67] - node _T_676 = bits(buf_wr_en, 0, 0) @[axi4_to_ahb.scala 314:96] - reg _T_677 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_676 : @[Reg.scala 28:19] - _T_677 <= _T_675 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_byteen <= _T_677 @[axi4_to_ahb.scala 314:21] - node _T_678 = bits(buf_data_in, 63, 0) @[axi4_to_ahb.scala 315:42] - node _T_679 = and(buf_data_wr_en, io.bus_clk_en) @[axi4_to_ahb.scala 315:66] - node _T_680 = bits(_T_679, 0, 0) @[axi4_to_ahb.scala 315:89] - inst rvclkhdr_5 of rvclkhdr_874 @[lib.scala 368:23] - rvclkhdr_5.clock <= clock - rvclkhdr_5.reset <= reset - rvclkhdr_5.io.clk <= clock @[lib.scala 370:18] - rvclkhdr_5.io.en <= _T_680 @[lib.scala 371:17] - rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_681 : UInt, rvclkhdr_5.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_681 <= _T_678 @[lib.scala 374:16] - buf_data <= _T_681 @[axi4_to_ahb.scala 315:21] - node _T_682 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 316:89] - reg _T_683 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_682 : @[Reg.scala 28:19] - _T_683 <= buf_write @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - slvbuf_write <= _T_683 @[axi4_to_ahb.scala 316:21] - node _T_684 = bits(buf_tag, 2, 0) @[axi4_to_ahb.scala 317:61] - node _T_685 = bits(slvbuf_wr_en, 0, 0) @[axi4_to_ahb.scala 317:99] - reg _T_686 : UInt, buf_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_685 : @[Reg.scala 28:19] - _T_686 <= _T_684 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - slvbuf_tag <= _T_686 @[axi4_to_ahb.scala 317:21] - node _T_687 = bits(slvbuf_error_en, 0, 0) @[axi4_to_ahb.scala 318:99] - reg _T_688 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_687 : @[Reg.scala 28:19] - _T_688 <= slvbuf_error_in @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - slvbuf_error <= _T_688 @[axi4_to_ahb.scala 318:21] - node _T_689 = bits(cmd_done, 0, 0) @[axi4_to_ahb.scala 319:72] - node _T_690 = mux(_T_689, UInt<1>("h01"), cmd_doneQ) @[axi4_to_ahb.scala 319:56] - node _T_691 = eq(cmd_done_rst, UInt<1>("h00")) @[axi4_to_ahb.scala 319:92] - node _T_692 = and(_T_690, _T_691) @[axi4_to_ahb.scala 319:90] - reg _T_693 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 319:52] - _T_693 <= _T_692 @[axi4_to_ahb.scala 319:52] - cmd_doneQ <= _T_693 @[axi4_to_ahb.scala 319:21] - node _T_694 = bits(buf_cmd_byte_ptr, 2, 0) @[axi4_to_ahb.scala 320:71] - node _T_695 = bits(buf_cmd_byte_ptr_en, 0, 0) @[axi4_to_ahb.scala 320:110] - reg _T_696 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_695 : @[Reg.scala 28:19] - _T_696 <= _T_694 @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_cmd_byte_ptrQ <= _T_696 @[axi4_to_ahb.scala 320:21] - reg _T_697 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 321:52] - _T_697 <= io.ahb.in.hready @[axi4_to_ahb.scala 321:52] - ahb_hready_q <= _T_697 @[axi4_to_ahb.scala 321:21] - node _T_698 = bits(io.ahb.out.htrans, 1, 0) @[axi4_to_ahb.scala 322:70] - reg _T_699 : UInt, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 322:52] - _T_699 <= _T_698 @[axi4_to_ahb.scala 322:52] - ahb_htrans_q <= _T_699 @[axi4_to_ahb.scala 322:21] - reg _T_700 : UInt<1>, ahbm_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 323:57] - _T_700 <= io.ahb.out.hwrite @[axi4_to_ahb.scala 323:57] - ahb_hwrite_q <= _T_700 @[axi4_to_ahb.scala 323:21] - reg _T_701 : UInt<1>, ahbm_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 324:52] - _T_701 <= io.ahb.in.hresp @[axi4_to_ahb.scala 324:52] - ahb_hresp_q <= _T_701 @[axi4_to_ahb.scala 324:21] - node _T_702 = bits(io.ahb.in.hrdata, 63, 0) @[axi4_to_ahb.scala 325:74] - reg _T_703 : UInt, ahbm_data_clk with : (reset => (reset, UInt<1>("h00"))) @[axi4_to_ahb.scala 325:57] - _T_703 <= _T_702 @[axi4_to_ahb.scala 325:57] - ahb_hrdata_q <= _T_703 @[axi4_to_ahb.scala 325:21] - node _T_704 = or(buf_wr_en, slvbuf_wr_en) @[axi4_to_ahb.scala 327:43] - node _T_705 = or(_T_704, io.clk_override) @[axi4_to_ahb.scala 327:58] - node _T_706 = and(io.bus_clk_en, _T_705) @[axi4_to_ahb.scala 327:30] - buf_clken <= _T_706 @[axi4_to_ahb.scala 327:13] - node _T_707 = bits(io.ahb.out.htrans, 1, 1) @[axi4_to_ahb.scala 328:76] - node _T_708 = and(io.ahb.in.hready, _T_707) @[axi4_to_ahb.scala 328:57] - node _T_709 = or(_T_708, io.clk_override) @[axi4_to_ahb.scala 328:81] - node _T_710 = and(io.bus_clk_en, _T_709) @[axi4_to_ahb.scala 328:36] - ahbm_addr_clken <= _T_710 @[axi4_to_ahb.scala 328:19] - node _T_711 = neq(buf_state, UInt<3>("h00")) @[axi4_to_ahb.scala 329:50] - node _T_712 = or(_T_711, io.clk_override) @[axi4_to_ahb.scala 329:60] - node _T_713 = and(io.bus_clk_en, _T_712) @[axi4_to_ahb.scala 329:36] - ahbm_data_clken <= _T_713 @[axi4_to_ahb.scala 329:19] - inst rvclkhdr_6 of rvclkhdr_875 @[lib.scala 343:22] - rvclkhdr_6.clock <= clock - rvclkhdr_6.reset <= reset - rvclkhdr_6.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_6.io.en <= buf_clken @[lib.scala 345:16] - rvclkhdr_6.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - buf_clk <= rvclkhdr_6.io.l1clk @[axi4_to_ahb.scala 332:12] - inst rvclkhdr_7 of rvclkhdr_876 @[lib.scala 343:22] - rvclkhdr_7.clock <= clock - rvclkhdr_7.reset <= reset - rvclkhdr_7.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_7.io.en <= io.bus_clk_en @[lib.scala 345:16] - rvclkhdr_7.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - ahbm_clk <= rvclkhdr_7.io.l1clk @[axi4_to_ahb.scala 333:12] - inst rvclkhdr_8 of rvclkhdr_877 @[lib.scala 343:22] - rvclkhdr_8.clock <= clock - rvclkhdr_8.reset <= reset - rvclkhdr_8.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_8.io.en <= ahbm_addr_clken @[lib.scala 345:16] - rvclkhdr_8.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - ahbm_addr_clk <= rvclkhdr_8.io.l1clk @[axi4_to_ahb.scala 334:17] - inst rvclkhdr_9 of rvclkhdr_878 @[lib.scala 343:22] - rvclkhdr_9.clock <= clock - rvclkhdr_9.reset <= reset - rvclkhdr_9.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_9.io.en <= ahbm_data_clken @[lib.scala 345:16] - rvclkhdr_9.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - ahbm_data_clk <= rvclkhdr_9.io.l1clk @[axi4_to_ahb.scala 335:17] - - extmodule gated_latch_879 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_879 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_879 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_880 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_880 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_880 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_881 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_881 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_881 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_882 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_882 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_882 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_883 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_883 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_883 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - extmodule gated_latch_884 : - output Q : Clock - input CK : Clock - input EN : UInt<1> - input SE : UInt<1> - - defname = gated_latch - - - module rvclkhdr_884 : - input clock : Clock - input reset : Reset - output io : {l1clk : Clock, flip clk : Clock, flip en : UInt<1>, flip scan_mode : UInt<1>} - - inst clkhdr of gated_latch_884 @[lib.scala 334:26] - clkhdr.SE is invalid - clkhdr.EN is invalid - clkhdr.CK is invalid - clkhdr.Q is invalid - io.l1clk <= clkhdr.Q @[lib.scala 335:14] - clkhdr.CK <= io.clk @[lib.scala 336:18] - clkhdr.EN <= io.en @[lib.scala 337:18] - clkhdr.SE <= io.scan_mode @[lib.scala 338:18] - - module ahb_to_axi4 : - input clock : Clock - input reset : AsyncReset - output io : {flip scan_mode : UInt<1>, flip bus_clk_en : UInt<1>, flip clk_override : UInt<1>, axi : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, ahb : {flip sig : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, flip hsel : UInt<1>, flip hreadyin : UInt<1>}} - - wire _T : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[ahb_to_axi4.scala 20:25] - _T.r.bits.last <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.r.bits.resp <= UInt<2>("h00") @[ahb_to_axi4.scala 20:25] - _T.r.bits.data <= UInt<64>("h00") @[ahb_to_axi4.scala 20:25] - _T.r.bits.id <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.r.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.r.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.qos <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.prot <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.cache <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.lock <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.burst <= UInt<2>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.size <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.len <= UInt<8>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.region <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.addr <= UInt<32>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.bits.id <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.ar.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.b.bits.id <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.b.bits.resp <= UInt<2>("h00") @[ahb_to_axi4.scala 20:25] - _T.b.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.b.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.w.bits.last <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.w.bits.strb <= UInt<8>("h00") @[ahb_to_axi4.scala 20:25] - _T.w.bits.data <= UInt<64>("h00") @[ahb_to_axi4.scala 20:25] - _T.w.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.w.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.qos <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.prot <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.cache <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.lock <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.burst <= UInt<2>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.size <= UInt<3>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.len <= UInt<8>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.region <= UInt<4>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.addr <= UInt<32>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.bits.id <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.valid <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.aw.ready <= UInt<1>("h00") @[ahb_to_axi4.scala 20:25] - _T.r.bits.last <= io.axi.r.bits.last @[ahb_to_axi4.scala 20:10] - _T.r.bits.resp <= io.axi.r.bits.resp @[ahb_to_axi4.scala 20:10] - _T.r.bits.data <= io.axi.r.bits.data @[ahb_to_axi4.scala 20:10] - _T.r.bits.id <= io.axi.r.bits.id @[ahb_to_axi4.scala 20:10] - _T.r.valid <= io.axi.r.valid @[ahb_to_axi4.scala 20:10] - io.axi.r.ready <= _T.r.ready @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.qos <= _T.ar.bits.qos @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.prot <= _T.ar.bits.prot @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.cache <= _T.ar.bits.cache @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.lock <= _T.ar.bits.lock @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.burst <= _T.ar.bits.burst @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.size <= _T.ar.bits.size @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.len <= _T.ar.bits.len @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.region <= _T.ar.bits.region @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.addr <= _T.ar.bits.addr @[ahb_to_axi4.scala 20:10] - io.axi.ar.bits.id <= _T.ar.bits.id @[ahb_to_axi4.scala 20:10] - io.axi.ar.valid <= _T.ar.valid @[ahb_to_axi4.scala 20:10] - _T.ar.ready <= io.axi.ar.ready @[ahb_to_axi4.scala 20:10] - _T.b.bits.id <= io.axi.b.bits.id @[ahb_to_axi4.scala 20:10] - _T.b.bits.resp <= io.axi.b.bits.resp @[ahb_to_axi4.scala 20:10] - _T.b.valid <= io.axi.b.valid @[ahb_to_axi4.scala 20:10] - io.axi.b.ready <= _T.b.ready @[ahb_to_axi4.scala 20:10] - io.axi.w.bits.last <= _T.w.bits.last @[ahb_to_axi4.scala 20:10] - io.axi.w.bits.strb <= _T.w.bits.strb @[ahb_to_axi4.scala 20:10] - io.axi.w.bits.data <= _T.w.bits.data @[ahb_to_axi4.scala 20:10] - io.axi.w.valid <= _T.w.valid @[ahb_to_axi4.scala 20:10] - _T.w.ready <= io.axi.w.ready @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.qos <= _T.aw.bits.qos @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.prot <= _T.aw.bits.prot @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.cache <= _T.aw.bits.cache @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.lock <= _T.aw.bits.lock @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.burst <= _T.aw.bits.burst @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.size <= _T.aw.bits.size @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.len <= _T.aw.bits.len @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.region <= _T.aw.bits.region @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.addr <= _T.aw.bits.addr @[ahb_to_axi4.scala 20:10] - io.axi.aw.bits.id <= _T.aw.bits.id @[ahb_to_axi4.scala 20:10] - io.axi.aw.valid <= _T.aw.valid @[ahb_to_axi4.scala 20:10] - _T.aw.ready <= io.axi.aw.ready @[ahb_to_axi4.scala 20:10] - wire master_wstrb : UInt<8> - master_wstrb <= UInt<8>("h00") - wire buf_state_en : UInt<1> - buf_state_en <= UInt<1>("h00") - wire buf_read_error_in : UInt<1> - buf_read_error_in <= UInt<1>("h00") - wire buf_read_error : UInt<1> - buf_read_error <= UInt<1>("h00") - wire buf_rdata : UInt<64> - buf_rdata <= UInt<64>("h00") - wire ahb_hready : UInt<1> - ahb_hready <= UInt<1>("h00") - wire ahb_hready_q : UInt<1> - ahb_hready_q <= UInt<1>("h00") - wire ahb_htrans_in : UInt<2> - ahb_htrans_in <= UInt<2>("h00") - wire ahb_htrans_q : UInt<2> - ahb_htrans_q <= UInt<2>("h00") - wire ahb_hsize_q : UInt<3> - ahb_hsize_q <= UInt<3>("h00") - wire ahb_hwrite_q : UInt<1> - ahb_hwrite_q <= UInt<1>("h00") - wire ahb_haddr_q : UInt<32> - ahb_haddr_q <= UInt<32>("h00") - wire ahb_hwdata_q : UInt<64> - ahb_hwdata_q <= UInt<64>("h00") - wire ahb_hresp_q : UInt<1> - ahb_hresp_q <= UInt<1>("h00") - wire buf_rdata_en : UInt<1> - buf_rdata_en <= UInt<1>("h00") - wire ahb_bus_addr_clk_en : UInt<1> - ahb_bus_addr_clk_en <= UInt<1>("h00") - wire buf_rdata_clk_en : UInt<1> - buf_rdata_clk_en <= UInt<1>("h00") - wire ahb_clk : Clock @[ahb_to_axi4.scala 43:33] - wire ahb_addr_clk : Clock @[ahb_to_axi4.scala 44:33] - wire buf_rdata_clk : Clock @[ahb_to_axi4.scala 45:33] - wire cmdbuf_wr_en : UInt<1> - cmdbuf_wr_en <= UInt<1>("h00") - wire cmdbuf_rst : UInt<1> - cmdbuf_rst <= UInt<1>("h00") - wire cmdbuf_full : UInt<1> - cmdbuf_full <= UInt<1>("h00") - wire cmdbuf_vld : UInt<1> - cmdbuf_vld <= UInt<1>("h00") - wire cmdbuf_write : UInt<1> - cmdbuf_write <= UInt<1>("h00") - wire cmdbuf_size : UInt<2> - cmdbuf_size <= UInt<2>("h00") - wire cmdbuf_wstrb : UInt<8> - cmdbuf_wstrb <= UInt<8>("h00") - wire cmdbuf_addr : UInt<32> - cmdbuf_addr <= UInt<32>("h00") - wire cmdbuf_wdata : UInt<64> - cmdbuf_wdata <= UInt<64>("h00") - wire bus_clk : Clock @[ahb_to_axi4.scala 57:33] - node _T_1 = bits(ahb_haddr_q, 31, 28) @[lib.scala 84:25] - node ahb_addr_in_dccm_region_nc = eq(_T_1, UInt<4>("h0f")) @[lib.scala 84:47] - node _T_2 = bits(ahb_haddr_q, 31, 16) @[lib.scala 87:14] - node ahb_addr_in_dccm = eq(_T_2, UInt<16>("h0f004")) @[lib.scala 87:29] - node _T_3 = bits(ahb_haddr_q, 31, 28) @[lib.scala 84:25] - node ahb_addr_in_iccm_region_nc = eq(_T_3, UInt<4>("h0e")) @[lib.scala 84:47] - node _T_4 = bits(ahb_haddr_q, 31, 16) @[lib.scala 87:14] - node ahb_addr_in_iccm = eq(_T_4, UInt<16>("h0ee00")) @[lib.scala 87:29] - node _T_5 = bits(ahb_haddr_q, 31, 28) @[lib.scala 84:25] - node ahb_addr_in_pic_region_nc = eq(_T_5, UInt<4>("h0f")) @[lib.scala 84:47] - node _T_6 = bits(ahb_haddr_q, 31, 15) @[lib.scala 87:14] - node ahb_addr_in_pic = eq(_T_6, UInt<17>("h01e018")) @[lib.scala 87:29] - wire buf_state : UInt<2> - buf_state <= UInt<2>("h00") - wire buf_nxtstate : UInt<2> - buf_nxtstate <= UInt<2>("h00") - buf_nxtstate <= UInt<2>("h00") @[ahb_to_axi4.scala 67:31] - buf_state_en <= UInt<1>("h00") @[ahb_to_axi4.scala 68:31] - buf_rdata_en <= UInt<1>("h00") @[ahb_to_axi4.scala 69:31] - buf_read_error_in <= UInt<1>("h00") @[ahb_to_axi4.scala 70:31] - cmdbuf_wr_en <= UInt<1>("h00") @[ahb_to_axi4.scala 71:31] - node _T_7 = eq(UInt<2>("h00"), buf_state) @[Conditional.scala 37:30] - when _T_7 : @[Conditional.scala 40:58] - node _T_8 = mux(io.ahb.sig.out.hwrite, UInt<2>("h01"), UInt<2>("h02")) @[ahb_to_axi4.scala 75:26] - buf_nxtstate <= _T_8 @[ahb_to_axi4.scala 75:20] - node _T_9 = bits(io.ahb.sig.out.htrans, 1, 1) @[ahb_to_axi4.scala 76:57] - node _T_10 = and(ahb_hready, _T_9) @[ahb_to_axi4.scala 76:34] - node _T_11 = and(_T_10, io.ahb.hsel) @[ahb_to_axi4.scala 76:61] - buf_state_en <= _T_11 @[ahb_to_axi4.scala 76:20] - skip @[Conditional.scala 40:58] - else : @[Conditional.scala 39:67] - node _T_12 = eq(UInt<2>("h01"), buf_state) @[Conditional.scala 37:30] - when _T_12 : @[Conditional.scala 39:67] - node _T_13 = bits(io.ahb.sig.out.htrans, 1, 0) @[ahb_to_axi4.scala 79:72] - node _T_14 = eq(_T_13, UInt<1>("h00")) @[ahb_to_axi4.scala 79:79] - node _T_15 = or(io.ahb.sig.in.hresp, _T_14) @[ahb_to_axi4.scala 79:48] - node _T_16 = eq(io.ahb.hsel, UInt<1>("h00")) @[ahb_to_axi4.scala 79:93] - node _T_17 = or(_T_15, _T_16) @[ahb_to_axi4.scala 79:91] - node _T_18 = bits(_T_17, 0, 0) @[ahb_to_axi4.scala 79:107] - node _T_19 = mux(io.ahb.sig.out.hwrite, UInt<2>("h01"), UInt<2>("h02")) @[ahb_to_axi4.scala 79:124] - node _T_20 = mux(_T_18, UInt<2>("h00"), _T_19) @[ahb_to_axi4.scala 79:26] - buf_nxtstate <= _T_20 @[ahb_to_axi4.scala 79:20] - node _T_21 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 80:24] - node _T_22 = or(_T_21, io.ahb.sig.in.hresp) @[ahb_to_axi4.scala 80:37] - buf_state_en <= _T_22 @[ahb_to_axi4.scala 80:20] - node _T_23 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 81:23] - node _T_24 = bits(io.ahb.sig.out.htrans, 1, 0) @[ahb_to_axi4.scala 81:85] - node _T_25 = eq(_T_24, UInt<2>("h01")) @[ahb_to_axi4.scala 81:92] - node _T_26 = and(_T_25, io.ahb.hsel) @[ahb_to_axi4.scala 81:110] - node _T_27 = or(io.ahb.sig.in.hresp, _T_26) @[ahb_to_axi4.scala 81:60] - node _T_28 = eq(_T_27, UInt<1>("h00")) @[ahb_to_axi4.scala 81:38] - node _T_29 = and(_T_23, _T_28) @[ahb_to_axi4.scala 81:36] - cmdbuf_wr_en <= _T_29 @[ahb_to_axi4.scala 81:20] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_30 = eq(UInt<2>("h02"), buf_state) @[Conditional.scala 37:30] - when _T_30 : @[Conditional.scala 39:67] - node _T_31 = mux(io.ahb.sig.in.hresp, UInt<2>("h00"), UInt<2>("h03")) @[ahb_to_axi4.scala 84:26] - buf_nxtstate <= _T_31 @[ahb_to_axi4.scala 84:20] - node _T_32 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 85:24] - node _T_33 = or(_T_32, io.ahb.sig.in.hresp) @[ahb_to_axi4.scala 85:37] - buf_state_en <= _T_33 @[ahb_to_axi4.scala 85:20] - node _T_34 = eq(io.ahb.sig.in.hresp, UInt<1>("h00")) @[ahb_to_axi4.scala 86:23] - node _T_35 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 86:46] - node _T_36 = and(_T_34, _T_35) @[ahb_to_axi4.scala 86:44] - cmdbuf_wr_en <= _T_36 @[ahb_to_axi4.scala 86:20] - skip @[Conditional.scala 39:67] - else : @[Conditional.scala 39:67] - node _T_37 = eq(UInt<2>("h03"), buf_state) @[Conditional.scala 37:30] - when _T_37 : @[Conditional.scala 39:67] - buf_nxtstate <= UInt<2>("h00") @[ahb_to_axi4.scala 89:20] - node _T_38 = eq(cmdbuf_write, UInt<1>("h00")) @[ahb_to_axi4.scala 90:40] - node _T_39 = and(io.axi.r.valid, _T_38) @[ahb_to_axi4.scala 90:38] - buf_state_en <= _T_39 @[ahb_to_axi4.scala 90:20] - buf_rdata_en <= buf_state_en @[ahb_to_axi4.scala 91:20] - node _T_40 = bits(io.axi.r.bits.resp, 1, 0) @[ahb_to_axi4.scala 92:61] - node _T_41 = orr(_T_40) @[ahb_to_axi4.scala 92:68] - node _T_42 = and(buf_state_en, _T_41) @[ahb_to_axi4.scala 92:41] - buf_read_error_in <= _T_42 @[ahb_to_axi4.scala 92:25] - skip @[Conditional.scala 39:67] - node _T_43 = bits(buf_state_en, 0, 0) @[ahb_to_axi4.scala 95:99] - reg _T_44 : UInt, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_43 : @[Reg.scala 28:19] - _T_44 <= buf_nxtstate @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - buf_state <= _T_44 @[ahb_to_axi4.scala 95:31] - node _T_45 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 97:54] - node _T_46 = eq(_T_45, UInt<1>("h00")) @[ahb_to_axi4.scala 97:60] - node _T_47 = bits(_T_46, 0, 0) @[Bitwise.scala 72:15] - node _T_48 = mux(_T_47, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_49 = bits(ahb_haddr_q, 2, 0) @[ahb_to_axi4.scala 97:92] - node _T_50 = dshl(UInt<1>("h01"), _T_49) @[ahb_to_axi4.scala 97:78] - node _T_51 = and(_T_48, _T_50) @[ahb_to_axi4.scala 97:70] - node _T_52 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 98:24] - node _T_53 = eq(_T_52, UInt<1>("h01")) @[ahb_to_axi4.scala 98:30] - node _T_54 = bits(_T_53, 0, 0) @[Bitwise.scala 72:15] - node _T_55 = mux(_T_54, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_56 = bits(ahb_haddr_q, 2, 0) @[ahb_to_axi4.scala 98:62] - node _T_57 = dshl(UInt<2>("h03"), _T_56) @[ahb_to_axi4.scala 98:48] - node _T_58 = and(_T_55, _T_57) @[ahb_to_axi4.scala 98:40] - node _T_59 = or(_T_51, _T_58) @[ahb_to_axi4.scala 97:109] - node _T_60 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 99:24] - node _T_61 = eq(_T_60, UInt<2>("h02")) @[ahb_to_axi4.scala 99:30] - node _T_62 = bits(_T_61, 0, 0) @[Bitwise.scala 72:15] - node _T_63 = mux(_T_62, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_64 = bits(ahb_haddr_q, 2, 0) @[ahb_to_axi4.scala 99:62] - node _T_65 = dshl(UInt<4>("h0f"), _T_64) @[ahb_to_axi4.scala 99:48] - node _T_66 = and(_T_63, _T_65) @[ahb_to_axi4.scala 99:40] - node _T_67 = or(_T_59, _T_66) @[ahb_to_axi4.scala 98:79] - node _T_68 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 100:24] - node _T_69 = eq(_T_68, UInt<2>("h03")) @[ahb_to_axi4.scala 100:30] - node _T_70 = bits(_T_69, 0, 0) @[Bitwise.scala 72:15] - node _T_71 = mux(_T_70, UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - node _T_72 = and(_T_71, UInt<8>("h0ff")) @[ahb_to_axi4.scala 100:40] - node _T_73 = or(_T_67, _T_72) @[ahb_to_axi4.scala 99:79] - master_wstrb <= _T_73 @[ahb_to_axi4.scala 97:31] - node _T_74 = eq(ahb_hready_q, UInt<1>("h00")) @[ahb_to_axi4.scala 103:80] - node _T_75 = and(ahb_hresp_q, _T_74) @[ahb_to_axi4.scala 103:78] - node _T_76 = eq(cmdbuf_full, UInt<1>("h00")) @[ahb_to_axi4.scala 103:98] - node _T_77 = eq(buf_state, UInt<2>("h00")) @[ahb_to_axi4.scala 103:124] - node _T_78 = or(_T_76, _T_77) @[ahb_to_axi4.scala 103:111] - node _T_79 = eq(buf_state, UInt<2>("h02")) @[ahb_to_axi4.scala 103:149] - node _T_80 = eq(buf_state, UInt<2>("h03")) @[ahb_to_axi4.scala 103:168] - node _T_81 = or(_T_79, _T_80) @[ahb_to_axi4.scala 103:156] - node _T_82 = eq(_T_81, UInt<1>("h00")) @[ahb_to_axi4.scala 103:137] - node _T_83 = and(_T_78, _T_82) @[ahb_to_axi4.scala 103:135] - node _T_84 = eq(buf_read_error, UInt<1>("h00")) @[ahb_to_axi4.scala 103:181] - node _T_85 = and(_T_83, _T_84) @[ahb_to_axi4.scala 103:179] - node _T_86 = mux(io.ahb.sig.in.hresp, _T_75, _T_85) @[ahb_to_axi4.scala 103:44] - io.ahb.sig.in.hready <= _T_86 @[ahb_to_axi4.scala 103:38] - node _T_87 = and(io.ahb.sig.in.hready, io.ahb.hreadyin) @[ahb_to_axi4.scala 104:55] - ahb_hready <= _T_87 @[ahb_to_axi4.scala 104:31] - node _T_88 = bits(io.ahb.hsel, 0, 0) @[Bitwise.scala 72:15] - node _T_89 = mux(_T_88, UInt<2>("h03"), UInt<2>("h00")) @[Bitwise.scala 72:12] - node _T_90 = bits(io.ahb.sig.out.htrans, 1, 0) @[ahb_to_axi4.scala 105:77] - node _T_91 = and(_T_89, _T_90) @[ahb_to_axi4.scala 105:54] - ahb_htrans_in <= _T_91 @[ahb_to_axi4.scala 105:31] - node _T_92 = bits(buf_rdata, 63, 0) @[ahb_to_axi4.scala 106:50] - io.ahb.sig.in.hrdata <= _T_92 @[ahb_to_axi4.scala 106:38] - node _T_93 = bits(ahb_htrans_q, 1, 0) @[ahb_to_axi4.scala 107:55] - node _T_94 = neq(_T_93, UInt<1>("h00")) @[ahb_to_axi4.scala 107:61] - node _T_95 = neq(buf_state, UInt<2>("h00")) @[ahb_to_axi4.scala 107:83] - node _T_96 = and(_T_94, _T_95) @[ahb_to_axi4.scala 107:70] - node _T_97 = or(ahb_addr_in_dccm, ahb_addr_in_iccm) @[ahb_to_axi4.scala 108:26] - node _T_98 = eq(_T_97, UInt<1>("h00")) @[ahb_to_axi4.scala 108:7] - node _T_99 = and(ahb_addr_in_dccm, ahb_hwrite_q) @[ahb_to_axi4.scala 109:46] - node _T_100 = or(ahb_addr_in_iccm, _T_99) @[ahb_to_axi4.scala 109:26] - node _T_101 = bits(ahb_hsize_q, 1, 0) @[ahb_to_axi4.scala 109:80] - node _T_102 = eq(_T_101, UInt<2>("h02")) @[ahb_to_axi4.scala 109:86] - node _T_103 = bits(ahb_hsize_q, 1, 0) @[ahb_to_axi4.scala 109:109] - node _T_104 = eq(_T_103, UInt<2>("h03")) @[ahb_to_axi4.scala 109:115] - node _T_105 = or(_T_102, _T_104) @[ahb_to_axi4.scala 109:95] - node _T_106 = eq(_T_105, UInt<1>("h00")) @[ahb_to_axi4.scala 109:66] - node _T_107 = and(_T_100, _T_106) @[ahb_to_axi4.scala 109:64] - node _T_108 = or(_T_98, _T_107) @[ahb_to_axi4.scala 108:47] - node _T_109 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 110:20] - node _T_110 = eq(_T_109, UInt<1>("h01")) @[ahb_to_axi4.scala 110:26] - node _T_111 = bits(ahb_haddr_q, 0, 0) @[ahb_to_axi4.scala 110:48] - node _T_112 = and(_T_110, _T_111) @[ahb_to_axi4.scala 110:35] - node _T_113 = or(_T_108, _T_112) @[ahb_to_axi4.scala 109:126] - node _T_114 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 111:20] - node _T_115 = eq(_T_114, UInt<2>("h02")) @[ahb_to_axi4.scala 111:26] - node _T_116 = bits(ahb_haddr_q, 1, 0) @[ahb_to_axi4.scala 111:49] - node _T_117 = orr(_T_116) @[ahb_to_axi4.scala 111:56] - node _T_118 = and(_T_115, _T_117) @[ahb_to_axi4.scala 111:35] - node _T_119 = or(_T_113, _T_118) @[ahb_to_axi4.scala 110:55] - node _T_120 = bits(ahb_hsize_q, 2, 0) @[ahb_to_axi4.scala 112:20] - node _T_121 = eq(_T_120, UInt<2>("h03")) @[ahb_to_axi4.scala 112:26] - node _T_122 = bits(ahb_haddr_q, 2, 0) @[ahb_to_axi4.scala 112:49] - node _T_123 = orr(_T_122) @[ahb_to_axi4.scala 112:56] - node _T_124 = and(_T_121, _T_123) @[ahb_to_axi4.scala 112:35] - node _T_125 = or(_T_119, _T_124) @[ahb_to_axi4.scala 111:61] - node _T_126 = and(_T_96, _T_125) @[ahb_to_axi4.scala 107:94] - node _T_127 = or(_T_126, buf_read_error) @[ahb_to_axi4.scala 112:63] - node _T_128 = eq(ahb_hready_q, UInt<1>("h00")) @[ahb_to_axi4.scala 114:20] - node _T_129 = and(ahb_hresp_q, _T_128) @[ahb_to_axi4.scala 114:18] - node _T_130 = or(_T_127, _T_129) @[ahb_to_axi4.scala 113:20] - io.ahb.sig.in.hresp <= _T_130 @[ahb_to_axi4.scala 107:38] - reg _T_131 : UInt, buf_rdata_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 117:66] - _T_131 <= io.axi.r.bits.data @[ahb_to_axi4.scala 117:66] - buf_rdata <= _T_131 @[ahb_to_axi4.scala 117:31] - reg _T_132 : UInt<1>, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 118:60] - _T_132 <= buf_read_error_in @[ahb_to_axi4.scala 118:60] - buf_read_error <= _T_132 @[ahb_to_axi4.scala 118:31] - reg _T_133 : UInt<1>, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 121:60] - _T_133 <= io.ahb.sig.in.hresp @[ahb_to_axi4.scala 121:60] - ahb_hresp_q <= _T_133 @[ahb_to_axi4.scala 121:31] - reg _T_134 : UInt<1>, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 122:60] - _T_134 <= ahb_hready @[ahb_to_axi4.scala 122:60] - ahb_hready_q <= _T_134 @[ahb_to_axi4.scala 122:31] - reg _T_135 : UInt, ahb_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 123:60] - _T_135 <= ahb_htrans_in @[ahb_to_axi4.scala 123:60] - ahb_htrans_q <= _T_135 @[ahb_to_axi4.scala 123:31] - reg _T_136 : UInt, ahb_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 124:65] - _T_136 <= io.ahb.sig.out.hsize @[ahb_to_axi4.scala 124:65] - ahb_hsize_q <= _T_136 @[ahb_to_axi4.scala 124:31] - reg _T_137 : UInt<1>, ahb_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 125:65] - _T_137 <= io.ahb.sig.out.hwrite @[ahb_to_axi4.scala 125:65] - ahb_hwrite_q <= _T_137 @[ahb_to_axi4.scala 125:31] - reg _T_138 : UInt, ahb_addr_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 126:65] - _T_138 <= io.ahb.sig.out.haddr @[ahb_to_axi4.scala 126:65] - ahb_haddr_q <= _T_138 @[ahb_to_axi4.scala 126:31] - node _T_139 = bits(io.ahb.sig.out.htrans, 1, 1) @[ahb_to_axi4.scala 129:85] - node _T_140 = and(ahb_hready, _T_139) @[ahb_to_axi4.scala 129:62] - node _T_141 = and(io.bus_clk_en, _T_140) @[ahb_to_axi4.scala 129:48] - ahb_bus_addr_clk_en <= _T_141 @[ahb_to_axi4.scala 129:31] - node _T_142 = and(io.bus_clk_en, buf_rdata_en) @[ahb_to_axi4.scala 130:48] - buf_rdata_clk_en <= _T_142 @[ahb_to_axi4.scala 130:31] - inst rvclkhdr of rvclkhdr_879 @[lib.scala 343:22] - rvclkhdr.clock <= clock - rvclkhdr.reset <= reset - rvclkhdr.io.clk <= clock @[lib.scala 344:17] - rvclkhdr.io.en <= io.bus_clk_en @[lib.scala 345:16] - rvclkhdr.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - ahb_clk <= rvclkhdr.io.l1clk @[ahb_to_axi4.scala 132:31] - inst rvclkhdr_1 of rvclkhdr_880 @[lib.scala 343:22] - rvclkhdr_1.clock <= clock - rvclkhdr_1.reset <= reset - rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_1.io.en <= ahb_bus_addr_clk_en @[lib.scala 345:16] - rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - ahb_addr_clk <= rvclkhdr_1.io.l1clk @[ahb_to_axi4.scala 133:31] - inst rvclkhdr_2 of rvclkhdr_881 @[lib.scala 343:22] - rvclkhdr_2.clock <= clock - rvclkhdr_2.reset <= reset - rvclkhdr_2.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_2.io.en <= buf_rdata_clk_en @[lib.scala 345:16] - rvclkhdr_2.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - buf_rdata_clk <= rvclkhdr_2.io.l1clk @[ahb_to_axi4.scala 134:31] - node _T_143 = and(io.axi.aw.valid, io.axi.aw.ready) @[ahb_to_axi4.scala 136:53] - node _T_144 = and(io.axi.ar.valid, io.axi.ar.ready) @[ahb_to_axi4.scala 136:91] - node _T_145 = or(_T_143, _T_144) @[ahb_to_axi4.scala 136:72] - node _T_146 = eq(cmdbuf_wr_en, UInt<1>("h00")) @[ahb_to_axi4.scala 136:113] - node _T_147 = and(_T_145, _T_146) @[ahb_to_axi4.scala 136:111] - node _T_148 = eq(cmdbuf_write, UInt<1>("h00")) @[ahb_to_axi4.scala 136:153] - node _T_149 = and(io.ahb.sig.in.hresp, _T_148) @[ahb_to_axi4.scala 136:151] - node _T_150 = or(_T_147, _T_149) @[ahb_to_axi4.scala 136:128] - cmdbuf_rst <= _T_150 @[ahb_to_axi4.scala 136:31] - node _T_151 = and(io.axi.aw.valid, io.axi.aw.ready) @[ahb_to_axi4.scala 137:67] - node _T_152 = and(io.axi.ar.valid, io.axi.ar.ready) @[ahb_to_axi4.scala 137:105] - node _T_153 = or(_T_151, _T_152) @[ahb_to_axi4.scala 137:86] - node _T_154 = eq(_T_153, UInt<1>("h00")) @[ahb_to_axi4.scala 137:48] - node _T_155 = and(cmdbuf_vld, _T_154) @[ahb_to_axi4.scala 137:46] - cmdbuf_full <= _T_155 @[ahb_to_axi4.scala 137:31] - node _T_156 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 139:86] - node _T_157 = mux(_T_156, UInt<1>("h01"), cmdbuf_vld) @[ahb_to_axi4.scala 139:66] - node _T_158 = eq(cmdbuf_rst, UInt<1>("h00")) @[ahb_to_axi4.scala 139:110] - node _T_159 = and(_T_157, _T_158) @[ahb_to_axi4.scala 139:108] - reg _T_160 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[ahb_to_axi4.scala 139:61] - _T_160 <= _T_159 @[ahb_to_axi4.scala 139:61] - cmdbuf_vld <= _T_160 @[ahb_to_axi4.scala 139:31] - node _T_161 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 143:53] - reg _T_162 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_161 : @[Reg.scala 28:19] - _T_162 <= ahb_hwrite_q @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - cmdbuf_write <= _T_162 @[ahb_to_axi4.scala 142:31] - node _T_163 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 146:52] - reg _T_164 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_163 : @[Reg.scala 28:19] - _T_164 <= ahb_hsize_q @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - cmdbuf_size <= _T_164 @[ahb_to_axi4.scala 145:31] - node _T_165 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 149:53] - reg _T_166 : UInt, bus_clk with : (reset => (reset, UInt<1>("h00"))) @[Reg.scala 27:20] - when _T_165 : @[Reg.scala 28:19] - _T_166 <= master_wstrb @[Reg.scala 28:23] - skip @[Reg.scala 28:19] - cmdbuf_wstrb <= _T_166 @[ahb_to_axi4.scala 148:31] - node _T_167 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 152:57] - inst rvclkhdr_3 of rvclkhdr_882 @[lib.scala 368:23] - rvclkhdr_3.clock <= clock - rvclkhdr_3.reset <= reset - rvclkhdr_3.io.clk <= bus_clk @[lib.scala 370:18] - rvclkhdr_3.io.en <= _T_167 @[lib.scala 371:17] - rvclkhdr_3.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_168 : UInt, rvclkhdr_3.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_168 <= ahb_haddr_q @[lib.scala 374:16] - cmdbuf_addr <= _T_168 @[ahb_to_axi4.scala 152:15] - node _T_169 = bits(cmdbuf_wr_en, 0, 0) @[ahb_to_axi4.scala 153:68] - inst rvclkhdr_4 of rvclkhdr_883 @[lib.scala 368:23] - rvclkhdr_4.clock <= clock - rvclkhdr_4.reset <= reset - rvclkhdr_4.io.clk <= bus_clk @[lib.scala 370:18] - rvclkhdr_4.io.en <= _T_169 @[lib.scala 371:17] - rvclkhdr_4.io.scan_mode <= io.scan_mode @[lib.scala 372:24] - reg _T_170 : UInt, rvclkhdr_4.io.l1clk with : (reset => (reset, UInt<1>("h00"))) @[lib.scala 374:16] - _T_170 <= io.ahb.sig.out.hwdata @[lib.scala 374:16] - cmdbuf_wdata <= _T_170 @[ahb_to_axi4.scala 153:16] - node _T_171 = and(cmdbuf_vld, cmdbuf_write) @[ahb_to_axi4.scala 156:42] - io.axi.aw.valid <= _T_171 @[ahb_to_axi4.scala 156:28] - io.axi.aw.bits.id <= UInt<1>("h00") @[ahb_to_axi4.scala 157:33] - io.axi.aw.bits.addr <= cmdbuf_addr @[ahb_to_axi4.scala 158:33] - node _T_172 = bits(cmdbuf_size, 1, 0) @[ahb_to_axi4.scala 159:59] - node _T_173 = cat(UInt<1>("h00"), _T_172) @[Cat.scala 29:58] - io.axi.aw.bits.size <= _T_173 @[ahb_to_axi4.scala 159:33] - node _T_174 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - io.axi.aw.bits.prot <= _T_174 @[ahb_to_axi4.scala 160:33] - node _T_175 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - io.axi.aw.bits.len <= _T_175 @[ahb_to_axi4.scala 161:33] - io.axi.aw.bits.burst <= UInt<1>("h01") @[ahb_to_axi4.scala 162:33] - node _T_176 = and(cmdbuf_vld, cmdbuf_write) @[ahb_to_axi4.scala 164:42] - io.axi.w.valid <= _T_176 @[ahb_to_axi4.scala 164:28] - io.axi.w.bits.data <= cmdbuf_wdata @[ahb_to_axi4.scala 165:33] - io.axi.w.bits.strb <= cmdbuf_wstrb @[ahb_to_axi4.scala 166:33] - io.axi.w.bits.last <= UInt<1>("h01") @[ahb_to_axi4.scala 167:33] - io.axi.b.ready <= UInt<1>("h01") @[ahb_to_axi4.scala 169:28] - node _T_177 = eq(cmdbuf_write, UInt<1>("h00")) @[ahb_to_axi4.scala 171:44] - node _T_178 = and(cmdbuf_vld, _T_177) @[ahb_to_axi4.scala 171:42] - io.axi.ar.valid <= _T_178 @[ahb_to_axi4.scala 171:28] - io.axi.ar.bits.id <= UInt<1>("h00") @[ahb_to_axi4.scala 172:33] - io.axi.ar.bits.addr <= cmdbuf_addr @[ahb_to_axi4.scala 173:33] - node _T_179 = bits(cmdbuf_size, 1, 0) @[ahb_to_axi4.scala 174:59] - node _T_180 = cat(UInt<1>("h00"), _T_179) @[Cat.scala 29:58] - io.axi.ar.bits.size <= _T_180 @[ahb_to_axi4.scala 174:33] - node _T_181 = mux(UInt<1>("h00"), UInt<3>("h07"), UInt<3>("h00")) @[Bitwise.scala 72:12] - io.axi.ar.bits.prot <= _T_181 @[ahb_to_axi4.scala 175:33] - node _T_182 = mux(UInt<1>("h00"), UInt<8>("h0ff"), UInt<8>("h00")) @[Bitwise.scala 72:12] - io.axi.ar.bits.len <= _T_182 @[ahb_to_axi4.scala 176:33] - io.axi.ar.bits.burst <= UInt<1>("h01") @[ahb_to_axi4.scala 177:33] - io.axi.r.ready <= UInt<1>("h01") @[ahb_to_axi4.scala 179:28] - inst rvclkhdr_5 of rvclkhdr_884 @[lib.scala 343:22] - rvclkhdr_5.clock <= clock - rvclkhdr_5.reset <= reset - rvclkhdr_5.io.clk <= clock @[lib.scala 344:17] - rvclkhdr_5.io.en <= io.bus_clk_en @[lib.scala 345:16] - rvclkhdr_5.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - bus_clk <= rvclkhdr_5.io.l1clk @[ahb_to_axi4.scala 180:27] - module quasar : input clock : Clock input reset : AsyncReset @@ -113921,1009 +109103,715 @@ circuit quasar_wrapper : rvclkhdr_1.io.clk <= clock @[lib.scala 344:17] rvclkhdr_1.io.en <= _T_7 @[lib.scala 345:16] rvclkhdr_1.io.scan_mode <= io.scan_mode @[lib.scala 346:23] - node core_dbg_cmd_done = or(dma_ctrl.io.dma_dbg_cmd_done, dec.io.dec_dbg_cmd_done) @[quasar.scala 86:56] - node core_dbg_cmd_fail = or(dma_ctrl.io.dma_dbg_cmd_fail, dec.io.dec_dbg_cmd_fail) @[quasar.scala 87:56] - node core_dbg_rddata = mux(dma_ctrl.io.dma_dbg_cmd_done, dma_ctrl.io.dma_dbg_rddata, dec.io.dec_dbg_rddata) @[quasar.scala 88:28] - ifu.io.ifu_dec.dec_bp.dec_tlu_bpred_disable <= dec.io.ifu_dec.dec_bp.dec_tlu_bpred_disable @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_flush_leak_one_wb <= dec.io.ifu_dec.dec_bp.dec_tlu_flush_leak_one_wb @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.middle <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.middle @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.way <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.way @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_error <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_error @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.hist <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.hist @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.valid <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.valid @[quasar.scala 91:18] - dec.io.ifu_dec.dec_ifc.ifu_pmu_fetch_stall <= ifu.io.ifu_dec.dec_ifc.ifu_pmu_fetch_stall @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff <= dec.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_ifc.dec_tlu_flush_noredir_wb <= dec.io.ifu_dec.dec_ifc.dec_tlu_flush_noredir_wb @[quasar.scala 91:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_miss_state_idle <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_miss_state_idle @[quasar.scala 91:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data_valid <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data_valid @[quasar.scala 91:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data @[quasar.scala 91:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err @[quasar.scala 91:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_ic_error_start <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_ic_error_start @[quasar.scala 91:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_trxn <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_trxn @[quasar.scala 91:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_busy <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_busy @[quasar.scala 91:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_error <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_error @[quasar.scala 91:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_hit <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_hit @[quasar.scala 91:18] - dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_miss <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_miss @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_fence_i_wb <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_fence_i_wb @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_i0_commit_cmt <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_i0_commit_cmt @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_err_wb <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_err_wb @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.ifu_pmu_instr_aligned <= ifu.io.ifu_dec.dec_aln.ifu_pmu_instr_aligned @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.ret <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.ret @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.way <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.way @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.prett <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.prett @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.bank <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.bank @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_start_error <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_start_error @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_error <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_error @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.hist <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.hist @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.toffset <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.toffset @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.valid <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.valid @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc4 <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc4 @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_instr <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_instr @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_valid <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_valid @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_btag <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_btag @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_fghr <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_fghr @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_index <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_index @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_dbecc <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_dbecc @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_f1 <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_f1 @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_type <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_type @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf @[quasar.scala 91:18] - dec.io.ifu_dec.dec_aln.aln_dec.ifu_i0_cinst <= ifu.io.ifu_dec.dec_aln.aln_dec.ifu_i0_cinst @[quasar.scala 91:18] - ifu.io.ifu_dec.dec_aln.aln_dec.dec_i0_decode_d <= dec.io.ifu_dec.dec_aln.aln_dec.dec_i0_decode_d @[quasar.scala 91:18] - ifu.reset <= io.core_rst_l @[quasar.scala 93:13] - ifu.io.scan_mode <= io.scan_mode @[quasar.scala 94:20] - ifu.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 95:19] - ifu.io.active_clk <= rvclkhdr_1.io.l1clk @[quasar.scala 96:21] - ifu.io.exu_flush_final <= dec.io.exu_flush_final @[quasar.scala 98:26] - ifu.io.exu_flush_path_final <= exu.io.exu_flush_path_final @[quasar.scala 99:31] - ifu.io.ifu_bus_clk_en <= io.ifu_bus_clk_en @[quasar.scala 101:25] - ifu.io.ifu_dma.dma_mem_ctl.dma_mem_tag <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_tag @[quasar.scala 102:18] - ifu.io.ifu_dma.dma_mem_ctl.dma_mem_wdata <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_wdata @[quasar.scala 102:18] - ifu.io.ifu_dma.dma_mem_ctl.dma_mem_write <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_write @[quasar.scala 102:18] - ifu.io.ifu_dma.dma_mem_ctl.dma_mem_sz <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_sz @[quasar.scala 102:18] - ifu.io.ifu_dma.dma_mem_ctl.dma_mem_addr <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_addr @[quasar.scala 102:18] - ifu.io.ifu_dma.dma_mem_ctl.dma_iccm_req <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_iccm_req @[quasar.scala 102:18] - ifu.io.ifu_dma.dma_ifc.dma_iccm_stall_any <= dma_ctrl.io.ifu_dma.dma_ifc.dma_iccm_stall_any @[quasar.scala 102:18] - io.ic.sel_premux_data <= ifu.io.ic.sel_premux_data @[quasar.scala 103:13] - io.ic.premux_data <= ifu.io.ic.premux_data @[quasar.scala 103:13] - io.ic.debug_way <= ifu.io.ic.debug_way @[quasar.scala 103:13] - io.ic.debug_tag_array <= ifu.io.ic.debug_tag_array @[quasar.scala 103:13] - io.ic.debug_wr_en <= ifu.io.ic.debug_wr_en @[quasar.scala 103:13] - io.ic.debug_rd_en <= ifu.io.ic.debug_rd_en @[quasar.scala 103:13] - ifu.io.ic.tag_perr <= io.ic.tag_perr @[quasar.scala 103:13] - ifu.io.ic.rd_hit <= io.ic.rd_hit @[quasar.scala 103:13] - ifu.io.ic.parerr <= io.ic.parerr @[quasar.scala 103:13] - ifu.io.ic.eccerr <= io.ic.eccerr @[quasar.scala 103:13] - ifu.io.ic.tag_debug_rd_data <= io.ic.tag_debug_rd_data @[quasar.scala 103:13] - ifu.io.ic.debug_rd_data <= io.ic.debug_rd_data @[quasar.scala 103:13] - ifu.io.ic.rd_data <= io.ic.rd_data @[quasar.scala 103:13] - io.ic.debug_addr <= ifu.io.ic.debug_addr @[quasar.scala 103:13] - io.ic.debug_wr_data <= ifu.io.ic.debug_wr_data @[quasar.scala 103:13] - io.ic.wr_data[0] <= ifu.io.ic.wr_data[0] @[quasar.scala 103:13] - io.ic.wr_data[1] <= ifu.io.ic.wr_data[1] @[quasar.scala 103:13] - io.ic.rd_en <= ifu.io.ic.rd_en @[quasar.scala 103:13] - io.ic.wr_en <= ifu.io.ic.wr_en @[quasar.scala 103:13] - io.ic.tag_valid <= ifu.io.ic.tag_valid @[quasar.scala 103:13] - io.ic.rw_addr <= ifu.io.ic.rw_addr @[quasar.scala 103:13] - ifu.io.iccm.rd_data_ecc <= io.iccm.rd_data_ecc @[quasar.scala 104:15] - ifu.io.iccm.rd_data <= io.iccm.rd_data @[quasar.scala 104:15] - io.iccm.wr_data <= ifu.io.iccm.wr_data @[quasar.scala 104:15] - io.iccm.wr_size <= ifu.io.iccm.wr_size @[quasar.scala 104:15] - io.iccm.rden <= ifu.io.iccm.rden @[quasar.scala 104:15] - io.iccm.wren <= ifu.io.iccm.wren @[quasar.scala 104:15] - io.iccm.correction_state <= ifu.io.iccm.correction_state @[quasar.scala 104:15] - io.iccm.buf_correct_ecc <= ifu.io.iccm.buf_correct_ecc @[quasar.scala 104:15] - io.iccm.rw_addr <= ifu.io.iccm.rw_addr @[quasar.scala 104:15] - ifu.io.exu_ifu.exu_bp.exu_mp_btag <= exu.io.exu_bp.exu_mp_btag @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_mp_index <= exu.io.exu_bp.exu_mp_index @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_mp_fghr <= exu.io.exu_bp.exu_mp_fghr @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_mp_eghr <= exu.io.exu_bp.exu_mp_eghr @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.way <= exu.io.exu_bp.exu_mp_pkt.bits.way @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pja <= exu.io.exu_bp.exu_mp_pkt.bits.pja @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pret <= exu.io.exu_bp.exu_mp_pkt.bits.pret @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pcall <= exu.io.exu_bp.exu_mp_pkt.bits.pcall @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.prett <= exu.io.exu_bp.exu_mp_pkt.bits.prett @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.br_start_error <= exu.io.exu_bp.exu_mp_pkt.bits.br_start_error @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.br_error <= exu.io.exu_bp.exu_mp_pkt.bits.br_error @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.toffset <= exu.io.exu_bp.exu_mp_pkt.bits.toffset @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.hist <= exu.io.exu_bp.exu_mp_pkt.bits.hist @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pc4 <= exu.io.exu_bp.exu_mp_pkt.bits.pc4 @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.boffset <= exu.io.exu_bp.exu_mp_pkt.bits.boffset @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.ataken <= exu.io.exu_bp.exu_mp_pkt.bits.ataken @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.misp <= exu.io.exu_bp.exu_mp_pkt.bits.misp @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_mp_pkt.valid <= exu.io.exu_bp.exu_mp_pkt.valid @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_i0_br_way_r <= exu.io.exu_bp.exu_i0_br_way_r @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_i0_br_fghr_r <= exu.io.exu_bp.exu_i0_br_fghr_r @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_i0_br_index_r <= exu.io.exu_bp.exu_i0_br_index_r @[quasar.scala 105:25] - ifu.io.exu_ifu.exu_bp.exu_i0_br_fghr_r <= exu.io.exu_bp.exu_i0_br_fghr_r @[quasar.scala 106:42] - ifu.io.exu_ifu.exu_bp.exu_i0_br_index_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_index_r @[quasar.scala 107:43] - ifu.io.dec_tlu_flush_lower_wb <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 108:33] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid @[quasar.scala 109:51] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid @[quasar.scala 109:51] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics @[quasar.scala 109:51] - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata @[quasar.scala 109:51] - dec.reset <= io.core_rst_l @[quasar.scala 112:13] - dec.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 113:19] - dec.io.active_clk <= rvclkhdr_1.io.l1clk @[quasar.scala 114:21] - dec.io.lsu_fastint_stall_any <= lsu.io.lsu_fastint_stall_any @[quasar.scala 115:32] - dec.io.rst_vec <= io.rst_vec @[quasar.scala 116:18] - dec.io.nmi_int <= io.nmi_int @[quasar.scala 117:18] - dec.io.nmi_vec <= io.nmi_vec @[quasar.scala 118:18] - dec.io.i_cpu_halt_req <= io.i_cpu_halt_req @[quasar.scala 119:25] - dec.io.i_cpu_run_req <= io.i_cpu_run_req @[quasar.scala 120:24] - dec.io.core_id <= io.core_id @[quasar.scala 121:18] - dec.io.mpc_debug_halt_req <= io.mpc_debug_halt_req @[quasar.scala 122:29] - dec.io.mpc_debug_run_req <= io.mpc_debug_run_req @[quasar.scala 123:28] - dec.io.mpc_reset_run_req <= io.mpc_reset_run_req @[quasar.scala 124:28] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data @[quasar.scala 125:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_tag <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_tag @[quasar.scala 125:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_error <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_error @[quasar.scala 125:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_valid <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_valid @[quasar.scala 125:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[quasar.scala 125:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_r <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_r @[quasar.scala 125:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_tag_m <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_tag_m @[quasar.scala 125:18] - dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_valid_m <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_valid_m @[quasar.scala 125:18] - dec.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_addr_any <= lsu.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_addr_any @[quasar.scala 125:18] - dec.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_store_any <= lsu.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_store_any @[quasar.scala 125:18] - dec.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_load_any <= lsu.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_load_any @[quasar.scala 125:18] - lsu.io.lsu_dec.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= dec.io.lsu_dec.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[quasar.scala 125:18] - lsu.io.lsu_dec.tlu_busbuff.dec_tlu_wb_coalescing_disable <= dec.io.lsu_dec.tlu_busbuff.dec_tlu_wb_coalescing_disable @[quasar.scala 125:18] - lsu.io.lsu_dec.tlu_busbuff.dec_tlu_external_ldfwd_disable <= dec.io.lsu_dec.tlu_busbuff.dec_tlu_external_ldfwd_disable @[quasar.scala 125:18] - dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_busy <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_busy @[quasar.scala 125:18] - dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_error <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_error @[quasar.scala 125:18] - dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_misaligned <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_misaligned @[quasar.scala 125:18] - dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_trxn <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_trxn @[quasar.scala 125:18] - dec.io.lsu_tlu.lsu_pmu_store_external_m <= lsu.io.lsu_tlu.lsu_pmu_store_external_m @[quasar.scala 126:18] - dec.io.lsu_tlu.lsu_pmu_load_external_m <= lsu.io.lsu_tlu.lsu_pmu_load_external_m @[quasar.scala 126:18] - dec.io.lsu_pmu_misaligned_m <= lsu.io.lsu_pmu_misaligned_m @[quasar.scala 127:31] - dec.io.dec_dma.tlu_dma.dma_iccm_stall_any <= dma_ctrl.io.dec_dma.tlu_dma.dma_iccm_stall_any @[quasar.scala 128:18] - dec.io.dec_dma.tlu_dma.dma_dccm_stall_any <= dma_ctrl.io.dec_dma.tlu_dma.dma_dccm_stall_any @[quasar.scala 128:18] - dma_ctrl.io.dec_dma.tlu_dma.dec_tlu_dma_qos_prty <= dec.io.dec_dma.tlu_dma.dec_tlu_dma_qos_prty @[quasar.scala 128:18] - dec.io.dec_dma.tlu_dma.dma_pmu_any_write <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_any_write @[quasar.scala 128:18] - dec.io.dec_dma.tlu_dma.dma_pmu_any_read <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_any_read @[quasar.scala 128:18] - dec.io.dec_dma.tlu_dma.dma_pmu_dccm_write <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_dccm_write @[quasar.scala 128:18] - dec.io.dec_dma.tlu_dma.dma_pmu_dccm_read <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_dccm_read @[quasar.scala 128:18] - dec.io.dec_dma.dctl_dma.dma_dccm_stall_any <= dma_ctrl.io.dec_dma.dctl_dma.dma_dccm_stall_any @[quasar.scala 128:18] - dec.io.lsu_fir_addr <= lsu.io.lsu_fir_addr @[quasar.scala 130:23] - dec.io.lsu_fir_error <= lsu.io.lsu_fir_error @[quasar.scala 131:24] - dec.io.lsu_trigger_match_m <= lsu.io.lsu_trigger_match_m @[quasar.scala 132:30] - dec.io.dec_dbg.dbg_dctl.dbg_cmd_wrdata <= dbg.io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[quasar.scala 133:18] - dec.io.dec_dbg.dbg_ib.dbg_cmd_addr <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_addr @[quasar.scala 133:18] - dec.io.dec_dbg.dbg_ib.dbg_cmd_type <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_type @[quasar.scala 133:18] - dec.io.dec_dbg.dbg_ib.dbg_cmd_write <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_write @[quasar.scala 133:18] - dec.io.dec_dbg.dbg_ib.dbg_cmd_valid <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_valid @[quasar.scala 133:18] - dec.io.lsu_idle_any <= lsu.io.lsu_idle_any @[quasar.scala 134:23] - dec.io.lsu_error_pkt_r.bits.addr <= lsu.io.lsu_error_pkt_r.bits.addr @[quasar.scala 135:26] - dec.io.lsu_error_pkt_r.bits.mscause <= lsu.io.lsu_error_pkt_r.bits.mscause @[quasar.scala 135:26] - dec.io.lsu_error_pkt_r.bits.exc_type <= lsu.io.lsu_error_pkt_r.bits.exc_type @[quasar.scala 135:26] - dec.io.lsu_error_pkt_r.bits.inst_type <= lsu.io.lsu_error_pkt_r.bits.inst_type @[quasar.scala 135:26] - dec.io.lsu_error_pkt_r.bits.single_ecc_error <= lsu.io.lsu_error_pkt_r.bits.single_ecc_error @[quasar.scala 135:26] - dec.io.lsu_error_pkt_r.valid <= lsu.io.lsu_error_pkt_r.valid @[quasar.scala 135:26] - dec.io.lsu_single_ecc_error_incr <= lsu.io.lsu_single_ecc_error_incr @[quasar.scala 136:36] - dec.io.exu_div_result <= exu.io.exu_div_result @[quasar.scala 137:25] - dec.io.exu_div_wren <= exu.io.exu_div_wren @[quasar.scala 138:23] - dec.io.lsu_result_m <= lsu.io.lsu_result_m @[quasar.scala 139:23] - dec.io.lsu_result_corr_r <= lsu.io.lsu_result_corr_r @[quasar.scala 140:28] - dec.io.lsu_load_stall_any <= lsu.io.lsu_load_stall_any @[quasar.scala 141:29] - dec.io.lsu_store_stall_any <= lsu.io.lsu_store_stall_any @[quasar.scala 142:30] - dec.io.iccm_dma_sb_error <= ifu.io.iccm_dma_sb_error @[quasar.scala 143:28] - dec.io.exu_flush_final <= exu.io.exu_flush_final @[quasar.scala 144:26] - dec.io.soft_int <= io.soft_int @[quasar.scala 146:19] - dec.io.dbg_halt_req <= dbg.io.dbg_halt_req @[quasar.scala 147:23] - dec.io.dbg_resume_req <= dbg.io.dbg_resume_req @[quasar.scala 148:25] - dec.io.exu_i0_br_way_r <= exu.io.exu_bp.exu_i0_br_way_r @[quasar.scala 149:26] - dec.io.timer_int <= io.timer_int @[quasar.scala 150:20] - dec.io.scan_mode <= io.scan_mode @[quasar.scala 151:20] - exu.io.dec_exu.gpr_exu.gpr_i0_rs2_d <= dec.io.dec_exu.gpr_exu.gpr_i0_rs2_d @[quasar.scala 154:18] - exu.io.dec_exu.gpr_exu.gpr_i0_rs1_d <= dec.io.dec_exu.gpr_exu.gpr_i0_rs1_d @[quasar.scala 154:18] - exu.io.dec_exu.ib_exu.dec_debug_wdata_rs1_d <= dec.io.dec_exu.ib_exu.dec_debug_wdata_rs1_d @[quasar.scala 154:18] - exu.io.dec_exu.ib_exu.dec_i0_pc_d <= dec.io.dec_exu.ib_exu.dec_i0_pc_d @[quasar.scala 154:18] - dec.io.dec_exu.tlu_exu.exu_npc_r <= exu.io.dec_exu.tlu_exu.exu_npc_r @[quasar.scala 154:18] - dec.io.dec_exu.tlu_exu.exu_pmu_i0_pc4 <= exu.io.dec_exu.tlu_exu.exu_pmu_i0_pc4 @[quasar.scala 154:18] - dec.io.dec_exu.tlu_exu.exu_pmu_i0_br_ataken <= exu.io.dec_exu.tlu_exu.exu_pmu_i0_br_ataken @[quasar.scala 154:18] - dec.io.dec_exu.tlu_exu.exu_pmu_i0_br_misp <= exu.io.dec_exu.tlu_exu.exu_pmu_i0_br_misp @[quasar.scala 154:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_middle_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_middle_r @[quasar.scala 154:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_mp_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_mp_r @[quasar.scala 154:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_valid_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_valid_r @[quasar.scala 154:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_index_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_index_r @[quasar.scala 154:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_start_error_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_start_error_r @[quasar.scala 154:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_error_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_error_r @[quasar.scala 154:18] - dec.io.dec_exu.tlu_exu.exu_i0_br_hist_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_hist_r @[quasar.scala 154:18] - exu.io.dec_exu.tlu_exu.dec_tlu_flush_path_r <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_path_r @[quasar.scala 154:18] - exu.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 154:18] - exu.io.dec_exu.tlu_exu.dec_tlu_meihap <= dec.io.dec_exu.tlu_exu.dec_tlu_meihap @[quasar.scala 154:18] - dec.io.dec_exu.decode_exu.exu_csr_rs1_x <= exu.io.dec_exu.decode_exu.exu_csr_rs1_x @[quasar.scala 154:18] - dec.io.dec_exu.decode_exu.exu_i0_result_x <= exu.io.dec_exu.decode_exu.exu_i0_result_x @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_extint_stall <= dec.io.dec_exu.decode_exu.dec_extint_stall @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.pred_correct_npc_x <= dec.io.dec_exu.decode_exu.pred_correct_npc_x @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.bits.bfp <= dec.io.dec_exu.decode_exu.mul_p.bits.bfp @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.bits.crc32c_w <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32c_w @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.bits.crc32c_h <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32c_h @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.bits.crc32c_b <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32c_b @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.bits.crc32_w <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32_w @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.bits.crc32_h <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32_h @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.bits.crc32_b <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32_b @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.bits.unshfl <= dec.io.dec_exu.decode_exu.mul_p.bits.unshfl @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.bits.shfl <= dec.io.dec_exu.decode_exu.mul_p.bits.shfl @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.bits.grev <= dec.io.dec_exu.decode_exu.mul_p.bits.grev @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.bits.clmulr <= dec.io.dec_exu.decode_exu.mul_p.bits.clmulr @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.bits.clmulh <= dec.io.dec_exu.decode_exu.mul_p.bits.clmulh @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.bits.clmul <= dec.io.dec_exu.decode_exu.mul_p.bits.clmul @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.bits.bdep <= dec.io.dec_exu.decode_exu.mul_p.bits.bdep @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.bits.bext <= dec.io.dec_exu.decode_exu.mul_p.bits.bext @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.bits.low <= dec.io.dec_exu.decode_exu.mul_p.bits.low @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.bits.rs2_sign <= dec.io.dec_exu.decode_exu.mul_p.bits.rs2_sign @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.bits.rs1_sign <= dec.io.dec_exu.decode_exu.mul_p.bits.rs1_sign @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.mul_p.valid <= dec.io.dec_exu.decode_exu.mul_p.valid @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_select_pc_d <= dec.io.dec_exu.decode_exu.dec_i0_select_pc_d @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_rs2_bypass_data_d <= dec.io.dec_exu.decode_exu.dec_i0_rs2_bypass_data_d @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_rs1_bypass_data_d <= dec.io.dec_exu.decode_exu.dec_i0_rs1_bypass_data_d @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_immed_d <= dec.io.dec_exu.decode_exu.dec_i0_immed_d @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_rs2_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs2_en_d @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_rs1_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs1_en_d @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_predict_btag_d <= dec.io.dec_exu.decode_exu.i0_predict_btag_d @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_predict_index_d <= dec.io.dec_exu.decode_exu.i0_predict_index_d @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_predict_fghr_d <= dec.io.dec_exu.decode_exu.i0_predict_fghr_d @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.way <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.way @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pja <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pja @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pret <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pret @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pcall <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pcall @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.prett <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.prett @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_start_error <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_start_error @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_error <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_error @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.toffset <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.toffset @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.hist <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.hist @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pc4 <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pc4 @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.boffset <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.boffset @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.ataken <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.ataken @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.misp <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.misp @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.valid <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.valid @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.csr_imm <= dec.io.dec_exu.decode_exu.i0_ap.csr_imm @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.csr_write <= dec.io.dec_exu.decode_exu.i0_ap.csr_write @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.predict_nt <= dec.io.dec_exu.decode_exu.i0_ap.predict_nt @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.predict_t <= dec.io.dec_exu.decode_exu.i0_ap.predict_t @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.jal <= dec.io.dec_exu.decode_exu.i0_ap.jal @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.unsign <= dec.io.dec_exu.decode_exu.i0_ap.unsign @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.slt <= dec.io.dec_exu.decode_exu.i0_ap.slt @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.sub <= dec.io.dec_exu.decode_exu.i0_ap.sub @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.add <= dec.io.dec_exu.decode_exu.i0_ap.add @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.bge <= dec.io.dec_exu.decode_exu.i0_ap.bge @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.blt <= dec.io.dec_exu.decode_exu.i0_ap.blt @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.bne <= dec.io.dec_exu.decode_exu.i0_ap.bne @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.beq <= dec.io.dec_exu.decode_exu.i0_ap.beq @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.sra <= dec.io.dec_exu.decode_exu.i0_ap.sra @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.srl <= dec.io.dec_exu.decode_exu.i0_ap.srl @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.sll <= dec.io.dec_exu.decode_exu.i0_ap.sll @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.lxor <= dec.io.dec_exu.decode_exu.i0_ap.lxor @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.lor <= dec.io.dec_exu.decode_exu.i0_ap.lor @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.i0_ap.land <= dec.io.dec_exu.decode_exu.i0_ap.land @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_ctl_en <= dec.io.dec_exu.decode_exu.dec_ctl_en @[quasar.scala 154:18] - exu.io.dec_exu.decode_exu.dec_data_en <= dec.io.dec_exu.decode_exu.dec_data_en @[quasar.scala 154:18] - exu.io.dec_exu.dec_div.dec_div_cancel <= dec.io.dec_exu.dec_div.dec_div_cancel @[quasar.scala 154:18] - exu.io.dec_exu.dec_div.div_p.bits.rem <= dec.io.dec_exu.dec_div.div_p.bits.rem @[quasar.scala 154:18] - exu.io.dec_exu.dec_div.div_p.bits.unsign <= dec.io.dec_exu.dec_div.div_p.bits.unsign @[quasar.scala 154:18] - exu.io.dec_exu.dec_div.div_p.valid <= dec.io.dec_exu.dec_div.div_p.valid @[quasar.scala 154:18] - dec.io.dec_exu.dec_alu.exu_i0_pc_x <= exu.io.dec_exu.dec_alu.exu_i0_pc_x @[quasar.scala 154:18] - exu.io.dec_exu.dec_alu.dec_i0_br_immed_d <= dec.io.dec_exu.dec_alu.dec_i0_br_immed_d @[quasar.scala 154:18] - exu.io.dec_exu.dec_alu.dec_csr_ren_d <= dec.io.dec_exu.dec_alu.dec_csr_ren_d @[quasar.scala 154:18] - exu.io.dec_exu.dec_alu.dec_i0_alu_decode_d <= dec.io.dec_exu.dec_alu.dec_i0_alu_decode_d @[quasar.scala 154:18] - exu.reset <= io.core_rst_l @[quasar.scala 155:13] - exu.io.scan_mode <= io.scan_mode @[quasar.scala 156:20] - exu.io.dbg_cmd_wrdata <= dbg.io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[quasar.scala 157:25] - lsu.reset <= io.core_rst_l @[quasar.scala 160:13] - lsu.io.clk_override <= dec.io.dec_tlu_lsu_clk_override @[quasar.scala 161:23] - lsu.io.dec_tlu_flush_lower_r <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 162:32] - lsu.io.dec_tlu_i0_kill_writeb_r <= dec.io.dec_tlu_i0_kill_writeb_r @[quasar.scala 163:35] - lsu.io.dec_tlu_force_halt <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt @[quasar.scala 164:29] - lsu.io.dec_tlu_core_ecc_disable <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[quasar.scala 165:35] - lsu.io.lsu_exu.exu_lsu_rs2_d <= exu.io.lsu_exu.exu_lsu_rs2_d @[quasar.scala 166:18] - lsu.io.lsu_exu.exu_lsu_rs1_d <= exu.io.lsu_exu.exu_lsu_rs1_d @[quasar.scala 166:18] - lsu.io.dec_lsu_offset_d <= dec.io.dec_lsu_offset_d @[quasar.scala 167:27] - lsu.io.lsu_p.bits.store_data_bypass_m <= dec.io.lsu_p.bits.store_data_bypass_m @[quasar.scala 168:16] - lsu.io.lsu_p.bits.load_ldst_bypass_d <= dec.io.lsu_p.bits.load_ldst_bypass_d @[quasar.scala 168:16] - lsu.io.lsu_p.bits.store_data_bypass_d <= dec.io.lsu_p.bits.store_data_bypass_d @[quasar.scala 168:16] - lsu.io.lsu_p.bits.dma <= dec.io.lsu_p.bits.dma @[quasar.scala 168:16] - lsu.io.lsu_p.bits.unsign <= dec.io.lsu_p.bits.unsign @[quasar.scala 168:16] - lsu.io.lsu_p.bits.store <= dec.io.lsu_p.bits.store @[quasar.scala 168:16] - lsu.io.lsu_p.bits.load <= dec.io.lsu_p.bits.load @[quasar.scala 168:16] - lsu.io.lsu_p.bits.dword <= dec.io.lsu_p.bits.dword @[quasar.scala 168:16] - lsu.io.lsu_p.bits.word <= dec.io.lsu_p.bits.word @[quasar.scala 168:16] - lsu.io.lsu_p.bits.half <= dec.io.lsu_p.bits.half @[quasar.scala 168:16] - lsu.io.lsu_p.bits.by <= dec.io.lsu_p.bits.by @[quasar.scala 168:16] - lsu.io.lsu_p.bits.fast_int <= dec.io.lsu_p.bits.fast_int @[quasar.scala 168:16] - lsu.io.lsu_p.valid <= dec.io.lsu_p.valid @[quasar.scala 168:16] - lsu.io.dec_lsu_valid_raw_d <= dec.io.dec_lsu_valid_raw_d @[quasar.scala 169:30] - lsu.io.dec_tlu_mrac_ff <= dec.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff @[quasar.scala 170:26] - lsu.io.trigger_pkt_any[0].tdata2 <= dec.io.trigger_pkt_any[0].tdata2 @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[0].m <= dec.io.trigger_pkt_any[0].m @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[0].execute <= dec.io.trigger_pkt_any[0].execute @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[0].load <= dec.io.trigger_pkt_any[0].load @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[0].store <= dec.io.trigger_pkt_any[0].store @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[0].match_pkt <= dec.io.trigger_pkt_any[0].match_pkt @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[0].select <= dec.io.trigger_pkt_any[0].select @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[1].tdata2 <= dec.io.trigger_pkt_any[1].tdata2 @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[1].m <= dec.io.trigger_pkt_any[1].m @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[1].execute <= dec.io.trigger_pkt_any[1].execute @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[1].load <= dec.io.trigger_pkt_any[1].load @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[1].store <= dec.io.trigger_pkt_any[1].store @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[1].match_pkt <= dec.io.trigger_pkt_any[1].match_pkt @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[1].select <= dec.io.trigger_pkt_any[1].select @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[2].tdata2 <= dec.io.trigger_pkt_any[2].tdata2 @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[2].m <= dec.io.trigger_pkt_any[2].m @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[2].execute <= dec.io.trigger_pkt_any[2].execute @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[2].load <= dec.io.trigger_pkt_any[2].load @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[2].store <= dec.io.trigger_pkt_any[2].store @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[2].match_pkt <= dec.io.trigger_pkt_any[2].match_pkt @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[2].select <= dec.io.trigger_pkt_any[2].select @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[3].tdata2 <= dec.io.trigger_pkt_any[3].tdata2 @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[3].m <= dec.io.trigger_pkt_any[3].m @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[3].execute <= dec.io.trigger_pkt_any[3].execute @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[3].load <= dec.io.trigger_pkt_any[3].load @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[3].store <= dec.io.trigger_pkt_any[3].store @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[3].match_pkt <= dec.io.trigger_pkt_any[3].match_pkt @[quasar.scala 171:26] - lsu.io.trigger_pkt_any[3].select <= dec.io.trigger_pkt_any[3].select @[quasar.scala 171:26] - lsu.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[quasar.scala 173:25] - lsu.io.lsu_dma.dma_mem_tag <= dma_ctrl.io.lsu_dma.dma_mem_tag @[quasar.scala 174:18] - dma_ctrl.io.lsu_dma.dccm_ready <= lsu.io.lsu_dma.dccm_ready @[quasar.scala 174:18] - dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata @[quasar.scala 174:18] - dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag @[quasar.scala 174:18] - dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error @[quasar.scala 174:18] - dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid @[quasar.scala 174:18] - lsu.io.lsu_dma.dma_dccm_ctl.dma_mem_wdata <= dma_ctrl.io.lsu_dma.dma_dccm_ctl.dma_mem_wdata @[quasar.scala 174:18] - lsu.io.lsu_dma.dma_dccm_ctl.dma_mem_addr <= dma_ctrl.io.lsu_dma.dma_dccm_ctl.dma_mem_addr @[quasar.scala 174:18] - lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_wdata <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[quasar.scala 174:18] - lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_write <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_write @[quasar.scala 174:18] - lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_sz <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_sz @[quasar.scala 174:18] - lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_addr <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[quasar.scala 174:18] - lsu.io.lsu_dma.dma_lsc_ctl.dma_dccm_req <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_dccm_req @[quasar.scala 174:18] - lsu.io.scan_mode <= io.scan_mode @[quasar.scala 175:20] - lsu.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 176:19] - dbg.reset <= io.core_rst_l @[quasar.scala 179:13] - node _T_8 = mux(dma_ctrl.io.dma_dbg_cmd_done, dma_ctrl.io.dma_dbg_rddata, dec.io.dec_dbg_rddata) @[quasar.scala 180:32] - dbg.io.core_dbg_rddata <= _T_8 @[quasar.scala 180:26] - node _T_9 = or(dma_ctrl.io.dma_dbg_cmd_done, dec.io.dec_dbg_cmd_done) @[quasar.scala 181:60] - dbg.io.core_dbg_cmd_done <= _T_9 @[quasar.scala 181:28] - node _T_10 = or(dma_ctrl.io.dma_dbg_cmd_fail, dec.io.dec_dbg_cmd_fail) @[quasar.scala 182:60] - dbg.io.core_dbg_cmd_fail <= _T_10 @[quasar.scala 182:28] - dbg.io.dec_tlu_debug_mode <= dec.io.dec_tlu_debug_mode @[quasar.scala 183:29] - dbg.io.dec_tlu_dbg_halted <= dec.io.dec_tlu_dbg_halted @[quasar.scala 184:29] - dbg.io.dec_tlu_mpc_halted_only <= dec.io.dec_tlu_mpc_halted_only @[quasar.scala 185:34] - dbg.io.dec_tlu_resume_ack <= dec.io.dec_tlu_resume_ack @[quasar.scala 186:29] - dbg.io.dmi_reg_en <= io.dmi_reg_en @[quasar.scala 187:21] - dbg.io.dmi_reg_addr <= io.dmi_reg_addr @[quasar.scala 188:23] - dbg.io.dmi_reg_wr_en <= io.dmi_reg_wr_en @[quasar.scala 189:24] - dbg.io.dmi_reg_wdata <= io.dmi_reg_wdata @[quasar.scala 190:24] - dbg.io.dbg_bus_clk_en <= io.dbg_bus_clk_en @[quasar.scala 191:25] - node _T_11 = asUInt(io.dbg_rst_l) @[quasar.scala 192:42] - dbg.io.dbg_rst_l <= _T_11 @[quasar.scala 192:20] - dbg.io.clk_override <= dec.io.dec_tlu_misc_clk_override @[quasar.scala 193:23] - dbg.io.scan_mode <= io.scan_mode @[quasar.scala 194:20] - dma_ctrl.reset <= io.core_rst_l @[quasar.scala 198:18] - dma_ctrl.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 199:24] - dma_ctrl.io.dma_bus_clk_en <= io.dma_bus_clk_en @[quasar.scala 200:30] - dma_ctrl.io.clk_override <= dec.io.dec_tlu_misc_clk_override @[quasar.scala 201:28] - dma_ctrl.io.scan_mode <= io.scan_mode @[quasar.scala 202:25] - dma_ctrl.io.dbg_dma.dbg_dctl.dbg_cmd_wrdata <= dbg.io.dbg_dma.dbg_dctl.dbg_cmd_wrdata @[quasar.scala 203:23] - dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_addr <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_addr @[quasar.scala 203:23] - dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_type <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_type @[quasar.scala 203:23] - dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_write <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_write @[quasar.scala 203:23] - dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_valid <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_valid @[quasar.scala 203:23] - dbg.io.dbg_dma_io.dma_dbg_ready <= dma_ctrl.io.dbg_dma_io.dma_dbg_ready @[quasar.scala 204:26] - dma_ctrl.io.dbg_dma_io.dbg_dma_bubble <= dbg.io.dbg_dma_io.dbg_dma_bubble @[quasar.scala 204:26] - dma_ctrl.io.dbg_cmd_size <= dbg.io.dbg_cmd_size @[quasar.scala 205:28] - dma_ctrl.io.iccm_dma_rvalid <= ifu.io.iccm_dma_rvalid @[quasar.scala 206:31] - dma_ctrl.io.iccm_dma_rtag <= ifu.io.iccm_dma_rtag @[quasar.scala 207:29] - dma_ctrl.io.iccm_dma_rdata <= ifu.io.iccm_dma_rdata @[quasar.scala 208:30] - dma_ctrl.io.iccm_ready <= ifu.io.iccm_ready @[quasar.scala 209:26] - dma_ctrl.io.iccm_dma_ecc_error <= ifu.io.iccm_dma_ecc_error @[quasar.scala 210:34] - pic_ctrl_inst.io.scan_mode <= io.scan_mode @[quasar.scala 213:30] - pic_ctrl_inst.reset <= io.core_rst_l @[quasar.scala 214:23] - pic_ctrl_inst.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 215:29] - pic_ctrl_inst.io.active_clk <= rvclkhdr_1.io.l1clk @[quasar.scala 216:31] - pic_ctrl_inst.io.clk_override <= dec.io.dec_tlu_pic_clk_override @[quasar.scala 217:33] - pic_ctrl_inst.io.extintsrc_req <= io.extintsrc_req @[quasar.scala 218:34] - lsu.io.lsu_pic.picm_rd_data <= pic_ctrl_inst.io.lsu_pic.picm_rd_data @[quasar.scala 219:28] - pic_ctrl_inst.io.lsu_pic.picm_wr_data <= lsu.io.lsu_pic.picm_wr_data @[quasar.scala 219:28] - pic_ctrl_inst.io.lsu_pic.picm_wraddr <= lsu.io.lsu_pic.picm_wraddr @[quasar.scala 219:28] - pic_ctrl_inst.io.lsu_pic.picm_rdaddr <= lsu.io.lsu_pic.picm_rdaddr @[quasar.scala 219:28] - pic_ctrl_inst.io.lsu_pic.picm_mken <= lsu.io.lsu_pic.picm_mken @[quasar.scala 219:28] - pic_ctrl_inst.io.lsu_pic.picm_rden <= lsu.io.lsu_pic.picm_rden @[quasar.scala 219:28] - pic_ctrl_inst.io.lsu_pic.picm_wren <= lsu.io.lsu_pic.picm_wren @[quasar.scala 219:28] - dec.io.dec_pic.mexintpend <= pic_ctrl_inst.io.dec_pic.mexintpend @[quasar.scala 220:28] - pic_ctrl_inst.io.dec_pic.dec_tlu_meipt <= dec.io.dec_pic.dec_tlu_meipt @[quasar.scala 220:28] - pic_ctrl_inst.io.dec_pic.dec_tlu_meicurpl <= dec.io.dec_pic.dec_tlu_meicurpl @[quasar.scala 220:28] - dec.io.dec_pic.mhwakeup <= pic_ctrl_inst.io.dec_pic.mhwakeup @[quasar.scala 220:28] - dec.io.dec_pic.pic_pl <= pic_ctrl_inst.io.dec_pic.pic_pl @[quasar.scala 220:28] - dec.io.dec_pic.pic_claimid <= pic_ctrl_inst.io.dec_pic.pic_claimid @[quasar.scala 220:28] - io.rv_trace_pkt.rv_i_tval_ip <= dec.io.rv_trace_pkt.rv_i_tval_ip @[quasar.scala 222:19] - io.rv_trace_pkt.rv_i_interrupt_ip <= dec.io.rv_trace_pkt.rv_i_interrupt_ip @[quasar.scala 222:19] - io.rv_trace_pkt.rv_i_ecause_ip <= dec.io.rv_trace_pkt.rv_i_ecause_ip @[quasar.scala 222:19] - io.rv_trace_pkt.rv_i_exception_ip <= dec.io.rv_trace_pkt.rv_i_exception_ip @[quasar.scala 222:19] - io.rv_trace_pkt.rv_i_address_ip <= dec.io.rv_trace_pkt.rv_i_address_ip @[quasar.scala 222:19] - io.rv_trace_pkt.rv_i_insn_ip <= dec.io.rv_trace_pkt.rv_i_insn_ip @[quasar.scala 222:19] - io.rv_trace_pkt.rv_i_valid_ip <= dec.io.rv_trace_pkt.rv_i_valid_ip @[quasar.scala 222:19] - io.dccm_clk_override <= dec.io.dec_tlu_dccm_clk_override @[quasar.scala 225:24] - io.icm_clk_override <= dec.io.dec_tlu_icm_clk_override @[quasar.scala 226:23] - io.dec_tlu_core_ecc_disable <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[quasar.scala 227:31] - io.o_cpu_halt_ack <= dec.io.o_cpu_halt_ack @[quasar.scala 228:21] - io.o_cpu_halt_status <= dec.io.o_cpu_halt_status @[quasar.scala 229:24] - io.o_cpu_run_ack <= dec.io.o_cpu_run_ack @[quasar.scala 230:20] - io.o_debug_mode_status <= dec.io.o_debug_mode_status @[quasar.scala 231:26] - io.mpc_debug_halt_ack <= dec.io.mpc_debug_halt_ack @[quasar.scala 232:25] - io.mpc_debug_run_ack <= dec.io.mpc_debug_run_ack @[quasar.scala 233:24] - io.debug_brkpt_status <= dec.io.debug_brkpt_status @[quasar.scala 234:25] - io.dec_tlu_perfcnt0 <= dec.io.dec_tlu_perfcnt0 @[quasar.scala 235:23] - io.dec_tlu_perfcnt1 <= dec.io.dec_tlu_perfcnt1 @[quasar.scala 236:23] - io.dec_tlu_perfcnt2 <= dec.io.dec_tlu_perfcnt2 @[quasar.scala 237:23] - io.dec_tlu_perfcnt3 <= dec.io.dec_tlu_perfcnt3 @[quasar.scala 238:23] - io.dmi_reg_rdata <= dbg.io.dmi_reg_rdata @[quasar.scala 239:20] - lsu.io.dccm.rd_data_hi <= io.dccm.rd_data_hi @[quasar.scala 242:11] - lsu.io.dccm.rd_data_lo <= io.dccm.rd_data_lo @[quasar.scala 242:11] - io.dccm.wr_data_hi <= lsu.io.dccm.wr_data_hi @[quasar.scala 242:11] - io.dccm.wr_data_lo <= lsu.io.dccm.wr_data_lo @[quasar.scala 242:11] - io.dccm.rd_addr_hi <= lsu.io.dccm.rd_addr_hi @[quasar.scala 242:11] - io.dccm.rd_addr_lo <= lsu.io.dccm.rd_addr_lo @[quasar.scala 242:11] - io.dccm.wr_addr_hi <= lsu.io.dccm.wr_addr_hi @[quasar.scala 242:11] - io.dccm.wr_addr_lo <= lsu.io.dccm.wr_addr_lo @[quasar.scala 242:11] - io.dccm.rden <= lsu.io.dccm.rden @[quasar.scala 242:11] - io.dccm.wren <= lsu.io.dccm.wren @[quasar.scala 242:11] - inst axi4_to_ahb of axi4_to_ahb @[quasar.scala 245:32] - axi4_to_ahb.clock <= clock - axi4_to_ahb.reset <= reset - inst axi4_to_ahb_1 of axi4_to_ahb_1 @[quasar.scala 246:33] - axi4_to_ahb_1.clock <= clock - axi4_to_ahb_1.reset <= reset - inst axi4_to_ahb_2 of axi4_to_ahb_2 @[quasar.scala 247:33] - axi4_to_ahb_2.clock <= clock - axi4_to_ahb_2.reset <= reset - inst ahb_to_axi4 of ahb_to_axi4 @[quasar.scala 248:33] - ahb_to_axi4.clock <= clock - ahb_to_axi4.reset <= reset - axi4_to_ahb_2.io.scan_mode <= io.scan_mode @[quasar.scala 250:34] - axi4_to_ahb_2.io.bus_clk_en <= io.lsu_bus_clk_en @[quasar.scala 251:35] - axi4_to_ahb_2.io.clk_override <= dec.io.dec_tlu_bus_clk_override @[quasar.scala 252:37] - lsu.io.axi.r.bits.last <= axi4_to_ahb_2.io.axi.r.bits.last @[quasar.scala 253:28] - lsu.io.axi.r.bits.resp <= axi4_to_ahb_2.io.axi.r.bits.resp @[quasar.scala 253:28] - lsu.io.axi.r.bits.data <= axi4_to_ahb_2.io.axi.r.bits.data @[quasar.scala 253:28] - lsu.io.axi.r.bits.id <= axi4_to_ahb_2.io.axi.r.bits.id @[quasar.scala 253:28] - lsu.io.axi.r.valid <= axi4_to_ahb_2.io.axi.r.valid @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.r.ready <= lsu.io.axi.r.ready @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.ar.bits.qos <= lsu.io.axi.ar.bits.qos @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.ar.bits.prot <= lsu.io.axi.ar.bits.prot @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.ar.bits.cache <= lsu.io.axi.ar.bits.cache @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.ar.bits.lock <= lsu.io.axi.ar.bits.lock @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.ar.bits.burst <= lsu.io.axi.ar.bits.burst @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.ar.bits.size <= lsu.io.axi.ar.bits.size @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.ar.bits.len <= lsu.io.axi.ar.bits.len @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.ar.bits.region <= lsu.io.axi.ar.bits.region @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.ar.bits.addr <= lsu.io.axi.ar.bits.addr @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.ar.bits.id <= lsu.io.axi.ar.bits.id @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.ar.valid <= lsu.io.axi.ar.valid @[quasar.scala 253:28] - lsu.io.axi.ar.ready <= axi4_to_ahb_2.io.axi.ar.ready @[quasar.scala 253:28] - lsu.io.axi.b.bits.id <= axi4_to_ahb_2.io.axi.b.bits.id @[quasar.scala 253:28] - lsu.io.axi.b.bits.resp <= axi4_to_ahb_2.io.axi.b.bits.resp @[quasar.scala 253:28] - lsu.io.axi.b.valid <= axi4_to_ahb_2.io.axi.b.valid @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.b.ready <= lsu.io.axi.b.ready @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.w.bits.last <= lsu.io.axi.w.bits.last @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.w.bits.strb <= lsu.io.axi.w.bits.strb @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.w.bits.data <= lsu.io.axi.w.bits.data @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.w.valid <= lsu.io.axi.w.valid @[quasar.scala 253:28] - lsu.io.axi.w.ready <= axi4_to_ahb_2.io.axi.w.ready @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.aw.bits.qos <= lsu.io.axi.aw.bits.qos @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.aw.bits.prot <= lsu.io.axi.aw.bits.prot @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.aw.bits.cache <= lsu.io.axi.aw.bits.cache @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.aw.bits.lock <= lsu.io.axi.aw.bits.lock @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.aw.bits.burst <= lsu.io.axi.aw.bits.burst @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.aw.bits.size <= lsu.io.axi.aw.bits.size @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.aw.bits.len <= lsu.io.axi.aw.bits.len @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.aw.bits.region <= lsu.io.axi.aw.bits.region @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.aw.bits.addr <= lsu.io.axi.aw.bits.addr @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.aw.bits.id <= lsu.io.axi.aw.bits.id @[quasar.scala 253:28] - axi4_to_ahb_2.io.axi.aw.valid <= lsu.io.axi.aw.valid @[quasar.scala 253:28] - lsu.io.axi.aw.ready <= axi4_to_ahb_2.io.axi.aw.ready @[quasar.scala 253:28] - io.lsu_ahb.out.hwdata <= axi4_to_ahb_2.io.ahb.out.hwdata @[quasar.scala 254:28] - io.lsu_ahb.out.hwrite <= axi4_to_ahb_2.io.ahb.out.hwrite @[quasar.scala 254:28] - io.lsu_ahb.out.htrans <= axi4_to_ahb_2.io.ahb.out.htrans @[quasar.scala 254:28] - io.lsu_ahb.out.hsize <= axi4_to_ahb_2.io.ahb.out.hsize @[quasar.scala 254:28] - io.lsu_ahb.out.hprot <= axi4_to_ahb_2.io.ahb.out.hprot @[quasar.scala 254:28] - io.lsu_ahb.out.hmastlock <= axi4_to_ahb_2.io.ahb.out.hmastlock @[quasar.scala 254:28] - io.lsu_ahb.out.hburst <= axi4_to_ahb_2.io.ahb.out.hburst @[quasar.scala 254:28] - io.lsu_ahb.out.haddr <= axi4_to_ahb_2.io.ahb.out.haddr @[quasar.scala 254:28] - axi4_to_ahb_2.io.ahb.in.hresp <= io.lsu_ahb.in.hresp @[quasar.scala 254:28] - axi4_to_ahb_2.io.ahb.in.hready <= io.lsu_ahb.in.hready @[quasar.scala 254:28] - axi4_to_ahb_2.io.ahb.in.hrdata <= io.lsu_ahb.in.hrdata @[quasar.scala 254:28] - axi4_to_ahb_1.io.scan_mode <= io.scan_mode @[quasar.scala 256:34] - axi4_to_ahb_1.io.bus_clk_en <= io.ifu_bus_clk_en @[quasar.scala 257:35] - axi4_to_ahb_1.io.clk_override <= dec.io.dec_tlu_bus_clk_override @[quasar.scala 258:37] - ifu.io.ifu.r.bits.last <= axi4_to_ahb_1.io.axi.r.bits.last @[quasar.scala 259:28] - ifu.io.ifu.r.bits.resp <= axi4_to_ahb_1.io.axi.r.bits.resp @[quasar.scala 259:28] - ifu.io.ifu.r.bits.data <= axi4_to_ahb_1.io.axi.r.bits.data @[quasar.scala 259:28] - ifu.io.ifu.r.bits.id <= axi4_to_ahb_1.io.axi.r.bits.id @[quasar.scala 259:28] - ifu.io.ifu.r.valid <= axi4_to_ahb_1.io.axi.r.valid @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.r.ready <= ifu.io.ifu.r.ready @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.ar.bits.qos <= ifu.io.ifu.ar.bits.qos @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.ar.bits.prot <= ifu.io.ifu.ar.bits.prot @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.ar.bits.cache <= ifu.io.ifu.ar.bits.cache @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.ar.bits.lock <= ifu.io.ifu.ar.bits.lock @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.ar.bits.burst <= ifu.io.ifu.ar.bits.burst @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.ar.bits.size <= ifu.io.ifu.ar.bits.size @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.ar.bits.len <= ifu.io.ifu.ar.bits.len @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.ar.bits.region <= ifu.io.ifu.ar.bits.region @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.ar.bits.addr <= ifu.io.ifu.ar.bits.addr @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.ar.bits.id <= ifu.io.ifu.ar.bits.id @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.ar.valid <= ifu.io.ifu.ar.valid @[quasar.scala 259:28] - ifu.io.ifu.ar.ready <= axi4_to_ahb_1.io.axi.ar.ready @[quasar.scala 259:28] - ifu.io.ifu.b.bits.id <= axi4_to_ahb_1.io.axi.b.bits.id @[quasar.scala 259:28] - ifu.io.ifu.b.bits.resp <= axi4_to_ahb_1.io.axi.b.bits.resp @[quasar.scala 259:28] - ifu.io.ifu.b.valid <= axi4_to_ahb_1.io.axi.b.valid @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.b.ready <= ifu.io.ifu.b.ready @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.w.bits.last <= ifu.io.ifu.w.bits.last @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.w.bits.strb <= ifu.io.ifu.w.bits.strb @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.w.bits.data <= ifu.io.ifu.w.bits.data @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.w.valid <= ifu.io.ifu.w.valid @[quasar.scala 259:28] - ifu.io.ifu.w.ready <= axi4_to_ahb_1.io.axi.w.ready @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.aw.bits.qos <= ifu.io.ifu.aw.bits.qos @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.aw.bits.prot <= ifu.io.ifu.aw.bits.prot @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.aw.bits.cache <= ifu.io.ifu.aw.bits.cache @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.aw.bits.lock <= ifu.io.ifu.aw.bits.lock @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.aw.bits.burst <= ifu.io.ifu.aw.bits.burst @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.aw.bits.size <= ifu.io.ifu.aw.bits.size @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.aw.bits.len <= ifu.io.ifu.aw.bits.len @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.aw.bits.region <= ifu.io.ifu.aw.bits.region @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.aw.bits.addr <= ifu.io.ifu.aw.bits.addr @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.aw.bits.id <= ifu.io.ifu.aw.bits.id @[quasar.scala 259:28] - axi4_to_ahb_1.io.axi.aw.valid <= ifu.io.ifu.aw.valid @[quasar.scala 259:28] - ifu.io.ifu.aw.ready <= axi4_to_ahb_1.io.axi.aw.ready @[quasar.scala 259:28] - io.ifu_ahb.out.hwdata <= axi4_to_ahb_1.io.ahb.out.hwdata @[quasar.scala 260:28] - io.ifu_ahb.out.hwrite <= axi4_to_ahb_1.io.ahb.out.hwrite @[quasar.scala 260:28] - io.ifu_ahb.out.htrans <= axi4_to_ahb_1.io.ahb.out.htrans @[quasar.scala 260:28] - io.ifu_ahb.out.hsize <= axi4_to_ahb_1.io.ahb.out.hsize @[quasar.scala 260:28] - io.ifu_ahb.out.hprot <= axi4_to_ahb_1.io.ahb.out.hprot @[quasar.scala 260:28] - io.ifu_ahb.out.hmastlock <= axi4_to_ahb_1.io.ahb.out.hmastlock @[quasar.scala 260:28] - io.ifu_ahb.out.hburst <= axi4_to_ahb_1.io.ahb.out.hburst @[quasar.scala 260:28] - io.ifu_ahb.out.haddr <= axi4_to_ahb_1.io.ahb.out.haddr @[quasar.scala 260:28] - axi4_to_ahb_1.io.ahb.in.hresp <= io.ifu_ahb.in.hresp @[quasar.scala 260:28] - axi4_to_ahb_1.io.ahb.in.hready <= io.ifu_ahb.in.hready @[quasar.scala 260:28] - axi4_to_ahb_1.io.ahb.in.hrdata <= io.ifu_ahb.in.hrdata @[quasar.scala 260:28] - axi4_to_ahb_1.io.axi.b.ready <= UInt<1>("h01") @[quasar.scala 261:36] - axi4_to_ahb.io.scan_mode <= io.scan_mode @[quasar.scala 263:33] - axi4_to_ahb.io.bus_clk_en <= io.dbg_bus_clk_en @[quasar.scala 264:34] - axi4_to_ahb.io.clk_override <= dec.io.dec_tlu_bus_clk_override @[quasar.scala 265:36] - dbg.io.sb_axi.r.bits.last <= axi4_to_ahb.io.axi.r.bits.last @[quasar.scala 266:27] - dbg.io.sb_axi.r.bits.resp <= axi4_to_ahb.io.axi.r.bits.resp @[quasar.scala 266:27] - dbg.io.sb_axi.r.bits.data <= axi4_to_ahb.io.axi.r.bits.data @[quasar.scala 266:27] - dbg.io.sb_axi.r.bits.id <= axi4_to_ahb.io.axi.r.bits.id @[quasar.scala 266:27] - dbg.io.sb_axi.r.valid <= axi4_to_ahb.io.axi.r.valid @[quasar.scala 266:27] - axi4_to_ahb.io.axi.r.ready <= dbg.io.sb_axi.r.ready @[quasar.scala 266:27] - axi4_to_ahb.io.axi.ar.bits.qos <= dbg.io.sb_axi.ar.bits.qos @[quasar.scala 266:27] - axi4_to_ahb.io.axi.ar.bits.prot <= dbg.io.sb_axi.ar.bits.prot @[quasar.scala 266:27] - axi4_to_ahb.io.axi.ar.bits.cache <= dbg.io.sb_axi.ar.bits.cache @[quasar.scala 266:27] - axi4_to_ahb.io.axi.ar.bits.lock <= dbg.io.sb_axi.ar.bits.lock @[quasar.scala 266:27] - axi4_to_ahb.io.axi.ar.bits.burst <= dbg.io.sb_axi.ar.bits.burst @[quasar.scala 266:27] - axi4_to_ahb.io.axi.ar.bits.size <= dbg.io.sb_axi.ar.bits.size @[quasar.scala 266:27] - axi4_to_ahb.io.axi.ar.bits.len <= dbg.io.sb_axi.ar.bits.len @[quasar.scala 266:27] - axi4_to_ahb.io.axi.ar.bits.region <= dbg.io.sb_axi.ar.bits.region @[quasar.scala 266:27] - axi4_to_ahb.io.axi.ar.bits.addr <= dbg.io.sb_axi.ar.bits.addr @[quasar.scala 266:27] - axi4_to_ahb.io.axi.ar.bits.id <= dbg.io.sb_axi.ar.bits.id @[quasar.scala 266:27] - axi4_to_ahb.io.axi.ar.valid <= dbg.io.sb_axi.ar.valid @[quasar.scala 266:27] - dbg.io.sb_axi.ar.ready <= axi4_to_ahb.io.axi.ar.ready @[quasar.scala 266:27] - dbg.io.sb_axi.b.bits.id <= axi4_to_ahb.io.axi.b.bits.id @[quasar.scala 266:27] - dbg.io.sb_axi.b.bits.resp <= axi4_to_ahb.io.axi.b.bits.resp @[quasar.scala 266:27] - dbg.io.sb_axi.b.valid <= axi4_to_ahb.io.axi.b.valid @[quasar.scala 266:27] - axi4_to_ahb.io.axi.b.ready <= dbg.io.sb_axi.b.ready @[quasar.scala 266:27] - axi4_to_ahb.io.axi.w.bits.last <= dbg.io.sb_axi.w.bits.last @[quasar.scala 266:27] - axi4_to_ahb.io.axi.w.bits.strb <= dbg.io.sb_axi.w.bits.strb @[quasar.scala 266:27] - axi4_to_ahb.io.axi.w.bits.data <= dbg.io.sb_axi.w.bits.data @[quasar.scala 266:27] - axi4_to_ahb.io.axi.w.valid <= dbg.io.sb_axi.w.valid @[quasar.scala 266:27] - dbg.io.sb_axi.w.ready <= axi4_to_ahb.io.axi.w.ready @[quasar.scala 266:27] - axi4_to_ahb.io.axi.aw.bits.qos <= dbg.io.sb_axi.aw.bits.qos @[quasar.scala 266:27] - axi4_to_ahb.io.axi.aw.bits.prot <= dbg.io.sb_axi.aw.bits.prot @[quasar.scala 266:27] - axi4_to_ahb.io.axi.aw.bits.cache <= dbg.io.sb_axi.aw.bits.cache @[quasar.scala 266:27] - axi4_to_ahb.io.axi.aw.bits.lock <= dbg.io.sb_axi.aw.bits.lock @[quasar.scala 266:27] - axi4_to_ahb.io.axi.aw.bits.burst <= dbg.io.sb_axi.aw.bits.burst @[quasar.scala 266:27] - axi4_to_ahb.io.axi.aw.bits.size <= dbg.io.sb_axi.aw.bits.size @[quasar.scala 266:27] - axi4_to_ahb.io.axi.aw.bits.len <= dbg.io.sb_axi.aw.bits.len @[quasar.scala 266:27] - axi4_to_ahb.io.axi.aw.bits.region <= dbg.io.sb_axi.aw.bits.region @[quasar.scala 266:27] - axi4_to_ahb.io.axi.aw.bits.addr <= dbg.io.sb_axi.aw.bits.addr @[quasar.scala 266:27] - axi4_to_ahb.io.axi.aw.bits.id <= dbg.io.sb_axi.aw.bits.id @[quasar.scala 266:27] - axi4_to_ahb.io.axi.aw.valid <= dbg.io.sb_axi.aw.valid @[quasar.scala 266:27] - dbg.io.sb_axi.aw.ready <= axi4_to_ahb.io.axi.aw.ready @[quasar.scala 266:27] - io.sb_ahb.out.hwdata <= axi4_to_ahb.io.ahb.out.hwdata @[quasar.scala 267:27] - io.sb_ahb.out.hwrite <= axi4_to_ahb.io.ahb.out.hwrite @[quasar.scala 267:27] - io.sb_ahb.out.htrans <= axi4_to_ahb.io.ahb.out.htrans @[quasar.scala 267:27] - io.sb_ahb.out.hsize <= axi4_to_ahb.io.ahb.out.hsize @[quasar.scala 267:27] - io.sb_ahb.out.hprot <= axi4_to_ahb.io.ahb.out.hprot @[quasar.scala 267:27] - io.sb_ahb.out.hmastlock <= axi4_to_ahb.io.ahb.out.hmastlock @[quasar.scala 267:27] - io.sb_ahb.out.hburst <= axi4_to_ahb.io.ahb.out.hburst @[quasar.scala 267:27] - io.sb_ahb.out.haddr <= axi4_to_ahb.io.ahb.out.haddr @[quasar.scala 267:27] - axi4_to_ahb.io.ahb.in.hresp <= io.sb_ahb.in.hresp @[quasar.scala 267:27] - axi4_to_ahb.io.ahb.in.hready <= io.sb_ahb.in.hready @[quasar.scala 267:27] - axi4_to_ahb.io.ahb.in.hrdata <= io.sb_ahb.in.hrdata @[quasar.scala 267:27] - ahb_to_axi4.io.scan_mode <= io.scan_mode @[quasar.scala 269:34] - ahb_to_axi4.io.bus_clk_en <= io.dma_bus_clk_en @[quasar.scala 270:35] - ahb_to_axi4.io.clk_override <= dec.io.dec_tlu_bus_clk_override @[quasar.scala 271:37] - ahb_to_axi4.io.axi.r.bits.last <= dma_ctrl.io.dma_axi.r.bits.last @[quasar.scala 272:28] - ahb_to_axi4.io.axi.r.bits.resp <= dma_ctrl.io.dma_axi.r.bits.resp @[quasar.scala 272:28] - ahb_to_axi4.io.axi.r.bits.data <= dma_ctrl.io.dma_axi.r.bits.data @[quasar.scala 272:28] - ahb_to_axi4.io.axi.r.bits.id <= dma_ctrl.io.dma_axi.r.bits.id @[quasar.scala 272:28] - ahb_to_axi4.io.axi.r.valid <= dma_ctrl.io.dma_axi.r.valid @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.r.ready <= ahb_to_axi4.io.axi.r.ready @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.ar.bits.qos <= ahb_to_axi4.io.axi.ar.bits.qos @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.ar.bits.prot <= ahb_to_axi4.io.axi.ar.bits.prot @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.ar.bits.cache <= ahb_to_axi4.io.axi.ar.bits.cache @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.ar.bits.lock <= ahb_to_axi4.io.axi.ar.bits.lock @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.ar.bits.burst <= ahb_to_axi4.io.axi.ar.bits.burst @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.ar.bits.size <= ahb_to_axi4.io.axi.ar.bits.size @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.ar.bits.len <= ahb_to_axi4.io.axi.ar.bits.len @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.ar.bits.region <= ahb_to_axi4.io.axi.ar.bits.region @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.ar.bits.addr <= ahb_to_axi4.io.axi.ar.bits.addr @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.ar.bits.id <= ahb_to_axi4.io.axi.ar.bits.id @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.ar.valid <= ahb_to_axi4.io.axi.ar.valid @[quasar.scala 272:28] - ahb_to_axi4.io.axi.ar.ready <= dma_ctrl.io.dma_axi.ar.ready @[quasar.scala 272:28] - ahb_to_axi4.io.axi.b.bits.id <= dma_ctrl.io.dma_axi.b.bits.id @[quasar.scala 272:28] - ahb_to_axi4.io.axi.b.bits.resp <= dma_ctrl.io.dma_axi.b.bits.resp @[quasar.scala 272:28] - ahb_to_axi4.io.axi.b.valid <= dma_ctrl.io.dma_axi.b.valid @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.b.ready <= ahb_to_axi4.io.axi.b.ready @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.w.bits.last <= ahb_to_axi4.io.axi.w.bits.last @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.w.bits.strb <= ahb_to_axi4.io.axi.w.bits.strb @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.w.bits.data <= ahb_to_axi4.io.axi.w.bits.data @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.w.valid <= ahb_to_axi4.io.axi.w.valid @[quasar.scala 272:28] - ahb_to_axi4.io.axi.w.ready <= dma_ctrl.io.dma_axi.w.ready @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.aw.bits.qos <= ahb_to_axi4.io.axi.aw.bits.qos @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.aw.bits.prot <= ahb_to_axi4.io.axi.aw.bits.prot @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.aw.bits.cache <= ahb_to_axi4.io.axi.aw.bits.cache @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.aw.bits.lock <= ahb_to_axi4.io.axi.aw.bits.lock @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.aw.bits.burst <= ahb_to_axi4.io.axi.aw.bits.burst @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.aw.bits.size <= ahb_to_axi4.io.axi.aw.bits.size @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.aw.bits.len <= ahb_to_axi4.io.axi.aw.bits.len @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.aw.bits.region <= ahb_to_axi4.io.axi.aw.bits.region @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.aw.bits.addr <= ahb_to_axi4.io.axi.aw.bits.addr @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.aw.bits.id <= ahb_to_axi4.io.axi.aw.bits.id @[quasar.scala 272:28] - dma_ctrl.io.dma_axi.aw.valid <= ahb_to_axi4.io.axi.aw.valid @[quasar.scala 272:28] - ahb_to_axi4.io.axi.aw.ready <= dma_ctrl.io.dma_axi.aw.ready @[quasar.scala 272:28] - ahb_to_axi4.io.ahb.hreadyin <= io.dma_ahb.hreadyin @[quasar.scala 273:28] - ahb_to_axi4.io.ahb.hsel <= io.dma_ahb.hsel @[quasar.scala 273:28] - ahb_to_axi4.io.ahb.sig.out.hwdata <= io.dma_ahb.sig.out.hwdata @[quasar.scala 273:28] - ahb_to_axi4.io.ahb.sig.out.hwrite <= io.dma_ahb.sig.out.hwrite @[quasar.scala 273:28] - ahb_to_axi4.io.ahb.sig.out.htrans <= io.dma_ahb.sig.out.htrans @[quasar.scala 273:28] - ahb_to_axi4.io.ahb.sig.out.hsize <= io.dma_ahb.sig.out.hsize @[quasar.scala 273:28] - ahb_to_axi4.io.ahb.sig.out.hprot <= io.dma_ahb.sig.out.hprot @[quasar.scala 273:28] - ahb_to_axi4.io.ahb.sig.out.hmastlock <= io.dma_ahb.sig.out.hmastlock @[quasar.scala 273:28] - ahb_to_axi4.io.ahb.sig.out.hburst <= io.dma_ahb.sig.out.hburst @[quasar.scala 273:28] - ahb_to_axi4.io.ahb.sig.out.haddr <= io.dma_ahb.sig.out.haddr @[quasar.scala 273:28] - io.dma_ahb.sig.in.hresp <= ahb_to_axi4.io.ahb.sig.in.hresp @[quasar.scala 273:28] - io.dma_ahb.sig.in.hready <= ahb_to_axi4.io.ahb.sig.in.hready @[quasar.scala 273:28] - io.dma_ahb.sig.in.hrdata <= ahb_to_axi4.io.ahb.sig.in.hrdata @[quasar.scala 273:28] - wire _T_12 : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[quasar.scala 275:36] - _T_12.r.bits.last <= UInt<1>("h00") @[quasar.scala 275:36] - _T_12.r.bits.resp <= UInt<2>("h00") @[quasar.scala 275:36] - _T_12.r.bits.data <= UInt<64>("h00") @[quasar.scala 275:36] - _T_12.r.bits.id <= UInt<1>("h00") @[quasar.scala 275:36] - _T_12.r.valid <= UInt<1>("h00") @[quasar.scala 275:36] - _T_12.r.ready <= UInt<1>("h00") @[quasar.scala 275:36] - _T_12.ar.bits.qos <= UInt<4>("h00") @[quasar.scala 275:36] - _T_12.ar.bits.prot <= UInt<3>("h00") @[quasar.scala 275:36] - _T_12.ar.bits.cache <= UInt<4>("h00") @[quasar.scala 275:36] - _T_12.ar.bits.lock <= UInt<1>("h00") @[quasar.scala 275:36] - _T_12.ar.bits.burst <= UInt<2>("h00") @[quasar.scala 275:36] - _T_12.ar.bits.size <= UInt<3>("h00") @[quasar.scala 275:36] - _T_12.ar.bits.len <= UInt<8>("h00") @[quasar.scala 275:36] - _T_12.ar.bits.region <= UInt<4>("h00") @[quasar.scala 275:36] - _T_12.ar.bits.addr <= UInt<32>("h00") @[quasar.scala 275:36] - _T_12.ar.bits.id <= UInt<1>("h00") @[quasar.scala 275:36] - _T_12.ar.valid <= UInt<1>("h00") @[quasar.scala 275:36] - _T_12.ar.ready <= UInt<1>("h00") @[quasar.scala 275:36] - _T_12.b.bits.id <= UInt<1>("h00") @[quasar.scala 275:36] - _T_12.b.bits.resp <= UInt<2>("h00") @[quasar.scala 275:36] - _T_12.b.valid <= UInt<1>("h00") @[quasar.scala 275:36] - _T_12.b.ready <= UInt<1>("h00") @[quasar.scala 275:36] - _T_12.w.bits.last <= UInt<1>("h00") @[quasar.scala 275:36] - _T_12.w.bits.strb <= UInt<8>("h00") @[quasar.scala 275:36] - _T_12.w.bits.data <= UInt<64>("h00") @[quasar.scala 275:36] - _T_12.w.valid <= UInt<1>("h00") @[quasar.scala 275:36] - _T_12.w.ready <= UInt<1>("h00") @[quasar.scala 275:36] - _T_12.aw.bits.qos <= UInt<4>("h00") @[quasar.scala 275:36] - _T_12.aw.bits.prot <= UInt<3>("h00") @[quasar.scala 275:36] - _T_12.aw.bits.cache <= UInt<4>("h00") @[quasar.scala 275:36] - _T_12.aw.bits.lock <= UInt<1>("h00") @[quasar.scala 275:36] - _T_12.aw.bits.burst <= UInt<2>("h00") @[quasar.scala 275:36] - _T_12.aw.bits.size <= UInt<3>("h00") @[quasar.scala 275:36] - _T_12.aw.bits.len <= UInt<8>("h00") @[quasar.scala 275:36] - _T_12.aw.bits.region <= UInt<4>("h00") @[quasar.scala 275:36] - _T_12.aw.bits.addr <= UInt<32>("h00") @[quasar.scala 275:36] - _T_12.aw.bits.id <= UInt<1>("h00") @[quasar.scala 275:36] - _T_12.aw.valid <= UInt<1>("h00") @[quasar.scala 275:36] - _T_12.aw.ready <= UInt<1>("h00") @[quasar.scala 275:36] - io.dma_axi.r.bits.last <= _T_12.r.bits.last @[quasar.scala 275:21] - io.dma_axi.r.bits.resp <= _T_12.r.bits.resp @[quasar.scala 275:21] - io.dma_axi.r.bits.data <= _T_12.r.bits.data @[quasar.scala 275:21] - io.dma_axi.r.bits.id <= _T_12.r.bits.id @[quasar.scala 275:21] - io.dma_axi.r.valid <= _T_12.r.valid @[quasar.scala 275:21] - _T_12.r.ready <= io.dma_axi.r.ready @[quasar.scala 275:21] - _T_12.ar.bits.qos <= io.dma_axi.ar.bits.qos @[quasar.scala 275:21] - _T_12.ar.bits.prot <= io.dma_axi.ar.bits.prot @[quasar.scala 275:21] - _T_12.ar.bits.cache <= io.dma_axi.ar.bits.cache @[quasar.scala 275:21] - _T_12.ar.bits.lock <= io.dma_axi.ar.bits.lock @[quasar.scala 275:21] - _T_12.ar.bits.burst <= io.dma_axi.ar.bits.burst @[quasar.scala 275:21] - _T_12.ar.bits.size <= io.dma_axi.ar.bits.size @[quasar.scala 275:21] - _T_12.ar.bits.len <= io.dma_axi.ar.bits.len @[quasar.scala 275:21] - _T_12.ar.bits.region <= io.dma_axi.ar.bits.region @[quasar.scala 275:21] - _T_12.ar.bits.addr <= io.dma_axi.ar.bits.addr @[quasar.scala 275:21] - _T_12.ar.bits.id <= io.dma_axi.ar.bits.id @[quasar.scala 275:21] - _T_12.ar.valid <= io.dma_axi.ar.valid @[quasar.scala 275:21] - io.dma_axi.ar.ready <= _T_12.ar.ready @[quasar.scala 275:21] - io.dma_axi.b.bits.id <= _T_12.b.bits.id @[quasar.scala 275:21] - io.dma_axi.b.bits.resp <= _T_12.b.bits.resp @[quasar.scala 275:21] - io.dma_axi.b.valid <= _T_12.b.valid @[quasar.scala 275:21] - _T_12.b.ready <= io.dma_axi.b.ready @[quasar.scala 275:21] - _T_12.w.bits.last <= io.dma_axi.w.bits.last @[quasar.scala 275:21] - _T_12.w.bits.strb <= io.dma_axi.w.bits.strb @[quasar.scala 275:21] - _T_12.w.bits.data <= io.dma_axi.w.bits.data @[quasar.scala 275:21] - _T_12.w.valid <= io.dma_axi.w.valid @[quasar.scala 275:21] - io.dma_axi.w.ready <= _T_12.w.ready @[quasar.scala 275:21] - _T_12.aw.bits.qos <= io.dma_axi.aw.bits.qos @[quasar.scala 275:21] - _T_12.aw.bits.prot <= io.dma_axi.aw.bits.prot @[quasar.scala 275:21] - _T_12.aw.bits.cache <= io.dma_axi.aw.bits.cache @[quasar.scala 275:21] - _T_12.aw.bits.lock <= io.dma_axi.aw.bits.lock @[quasar.scala 275:21] - _T_12.aw.bits.burst <= io.dma_axi.aw.bits.burst @[quasar.scala 275:21] - _T_12.aw.bits.size <= io.dma_axi.aw.bits.size @[quasar.scala 275:21] - _T_12.aw.bits.len <= io.dma_axi.aw.bits.len @[quasar.scala 275:21] - _T_12.aw.bits.region <= io.dma_axi.aw.bits.region @[quasar.scala 275:21] - _T_12.aw.bits.addr <= io.dma_axi.aw.bits.addr @[quasar.scala 275:21] - _T_12.aw.bits.id <= io.dma_axi.aw.bits.id @[quasar.scala 275:21] - _T_12.aw.valid <= io.dma_axi.aw.valid @[quasar.scala 275:21] - io.dma_axi.aw.ready <= _T_12.aw.ready @[quasar.scala 275:21] - wire _T_13 : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[quasar.scala 276:36] - _T_13.r.bits.last <= UInt<1>("h00") @[quasar.scala 276:36] - _T_13.r.bits.resp <= UInt<2>("h00") @[quasar.scala 276:36] - _T_13.r.bits.data <= UInt<64>("h00") @[quasar.scala 276:36] - _T_13.r.bits.id <= UInt<1>("h00") @[quasar.scala 276:36] - _T_13.r.valid <= UInt<1>("h00") @[quasar.scala 276:36] - _T_13.r.ready <= UInt<1>("h00") @[quasar.scala 276:36] - _T_13.ar.bits.qos <= UInt<4>("h00") @[quasar.scala 276:36] - _T_13.ar.bits.prot <= UInt<3>("h00") @[quasar.scala 276:36] - _T_13.ar.bits.cache <= UInt<4>("h00") @[quasar.scala 276:36] - _T_13.ar.bits.lock <= UInt<1>("h00") @[quasar.scala 276:36] - _T_13.ar.bits.burst <= UInt<2>("h00") @[quasar.scala 276:36] - _T_13.ar.bits.size <= UInt<3>("h00") @[quasar.scala 276:36] - _T_13.ar.bits.len <= UInt<8>("h00") @[quasar.scala 276:36] - _T_13.ar.bits.region <= UInt<4>("h00") @[quasar.scala 276:36] - _T_13.ar.bits.addr <= UInt<32>("h00") @[quasar.scala 276:36] - _T_13.ar.bits.id <= UInt<1>("h00") @[quasar.scala 276:36] - _T_13.ar.valid <= UInt<1>("h00") @[quasar.scala 276:36] - _T_13.ar.ready <= UInt<1>("h00") @[quasar.scala 276:36] - _T_13.b.bits.id <= UInt<1>("h00") @[quasar.scala 276:36] - _T_13.b.bits.resp <= UInt<2>("h00") @[quasar.scala 276:36] - _T_13.b.valid <= UInt<1>("h00") @[quasar.scala 276:36] - _T_13.b.ready <= UInt<1>("h00") @[quasar.scala 276:36] - _T_13.w.bits.last <= UInt<1>("h00") @[quasar.scala 276:36] - _T_13.w.bits.strb <= UInt<8>("h00") @[quasar.scala 276:36] - _T_13.w.bits.data <= UInt<64>("h00") @[quasar.scala 276:36] - _T_13.w.valid <= UInt<1>("h00") @[quasar.scala 276:36] - _T_13.w.ready <= UInt<1>("h00") @[quasar.scala 276:36] - _T_13.aw.bits.qos <= UInt<4>("h00") @[quasar.scala 276:36] - _T_13.aw.bits.prot <= UInt<3>("h00") @[quasar.scala 276:36] - _T_13.aw.bits.cache <= UInt<4>("h00") @[quasar.scala 276:36] - _T_13.aw.bits.lock <= UInt<1>("h00") @[quasar.scala 276:36] - _T_13.aw.bits.burst <= UInt<2>("h00") @[quasar.scala 276:36] - _T_13.aw.bits.size <= UInt<3>("h00") @[quasar.scala 276:36] - _T_13.aw.bits.len <= UInt<8>("h00") @[quasar.scala 276:36] - _T_13.aw.bits.region <= UInt<4>("h00") @[quasar.scala 276:36] - _T_13.aw.bits.addr <= UInt<32>("h00") @[quasar.scala 276:36] - _T_13.aw.bits.id <= UInt<1>("h00") @[quasar.scala 276:36] - _T_13.aw.valid <= UInt<1>("h00") @[quasar.scala 276:36] - _T_13.aw.ready <= UInt<1>("h00") @[quasar.scala 276:36] - _T_13.r.bits.last <= io.sb_axi.r.bits.last @[quasar.scala 276:21] - _T_13.r.bits.resp <= io.sb_axi.r.bits.resp @[quasar.scala 276:21] - _T_13.r.bits.data <= io.sb_axi.r.bits.data @[quasar.scala 276:21] - _T_13.r.bits.id <= io.sb_axi.r.bits.id @[quasar.scala 276:21] - _T_13.r.valid <= io.sb_axi.r.valid @[quasar.scala 276:21] - io.sb_axi.r.ready <= _T_13.r.ready @[quasar.scala 276:21] - io.sb_axi.ar.bits.qos <= _T_13.ar.bits.qos @[quasar.scala 276:21] - io.sb_axi.ar.bits.prot <= _T_13.ar.bits.prot @[quasar.scala 276:21] - io.sb_axi.ar.bits.cache <= _T_13.ar.bits.cache @[quasar.scala 276:21] - io.sb_axi.ar.bits.lock <= _T_13.ar.bits.lock @[quasar.scala 276:21] - io.sb_axi.ar.bits.burst <= _T_13.ar.bits.burst @[quasar.scala 276:21] - io.sb_axi.ar.bits.size <= _T_13.ar.bits.size @[quasar.scala 276:21] - io.sb_axi.ar.bits.len <= _T_13.ar.bits.len @[quasar.scala 276:21] - io.sb_axi.ar.bits.region <= _T_13.ar.bits.region @[quasar.scala 276:21] - io.sb_axi.ar.bits.addr <= _T_13.ar.bits.addr @[quasar.scala 276:21] - io.sb_axi.ar.bits.id <= _T_13.ar.bits.id @[quasar.scala 276:21] - io.sb_axi.ar.valid <= _T_13.ar.valid @[quasar.scala 276:21] - _T_13.ar.ready <= io.sb_axi.ar.ready @[quasar.scala 276:21] - _T_13.b.bits.id <= io.sb_axi.b.bits.id @[quasar.scala 276:21] - _T_13.b.bits.resp <= io.sb_axi.b.bits.resp @[quasar.scala 276:21] - _T_13.b.valid <= io.sb_axi.b.valid @[quasar.scala 276:21] - io.sb_axi.b.ready <= _T_13.b.ready @[quasar.scala 276:21] - io.sb_axi.w.bits.last <= _T_13.w.bits.last @[quasar.scala 276:21] - io.sb_axi.w.bits.strb <= _T_13.w.bits.strb @[quasar.scala 276:21] - io.sb_axi.w.bits.data <= _T_13.w.bits.data @[quasar.scala 276:21] - io.sb_axi.w.valid <= _T_13.w.valid @[quasar.scala 276:21] - _T_13.w.ready <= io.sb_axi.w.ready @[quasar.scala 276:21] - io.sb_axi.aw.bits.qos <= _T_13.aw.bits.qos @[quasar.scala 276:21] - io.sb_axi.aw.bits.prot <= _T_13.aw.bits.prot @[quasar.scala 276:21] - io.sb_axi.aw.bits.cache <= _T_13.aw.bits.cache @[quasar.scala 276:21] - io.sb_axi.aw.bits.lock <= _T_13.aw.bits.lock @[quasar.scala 276:21] - io.sb_axi.aw.bits.burst <= _T_13.aw.bits.burst @[quasar.scala 276:21] - io.sb_axi.aw.bits.size <= _T_13.aw.bits.size @[quasar.scala 276:21] - io.sb_axi.aw.bits.len <= _T_13.aw.bits.len @[quasar.scala 276:21] - io.sb_axi.aw.bits.region <= _T_13.aw.bits.region @[quasar.scala 276:21] - io.sb_axi.aw.bits.addr <= _T_13.aw.bits.addr @[quasar.scala 276:21] - io.sb_axi.aw.bits.id <= _T_13.aw.bits.id @[quasar.scala 276:21] - io.sb_axi.aw.valid <= _T_13.aw.valid @[quasar.scala 276:21] - _T_13.aw.ready <= io.sb_axi.aw.ready @[quasar.scala 276:21] - wire _T_14 : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[quasar.scala 277:36] - _T_14.r.bits.last <= UInt<1>("h00") @[quasar.scala 277:36] - _T_14.r.bits.resp <= UInt<2>("h00") @[quasar.scala 277:36] - _T_14.r.bits.data <= UInt<64>("h00") @[quasar.scala 277:36] - _T_14.r.bits.id <= UInt<3>("h00") @[quasar.scala 277:36] - _T_14.r.valid <= UInt<1>("h00") @[quasar.scala 277:36] - _T_14.r.ready <= UInt<1>("h00") @[quasar.scala 277:36] - _T_14.ar.bits.qos <= UInt<4>("h00") @[quasar.scala 277:36] - _T_14.ar.bits.prot <= UInt<3>("h00") @[quasar.scala 277:36] - _T_14.ar.bits.cache <= UInt<4>("h00") @[quasar.scala 277:36] - _T_14.ar.bits.lock <= UInt<1>("h00") @[quasar.scala 277:36] - _T_14.ar.bits.burst <= UInt<2>("h00") @[quasar.scala 277:36] - _T_14.ar.bits.size <= UInt<3>("h00") @[quasar.scala 277:36] - _T_14.ar.bits.len <= UInt<8>("h00") @[quasar.scala 277:36] - _T_14.ar.bits.region <= UInt<4>("h00") @[quasar.scala 277:36] - _T_14.ar.bits.addr <= UInt<32>("h00") @[quasar.scala 277:36] - _T_14.ar.bits.id <= UInt<3>("h00") @[quasar.scala 277:36] - _T_14.ar.valid <= UInt<1>("h00") @[quasar.scala 277:36] - _T_14.ar.ready <= UInt<1>("h00") @[quasar.scala 277:36] - _T_14.b.bits.id <= UInt<3>("h00") @[quasar.scala 277:36] - _T_14.b.bits.resp <= UInt<2>("h00") @[quasar.scala 277:36] - _T_14.b.valid <= UInt<1>("h00") @[quasar.scala 277:36] - _T_14.b.ready <= UInt<1>("h00") @[quasar.scala 277:36] - _T_14.w.bits.last <= UInt<1>("h00") @[quasar.scala 277:36] - _T_14.w.bits.strb <= UInt<8>("h00") @[quasar.scala 277:36] - _T_14.w.bits.data <= UInt<64>("h00") @[quasar.scala 277:36] - _T_14.w.valid <= UInt<1>("h00") @[quasar.scala 277:36] - _T_14.w.ready <= UInt<1>("h00") @[quasar.scala 277:36] - _T_14.aw.bits.qos <= UInt<4>("h00") @[quasar.scala 277:36] - _T_14.aw.bits.prot <= UInt<3>("h00") @[quasar.scala 277:36] - _T_14.aw.bits.cache <= UInt<4>("h00") @[quasar.scala 277:36] - _T_14.aw.bits.lock <= UInt<1>("h00") @[quasar.scala 277:36] - _T_14.aw.bits.burst <= UInt<2>("h00") @[quasar.scala 277:36] - _T_14.aw.bits.size <= UInt<3>("h00") @[quasar.scala 277:36] - _T_14.aw.bits.len <= UInt<8>("h00") @[quasar.scala 277:36] - _T_14.aw.bits.region <= UInt<4>("h00") @[quasar.scala 277:36] - _T_14.aw.bits.addr <= UInt<32>("h00") @[quasar.scala 277:36] - _T_14.aw.bits.id <= UInt<3>("h00") @[quasar.scala 277:36] - _T_14.aw.valid <= UInt<1>("h00") @[quasar.scala 277:36] - _T_14.aw.ready <= UInt<1>("h00") @[quasar.scala 277:36] - _T_14.r.bits.last <= io.ifu_axi.r.bits.last @[quasar.scala 277:21] - _T_14.r.bits.resp <= io.ifu_axi.r.bits.resp @[quasar.scala 277:21] - _T_14.r.bits.data <= io.ifu_axi.r.bits.data @[quasar.scala 277:21] - _T_14.r.bits.id <= io.ifu_axi.r.bits.id @[quasar.scala 277:21] - _T_14.r.valid <= io.ifu_axi.r.valid @[quasar.scala 277:21] - io.ifu_axi.r.ready <= _T_14.r.ready @[quasar.scala 277:21] - io.ifu_axi.ar.bits.qos <= _T_14.ar.bits.qos @[quasar.scala 277:21] - io.ifu_axi.ar.bits.prot <= _T_14.ar.bits.prot @[quasar.scala 277:21] - io.ifu_axi.ar.bits.cache <= _T_14.ar.bits.cache @[quasar.scala 277:21] - io.ifu_axi.ar.bits.lock <= _T_14.ar.bits.lock @[quasar.scala 277:21] - io.ifu_axi.ar.bits.burst <= _T_14.ar.bits.burst @[quasar.scala 277:21] - io.ifu_axi.ar.bits.size <= _T_14.ar.bits.size @[quasar.scala 277:21] - io.ifu_axi.ar.bits.len <= _T_14.ar.bits.len @[quasar.scala 277:21] - io.ifu_axi.ar.bits.region <= _T_14.ar.bits.region @[quasar.scala 277:21] - io.ifu_axi.ar.bits.addr <= _T_14.ar.bits.addr @[quasar.scala 277:21] - io.ifu_axi.ar.bits.id <= _T_14.ar.bits.id @[quasar.scala 277:21] - io.ifu_axi.ar.valid <= _T_14.ar.valid @[quasar.scala 277:21] - _T_14.ar.ready <= io.ifu_axi.ar.ready @[quasar.scala 277:21] - _T_14.b.bits.id <= io.ifu_axi.b.bits.id @[quasar.scala 277:21] - _T_14.b.bits.resp <= io.ifu_axi.b.bits.resp @[quasar.scala 277:21] - _T_14.b.valid <= io.ifu_axi.b.valid @[quasar.scala 277:21] - io.ifu_axi.b.ready <= _T_14.b.ready @[quasar.scala 277:21] - io.ifu_axi.w.bits.last <= _T_14.w.bits.last @[quasar.scala 277:21] - io.ifu_axi.w.bits.strb <= _T_14.w.bits.strb @[quasar.scala 277:21] - io.ifu_axi.w.bits.data <= _T_14.w.bits.data @[quasar.scala 277:21] - io.ifu_axi.w.valid <= _T_14.w.valid @[quasar.scala 277:21] - _T_14.w.ready <= io.ifu_axi.w.ready @[quasar.scala 277:21] - io.ifu_axi.aw.bits.qos <= _T_14.aw.bits.qos @[quasar.scala 277:21] - io.ifu_axi.aw.bits.prot <= _T_14.aw.bits.prot @[quasar.scala 277:21] - io.ifu_axi.aw.bits.cache <= _T_14.aw.bits.cache @[quasar.scala 277:21] - io.ifu_axi.aw.bits.lock <= _T_14.aw.bits.lock @[quasar.scala 277:21] - io.ifu_axi.aw.bits.burst <= _T_14.aw.bits.burst @[quasar.scala 277:21] - io.ifu_axi.aw.bits.size <= _T_14.aw.bits.size @[quasar.scala 277:21] - io.ifu_axi.aw.bits.len <= _T_14.aw.bits.len @[quasar.scala 277:21] - io.ifu_axi.aw.bits.region <= _T_14.aw.bits.region @[quasar.scala 277:21] - io.ifu_axi.aw.bits.addr <= _T_14.aw.bits.addr @[quasar.scala 277:21] - io.ifu_axi.aw.bits.id <= _T_14.aw.bits.id @[quasar.scala 277:21] - io.ifu_axi.aw.valid <= _T_14.aw.valid @[quasar.scala 277:21] - _T_14.aw.ready <= io.ifu_axi.aw.ready @[quasar.scala 277:21] - wire _T_15 : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[quasar.scala 278:36] - _T_15.r.bits.last <= UInt<1>("h00") @[quasar.scala 278:36] - _T_15.r.bits.resp <= UInt<2>("h00") @[quasar.scala 278:36] - _T_15.r.bits.data <= UInt<64>("h00") @[quasar.scala 278:36] - _T_15.r.bits.id <= UInt<3>("h00") @[quasar.scala 278:36] - _T_15.r.valid <= UInt<1>("h00") @[quasar.scala 278:36] - _T_15.r.ready <= UInt<1>("h00") @[quasar.scala 278:36] - _T_15.ar.bits.qos <= UInt<4>("h00") @[quasar.scala 278:36] - _T_15.ar.bits.prot <= UInt<3>("h00") @[quasar.scala 278:36] - _T_15.ar.bits.cache <= UInt<4>("h00") @[quasar.scala 278:36] - _T_15.ar.bits.lock <= UInt<1>("h00") @[quasar.scala 278:36] - _T_15.ar.bits.burst <= UInt<2>("h00") @[quasar.scala 278:36] - _T_15.ar.bits.size <= UInt<3>("h00") @[quasar.scala 278:36] - _T_15.ar.bits.len <= UInt<8>("h00") @[quasar.scala 278:36] - _T_15.ar.bits.region <= UInt<4>("h00") @[quasar.scala 278:36] - _T_15.ar.bits.addr <= UInt<32>("h00") @[quasar.scala 278:36] - _T_15.ar.bits.id <= UInt<3>("h00") @[quasar.scala 278:36] - _T_15.ar.valid <= UInt<1>("h00") @[quasar.scala 278:36] - _T_15.ar.ready <= UInt<1>("h00") @[quasar.scala 278:36] - _T_15.b.bits.id <= UInt<3>("h00") @[quasar.scala 278:36] - _T_15.b.bits.resp <= UInt<2>("h00") @[quasar.scala 278:36] - _T_15.b.valid <= UInt<1>("h00") @[quasar.scala 278:36] - _T_15.b.ready <= UInt<1>("h00") @[quasar.scala 278:36] - _T_15.w.bits.last <= UInt<1>("h00") @[quasar.scala 278:36] - _T_15.w.bits.strb <= UInt<8>("h00") @[quasar.scala 278:36] - _T_15.w.bits.data <= UInt<64>("h00") @[quasar.scala 278:36] - _T_15.w.valid <= UInt<1>("h00") @[quasar.scala 278:36] - _T_15.w.ready <= UInt<1>("h00") @[quasar.scala 278:36] - _T_15.aw.bits.qos <= UInt<4>("h00") @[quasar.scala 278:36] - _T_15.aw.bits.prot <= UInt<3>("h00") @[quasar.scala 278:36] - _T_15.aw.bits.cache <= UInt<4>("h00") @[quasar.scala 278:36] - _T_15.aw.bits.lock <= UInt<1>("h00") @[quasar.scala 278:36] - _T_15.aw.bits.burst <= UInt<2>("h00") @[quasar.scala 278:36] - _T_15.aw.bits.size <= UInt<3>("h00") @[quasar.scala 278:36] - _T_15.aw.bits.len <= UInt<8>("h00") @[quasar.scala 278:36] - _T_15.aw.bits.region <= UInt<4>("h00") @[quasar.scala 278:36] - _T_15.aw.bits.addr <= UInt<32>("h00") @[quasar.scala 278:36] - _T_15.aw.bits.id <= UInt<3>("h00") @[quasar.scala 278:36] - _T_15.aw.valid <= UInt<1>("h00") @[quasar.scala 278:36] - _T_15.aw.ready <= UInt<1>("h00") @[quasar.scala 278:36] - _T_15.r.bits.last <= io.lsu_axi.r.bits.last @[quasar.scala 278:21] - _T_15.r.bits.resp <= io.lsu_axi.r.bits.resp @[quasar.scala 278:21] - _T_15.r.bits.data <= io.lsu_axi.r.bits.data @[quasar.scala 278:21] - _T_15.r.bits.id <= io.lsu_axi.r.bits.id @[quasar.scala 278:21] - _T_15.r.valid <= io.lsu_axi.r.valid @[quasar.scala 278:21] - io.lsu_axi.r.ready <= _T_15.r.ready @[quasar.scala 278:21] - io.lsu_axi.ar.bits.qos <= _T_15.ar.bits.qos @[quasar.scala 278:21] - io.lsu_axi.ar.bits.prot <= _T_15.ar.bits.prot @[quasar.scala 278:21] - io.lsu_axi.ar.bits.cache <= _T_15.ar.bits.cache @[quasar.scala 278:21] - io.lsu_axi.ar.bits.lock <= _T_15.ar.bits.lock @[quasar.scala 278:21] - io.lsu_axi.ar.bits.burst <= _T_15.ar.bits.burst @[quasar.scala 278:21] - io.lsu_axi.ar.bits.size <= _T_15.ar.bits.size @[quasar.scala 278:21] - io.lsu_axi.ar.bits.len <= _T_15.ar.bits.len @[quasar.scala 278:21] - io.lsu_axi.ar.bits.region <= _T_15.ar.bits.region @[quasar.scala 278:21] - io.lsu_axi.ar.bits.addr <= _T_15.ar.bits.addr @[quasar.scala 278:21] - io.lsu_axi.ar.bits.id <= _T_15.ar.bits.id @[quasar.scala 278:21] - io.lsu_axi.ar.valid <= _T_15.ar.valid @[quasar.scala 278:21] - _T_15.ar.ready <= io.lsu_axi.ar.ready @[quasar.scala 278:21] - _T_15.b.bits.id <= io.lsu_axi.b.bits.id @[quasar.scala 278:21] - _T_15.b.bits.resp <= io.lsu_axi.b.bits.resp @[quasar.scala 278:21] - _T_15.b.valid <= io.lsu_axi.b.valid @[quasar.scala 278:21] - io.lsu_axi.b.ready <= _T_15.b.ready @[quasar.scala 278:21] - io.lsu_axi.w.bits.last <= _T_15.w.bits.last @[quasar.scala 278:21] - io.lsu_axi.w.bits.strb <= _T_15.w.bits.strb @[quasar.scala 278:21] - io.lsu_axi.w.bits.data <= _T_15.w.bits.data @[quasar.scala 278:21] - io.lsu_axi.w.valid <= _T_15.w.valid @[quasar.scala 278:21] - _T_15.w.ready <= io.lsu_axi.w.ready @[quasar.scala 278:21] - io.lsu_axi.aw.bits.qos <= _T_15.aw.bits.qos @[quasar.scala 278:21] - io.lsu_axi.aw.bits.prot <= _T_15.aw.bits.prot @[quasar.scala 278:21] - io.lsu_axi.aw.bits.cache <= _T_15.aw.bits.cache @[quasar.scala 278:21] - io.lsu_axi.aw.bits.lock <= _T_15.aw.bits.lock @[quasar.scala 278:21] - io.lsu_axi.aw.bits.burst <= _T_15.aw.bits.burst @[quasar.scala 278:21] - io.lsu_axi.aw.bits.size <= _T_15.aw.bits.size @[quasar.scala 278:21] - io.lsu_axi.aw.bits.len <= _T_15.aw.bits.len @[quasar.scala 278:21] - io.lsu_axi.aw.bits.region <= _T_15.aw.bits.region @[quasar.scala 278:21] - io.lsu_axi.aw.bits.addr <= _T_15.aw.bits.addr @[quasar.scala 278:21] - io.lsu_axi.aw.bits.id <= _T_15.aw.bits.id @[quasar.scala 278:21] - io.lsu_axi.aw.valid <= _T_15.aw.valid @[quasar.scala 278:21] - _T_15.aw.ready <= io.lsu_axi.aw.ready @[quasar.scala 278:21] + ifu.io.ifu_dec.dec_bp.dec_tlu_bpred_disable <= dec.io.ifu_dec.dec_bp.dec_tlu_bpred_disable @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_flush_leak_one_wb <= dec.io.ifu_dec.dec_bp.dec_tlu_flush_leak_one_wb @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.middle <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.middle @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.way <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.way @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_start_error @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_error <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.br_error @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.hist <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.bits.hist @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.valid <= dec.io.ifu_dec.dec_bp.dec_tlu_br0_r_pkt.valid @[quasar.scala 88:18] + dec.io.ifu_dec.dec_ifc.ifu_pmu_fetch_stall <= ifu.io.ifu_dec.dec_ifc.ifu_pmu_fetch_stall @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff <= dec.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_ifc.dec_tlu_flush_noredir_wb <= dec.io.ifu_dec.dec_ifc.dec_tlu_flush_noredir_wb @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_miss_state_idle <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_miss_state_idle @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data_valid <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data_valid @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_ic_debug_rd_data @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_iccm_rd_ecc_single_err @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_ic_error_start <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_ic_error_start @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_trxn <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_trxn @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_busy <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_busy @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_error <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_bus_error @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_hit <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_hit @[quasar.scala 88:18] + dec.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_miss <= ifu.io.ifu_dec.dec_mem_ctrl.ifu_pmu_ic_miss @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_fence_i_wb <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_fence_i_wb @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_i0_commit_cmt <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_i0_commit_cmt @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_err_wb <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_flush_err_wb @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.ifu_pmu_instr_aligned <= ifu.io.ifu_dec.dec_aln.ifu_pmu_instr_aligned @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.ret <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.ret @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.way <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.way @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.prett <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.prett @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.bank <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.bank @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_start_error <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_start_error @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_error <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.br_error @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.hist <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.hist @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.toffset <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.bits.toffset @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.i0_brp.valid <= ifu.io.ifu_dec.dec_aln.aln_ib.i0_brp.valid @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc4 <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc4 @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_pc @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_instr <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_instr @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_valid <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_valid @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_btag <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_btag @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_fghr <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_fghr @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_index <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_bp_index @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_dbecc <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_dbecc @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_f1 <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_f1 @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_type <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf_type @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf <= ifu.io.ifu_dec.dec_aln.aln_ib.ifu_i0_icaf @[quasar.scala 88:18] + dec.io.ifu_dec.dec_aln.aln_dec.ifu_i0_cinst <= ifu.io.ifu_dec.dec_aln.aln_dec.ifu_i0_cinst @[quasar.scala 88:18] + ifu.io.ifu_dec.dec_aln.aln_dec.dec_i0_decode_d <= dec.io.ifu_dec.dec_aln.aln_dec.dec_i0_decode_d @[quasar.scala 88:18] + ifu.reset <= io.core_rst_l @[quasar.scala 90:13] + ifu.io.scan_mode <= io.scan_mode @[quasar.scala 91:20] + ifu.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 92:19] + ifu.io.active_clk <= rvclkhdr_1.io.l1clk @[quasar.scala 93:21] + ifu.io.exu_flush_final <= dec.io.exu_flush_final @[quasar.scala 95:26] + ifu.io.exu_flush_path_final <= exu.io.exu_flush_path_final @[quasar.scala 96:31] + ifu.io.ifu_bus_clk_en <= io.ifu_bus_clk_en @[quasar.scala 98:25] + ifu.io.ifu_dma.dma_mem_ctl.dma_mem_tag <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_tag @[quasar.scala 99:18] + ifu.io.ifu_dma.dma_mem_ctl.dma_mem_wdata <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_wdata @[quasar.scala 99:18] + ifu.io.ifu_dma.dma_mem_ctl.dma_mem_write <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_write @[quasar.scala 99:18] + ifu.io.ifu_dma.dma_mem_ctl.dma_mem_sz <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_sz @[quasar.scala 99:18] + ifu.io.ifu_dma.dma_mem_ctl.dma_mem_addr <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_mem_addr @[quasar.scala 99:18] + ifu.io.ifu_dma.dma_mem_ctl.dma_iccm_req <= dma_ctrl.io.ifu_dma.dma_mem_ctl.dma_iccm_req @[quasar.scala 99:18] + ifu.io.ifu_dma.dma_ifc.dma_iccm_stall_any <= dma_ctrl.io.ifu_dma.dma_ifc.dma_iccm_stall_any @[quasar.scala 99:18] + io.ic.sel_premux_data <= ifu.io.ic.sel_premux_data @[quasar.scala 100:13] + io.ic.premux_data <= ifu.io.ic.premux_data @[quasar.scala 100:13] + io.ic.debug_way <= ifu.io.ic.debug_way @[quasar.scala 100:13] + io.ic.debug_tag_array <= ifu.io.ic.debug_tag_array @[quasar.scala 100:13] + io.ic.debug_wr_en <= ifu.io.ic.debug_wr_en @[quasar.scala 100:13] + io.ic.debug_rd_en <= ifu.io.ic.debug_rd_en @[quasar.scala 100:13] + ifu.io.ic.tag_perr <= io.ic.tag_perr @[quasar.scala 100:13] + ifu.io.ic.rd_hit <= io.ic.rd_hit @[quasar.scala 100:13] + ifu.io.ic.parerr <= io.ic.parerr @[quasar.scala 100:13] + ifu.io.ic.eccerr <= io.ic.eccerr @[quasar.scala 100:13] + ifu.io.ic.tag_debug_rd_data <= io.ic.tag_debug_rd_data @[quasar.scala 100:13] + ifu.io.ic.debug_rd_data <= io.ic.debug_rd_data @[quasar.scala 100:13] + ifu.io.ic.rd_data <= io.ic.rd_data @[quasar.scala 100:13] + io.ic.debug_addr <= ifu.io.ic.debug_addr @[quasar.scala 100:13] + io.ic.debug_wr_data <= ifu.io.ic.debug_wr_data @[quasar.scala 100:13] + io.ic.wr_data[0] <= ifu.io.ic.wr_data[0] @[quasar.scala 100:13] + io.ic.wr_data[1] <= ifu.io.ic.wr_data[1] @[quasar.scala 100:13] + io.ic.rd_en <= ifu.io.ic.rd_en @[quasar.scala 100:13] + io.ic.wr_en <= ifu.io.ic.wr_en @[quasar.scala 100:13] + io.ic.tag_valid <= ifu.io.ic.tag_valid @[quasar.scala 100:13] + io.ic.rw_addr <= ifu.io.ic.rw_addr @[quasar.scala 100:13] + ifu.io.iccm.rd_data_ecc <= io.iccm.rd_data_ecc @[quasar.scala 101:15] + ifu.io.iccm.rd_data <= io.iccm.rd_data @[quasar.scala 101:15] + io.iccm.wr_data <= ifu.io.iccm.wr_data @[quasar.scala 101:15] + io.iccm.wr_size <= ifu.io.iccm.wr_size @[quasar.scala 101:15] + io.iccm.rden <= ifu.io.iccm.rden @[quasar.scala 101:15] + io.iccm.wren <= ifu.io.iccm.wren @[quasar.scala 101:15] + io.iccm.correction_state <= ifu.io.iccm.correction_state @[quasar.scala 101:15] + io.iccm.buf_correct_ecc <= ifu.io.iccm.buf_correct_ecc @[quasar.scala 101:15] + io.iccm.rw_addr <= ifu.io.iccm.rw_addr @[quasar.scala 101:15] + ifu.io.exu_ifu.exu_bp.exu_mp_btag <= exu.io.exu_bp.exu_mp_btag @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_index <= exu.io.exu_bp.exu_mp_index @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_fghr <= exu.io.exu_bp.exu_mp_fghr @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_eghr <= exu.io.exu_bp.exu_mp_eghr @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.way <= exu.io.exu_bp.exu_mp_pkt.bits.way @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pja <= exu.io.exu_bp.exu_mp_pkt.bits.pja @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pret <= exu.io.exu_bp.exu_mp_pkt.bits.pret @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pcall <= exu.io.exu_bp.exu_mp_pkt.bits.pcall @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.prett <= exu.io.exu_bp.exu_mp_pkt.bits.prett @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.br_start_error <= exu.io.exu_bp.exu_mp_pkt.bits.br_start_error @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.br_error <= exu.io.exu_bp.exu_mp_pkt.bits.br_error @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.toffset <= exu.io.exu_bp.exu_mp_pkt.bits.toffset @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.hist <= exu.io.exu_bp.exu_mp_pkt.bits.hist @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.pc4 <= exu.io.exu_bp.exu_mp_pkt.bits.pc4 @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.boffset <= exu.io.exu_bp.exu_mp_pkt.bits.boffset @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.ataken <= exu.io.exu_bp.exu_mp_pkt.bits.ataken @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.bits.misp <= exu.io.exu_bp.exu_mp_pkt.bits.misp @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_mp_pkt.valid <= exu.io.exu_bp.exu_mp_pkt.valid @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_i0_br_way_r <= exu.io.exu_bp.exu_i0_br_way_r @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_i0_br_fghr_r <= exu.io.exu_bp.exu_i0_br_fghr_r @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_i0_br_index_r <= exu.io.exu_bp.exu_i0_br_index_r @[quasar.scala 102:25] + ifu.io.exu_ifu.exu_bp.exu_i0_br_fghr_r <= exu.io.exu_bp.exu_i0_br_fghr_r @[quasar.scala 103:42] + ifu.io.exu_ifu.exu_bp.exu_i0_br_index_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_index_r @[quasar.scala 104:43] + ifu.io.dec_tlu_flush_lower_wb <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 105:33] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wr_valid @[quasar.scala 106:51] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_rd_valid @[quasar.scala 106:51] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_dicawics @[quasar.scala 106:51] + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt.icache_wrdata @[quasar.scala 106:51] + dec.reset <= io.core_rst_l @[quasar.scala 109:13] + dec.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 110:19] + dec.io.active_clk <= rvclkhdr_1.io.l1clk @[quasar.scala 111:21] + dec.io.lsu_fastint_stall_any <= lsu.io.lsu_fastint_stall_any @[quasar.scala 112:32] + dec.io.rst_vec <= io.rst_vec @[quasar.scala 113:18] + dec.io.nmi_int <= io.nmi_int @[quasar.scala 114:18] + dec.io.nmi_vec <= io.nmi_vec @[quasar.scala 115:18] + dec.io.i_cpu_halt_req <= io.i_cpu_halt_req @[quasar.scala 116:25] + dec.io.i_cpu_run_req <= io.i_cpu_run_req @[quasar.scala 117:24] + dec.io.core_id <= io.core_id @[quasar.scala 118:18] + dec.io.mpc_debug_halt_req <= io.mpc_debug_halt_req @[quasar.scala 119:29] + dec.io.mpc_debug_run_req <= io.mpc_debug_run_req @[quasar.scala 120:28] + dec.io.mpc_reset_run_req <= io.mpc_reset_run_req @[quasar.scala 121:28] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_tag <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_tag @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_error <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_error @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_valid <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_data_valid @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_tag_r <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_tag_r @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_r <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_inv_r @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_tag_m <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_tag_m @[quasar.scala 122:18] + dec.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_valid_m <= lsu.io.lsu_dec.dctl_busbuff.lsu_nonblock_load_valid_m @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_addr_any <= lsu.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_addr_any @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_store_any <= lsu.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_store_any @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_load_any <= lsu.io.lsu_dec.tlu_busbuff.lsu_imprecise_error_load_any @[quasar.scala 122:18] + lsu.io.lsu_dec.tlu_busbuff.dec_tlu_sideeffect_posted_disable <= dec.io.lsu_dec.tlu_busbuff.dec_tlu_sideeffect_posted_disable @[quasar.scala 122:18] + lsu.io.lsu_dec.tlu_busbuff.dec_tlu_wb_coalescing_disable <= dec.io.lsu_dec.tlu_busbuff.dec_tlu_wb_coalescing_disable @[quasar.scala 122:18] + lsu.io.lsu_dec.tlu_busbuff.dec_tlu_external_ldfwd_disable <= dec.io.lsu_dec.tlu_busbuff.dec_tlu_external_ldfwd_disable @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_busy <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_busy @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_error <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_error @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_misaligned <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_misaligned @[quasar.scala 122:18] + dec.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_trxn <= lsu.io.lsu_dec.tlu_busbuff.lsu_pmu_bus_trxn @[quasar.scala 122:18] + dec.io.lsu_tlu.lsu_pmu_store_external_m <= lsu.io.lsu_tlu.lsu_pmu_store_external_m @[quasar.scala 123:18] + dec.io.lsu_tlu.lsu_pmu_load_external_m <= lsu.io.lsu_tlu.lsu_pmu_load_external_m @[quasar.scala 123:18] + dec.io.lsu_pmu_misaligned_m <= lsu.io.lsu_pmu_misaligned_m @[quasar.scala 124:31] + dec.io.dec_dma.tlu_dma.dma_iccm_stall_any <= dma_ctrl.io.dec_dma.tlu_dma.dma_iccm_stall_any @[quasar.scala 125:18] + dec.io.dec_dma.tlu_dma.dma_dccm_stall_any <= dma_ctrl.io.dec_dma.tlu_dma.dma_dccm_stall_any @[quasar.scala 125:18] + dma_ctrl.io.dec_dma.tlu_dma.dec_tlu_dma_qos_prty <= dec.io.dec_dma.tlu_dma.dec_tlu_dma_qos_prty @[quasar.scala 125:18] + dec.io.dec_dma.tlu_dma.dma_pmu_any_write <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_any_write @[quasar.scala 125:18] + dec.io.dec_dma.tlu_dma.dma_pmu_any_read <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_any_read @[quasar.scala 125:18] + dec.io.dec_dma.tlu_dma.dma_pmu_dccm_write <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_dccm_write @[quasar.scala 125:18] + dec.io.dec_dma.tlu_dma.dma_pmu_dccm_read <= dma_ctrl.io.dec_dma.tlu_dma.dma_pmu_dccm_read @[quasar.scala 125:18] + dec.io.dec_dma.dctl_dma.dma_dccm_stall_any <= dma_ctrl.io.dec_dma.dctl_dma.dma_dccm_stall_any @[quasar.scala 125:18] + dec.io.lsu_fir_addr <= lsu.io.lsu_fir_addr @[quasar.scala 127:23] + dec.io.lsu_fir_error <= lsu.io.lsu_fir_error @[quasar.scala 128:24] + dec.io.lsu_trigger_match_m <= lsu.io.lsu_trigger_match_m @[quasar.scala 129:30] + dec.io.dec_dbg.dbg_dctl.dbg_cmd_wrdata <= dbg.io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[quasar.scala 130:18] + dec.io.dec_dbg.dbg_ib.dbg_cmd_addr <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_addr @[quasar.scala 130:18] + dec.io.dec_dbg.dbg_ib.dbg_cmd_type <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_type @[quasar.scala 130:18] + dec.io.dec_dbg.dbg_ib.dbg_cmd_write <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_write @[quasar.scala 130:18] + dec.io.dec_dbg.dbg_ib.dbg_cmd_valid <= dbg.io.dbg_dec.dbg_ib.dbg_cmd_valid @[quasar.scala 130:18] + dec.io.lsu_idle_any <= lsu.io.lsu_idle_any @[quasar.scala 131:23] + dec.io.lsu_error_pkt_r.bits.addr <= lsu.io.lsu_error_pkt_r.bits.addr @[quasar.scala 132:26] + dec.io.lsu_error_pkt_r.bits.mscause <= lsu.io.lsu_error_pkt_r.bits.mscause @[quasar.scala 132:26] + dec.io.lsu_error_pkt_r.bits.exc_type <= lsu.io.lsu_error_pkt_r.bits.exc_type @[quasar.scala 132:26] + dec.io.lsu_error_pkt_r.bits.inst_type <= lsu.io.lsu_error_pkt_r.bits.inst_type @[quasar.scala 132:26] + dec.io.lsu_error_pkt_r.bits.single_ecc_error <= lsu.io.lsu_error_pkt_r.bits.single_ecc_error @[quasar.scala 132:26] + dec.io.lsu_error_pkt_r.valid <= lsu.io.lsu_error_pkt_r.valid @[quasar.scala 132:26] + dec.io.lsu_single_ecc_error_incr <= lsu.io.lsu_single_ecc_error_incr @[quasar.scala 133:36] + dec.io.exu_div_result <= exu.io.exu_div_result @[quasar.scala 134:25] + dec.io.exu_div_wren <= exu.io.exu_div_wren @[quasar.scala 135:23] + dec.io.lsu_result_m <= lsu.io.lsu_result_m @[quasar.scala 136:23] + dec.io.lsu_result_corr_r <= lsu.io.lsu_result_corr_r @[quasar.scala 137:28] + dec.io.lsu_load_stall_any <= lsu.io.lsu_load_stall_any @[quasar.scala 138:29] + dec.io.lsu_store_stall_any <= lsu.io.lsu_store_stall_any @[quasar.scala 139:30] + dec.io.iccm_dma_sb_error <= ifu.io.iccm_dma_sb_error @[quasar.scala 140:28] + dec.io.exu_flush_final <= exu.io.exu_flush_final @[quasar.scala 141:26] + dec.io.soft_int <= io.soft_int @[quasar.scala 143:19] + dec.io.dbg_halt_req <= dbg.io.dbg_halt_req @[quasar.scala 144:23] + dec.io.dbg_resume_req <= dbg.io.dbg_resume_req @[quasar.scala 145:25] + dec.io.exu_i0_br_way_r <= exu.io.exu_bp.exu_i0_br_way_r @[quasar.scala 146:26] + dec.io.timer_int <= io.timer_int @[quasar.scala 147:20] + dec.io.scan_mode <= io.scan_mode @[quasar.scala 148:20] + exu.io.dec_exu.gpr_exu.gpr_i0_rs2_d <= dec.io.dec_exu.gpr_exu.gpr_i0_rs2_d @[quasar.scala 151:18] + exu.io.dec_exu.gpr_exu.gpr_i0_rs1_d <= dec.io.dec_exu.gpr_exu.gpr_i0_rs1_d @[quasar.scala 151:18] + exu.io.dec_exu.ib_exu.dec_debug_wdata_rs1_d <= dec.io.dec_exu.ib_exu.dec_debug_wdata_rs1_d @[quasar.scala 151:18] + exu.io.dec_exu.ib_exu.dec_i0_pc_d <= dec.io.dec_exu.ib_exu.dec_i0_pc_d @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_npc_r <= exu.io.dec_exu.tlu_exu.exu_npc_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_pmu_i0_pc4 <= exu.io.dec_exu.tlu_exu.exu_pmu_i0_pc4 @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_pmu_i0_br_ataken <= exu.io.dec_exu.tlu_exu.exu_pmu_i0_br_ataken @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_pmu_i0_br_misp <= exu.io.dec_exu.tlu_exu.exu_pmu_i0_br_misp @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_middle_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_middle_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_mp_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_mp_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_valid_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_valid_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_index_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_index_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_start_error_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_start_error_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_error_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_error_r @[quasar.scala 151:18] + dec.io.dec_exu.tlu_exu.exu_i0_br_hist_r <= exu.io.dec_exu.tlu_exu.exu_i0_br_hist_r @[quasar.scala 151:18] + exu.io.dec_exu.tlu_exu.dec_tlu_flush_path_r <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_path_r @[quasar.scala 151:18] + exu.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 151:18] + exu.io.dec_exu.tlu_exu.dec_tlu_meihap <= dec.io.dec_exu.tlu_exu.dec_tlu_meihap @[quasar.scala 151:18] + dec.io.dec_exu.decode_exu.exu_csr_rs1_x <= exu.io.dec_exu.decode_exu.exu_csr_rs1_x @[quasar.scala 151:18] + dec.io.dec_exu.decode_exu.exu_i0_result_x <= exu.io.dec_exu.decode_exu.exu_i0_result_x @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_extint_stall <= dec.io.dec_exu.decode_exu.dec_extint_stall @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.pred_correct_npc_x <= dec.io.dec_exu.decode_exu.pred_correct_npc_x @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.bfp <= dec.io.dec_exu.decode_exu.mul_p.bits.bfp @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.crc32c_w <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32c_w @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.crc32c_h <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32c_h @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.crc32c_b <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32c_b @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.crc32_w <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32_w @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.crc32_h <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32_h @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.crc32_b <= dec.io.dec_exu.decode_exu.mul_p.bits.crc32_b @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.unshfl <= dec.io.dec_exu.decode_exu.mul_p.bits.unshfl @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.shfl <= dec.io.dec_exu.decode_exu.mul_p.bits.shfl @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.grev <= dec.io.dec_exu.decode_exu.mul_p.bits.grev @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.clmulr <= dec.io.dec_exu.decode_exu.mul_p.bits.clmulr @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.clmulh <= dec.io.dec_exu.decode_exu.mul_p.bits.clmulh @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.clmul <= dec.io.dec_exu.decode_exu.mul_p.bits.clmul @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.bdep <= dec.io.dec_exu.decode_exu.mul_p.bits.bdep @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.bext <= dec.io.dec_exu.decode_exu.mul_p.bits.bext @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.low <= dec.io.dec_exu.decode_exu.mul_p.bits.low @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.rs2_sign <= dec.io.dec_exu.decode_exu.mul_p.bits.rs2_sign @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.bits.rs1_sign <= dec.io.dec_exu.decode_exu.mul_p.bits.rs1_sign @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.mul_p.valid <= dec.io.dec_exu.decode_exu.mul_p.valid @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs2_bypass_en_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs1_bypass_en_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_select_pc_d <= dec.io.dec_exu.decode_exu.dec_i0_select_pc_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_rs2_bypass_data_d <= dec.io.dec_exu.decode_exu.dec_i0_rs2_bypass_data_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_rs1_bypass_data_d <= dec.io.dec_exu.decode_exu.dec_i0_rs1_bypass_data_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_immed_d <= dec.io.dec_exu.decode_exu.dec_i0_immed_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_rs2_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs2_en_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_rs1_en_d <= dec.io.dec_exu.decode_exu.dec_i0_rs1_en_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_predict_btag_d <= dec.io.dec_exu.decode_exu.i0_predict_btag_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_predict_index_d <= dec.io.dec_exu.decode_exu.i0_predict_index_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_predict_fghr_d <= dec.io.dec_exu.decode_exu.i0_predict_fghr_d @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.way <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.way @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pja <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pja @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pret <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pret @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pcall <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pcall @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.prett <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.prett @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_start_error <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_start_error @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_error <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.br_error @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.toffset <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.toffset @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.hist <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.hist @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pc4 <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.pc4 @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.boffset <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.boffset @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.ataken <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.ataken @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.misp <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.bits.misp @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_i0_predict_p_d.valid <= dec.io.dec_exu.decode_exu.dec_i0_predict_p_d.valid @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.csr_imm <= dec.io.dec_exu.decode_exu.i0_ap.csr_imm @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.csr_write <= dec.io.dec_exu.decode_exu.i0_ap.csr_write @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.predict_nt <= dec.io.dec_exu.decode_exu.i0_ap.predict_nt @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.predict_t <= dec.io.dec_exu.decode_exu.i0_ap.predict_t @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.jal <= dec.io.dec_exu.decode_exu.i0_ap.jal @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.unsign <= dec.io.dec_exu.decode_exu.i0_ap.unsign @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.slt <= dec.io.dec_exu.decode_exu.i0_ap.slt @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.sub <= dec.io.dec_exu.decode_exu.i0_ap.sub @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.add <= dec.io.dec_exu.decode_exu.i0_ap.add @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.bge <= dec.io.dec_exu.decode_exu.i0_ap.bge @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.blt <= dec.io.dec_exu.decode_exu.i0_ap.blt @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.bne <= dec.io.dec_exu.decode_exu.i0_ap.bne @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.beq <= dec.io.dec_exu.decode_exu.i0_ap.beq @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.sra <= dec.io.dec_exu.decode_exu.i0_ap.sra @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.srl <= dec.io.dec_exu.decode_exu.i0_ap.srl @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.sll <= dec.io.dec_exu.decode_exu.i0_ap.sll @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.lxor <= dec.io.dec_exu.decode_exu.i0_ap.lxor @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.lor <= dec.io.dec_exu.decode_exu.i0_ap.lor @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.i0_ap.land <= dec.io.dec_exu.decode_exu.i0_ap.land @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_ctl_en <= dec.io.dec_exu.decode_exu.dec_ctl_en @[quasar.scala 151:18] + exu.io.dec_exu.decode_exu.dec_data_en <= dec.io.dec_exu.decode_exu.dec_data_en @[quasar.scala 151:18] + exu.io.dec_exu.dec_div.dec_div_cancel <= dec.io.dec_exu.dec_div.dec_div_cancel @[quasar.scala 151:18] + exu.io.dec_exu.dec_div.div_p.bits.rem <= dec.io.dec_exu.dec_div.div_p.bits.rem @[quasar.scala 151:18] + exu.io.dec_exu.dec_div.div_p.bits.unsign <= dec.io.dec_exu.dec_div.div_p.bits.unsign @[quasar.scala 151:18] + exu.io.dec_exu.dec_div.div_p.valid <= dec.io.dec_exu.dec_div.div_p.valid @[quasar.scala 151:18] + dec.io.dec_exu.dec_alu.exu_i0_pc_x <= exu.io.dec_exu.dec_alu.exu_i0_pc_x @[quasar.scala 151:18] + exu.io.dec_exu.dec_alu.dec_i0_br_immed_d <= dec.io.dec_exu.dec_alu.dec_i0_br_immed_d @[quasar.scala 151:18] + exu.io.dec_exu.dec_alu.dec_csr_ren_d <= dec.io.dec_exu.dec_alu.dec_csr_ren_d @[quasar.scala 151:18] + exu.io.dec_exu.dec_alu.dec_i0_alu_decode_d <= dec.io.dec_exu.dec_alu.dec_i0_alu_decode_d @[quasar.scala 151:18] + exu.reset <= io.core_rst_l @[quasar.scala 152:13] + exu.io.scan_mode <= io.scan_mode @[quasar.scala 153:20] + exu.io.dbg_cmd_wrdata <= dbg.io.dbg_dec.dbg_dctl.dbg_cmd_wrdata @[quasar.scala 154:25] + lsu.reset <= io.core_rst_l @[quasar.scala 157:13] + lsu.io.clk_override <= dec.io.dec_tlu_lsu_clk_override @[quasar.scala 158:23] + lsu.io.dec_tlu_flush_lower_r <= dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r @[quasar.scala 159:32] + lsu.io.dec_tlu_i0_kill_writeb_r <= dec.io.dec_tlu_i0_kill_writeb_r @[quasar.scala 160:35] + lsu.io.dec_tlu_force_halt <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_force_halt @[quasar.scala 161:29] + lsu.io.dec_tlu_core_ecc_disable <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[quasar.scala 162:35] + lsu.io.lsu_exu.exu_lsu_rs2_d <= exu.io.lsu_exu.exu_lsu_rs2_d @[quasar.scala 163:18] + lsu.io.lsu_exu.exu_lsu_rs1_d <= exu.io.lsu_exu.exu_lsu_rs1_d @[quasar.scala 163:18] + lsu.io.dec_lsu_offset_d <= dec.io.dec_lsu_offset_d @[quasar.scala 164:27] + lsu.io.lsu_p.bits.store_data_bypass_m <= dec.io.lsu_p.bits.store_data_bypass_m @[quasar.scala 165:16] + lsu.io.lsu_p.bits.load_ldst_bypass_d <= dec.io.lsu_p.bits.load_ldst_bypass_d @[quasar.scala 165:16] + lsu.io.lsu_p.bits.store_data_bypass_d <= dec.io.lsu_p.bits.store_data_bypass_d @[quasar.scala 165:16] + lsu.io.lsu_p.bits.dma <= dec.io.lsu_p.bits.dma @[quasar.scala 165:16] + lsu.io.lsu_p.bits.unsign <= dec.io.lsu_p.bits.unsign @[quasar.scala 165:16] + lsu.io.lsu_p.bits.store <= dec.io.lsu_p.bits.store @[quasar.scala 165:16] + lsu.io.lsu_p.bits.load <= dec.io.lsu_p.bits.load @[quasar.scala 165:16] + lsu.io.lsu_p.bits.dword <= dec.io.lsu_p.bits.dword @[quasar.scala 165:16] + lsu.io.lsu_p.bits.word <= dec.io.lsu_p.bits.word @[quasar.scala 165:16] + lsu.io.lsu_p.bits.half <= dec.io.lsu_p.bits.half @[quasar.scala 165:16] + lsu.io.lsu_p.bits.by <= dec.io.lsu_p.bits.by @[quasar.scala 165:16] + lsu.io.lsu_p.bits.fast_int <= dec.io.lsu_p.bits.fast_int @[quasar.scala 165:16] + lsu.io.lsu_p.valid <= dec.io.lsu_p.valid @[quasar.scala 165:16] + lsu.io.dec_lsu_valid_raw_d <= dec.io.dec_lsu_valid_raw_d @[quasar.scala 166:30] + lsu.io.dec_tlu_mrac_ff <= dec.io.ifu_dec.dec_ifc.dec_tlu_mrac_ff @[quasar.scala 167:26] + lsu.io.trigger_pkt_any[0].tdata2 <= dec.io.trigger_pkt_any[0].tdata2 @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[0].m <= dec.io.trigger_pkt_any[0].m @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[0].execute <= dec.io.trigger_pkt_any[0].execute @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[0].load <= dec.io.trigger_pkt_any[0].load @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[0].store <= dec.io.trigger_pkt_any[0].store @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[0].match_pkt <= dec.io.trigger_pkt_any[0].match_pkt @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[0].select <= dec.io.trigger_pkt_any[0].select @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].tdata2 <= dec.io.trigger_pkt_any[1].tdata2 @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].m <= dec.io.trigger_pkt_any[1].m @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].execute <= dec.io.trigger_pkt_any[1].execute @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].load <= dec.io.trigger_pkt_any[1].load @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].store <= dec.io.trigger_pkt_any[1].store @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].match_pkt <= dec.io.trigger_pkt_any[1].match_pkt @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[1].select <= dec.io.trigger_pkt_any[1].select @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].tdata2 <= dec.io.trigger_pkt_any[2].tdata2 @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].m <= dec.io.trigger_pkt_any[2].m @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].execute <= dec.io.trigger_pkt_any[2].execute @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].load <= dec.io.trigger_pkt_any[2].load @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].store <= dec.io.trigger_pkt_any[2].store @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].match_pkt <= dec.io.trigger_pkt_any[2].match_pkt @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[2].select <= dec.io.trigger_pkt_any[2].select @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].tdata2 <= dec.io.trigger_pkt_any[3].tdata2 @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].m <= dec.io.trigger_pkt_any[3].m @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].execute <= dec.io.trigger_pkt_any[3].execute @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].load <= dec.io.trigger_pkt_any[3].load @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].store <= dec.io.trigger_pkt_any[3].store @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].match_pkt <= dec.io.trigger_pkt_any[3].match_pkt @[quasar.scala 168:26] + lsu.io.trigger_pkt_any[3].select <= dec.io.trigger_pkt_any[3].select @[quasar.scala 168:26] + lsu.io.lsu_bus_clk_en <= io.lsu_bus_clk_en @[quasar.scala 170:25] + lsu.io.lsu_dma.dma_mem_tag <= dma_ctrl.io.lsu_dma.dma_mem_tag @[quasar.scala 171:18] + dma_ctrl.io.lsu_dma.dccm_ready <= lsu.io.lsu_dma.dccm_ready @[quasar.scala 171:18] + dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_rdata @[quasar.scala 171:18] + dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_rtag @[quasar.scala 171:18] + dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_ecc_error @[quasar.scala 171:18] + dma_ctrl.io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid <= lsu.io.lsu_dma.dma_dccm_ctl.dccm_dma_rvalid @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_dccm_ctl.dma_mem_wdata <= dma_ctrl.io.lsu_dma.dma_dccm_ctl.dma_mem_wdata @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_dccm_ctl.dma_mem_addr <= dma_ctrl.io.lsu_dma.dma_dccm_ctl.dma_mem_addr @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_wdata <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_wdata @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_write <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_write @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_sz <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_sz @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_lsc_ctl.dma_mem_addr <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_mem_addr @[quasar.scala 171:18] + lsu.io.lsu_dma.dma_lsc_ctl.dma_dccm_req <= dma_ctrl.io.lsu_dma.dma_lsc_ctl.dma_dccm_req @[quasar.scala 171:18] + lsu.io.scan_mode <= io.scan_mode @[quasar.scala 172:20] + lsu.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 173:19] + dbg.reset <= io.core_rst_l @[quasar.scala 176:13] + node _T_8 = mux(dma_ctrl.io.dma_dbg_cmd_done, dma_ctrl.io.dma_dbg_rddata, dec.io.dec_dbg_rddata) @[quasar.scala 177:32] + dbg.io.core_dbg_rddata <= _T_8 @[quasar.scala 177:26] + node _T_9 = or(dma_ctrl.io.dma_dbg_cmd_done, dec.io.dec_dbg_cmd_done) @[quasar.scala 178:60] + dbg.io.core_dbg_cmd_done <= _T_9 @[quasar.scala 178:28] + node _T_10 = or(dma_ctrl.io.dma_dbg_cmd_fail, dec.io.dec_dbg_cmd_fail) @[quasar.scala 179:60] + dbg.io.core_dbg_cmd_fail <= _T_10 @[quasar.scala 179:28] + dbg.io.dec_tlu_debug_mode <= dec.io.dec_tlu_debug_mode @[quasar.scala 180:29] + dbg.io.dec_tlu_dbg_halted <= dec.io.dec_tlu_dbg_halted @[quasar.scala 181:29] + dbg.io.dec_tlu_mpc_halted_only <= dec.io.dec_tlu_mpc_halted_only @[quasar.scala 182:34] + dbg.io.dec_tlu_resume_ack <= dec.io.dec_tlu_resume_ack @[quasar.scala 183:29] + dbg.io.dmi_reg_en <= io.dmi_reg_en @[quasar.scala 184:21] + dbg.io.dmi_reg_addr <= io.dmi_reg_addr @[quasar.scala 185:23] + dbg.io.dmi_reg_wr_en <= io.dmi_reg_wr_en @[quasar.scala 186:24] + dbg.io.dmi_reg_wdata <= io.dmi_reg_wdata @[quasar.scala 187:24] + dbg.io.dbg_bus_clk_en <= io.dbg_bus_clk_en @[quasar.scala 188:25] + node _T_11 = asUInt(io.dbg_rst_l) @[quasar.scala 189:42] + dbg.io.dbg_rst_l <= _T_11 @[quasar.scala 189:20] + dbg.io.clk_override <= dec.io.dec_tlu_misc_clk_override @[quasar.scala 190:23] + dbg.io.scan_mode <= io.scan_mode @[quasar.scala 191:20] + dma_ctrl.reset <= io.core_rst_l @[quasar.scala 195:18] + dma_ctrl.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 196:24] + dma_ctrl.io.dma_bus_clk_en <= io.dma_bus_clk_en @[quasar.scala 197:30] + dma_ctrl.io.clk_override <= dec.io.dec_tlu_misc_clk_override @[quasar.scala 198:28] + dma_ctrl.io.scan_mode <= io.scan_mode @[quasar.scala 199:25] + dma_ctrl.io.dbg_dma.dbg_dctl.dbg_cmd_wrdata <= dbg.io.dbg_dma.dbg_dctl.dbg_cmd_wrdata @[quasar.scala 200:23] + dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_addr <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_addr @[quasar.scala 200:23] + dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_type <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_type @[quasar.scala 200:23] + dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_write <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_write @[quasar.scala 200:23] + dma_ctrl.io.dbg_dma.dbg_ib.dbg_cmd_valid <= dbg.io.dbg_dma.dbg_ib.dbg_cmd_valid @[quasar.scala 200:23] + dbg.io.dbg_dma_io.dma_dbg_ready <= dma_ctrl.io.dbg_dma_io.dma_dbg_ready @[quasar.scala 201:26] + dma_ctrl.io.dbg_dma_io.dbg_dma_bubble <= dbg.io.dbg_dma_io.dbg_dma_bubble @[quasar.scala 201:26] + dma_ctrl.io.dbg_cmd_size <= dbg.io.dbg_cmd_size @[quasar.scala 202:28] + dma_ctrl.io.iccm_dma_rvalid <= ifu.io.iccm_dma_rvalid @[quasar.scala 203:31] + dma_ctrl.io.iccm_dma_rtag <= ifu.io.iccm_dma_rtag @[quasar.scala 204:29] + dma_ctrl.io.iccm_dma_rdata <= ifu.io.iccm_dma_rdata @[quasar.scala 205:30] + dma_ctrl.io.iccm_ready <= ifu.io.iccm_ready @[quasar.scala 206:26] + dma_ctrl.io.iccm_dma_ecc_error <= ifu.io.iccm_dma_ecc_error @[quasar.scala 207:34] + pic_ctrl_inst.io.scan_mode <= io.scan_mode @[quasar.scala 210:30] + pic_ctrl_inst.reset <= io.core_rst_l @[quasar.scala 211:23] + pic_ctrl_inst.io.free_clk <= rvclkhdr.io.l1clk @[quasar.scala 212:29] + pic_ctrl_inst.io.active_clk <= rvclkhdr_1.io.l1clk @[quasar.scala 213:31] + pic_ctrl_inst.io.clk_override <= dec.io.dec_tlu_pic_clk_override @[quasar.scala 214:33] + pic_ctrl_inst.io.extintsrc_req <= io.extintsrc_req @[quasar.scala 215:34] + lsu.io.lsu_pic.picm_rd_data <= pic_ctrl_inst.io.lsu_pic.picm_rd_data @[quasar.scala 216:28] + pic_ctrl_inst.io.lsu_pic.picm_wr_data <= lsu.io.lsu_pic.picm_wr_data @[quasar.scala 216:28] + pic_ctrl_inst.io.lsu_pic.picm_wraddr <= lsu.io.lsu_pic.picm_wraddr @[quasar.scala 216:28] + pic_ctrl_inst.io.lsu_pic.picm_rdaddr <= lsu.io.lsu_pic.picm_rdaddr @[quasar.scala 216:28] + pic_ctrl_inst.io.lsu_pic.picm_mken <= lsu.io.lsu_pic.picm_mken @[quasar.scala 216:28] + pic_ctrl_inst.io.lsu_pic.picm_rden <= lsu.io.lsu_pic.picm_rden @[quasar.scala 216:28] + pic_ctrl_inst.io.lsu_pic.picm_wren <= lsu.io.lsu_pic.picm_wren @[quasar.scala 216:28] + dec.io.dec_pic.mexintpend <= pic_ctrl_inst.io.dec_pic.mexintpend @[quasar.scala 217:28] + pic_ctrl_inst.io.dec_pic.dec_tlu_meipt <= dec.io.dec_pic.dec_tlu_meipt @[quasar.scala 217:28] + pic_ctrl_inst.io.dec_pic.dec_tlu_meicurpl <= dec.io.dec_pic.dec_tlu_meicurpl @[quasar.scala 217:28] + dec.io.dec_pic.mhwakeup <= pic_ctrl_inst.io.dec_pic.mhwakeup @[quasar.scala 217:28] + dec.io.dec_pic.pic_pl <= pic_ctrl_inst.io.dec_pic.pic_pl @[quasar.scala 217:28] + dec.io.dec_pic.pic_claimid <= pic_ctrl_inst.io.dec_pic.pic_claimid @[quasar.scala 217:28] + io.rv_trace_pkt.rv_i_tval_ip <= dec.io.rv_trace_pkt.rv_i_tval_ip @[quasar.scala 219:19] + io.rv_trace_pkt.rv_i_interrupt_ip <= dec.io.rv_trace_pkt.rv_i_interrupt_ip @[quasar.scala 219:19] + io.rv_trace_pkt.rv_i_ecause_ip <= dec.io.rv_trace_pkt.rv_i_ecause_ip @[quasar.scala 219:19] + io.rv_trace_pkt.rv_i_exception_ip <= dec.io.rv_trace_pkt.rv_i_exception_ip @[quasar.scala 219:19] + io.rv_trace_pkt.rv_i_address_ip <= dec.io.rv_trace_pkt.rv_i_address_ip @[quasar.scala 219:19] + io.rv_trace_pkt.rv_i_insn_ip <= dec.io.rv_trace_pkt.rv_i_insn_ip @[quasar.scala 219:19] + io.rv_trace_pkt.rv_i_valid_ip <= dec.io.rv_trace_pkt.rv_i_valid_ip @[quasar.scala 219:19] + io.dccm_clk_override <= dec.io.dec_tlu_dccm_clk_override @[quasar.scala 222:24] + io.icm_clk_override <= dec.io.dec_tlu_icm_clk_override @[quasar.scala 223:23] + io.dec_tlu_core_ecc_disable <= dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_core_ecc_disable @[quasar.scala 224:31] + io.o_cpu_halt_ack <= dec.io.o_cpu_halt_ack @[quasar.scala 225:21] + io.o_cpu_halt_status <= dec.io.o_cpu_halt_status @[quasar.scala 226:24] + io.o_cpu_run_ack <= dec.io.o_cpu_run_ack @[quasar.scala 227:20] + io.o_debug_mode_status <= dec.io.o_debug_mode_status @[quasar.scala 228:26] + io.mpc_debug_halt_ack <= dec.io.mpc_debug_halt_ack @[quasar.scala 229:25] + io.mpc_debug_run_ack <= dec.io.mpc_debug_run_ack @[quasar.scala 230:24] + io.debug_brkpt_status <= dec.io.debug_brkpt_status @[quasar.scala 231:25] + io.dec_tlu_perfcnt0 <= dec.io.dec_tlu_perfcnt0 @[quasar.scala 232:23] + io.dec_tlu_perfcnt1 <= dec.io.dec_tlu_perfcnt1 @[quasar.scala 233:23] + io.dec_tlu_perfcnt2 <= dec.io.dec_tlu_perfcnt2 @[quasar.scala 234:23] + io.dec_tlu_perfcnt3 <= dec.io.dec_tlu_perfcnt3 @[quasar.scala 235:23] + io.dmi_reg_rdata <= dbg.io.dmi_reg_rdata @[quasar.scala 236:20] + lsu.io.dccm.rd_data_hi <= io.dccm.rd_data_hi @[quasar.scala 239:11] + lsu.io.dccm.rd_data_lo <= io.dccm.rd_data_lo @[quasar.scala 239:11] + io.dccm.wr_data_hi <= lsu.io.dccm.wr_data_hi @[quasar.scala 239:11] + io.dccm.wr_data_lo <= lsu.io.dccm.wr_data_lo @[quasar.scala 239:11] + io.dccm.rd_addr_hi <= lsu.io.dccm.rd_addr_hi @[quasar.scala 239:11] + io.dccm.rd_addr_lo <= lsu.io.dccm.rd_addr_lo @[quasar.scala 239:11] + io.dccm.wr_addr_hi <= lsu.io.dccm.wr_addr_hi @[quasar.scala 239:11] + io.dccm.wr_addr_lo <= lsu.io.dccm.wr_addr_lo @[quasar.scala 239:11] + io.dccm.rden <= lsu.io.dccm.rden @[quasar.scala 239:11] + io.dccm.wren <= lsu.io.dccm.wren @[quasar.scala 239:11] + wire _T_12 : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}} @[quasar.scala 278:42] + _T_12.out.hwdata <= UInt<64>("h00") @[quasar.scala 278:42] + _T_12.out.hwrite <= UInt<1>("h00") @[quasar.scala 278:42] + _T_12.out.htrans <= UInt<2>("h00") @[quasar.scala 278:42] + _T_12.out.hsize <= UInt<3>("h00") @[quasar.scala 278:42] + _T_12.out.hprot <= UInt<4>("h00") @[quasar.scala 278:42] + _T_12.out.hmastlock <= UInt<1>("h00") @[quasar.scala 278:42] + _T_12.out.hburst <= UInt<3>("h00") @[quasar.scala 278:42] + _T_12.out.haddr <= UInt<32>("h00") @[quasar.scala 278:42] + _T_12.in.hresp <= UInt<1>("h00") @[quasar.scala 278:42] + _T_12.in.hready <= UInt<1>("h00") @[quasar.scala 278:42] + _T_12.in.hrdata <= UInt<64>("h00") @[quasar.scala 278:42] + io.lsu_ahb.out.hwdata <= _T_12.out.hwdata @[quasar.scala 278:27] + io.lsu_ahb.out.hwrite <= _T_12.out.hwrite @[quasar.scala 278:27] + io.lsu_ahb.out.htrans <= _T_12.out.htrans @[quasar.scala 278:27] + io.lsu_ahb.out.hsize <= _T_12.out.hsize @[quasar.scala 278:27] + io.lsu_ahb.out.hprot <= _T_12.out.hprot @[quasar.scala 278:27] + io.lsu_ahb.out.hmastlock <= _T_12.out.hmastlock @[quasar.scala 278:27] + io.lsu_ahb.out.hburst <= _T_12.out.hburst @[quasar.scala 278:27] + io.lsu_ahb.out.haddr <= _T_12.out.haddr @[quasar.scala 278:27] + _T_12.in.hresp <= io.lsu_ahb.in.hresp @[quasar.scala 278:27] + _T_12.in.hready <= io.lsu_ahb.in.hready @[quasar.scala 278:27] + _T_12.in.hrdata <= io.lsu_ahb.in.hrdata @[quasar.scala 278:27] + wire _T_13 : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}} @[quasar.scala 279:42] + _T_13.out.hwdata <= UInt<64>("h00") @[quasar.scala 279:42] + _T_13.out.hwrite <= UInt<1>("h00") @[quasar.scala 279:42] + _T_13.out.htrans <= UInt<2>("h00") @[quasar.scala 279:42] + _T_13.out.hsize <= UInt<3>("h00") @[quasar.scala 279:42] + _T_13.out.hprot <= UInt<4>("h00") @[quasar.scala 279:42] + _T_13.out.hmastlock <= UInt<1>("h00") @[quasar.scala 279:42] + _T_13.out.hburst <= UInt<3>("h00") @[quasar.scala 279:42] + _T_13.out.haddr <= UInt<32>("h00") @[quasar.scala 279:42] + _T_13.in.hresp <= UInt<1>("h00") @[quasar.scala 279:42] + _T_13.in.hready <= UInt<1>("h00") @[quasar.scala 279:42] + _T_13.in.hrdata <= UInt<64>("h00") @[quasar.scala 279:42] + io.ifu_ahb.out.hwdata <= _T_13.out.hwdata @[quasar.scala 279:27] + io.ifu_ahb.out.hwrite <= _T_13.out.hwrite @[quasar.scala 279:27] + io.ifu_ahb.out.htrans <= _T_13.out.htrans @[quasar.scala 279:27] + io.ifu_ahb.out.hsize <= _T_13.out.hsize @[quasar.scala 279:27] + io.ifu_ahb.out.hprot <= _T_13.out.hprot @[quasar.scala 279:27] + io.ifu_ahb.out.hmastlock <= _T_13.out.hmastlock @[quasar.scala 279:27] + io.ifu_ahb.out.hburst <= _T_13.out.hburst @[quasar.scala 279:27] + io.ifu_ahb.out.haddr <= _T_13.out.haddr @[quasar.scala 279:27] + _T_13.in.hresp <= io.ifu_ahb.in.hresp @[quasar.scala 279:27] + _T_13.in.hready <= io.ifu_ahb.in.hready @[quasar.scala 279:27] + _T_13.in.hrdata <= io.ifu_ahb.in.hrdata @[quasar.scala 279:27] + wire _T_14 : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}} @[quasar.scala 280:42] + _T_14.out.hwdata <= UInt<64>("h00") @[quasar.scala 280:42] + _T_14.out.hwrite <= UInt<1>("h00") @[quasar.scala 280:42] + _T_14.out.htrans <= UInt<2>("h00") @[quasar.scala 280:42] + _T_14.out.hsize <= UInt<3>("h00") @[quasar.scala 280:42] + _T_14.out.hprot <= UInt<4>("h00") @[quasar.scala 280:42] + _T_14.out.hmastlock <= UInt<1>("h00") @[quasar.scala 280:42] + _T_14.out.hburst <= UInt<3>("h00") @[quasar.scala 280:42] + _T_14.out.haddr <= UInt<32>("h00") @[quasar.scala 280:42] + _T_14.in.hresp <= UInt<1>("h00") @[quasar.scala 280:42] + _T_14.in.hready <= UInt<1>("h00") @[quasar.scala 280:42] + _T_14.in.hrdata <= UInt<64>("h00") @[quasar.scala 280:42] + io.sb_ahb.out.hwdata <= _T_14.out.hwdata @[quasar.scala 280:27] + io.sb_ahb.out.hwrite <= _T_14.out.hwrite @[quasar.scala 280:27] + io.sb_ahb.out.htrans <= _T_14.out.htrans @[quasar.scala 280:27] + io.sb_ahb.out.hsize <= _T_14.out.hsize @[quasar.scala 280:27] + io.sb_ahb.out.hprot <= _T_14.out.hprot @[quasar.scala 280:27] + io.sb_ahb.out.hmastlock <= _T_14.out.hmastlock @[quasar.scala 280:27] + io.sb_ahb.out.hburst <= _T_14.out.hburst @[quasar.scala 280:27] + io.sb_ahb.out.haddr <= _T_14.out.haddr @[quasar.scala 280:27] + _T_14.in.hresp <= io.sb_ahb.in.hresp @[quasar.scala 280:27] + _T_14.in.hready <= io.sb_ahb.in.hready @[quasar.scala 280:27] + _T_14.in.hrdata <= io.sb_ahb.in.hrdata @[quasar.scala 280:27] + wire _T_15 : {flip sig : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, flip hsel : UInt<1>, flip hreadyin : UInt<1>} @[quasar.scala 281:42] + _T_15.hreadyin <= UInt<1>("h00") @[quasar.scala 281:42] + _T_15.hsel <= UInt<1>("h00") @[quasar.scala 281:42] + _T_15.sig.out.hwdata <= UInt<64>("h00") @[quasar.scala 281:42] + _T_15.sig.out.hwrite <= UInt<1>("h00") @[quasar.scala 281:42] + _T_15.sig.out.htrans <= UInt<2>("h00") @[quasar.scala 281:42] + _T_15.sig.out.hsize <= UInt<3>("h00") @[quasar.scala 281:42] + _T_15.sig.out.hprot <= UInt<4>("h00") @[quasar.scala 281:42] + _T_15.sig.out.hmastlock <= UInt<1>("h00") @[quasar.scala 281:42] + _T_15.sig.out.hburst <= UInt<3>("h00") @[quasar.scala 281:42] + _T_15.sig.out.haddr <= UInt<32>("h00") @[quasar.scala 281:42] + _T_15.sig.in.hresp <= UInt<1>("h00") @[quasar.scala 281:42] + _T_15.sig.in.hready <= UInt<1>("h00") @[quasar.scala 281:42] + _T_15.sig.in.hrdata <= UInt<64>("h00") @[quasar.scala 281:42] + _T_15.hreadyin <= io.dma_ahb.hreadyin @[quasar.scala 281:27] + _T_15.hsel <= io.dma_ahb.hsel @[quasar.scala 281:27] + _T_15.sig.out.hwdata <= io.dma_ahb.sig.out.hwdata @[quasar.scala 281:27] + _T_15.sig.out.hwrite <= io.dma_ahb.sig.out.hwrite @[quasar.scala 281:27] + _T_15.sig.out.htrans <= io.dma_ahb.sig.out.htrans @[quasar.scala 281:27] + _T_15.sig.out.hsize <= io.dma_ahb.sig.out.hsize @[quasar.scala 281:27] + _T_15.sig.out.hprot <= io.dma_ahb.sig.out.hprot @[quasar.scala 281:27] + _T_15.sig.out.hmastlock <= io.dma_ahb.sig.out.hmastlock @[quasar.scala 281:27] + _T_15.sig.out.hburst <= io.dma_ahb.sig.out.hburst @[quasar.scala 281:27] + _T_15.sig.out.haddr <= io.dma_ahb.sig.out.haddr @[quasar.scala 281:27] + io.dma_ahb.sig.in.hresp <= _T_15.sig.in.hresp @[quasar.scala 281:27] + io.dma_ahb.sig.in.hready <= _T_15.sig.in.hready @[quasar.scala 281:27] + io.dma_ahb.sig.in.hrdata <= _T_15.sig.in.hrdata @[quasar.scala 281:27] + io.dma_axi.r.bits.last <= dma_ctrl.io.dma_axi.r.bits.last @[quasar.scala 282:27] + io.dma_axi.r.bits.resp <= dma_ctrl.io.dma_axi.r.bits.resp @[quasar.scala 282:27] + io.dma_axi.r.bits.data <= dma_ctrl.io.dma_axi.r.bits.data @[quasar.scala 282:27] + io.dma_axi.r.bits.id <= dma_ctrl.io.dma_axi.r.bits.id @[quasar.scala 282:27] + io.dma_axi.r.valid <= dma_ctrl.io.dma_axi.r.valid @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.r.ready <= io.dma_axi.r.ready @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.ar.bits.qos <= io.dma_axi.ar.bits.qos @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.ar.bits.prot <= io.dma_axi.ar.bits.prot @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.ar.bits.cache <= io.dma_axi.ar.bits.cache @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.ar.bits.lock <= io.dma_axi.ar.bits.lock @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.ar.bits.burst <= io.dma_axi.ar.bits.burst @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.ar.bits.size <= io.dma_axi.ar.bits.size @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.ar.bits.len <= io.dma_axi.ar.bits.len @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.ar.bits.region <= io.dma_axi.ar.bits.region @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.ar.bits.addr <= io.dma_axi.ar.bits.addr @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.ar.bits.id <= io.dma_axi.ar.bits.id @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.ar.valid <= io.dma_axi.ar.valid @[quasar.scala 282:27] + io.dma_axi.ar.ready <= dma_ctrl.io.dma_axi.ar.ready @[quasar.scala 282:27] + io.dma_axi.b.bits.id <= dma_ctrl.io.dma_axi.b.bits.id @[quasar.scala 282:27] + io.dma_axi.b.bits.resp <= dma_ctrl.io.dma_axi.b.bits.resp @[quasar.scala 282:27] + io.dma_axi.b.valid <= dma_ctrl.io.dma_axi.b.valid @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.b.ready <= io.dma_axi.b.ready @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.w.bits.last <= io.dma_axi.w.bits.last @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.w.bits.strb <= io.dma_axi.w.bits.strb @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.w.bits.data <= io.dma_axi.w.bits.data @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.w.valid <= io.dma_axi.w.valid @[quasar.scala 282:27] + io.dma_axi.w.ready <= dma_ctrl.io.dma_axi.w.ready @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.aw.bits.qos <= io.dma_axi.aw.bits.qos @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.aw.bits.prot <= io.dma_axi.aw.bits.prot @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.aw.bits.cache <= io.dma_axi.aw.bits.cache @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.aw.bits.lock <= io.dma_axi.aw.bits.lock @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.aw.bits.burst <= io.dma_axi.aw.bits.burst @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.aw.bits.size <= io.dma_axi.aw.bits.size @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.aw.bits.len <= io.dma_axi.aw.bits.len @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.aw.bits.region <= io.dma_axi.aw.bits.region @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.aw.bits.addr <= io.dma_axi.aw.bits.addr @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.aw.bits.id <= io.dma_axi.aw.bits.id @[quasar.scala 282:27] + dma_ctrl.io.dma_axi.aw.valid <= io.dma_axi.aw.valid @[quasar.scala 282:27] + io.dma_axi.aw.ready <= dma_ctrl.io.dma_axi.aw.ready @[quasar.scala 282:27] + dbg.io.sb_axi.r.bits.last <= io.sb_axi.r.bits.last @[quasar.scala 283:27] + dbg.io.sb_axi.r.bits.resp <= io.sb_axi.r.bits.resp @[quasar.scala 283:27] + dbg.io.sb_axi.r.bits.data <= io.sb_axi.r.bits.data @[quasar.scala 283:27] + dbg.io.sb_axi.r.bits.id <= io.sb_axi.r.bits.id @[quasar.scala 283:27] + dbg.io.sb_axi.r.valid <= io.sb_axi.r.valid @[quasar.scala 283:27] + io.sb_axi.r.ready <= dbg.io.sb_axi.r.ready @[quasar.scala 283:27] + io.sb_axi.ar.bits.qos <= dbg.io.sb_axi.ar.bits.qos @[quasar.scala 283:27] + io.sb_axi.ar.bits.prot <= dbg.io.sb_axi.ar.bits.prot @[quasar.scala 283:27] + io.sb_axi.ar.bits.cache <= dbg.io.sb_axi.ar.bits.cache @[quasar.scala 283:27] + io.sb_axi.ar.bits.lock <= dbg.io.sb_axi.ar.bits.lock @[quasar.scala 283:27] + io.sb_axi.ar.bits.burst <= dbg.io.sb_axi.ar.bits.burst @[quasar.scala 283:27] + io.sb_axi.ar.bits.size <= dbg.io.sb_axi.ar.bits.size @[quasar.scala 283:27] + io.sb_axi.ar.bits.len <= dbg.io.sb_axi.ar.bits.len @[quasar.scala 283:27] + io.sb_axi.ar.bits.region <= dbg.io.sb_axi.ar.bits.region @[quasar.scala 283:27] + io.sb_axi.ar.bits.addr <= dbg.io.sb_axi.ar.bits.addr @[quasar.scala 283:27] + io.sb_axi.ar.bits.id <= dbg.io.sb_axi.ar.bits.id @[quasar.scala 283:27] + io.sb_axi.ar.valid <= dbg.io.sb_axi.ar.valid @[quasar.scala 283:27] + dbg.io.sb_axi.ar.ready <= io.sb_axi.ar.ready @[quasar.scala 283:27] + dbg.io.sb_axi.b.bits.id <= io.sb_axi.b.bits.id @[quasar.scala 283:27] + dbg.io.sb_axi.b.bits.resp <= io.sb_axi.b.bits.resp @[quasar.scala 283:27] + dbg.io.sb_axi.b.valid <= io.sb_axi.b.valid @[quasar.scala 283:27] + io.sb_axi.b.ready <= dbg.io.sb_axi.b.ready @[quasar.scala 283:27] + io.sb_axi.w.bits.last <= dbg.io.sb_axi.w.bits.last @[quasar.scala 283:27] + io.sb_axi.w.bits.strb <= dbg.io.sb_axi.w.bits.strb @[quasar.scala 283:27] + io.sb_axi.w.bits.data <= dbg.io.sb_axi.w.bits.data @[quasar.scala 283:27] + io.sb_axi.w.valid <= dbg.io.sb_axi.w.valid @[quasar.scala 283:27] + dbg.io.sb_axi.w.ready <= io.sb_axi.w.ready @[quasar.scala 283:27] + io.sb_axi.aw.bits.qos <= dbg.io.sb_axi.aw.bits.qos @[quasar.scala 283:27] + io.sb_axi.aw.bits.prot <= dbg.io.sb_axi.aw.bits.prot @[quasar.scala 283:27] + io.sb_axi.aw.bits.cache <= dbg.io.sb_axi.aw.bits.cache @[quasar.scala 283:27] + io.sb_axi.aw.bits.lock <= dbg.io.sb_axi.aw.bits.lock @[quasar.scala 283:27] + io.sb_axi.aw.bits.burst <= dbg.io.sb_axi.aw.bits.burst @[quasar.scala 283:27] + io.sb_axi.aw.bits.size <= dbg.io.sb_axi.aw.bits.size @[quasar.scala 283:27] + io.sb_axi.aw.bits.len <= dbg.io.sb_axi.aw.bits.len @[quasar.scala 283:27] + io.sb_axi.aw.bits.region <= dbg.io.sb_axi.aw.bits.region @[quasar.scala 283:27] + io.sb_axi.aw.bits.addr <= dbg.io.sb_axi.aw.bits.addr @[quasar.scala 283:27] + io.sb_axi.aw.bits.id <= dbg.io.sb_axi.aw.bits.id @[quasar.scala 283:27] + io.sb_axi.aw.valid <= dbg.io.sb_axi.aw.valid @[quasar.scala 283:27] + dbg.io.sb_axi.aw.ready <= io.sb_axi.aw.ready @[quasar.scala 283:27] + ifu.io.ifu.r.bits.last <= io.ifu_axi.r.bits.last @[quasar.scala 284:27] + ifu.io.ifu.r.bits.resp <= io.ifu_axi.r.bits.resp @[quasar.scala 284:27] + ifu.io.ifu.r.bits.data <= io.ifu_axi.r.bits.data @[quasar.scala 284:27] + ifu.io.ifu.r.bits.id <= io.ifu_axi.r.bits.id @[quasar.scala 284:27] + ifu.io.ifu.r.valid <= io.ifu_axi.r.valid @[quasar.scala 284:27] + io.ifu_axi.r.ready <= ifu.io.ifu.r.ready @[quasar.scala 284:27] + io.ifu_axi.ar.bits.qos <= ifu.io.ifu.ar.bits.qos @[quasar.scala 284:27] + io.ifu_axi.ar.bits.prot <= ifu.io.ifu.ar.bits.prot @[quasar.scala 284:27] + io.ifu_axi.ar.bits.cache <= ifu.io.ifu.ar.bits.cache @[quasar.scala 284:27] + io.ifu_axi.ar.bits.lock <= ifu.io.ifu.ar.bits.lock @[quasar.scala 284:27] + io.ifu_axi.ar.bits.burst <= ifu.io.ifu.ar.bits.burst @[quasar.scala 284:27] + io.ifu_axi.ar.bits.size <= ifu.io.ifu.ar.bits.size @[quasar.scala 284:27] + io.ifu_axi.ar.bits.len <= ifu.io.ifu.ar.bits.len @[quasar.scala 284:27] + io.ifu_axi.ar.bits.region <= ifu.io.ifu.ar.bits.region @[quasar.scala 284:27] + io.ifu_axi.ar.bits.addr <= ifu.io.ifu.ar.bits.addr @[quasar.scala 284:27] + io.ifu_axi.ar.bits.id <= ifu.io.ifu.ar.bits.id @[quasar.scala 284:27] + io.ifu_axi.ar.valid <= ifu.io.ifu.ar.valid @[quasar.scala 284:27] + ifu.io.ifu.ar.ready <= io.ifu_axi.ar.ready @[quasar.scala 284:27] + ifu.io.ifu.b.bits.id <= io.ifu_axi.b.bits.id @[quasar.scala 284:27] + ifu.io.ifu.b.bits.resp <= io.ifu_axi.b.bits.resp @[quasar.scala 284:27] + ifu.io.ifu.b.valid <= io.ifu_axi.b.valid @[quasar.scala 284:27] + io.ifu_axi.b.ready <= ifu.io.ifu.b.ready @[quasar.scala 284:27] + io.ifu_axi.w.bits.last <= ifu.io.ifu.w.bits.last @[quasar.scala 284:27] + io.ifu_axi.w.bits.strb <= ifu.io.ifu.w.bits.strb @[quasar.scala 284:27] + io.ifu_axi.w.bits.data <= ifu.io.ifu.w.bits.data @[quasar.scala 284:27] + io.ifu_axi.w.valid <= ifu.io.ifu.w.valid @[quasar.scala 284:27] + ifu.io.ifu.w.ready <= io.ifu_axi.w.ready @[quasar.scala 284:27] + io.ifu_axi.aw.bits.qos <= ifu.io.ifu.aw.bits.qos @[quasar.scala 284:27] + io.ifu_axi.aw.bits.prot <= ifu.io.ifu.aw.bits.prot @[quasar.scala 284:27] + io.ifu_axi.aw.bits.cache <= ifu.io.ifu.aw.bits.cache @[quasar.scala 284:27] + io.ifu_axi.aw.bits.lock <= ifu.io.ifu.aw.bits.lock @[quasar.scala 284:27] + io.ifu_axi.aw.bits.burst <= ifu.io.ifu.aw.bits.burst @[quasar.scala 284:27] + io.ifu_axi.aw.bits.size <= ifu.io.ifu.aw.bits.size @[quasar.scala 284:27] + io.ifu_axi.aw.bits.len <= ifu.io.ifu.aw.bits.len @[quasar.scala 284:27] + io.ifu_axi.aw.bits.region <= ifu.io.ifu.aw.bits.region @[quasar.scala 284:27] + io.ifu_axi.aw.bits.addr <= ifu.io.ifu.aw.bits.addr @[quasar.scala 284:27] + io.ifu_axi.aw.bits.id <= ifu.io.ifu.aw.bits.id @[quasar.scala 284:27] + io.ifu_axi.aw.valid <= ifu.io.ifu.aw.valid @[quasar.scala 284:27] + ifu.io.ifu.aw.ready <= io.ifu_axi.aw.ready @[quasar.scala 284:27] + lsu.io.axi.r.bits.last <= io.lsu_axi.r.bits.last @[quasar.scala 285:27] + lsu.io.axi.r.bits.resp <= io.lsu_axi.r.bits.resp @[quasar.scala 285:27] + lsu.io.axi.r.bits.data <= io.lsu_axi.r.bits.data @[quasar.scala 285:27] + lsu.io.axi.r.bits.id <= io.lsu_axi.r.bits.id @[quasar.scala 285:27] + lsu.io.axi.r.valid <= io.lsu_axi.r.valid @[quasar.scala 285:27] + io.lsu_axi.r.ready <= lsu.io.axi.r.ready @[quasar.scala 285:27] + io.lsu_axi.ar.bits.qos <= lsu.io.axi.ar.bits.qos @[quasar.scala 285:27] + io.lsu_axi.ar.bits.prot <= lsu.io.axi.ar.bits.prot @[quasar.scala 285:27] + io.lsu_axi.ar.bits.cache <= lsu.io.axi.ar.bits.cache @[quasar.scala 285:27] + io.lsu_axi.ar.bits.lock <= lsu.io.axi.ar.bits.lock @[quasar.scala 285:27] + io.lsu_axi.ar.bits.burst <= lsu.io.axi.ar.bits.burst @[quasar.scala 285:27] + io.lsu_axi.ar.bits.size <= lsu.io.axi.ar.bits.size @[quasar.scala 285:27] + io.lsu_axi.ar.bits.len <= lsu.io.axi.ar.bits.len @[quasar.scala 285:27] + io.lsu_axi.ar.bits.region <= lsu.io.axi.ar.bits.region @[quasar.scala 285:27] + io.lsu_axi.ar.bits.addr <= lsu.io.axi.ar.bits.addr @[quasar.scala 285:27] + io.lsu_axi.ar.bits.id <= lsu.io.axi.ar.bits.id @[quasar.scala 285:27] + io.lsu_axi.ar.valid <= lsu.io.axi.ar.valid @[quasar.scala 285:27] + lsu.io.axi.ar.ready <= io.lsu_axi.ar.ready @[quasar.scala 285:27] + lsu.io.axi.b.bits.id <= io.lsu_axi.b.bits.id @[quasar.scala 285:27] + lsu.io.axi.b.bits.resp <= io.lsu_axi.b.bits.resp @[quasar.scala 285:27] + lsu.io.axi.b.valid <= io.lsu_axi.b.valid @[quasar.scala 285:27] + io.lsu_axi.b.ready <= lsu.io.axi.b.ready @[quasar.scala 285:27] + io.lsu_axi.w.bits.last <= lsu.io.axi.w.bits.last @[quasar.scala 285:27] + io.lsu_axi.w.bits.strb <= lsu.io.axi.w.bits.strb @[quasar.scala 285:27] + io.lsu_axi.w.bits.data <= lsu.io.axi.w.bits.data @[quasar.scala 285:27] + io.lsu_axi.w.valid <= lsu.io.axi.w.valid @[quasar.scala 285:27] + lsu.io.axi.w.ready <= io.lsu_axi.w.ready @[quasar.scala 285:27] + io.lsu_axi.aw.bits.qos <= lsu.io.axi.aw.bits.qos @[quasar.scala 285:27] + io.lsu_axi.aw.bits.prot <= lsu.io.axi.aw.bits.prot @[quasar.scala 285:27] + io.lsu_axi.aw.bits.cache <= lsu.io.axi.aw.bits.cache @[quasar.scala 285:27] + io.lsu_axi.aw.bits.lock <= lsu.io.axi.aw.bits.lock @[quasar.scala 285:27] + io.lsu_axi.aw.bits.burst <= lsu.io.axi.aw.bits.burst @[quasar.scala 285:27] + io.lsu_axi.aw.bits.size <= lsu.io.axi.aw.bits.size @[quasar.scala 285:27] + io.lsu_axi.aw.bits.len <= lsu.io.axi.aw.bits.len @[quasar.scala 285:27] + io.lsu_axi.aw.bits.region <= lsu.io.axi.aw.bits.region @[quasar.scala 285:27] + io.lsu_axi.aw.bits.addr <= lsu.io.axi.aw.bits.addr @[quasar.scala 285:27] + io.lsu_axi.aw.bits.id <= lsu.io.axi.aw.bits.id @[quasar.scala 285:27] + io.lsu_axi.aw.valid <= lsu.io.axi.aw.valid @[quasar.scala 285:27] + lsu.io.axi.aw.ready <= io.lsu_axi.aw.ready @[quasar.scala 285:27] module quasar_wrapper : input clock : Clock input reset : AsyncReset - output io : {flip dbg_rst_l : AsyncReset, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, flip jtag_id : UInt<31>, lsu_brg : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, ifu_brg : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, sb_brg : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, dma_brg : {flip sig : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, flip hsel : UInt<1>, flip hreadyin : UInt<1>}, flip lsu_bus_clk_en : UInt<1>, flip ifu_bus_clk_en : UInt<1>, flip dbg_bus_clk_en : UInt<1>, flip dma_bus_clk_en : UInt<1>, flip timer_int : UInt<1>, flip soft_int : UInt<1>, flip extintsrc_req : UInt<31>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, flip jtag_tck : Clock, flip jtag_tms : UInt<1>, flip jtag_tdi : UInt<1>, flip jtag_trst_n : UInt<1>, jtag_tdo : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_halt_status : UInt<1>, o_debug_mode_status : UInt<1>, o_cpu_run_ack : UInt<1>, flip mbist_mode : UInt<1>, rv_trace_pkt : {rv_i_valid_ip : UInt<2>, rv_i_insn_ip : UInt<32>, rv_i_address_ip : UInt<32>, rv_i_exception_ip : UInt<2>, rv_i_ecause_ip : UInt<5>, rv_i_interrupt_ip : UInt<2>, rv_i_tval_ip : UInt<32>}, flip scan_mode : UInt<1>} + output io : {flip dbg_rst_l : AsyncReset, flip rst_vec : UInt<31>, flip nmi_int : UInt<1>, flip nmi_vec : UInt<31>, flip jtag_id : UInt<31>, lsu_brg : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, ifu_brg : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, sb_brg : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip dma_brg : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}}, flip lsu_bus_clk_en : UInt<1>, flip ifu_bus_clk_en : UInt<1>, flip dbg_bus_clk_en : UInt<1>, flip dma_bus_clk_en : UInt<1>, flip timer_int : UInt<1>, flip soft_int : UInt<1>, flip extintsrc_req : UInt<31>, dec_tlu_perfcnt0 : UInt<1>, dec_tlu_perfcnt1 : UInt<1>, dec_tlu_perfcnt2 : UInt<1>, dec_tlu_perfcnt3 : UInt<1>, flip jtag_tck : Clock, flip jtag_tms : UInt<1>, flip jtag_tdi : UInt<1>, flip jtag_trst_n : UInt<1>, jtag_tdo : UInt<1>, flip core_id : UInt<28>, flip mpc_debug_halt_req : UInt<1>, flip mpc_debug_run_req : UInt<1>, flip mpc_reset_run_req : UInt<1>, mpc_debug_halt_ack : UInt<1>, mpc_debug_run_ack : UInt<1>, debug_brkpt_status : UInt<1>, flip i_cpu_halt_req : UInt<1>, flip i_cpu_run_req : UInt<1>, o_cpu_halt_ack : UInt<1>, o_cpu_halt_status : UInt<1>, o_debug_mode_status : UInt<1>, o_cpu_run_ack : UInt<1>, flip mbist_mode : UInt<1>, rv_trace_pkt : {rv_i_valid_ip : UInt<2>, rv_i_insn_ip : UInt<32>, rv_i_address_ip : UInt<32>, rv_i_exception_ip : UInt<2>, rv_i_ecause_ip : UInt<5>, rv_i_interrupt_ip : UInt<2>, rv_i_tval_ip : UInt<32>}, flip scan_mode : UInt<1>} inst mem of mem @[quasar_wrapper.scala 63:19] mem.scan_mode is invalid @@ -115016,368 +109904,258 @@ circuit quasar_wrapper : mem.iccm.correction_state <= core.io.iccm.correction_state @[quasar_wrapper.scala 95:16] mem.iccm.buf_correct_ecc <= core.io.iccm.buf_correct_ecc @[quasar_wrapper.scala 95:16] mem.iccm.rw_addr <= core.io.iccm.rw_addr @[quasar_wrapper.scala 95:16] - io.ifu_brg.out.hwdata <= core.io.ifu_ahb.out.hwdata @[quasar_wrapper.scala 110:21] - io.ifu_brg.out.hwrite <= core.io.ifu_ahb.out.hwrite @[quasar_wrapper.scala 110:21] - io.ifu_brg.out.htrans <= core.io.ifu_ahb.out.htrans @[quasar_wrapper.scala 110:21] - io.ifu_brg.out.hsize <= core.io.ifu_ahb.out.hsize @[quasar_wrapper.scala 110:21] - io.ifu_brg.out.hprot <= core.io.ifu_ahb.out.hprot @[quasar_wrapper.scala 110:21] - io.ifu_brg.out.hmastlock <= core.io.ifu_ahb.out.hmastlock @[quasar_wrapper.scala 110:21] - io.ifu_brg.out.hburst <= core.io.ifu_ahb.out.hburst @[quasar_wrapper.scala 110:21] - io.ifu_brg.out.haddr <= core.io.ifu_ahb.out.haddr @[quasar_wrapper.scala 110:21] - core.io.ifu_ahb.in.hresp <= io.ifu_brg.in.hresp @[quasar_wrapper.scala 110:21] - core.io.ifu_ahb.in.hready <= io.ifu_brg.in.hready @[quasar_wrapper.scala 110:21] - core.io.ifu_ahb.in.hrdata <= io.ifu_brg.in.hrdata @[quasar_wrapper.scala 110:21] - io.lsu_brg.out.hwdata <= core.io.lsu_ahb.out.hwdata @[quasar_wrapper.scala 111:21] - io.lsu_brg.out.hwrite <= core.io.lsu_ahb.out.hwrite @[quasar_wrapper.scala 111:21] - io.lsu_brg.out.htrans <= core.io.lsu_ahb.out.htrans @[quasar_wrapper.scala 111:21] - io.lsu_brg.out.hsize <= core.io.lsu_ahb.out.hsize @[quasar_wrapper.scala 111:21] - io.lsu_brg.out.hprot <= core.io.lsu_ahb.out.hprot @[quasar_wrapper.scala 111:21] - io.lsu_brg.out.hmastlock <= core.io.lsu_ahb.out.hmastlock @[quasar_wrapper.scala 111:21] - io.lsu_brg.out.hburst <= core.io.lsu_ahb.out.hburst @[quasar_wrapper.scala 111:21] - io.lsu_brg.out.haddr <= core.io.lsu_ahb.out.haddr @[quasar_wrapper.scala 111:21] - core.io.lsu_ahb.in.hresp <= io.lsu_brg.in.hresp @[quasar_wrapper.scala 111:21] - core.io.lsu_ahb.in.hready <= io.lsu_brg.in.hready @[quasar_wrapper.scala 111:21] - core.io.lsu_ahb.in.hrdata <= io.lsu_brg.in.hrdata @[quasar_wrapper.scala 111:21] - io.sb_brg.out.hwdata <= core.io.sb_ahb.out.hwdata @[quasar_wrapper.scala 112:20] - io.sb_brg.out.hwrite <= core.io.sb_ahb.out.hwrite @[quasar_wrapper.scala 112:20] - io.sb_brg.out.htrans <= core.io.sb_ahb.out.htrans @[quasar_wrapper.scala 112:20] - io.sb_brg.out.hsize <= core.io.sb_ahb.out.hsize @[quasar_wrapper.scala 112:20] - io.sb_brg.out.hprot <= core.io.sb_ahb.out.hprot @[quasar_wrapper.scala 112:20] - io.sb_brg.out.hmastlock <= core.io.sb_ahb.out.hmastlock @[quasar_wrapper.scala 112:20] - io.sb_brg.out.hburst <= core.io.sb_ahb.out.hburst @[quasar_wrapper.scala 112:20] - io.sb_brg.out.haddr <= core.io.sb_ahb.out.haddr @[quasar_wrapper.scala 112:20] - core.io.sb_ahb.in.hresp <= io.sb_brg.in.hresp @[quasar_wrapper.scala 112:20] - core.io.sb_ahb.in.hready <= io.sb_brg.in.hready @[quasar_wrapper.scala 112:20] - core.io.sb_ahb.in.hrdata <= io.sb_brg.in.hrdata @[quasar_wrapper.scala 112:20] - core.io.dma_ahb.hreadyin <= io.dma_brg.hreadyin @[quasar_wrapper.scala 113:21] - core.io.dma_ahb.hsel <= io.dma_brg.hsel @[quasar_wrapper.scala 113:21] - core.io.dma_ahb.sig.out.hwdata <= io.dma_brg.sig.out.hwdata @[quasar_wrapper.scala 113:21] - core.io.dma_ahb.sig.out.hwrite <= io.dma_brg.sig.out.hwrite @[quasar_wrapper.scala 113:21] - core.io.dma_ahb.sig.out.htrans <= io.dma_brg.sig.out.htrans @[quasar_wrapper.scala 113:21] - core.io.dma_ahb.sig.out.hsize <= io.dma_brg.sig.out.hsize @[quasar_wrapper.scala 113:21] - core.io.dma_ahb.sig.out.hprot <= io.dma_brg.sig.out.hprot @[quasar_wrapper.scala 113:21] - core.io.dma_ahb.sig.out.hmastlock <= io.dma_brg.sig.out.hmastlock @[quasar_wrapper.scala 113:21] - core.io.dma_ahb.sig.out.hburst <= io.dma_brg.sig.out.hburst @[quasar_wrapper.scala 113:21] - core.io.dma_ahb.sig.out.haddr <= io.dma_brg.sig.out.haddr @[quasar_wrapper.scala 113:21] - io.dma_brg.sig.in.hresp <= core.io.dma_ahb.sig.in.hresp @[quasar_wrapper.scala 113:21] - io.dma_brg.sig.in.hready <= core.io.dma_ahb.sig.in.hready @[quasar_wrapper.scala 113:21] - io.dma_brg.sig.in.hrdata <= core.io.dma_ahb.sig.in.hrdata @[quasar_wrapper.scala 113:21] - wire _T : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[quasar_wrapper.scala 115:36] - _T.r.bits.last <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] - _T.r.bits.resp <= UInt<2>("h00") @[quasar_wrapper.scala 115:36] - _T.r.bits.data <= UInt<64>("h00") @[quasar_wrapper.scala 115:36] - _T.r.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 115:36] - _T.r.valid <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] - _T.r.ready <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] - _T.ar.bits.qos <= UInt<4>("h00") @[quasar_wrapper.scala 115:36] - _T.ar.bits.prot <= UInt<3>("h00") @[quasar_wrapper.scala 115:36] - _T.ar.bits.cache <= UInt<4>("h00") @[quasar_wrapper.scala 115:36] - _T.ar.bits.lock <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] - _T.ar.bits.burst <= UInt<2>("h00") @[quasar_wrapper.scala 115:36] - _T.ar.bits.size <= UInt<3>("h00") @[quasar_wrapper.scala 115:36] - _T.ar.bits.len <= UInt<8>("h00") @[quasar_wrapper.scala 115:36] - _T.ar.bits.region <= UInt<4>("h00") @[quasar_wrapper.scala 115:36] - _T.ar.bits.addr <= UInt<32>("h00") @[quasar_wrapper.scala 115:36] - _T.ar.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 115:36] - _T.ar.valid <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] - _T.ar.ready <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] - _T.b.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 115:36] - _T.b.bits.resp <= UInt<2>("h00") @[quasar_wrapper.scala 115:36] - _T.b.valid <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] - _T.b.ready <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] - _T.w.bits.last <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] - _T.w.bits.strb <= UInt<8>("h00") @[quasar_wrapper.scala 115:36] - _T.w.bits.data <= UInt<64>("h00") @[quasar_wrapper.scala 115:36] - _T.w.valid <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] - _T.w.ready <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] - _T.aw.bits.qos <= UInt<4>("h00") @[quasar_wrapper.scala 115:36] - _T.aw.bits.prot <= UInt<3>("h00") @[quasar_wrapper.scala 115:36] - _T.aw.bits.cache <= UInt<4>("h00") @[quasar_wrapper.scala 115:36] - _T.aw.bits.lock <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] - _T.aw.bits.burst <= UInt<2>("h00") @[quasar_wrapper.scala 115:36] - _T.aw.bits.size <= UInt<3>("h00") @[quasar_wrapper.scala 115:36] - _T.aw.bits.len <= UInt<8>("h00") @[quasar_wrapper.scala 115:36] - _T.aw.bits.region <= UInt<4>("h00") @[quasar_wrapper.scala 115:36] - _T.aw.bits.addr <= UInt<32>("h00") @[quasar_wrapper.scala 115:36] - _T.aw.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 115:36] - _T.aw.valid <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] - _T.aw.ready <= UInt<1>("h00") @[quasar_wrapper.scala 115:36] - core.io.lsu_axi.r.bits.last <= _T.r.bits.last @[quasar_wrapper.scala 115:21] - core.io.lsu_axi.r.bits.resp <= _T.r.bits.resp @[quasar_wrapper.scala 115:21] - core.io.lsu_axi.r.bits.data <= _T.r.bits.data @[quasar_wrapper.scala 115:21] - core.io.lsu_axi.r.bits.id <= _T.r.bits.id @[quasar_wrapper.scala 115:21] - core.io.lsu_axi.r.valid <= _T.r.valid @[quasar_wrapper.scala 115:21] - _T.r.ready <= core.io.lsu_axi.r.ready @[quasar_wrapper.scala 115:21] - _T.ar.bits.qos <= core.io.lsu_axi.ar.bits.qos @[quasar_wrapper.scala 115:21] - _T.ar.bits.prot <= core.io.lsu_axi.ar.bits.prot @[quasar_wrapper.scala 115:21] - _T.ar.bits.cache <= core.io.lsu_axi.ar.bits.cache @[quasar_wrapper.scala 115:21] - _T.ar.bits.lock <= core.io.lsu_axi.ar.bits.lock @[quasar_wrapper.scala 115:21] - _T.ar.bits.burst <= core.io.lsu_axi.ar.bits.burst @[quasar_wrapper.scala 115:21] - _T.ar.bits.size <= core.io.lsu_axi.ar.bits.size @[quasar_wrapper.scala 115:21] - _T.ar.bits.len <= core.io.lsu_axi.ar.bits.len @[quasar_wrapper.scala 115:21] - _T.ar.bits.region <= core.io.lsu_axi.ar.bits.region @[quasar_wrapper.scala 115:21] - _T.ar.bits.addr <= core.io.lsu_axi.ar.bits.addr @[quasar_wrapper.scala 115:21] - _T.ar.bits.id <= core.io.lsu_axi.ar.bits.id @[quasar_wrapper.scala 115:21] - _T.ar.valid <= core.io.lsu_axi.ar.valid @[quasar_wrapper.scala 115:21] - core.io.lsu_axi.ar.ready <= _T.ar.ready @[quasar_wrapper.scala 115:21] - core.io.lsu_axi.b.bits.id <= _T.b.bits.id @[quasar_wrapper.scala 115:21] - core.io.lsu_axi.b.bits.resp <= _T.b.bits.resp @[quasar_wrapper.scala 115:21] - core.io.lsu_axi.b.valid <= _T.b.valid @[quasar_wrapper.scala 115:21] - _T.b.ready <= core.io.lsu_axi.b.ready @[quasar_wrapper.scala 115:21] - _T.w.bits.last <= core.io.lsu_axi.w.bits.last @[quasar_wrapper.scala 115:21] - _T.w.bits.strb <= core.io.lsu_axi.w.bits.strb @[quasar_wrapper.scala 115:21] - _T.w.bits.data <= core.io.lsu_axi.w.bits.data @[quasar_wrapper.scala 115:21] - _T.w.valid <= core.io.lsu_axi.w.valid @[quasar_wrapper.scala 115:21] - core.io.lsu_axi.w.ready <= _T.w.ready @[quasar_wrapper.scala 115:21] - _T.aw.bits.qos <= core.io.lsu_axi.aw.bits.qos @[quasar_wrapper.scala 115:21] - _T.aw.bits.prot <= core.io.lsu_axi.aw.bits.prot @[quasar_wrapper.scala 115:21] - _T.aw.bits.cache <= core.io.lsu_axi.aw.bits.cache @[quasar_wrapper.scala 115:21] - _T.aw.bits.lock <= core.io.lsu_axi.aw.bits.lock @[quasar_wrapper.scala 115:21] - _T.aw.bits.burst <= core.io.lsu_axi.aw.bits.burst @[quasar_wrapper.scala 115:21] - _T.aw.bits.size <= core.io.lsu_axi.aw.bits.size @[quasar_wrapper.scala 115:21] - _T.aw.bits.len <= core.io.lsu_axi.aw.bits.len @[quasar_wrapper.scala 115:21] - _T.aw.bits.region <= core.io.lsu_axi.aw.bits.region @[quasar_wrapper.scala 115:21] - _T.aw.bits.addr <= core.io.lsu_axi.aw.bits.addr @[quasar_wrapper.scala 115:21] - _T.aw.bits.id <= core.io.lsu_axi.aw.bits.id @[quasar_wrapper.scala 115:21] - _T.aw.valid <= core.io.lsu_axi.aw.valid @[quasar_wrapper.scala 115:21] - core.io.lsu_axi.aw.ready <= _T.aw.ready @[quasar_wrapper.scala 115:21] - wire _T_1 : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[quasar_wrapper.scala 116:36] - _T_1.r.bits.last <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] - _T_1.r.bits.resp <= UInt<2>("h00") @[quasar_wrapper.scala 116:36] - _T_1.r.bits.data <= UInt<64>("h00") @[quasar_wrapper.scala 116:36] - _T_1.r.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 116:36] - _T_1.r.valid <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] - _T_1.r.ready <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] - _T_1.ar.bits.qos <= UInt<4>("h00") @[quasar_wrapper.scala 116:36] - _T_1.ar.bits.prot <= UInt<3>("h00") @[quasar_wrapper.scala 116:36] - _T_1.ar.bits.cache <= UInt<4>("h00") @[quasar_wrapper.scala 116:36] - _T_1.ar.bits.lock <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] - _T_1.ar.bits.burst <= UInt<2>("h00") @[quasar_wrapper.scala 116:36] - _T_1.ar.bits.size <= UInt<3>("h00") @[quasar_wrapper.scala 116:36] - _T_1.ar.bits.len <= UInt<8>("h00") @[quasar_wrapper.scala 116:36] - _T_1.ar.bits.region <= UInt<4>("h00") @[quasar_wrapper.scala 116:36] - _T_1.ar.bits.addr <= UInt<32>("h00") @[quasar_wrapper.scala 116:36] - _T_1.ar.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 116:36] - _T_1.ar.valid <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] - _T_1.ar.ready <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] - _T_1.b.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 116:36] - _T_1.b.bits.resp <= UInt<2>("h00") @[quasar_wrapper.scala 116:36] - _T_1.b.valid <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] - _T_1.b.ready <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] - _T_1.w.bits.last <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] - _T_1.w.bits.strb <= UInt<8>("h00") @[quasar_wrapper.scala 116:36] - _T_1.w.bits.data <= UInt<64>("h00") @[quasar_wrapper.scala 116:36] - _T_1.w.valid <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] - _T_1.w.ready <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] - _T_1.aw.bits.qos <= UInt<4>("h00") @[quasar_wrapper.scala 116:36] - _T_1.aw.bits.prot <= UInt<3>("h00") @[quasar_wrapper.scala 116:36] - _T_1.aw.bits.cache <= UInt<4>("h00") @[quasar_wrapper.scala 116:36] - _T_1.aw.bits.lock <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] - _T_1.aw.bits.burst <= UInt<2>("h00") @[quasar_wrapper.scala 116:36] - _T_1.aw.bits.size <= UInt<3>("h00") @[quasar_wrapper.scala 116:36] - _T_1.aw.bits.len <= UInt<8>("h00") @[quasar_wrapper.scala 116:36] - _T_1.aw.bits.region <= UInt<4>("h00") @[quasar_wrapper.scala 116:36] - _T_1.aw.bits.addr <= UInt<32>("h00") @[quasar_wrapper.scala 116:36] - _T_1.aw.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 116:36] - _T_1.aw.valid <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] - _T_1.aw.ready <= UInt<1>("h00") @[quasar_wrapper.scala 116:36] - core.io.ifu_axi.r.bits.last <= _T_1.r.bits.last @[quasar_wrapper.scala 116:21] - core.io.ifu_axi.r.bits.resp <= _T_1.r.bits.resp @[quasar_wrapper.scala 116:21] - core.io.ifu_axi.r.bits.data <= _T_1.r.bits.data @[quasar_wrapper.scala 116:21] - core.io.ifu_axi.r.bits.id <= _T_1.r.bits.id @[quasar_wrapper.scala 116:21] - core.io.ifu_axi.r.valid <= _T_1.r.valid @[quasar_wrapper.scala 116:21] - _T_1.r.ready <= core.io.ifu_axi.r.ready @[quasar_wrapper.scala 116:21] - _T_1.ar.bits.qos <= core.io.ifu_axi.ar.bits.qos @[quasar_wrapper.scala 116:21] - _T_1.ar.bits.prot <= core.io.ifu_axi.ar.bits.prot @[quasar_wrapper.scala 116:21] - _T_1.ar.bits.cache <= core.io.ifu_axi.ar.bits.cache @[quasar_wrapper.scala 116:21] - _T_1.ar.bits.lock <= core.io.ifu_axi.ar.bits.lock @[quasar_wrapper.scala 116:21] - _T_1.ar.bits.burst <= core.io.ifu_axi.ar.bits.burst @[quasar_wrapper.scala 116:21] - _T_1.ar.bits.size <= core.io.ifu_axi.ar.bits.size @[quasar_wrapper.scala 116:21] - _T_1.ar.bits.len <= core.io.ifu_axi.ar.bits.len @[quasar_wrapper.scala 116:21] - _T_1.ar.bits.region <= core.io.ifu_axi.ar.bits.region @[quasar_wrapper.scala 116:21] - _T_1.ar.bits.addr <= core.io.ifu_axi.ar.bits.addr @[quasar_wrapper.scala 116:21] - _T_1.ar.bits.id <= core.io.ifu_axi.ar.bits.id @[quasar_wrapper.scala 116:21] - _T_1.ar.valid <= core.io.ifu_axi.ar.valid @[quasar_wrapper.scala 116:21] - core.io.ifu_axi.ar.ready <= _T_1.ar.ready @[quasar_wrapper.scala 116:21] - core.io.ifu_axi.b.bits.id <= _T_1.b.bits.id @[quasar_wrapper.scala 116:21] - core.io.ifu_axi.b.bits.resp <= _T_1.b.bits.resp @[quasar_wrapper.scala 116:21] - core.io.ifu_axi.b.valid <= _T_1.b.valid @[quasar_wrapper.scala 116:21] - _T_1.b.ready <= core.io.ifu_axi.b.ready @[quasar_wrapper.scala 116:21] - _T_1.w.bits.last <= core.io.ifu_axi.w.bits.last @[quasar_wrapper.scala 116:21] - _T_1.w.bits.strb <= core.io.ifu_axi.w.bits.strb @[quasar_wrapper.scala 116:21] - _T_1.w.bits.data <= core.io.ifu_axi.w.bits.data @[quasar_wrapper.scala 116:21] - _T_1.w.valid <= core.io.ifu_axi.w.valid @[quasar_wrapper.scala 116:21] - core.io.ifu_axi.w.ready <= _T_1.w.ready @[quasar_wrapper.scala 116:21] - _T_1.aw.bits.qos <= core.io.ifu_axi.aw.bits.qos @[quasar_wrapper.scala 116:21] - _T_1.aw.bits.prot <= core.io.ifu_axi.aw.bits.prot @[quasar_wrapper.scala 116:21] - _T_1.aw.bits.cache <= core.io.ifu_axi.aw.bits.cache @[quasar_wrapper.scala 116:21] - _T_1.aw.bits.lock <= core.io.ifu_axi.aw.bits.lock @[quasar_wrapper.scala 116:21] - _T_1.aw.bits.burst <= core.io.ifu_axi.aw.bits.burst @[quasar_wrapper.scala 116:21] - _T_1.aw.bits.size <= core.io.ifu_axi.aw.bits.size @[quasar_wrapper.scala 116:21] - _T_1.aw.bits.len <= core.io.ifu_axi.aw.bits.len @[quasar_wrapper.scala 116:21] - _T_1.aw.bits.region <= core.io.ifu_axi.aw.bits.region @[quasar_wrapper.scala 116:21] - _T_1.aw.bits.addr <= core.io.ifu_axi.aw.bits.addr @[quasar_wrapper.scala 116:21] - _T_1.aw.bits.id <= core.io.ifu_axi.aw.bits.id @[quasar_wrapper.scala 116:21] - _T_1.aw.valid <= core.io.ifu_axi.aw.valid @[quasar_wrapper.scala 116:21] - core.io.ifu_axi.aw.ready <= _T_1.aw.ready @[quasar_wrapper.scala 116:21] - wire _T_2 : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<1>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<1>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[quasar_wrapper.scala 117:36] - _T_2.r.bits.last <= UInt<1>("h00") @[quasar_wrapper.scala 117:36] - _T_2.r.bits.resp <= UInt<2>("h00") @[quasar_wrapper.scala 117:36] - _T_2.r.bits.data <= UInt<64>("h00") @[quasar_wrapper.scala 117:36] - _T_2.r.bits.id <= UInt<1>("h00") @[quasar_wrapper.scala 117:36] - _T_2.r.valid <= UInt<1>("h00") @[quasar_wrapper.scala 117:36] - _T_2.r.ready <= UInt<1>("h00") @[quasar_wrapper.scala 117:36] - _T_2.ar.bits.qos <= UInt<4>("h00") @[quasar_wrapper.scala 117:36] - _T_2.ar.bits.prot <= UInt<3>("h00") @[quasar_wrapper.scala 117:36] - _T_2.ar.bits.cache <= UInt<4>("h00") @[quasar_wrapper.scala 117:36] - _T_2.ar.bits.lock <= UInt<1>("h00") @[quasar_wrapper.scala 117:36] - _T_2.ar.bits.burst <= UInt<2>("h00") @[quasar_wrapper.scala 117:36] - _T_2.ar.bits.size <= UInt<3>("h00") @[quasar_wrapper.scala 117:36] - _T_2.ar.bits.len <= UInt<8>("h00") @[quasar_wrapper.scala 117:36] - _T_2.ar.bits.region <= UInt<4>("h00") @[quasar_wrapper.scala 117:36] - _T_2.ar.bits.addr <= UInt<32>("h00") @[quasar_wrapper.scala 117:36] - _T_2.ar.bits.id <= UInt<1>("h00") @[quasar_wrapper.scala 117:36] - _T_2.ar.valid <= UInt<1>("h00") @[quasar_wrapper.scala 117:36] - _T_2.ar.ready <= UInt<1>("h00") @[quasar_wrapper.scala 117:36] - _T_2.b.bits.id <= UInt<1>("h00") @[quasar_wrapper.scala 117:36] - _T_2.b.bits.resp <= UInt<2>("h00") @[quasar_wrapper.scala 117:36] - _T_2.b.valid <= UInt<1>("h00") @[quasar_wrapper.scala 117:36] - _T_2.b.ready <= UInt<1>("h00") @[quasar_wrapper.scala 117:36] - _T_2.w.bits.last <= UInt<1>("h00") @[quasar_wrapper.scala 117:36] - _T_2.w.bits.strb <= UInt<8>("h00") @[quasar_wrapper.scala 117:36] - _T_2.w.bits.data <= UInt<64>("h00") @[quasar_wrapper.scala 117:36] - _T_2.w.valid <= UInt<1>("h00") @[quasar_wrapper.scala 117:36] - _T_2.w.ready <= UInt<1>("h00") @[quasar_wrapper.scala 117:36] - _T_2.aw.bits.qos <= UInt<4>("h00") @[quasar_wrapper.scala 117:36] - _T_2.aw.bits.prot <= UInt<3>("h00") @[quasar_wrapper.scala 117:36] - _T_2.aw.bits.cache <= UInt<4>("h00") @[quasar_wrapper.scala 117:36] - _T_2.aw.bits.lock <= UInt<1>("h00") @[quasar_wrapper.scala 117:36] - _T_2.aw.bits.burst <= UInt<2>("h00") @[quasar_wrapper.scala 117:36] - _T_2.aw.bits.size <= UInt<3>("h00") @[quasar_wrapper.scala 117:36] - _T_2.aw.bits.len <= UInt<8>("h00") @[quasar_wrapper.scala 117:36] - _T_2.aw.bits.region <= UInt<4>("h00") @[quasar_wrapper.scala 117:36] - _T_2.aw.bits.addr <= UInt<32>("h00") @[quasar_wrapper.scala 117:36] - _T_2.aw.bits.id <= UInt<1>("h00") @[quasar_wrapper.scala 117:36] - _T_2.aw.valid <= UInt<1>("h00") @[quasar_wrapper.scala 117:36] - _T_2.aw.ready <= UInt<1>("h00") @[quasar_wrapper.scala 117:36] - core.io.sb_axi.r.bits.last <= _T_2.r.bits.last @[quasar_wrapper.scala 117:21] - core.io.sb_axi.r.bits.resp <= _T_2.r.bits.resp @[quasar_wrapper.scala 117:21] - core.io.sb_axi.r.bits.data <= _T_2.r.bits.data @[quasar_wrapper.scala 117:21] - core.io.sb_axi.r.bits.id <= _T_2.r.bits.id @[quasar_wrapper.scala 117:21] - core.io.sb_axi.r.valid <= _T_2.r.valid @[quasar_wrapper.scala 117:21] - _T_2.r.ready <= core.io.sb_axi.r.ready @[quasar_wrapper.scala 117:21] - _T_2.ar.bits.qos <= core.io.sb_axi.ar.bits.qos @[quasar_wrapper.scala 117:21] - _T_2.ar.bits.prot <= core.io.sb_axi.ar.bits.prot @[quasar_wrapper.scala 117:21] - _T_2.ar.bits.cache <= core.io.sb_axi.ar.bits.cache @[quasar_wrapper.scala 117:21] - _T_2.ar.bits.lock <= core.io.sb_axi.ar.bits.lock @[quasar_wrapper.scala 117:21] - _T_2.ar.bits.burst <= core.io.sb_axi.ar.bits.burst @[quasar_wrapper.scala 117:21] - _T_2.ar.bits.size <= core.io.sb_axi.ar.bits.size @[quasar_wrapper.scala 117:21] - _T_2.ar.bits.len <= core.io.sb_axi.ar.bits.len @[quasar_wrapper.scala 117:21] - _T_2.ar.bits.region <= core.io.sb_axi.ar.bits.region @[quasar_wrapper.scala 117:21] - _T_2.ar.bits.addr <= core.io.sb_axi.ar.bits.addr @[quasar_wrapper.scala 117:21] - _T_2.ar.bits.id <= core.io.sb_axi.ar.bits.id @[quasar_wrapper.scala 117:21] - _T_2.ar.valid <= core.io.sb_axi.ar.valid @[quasar_wrapper.scala 117:21] - core.io.sb_axi.ar.ready <= _T_2.ar.ready @[quasar_wrapper.scala 117:21] - core.io.sb_axi.b.bits.id <= _T_2.b.bits.id @[quasar_wrapper.scala 117:21] - core.io.sb_axi.b.bits.resp <= _T_2.b.bits.resp @[quasar_wrapper.scala 117:21] - core.io.sb_axi.b.valid <= _T_2.b.valid @[quasar_wrapper.scala 117:21] - _T_2.b.ready <= core.io.sb_axi.b.ready @[quasar_wrapper.scala 117:21] - _T_2.w.bits.last <= core.io.sb_axi.w.bits.last @[quasar_wrapper.scala 117:21] - _T_2.w.bits.strb <= core.io.sb_axi.w.bits.strb @[quasar_wrapper.scala 117:21] - _T_2.w.bits.data <= core.io.sb_axi.w.bits.data @[quasar_wrapper.scala 117:21] - _T_2.w.valid <= core.io.sb_axi.w.valid @[quasar_wrapper.scala 117:21] - core.io.sb_axi.w.ready <= _T_2.w.ready @[quasar_wrapper.scala 117:21] - _T_2.aw.bits.qos <= core.io.sb_axi.aw.bits.qos @[quasar_wrapper.scala 117:21] - _T_2.aw.bits.prot <= core.io.sb_axi.aw.bits.prot @[quasar_wrapper.scala 117:21] - _T_2.aw.bits.cache <= core.io.sb_axi.aw.bits.cache @[quasar_wrapper.scala 117:21] - _T_2.aw.bits.lock <= core.io.sb_axi.aw.bits.lock @[quasar_wrapper.scala 117:21] - _T_2.aw.bits.burst <= core.io.sb_axi.aw.bits.burst @[quasar_wrapper.scala 117:21] - _T_2.aw.bits.size <= core.io.sb_axi.aw.bits.size @[quasar_wrapper.scala 117:21] - _T_2.aw.bits.len <= core.io.sb_axi.aw.bits.len @[quasar_wrapper.scala 117:21] - _T_2.aw.bits.region <= core.io.sb_axi.aw.bits.region @[quasar_wrapper.scala 117:21] - _T_2.aw.bits.addr <= core.io.sb_axi.aw.bits.addr @[quasar_wrapper.scala 117:21] - _T_2.aw.bits.id <= core.io.sb_axi.aw.bits.id @[quasar_wrapper.scala 117:21] - _T_2.aw.valid <= core.io.sb_axi.aw.valid @[quasar_wrapper.scala 117:21] - core.io.sb_axi.aw.ready <= _T_2.aw.ready @[quasar_wrapper.scala 117:21] - wire _T_3 : {aw : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, w : {flip ready : UInt<1>, valid : UInt<1>, bits : {data : UInt<64>, strb : UInt<8>, last : UInt<1>}}, flip b : {flip ready : UInt<1>, valid : UInt<1>, bits : {resp : UInt<2>, id : UInt<3>}}, ar : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, addr : UInt<32>, region : UInt<4>, len : UInt<8>, size : UInt<3>, burst : UInt<2>, lock : UInt<1>, cache : UInt<4>, prot : UInt<3>, qos : UInt<4>}}, flip r : {flip ready : UInt<1>, valid : UInt<1>, bits : {id : UInt<3>, data : UInt<64>, resp : UInt<2>, last : UInt<1>}}} @[quasar_wrapper.scala 118:36] - _T_3.r.bits.last <= UInt<1>("h00") @[quasar_wrapper.scala 118:36] - _T_3.r.bits.resp <= UInt<2>("h00") @[quasar_wrapper.scala 118:36] - _T_3.r.bits.data <= UInt<64>("h00") @[quasar_wrapper.scala 118:36] - _T_3.r.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 118:36] - _T_3.r.valid <= UInt<1>("h00") @[quasar_wrapper.scala 118:36] - _T_3.r.ready <= UInt<1>("h00") @[quasar_wrapper.scala 118:36] - _T_3.ar.bits.qos <= UInt<4>("h00") @[quasar_wrapper.scala 118:36] - _T_3.ar.bits.prot <= UInt<3>("h00") @[quasar_wrapper.scala 118:36] - _T_3.ar.bits.cache <= UInt<4>("h00") @[quasar_wrapper.scala 118:36] - _T_3.ar.bits.lock <= UInt<1>("h00") @[quasar_wrapper.scala 118:36] - _T_3.ar.bits.burst <= UInt<2>("h00") @[quasar_wrapper.scala 118:36] - _T_3.ar.bits.size <= UInt<3>("h00") @[quasar_wrapper.scala 118:36] - _T_3.ar.bits.len <= UInt<8>("h00") @[quasar_wrapper.scala 118:36] - _T_3.ar.bits.region <= UInt<4>("h00") @[quasar_wrapper.scala 118:36] - _T_3.ar.bits.addr <= UInt<32>("h00") @[quasar_wrapper.scala 118:36] - _T_3.ar.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 118:36] - _T_3.ar.valid <= UInt<1>("h00") @[quasar_wrapper.scala 118:36] - _T_3.ar.ready <= UInt<1>("h00") @[quasar_wrapper.scala 118:36] - _T_3.b.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 118:36] - _T_3.b.bits.resp <= UInt<2>("h00") @[quasar_wrapper.scala 118:36] - _T_3.b.valid <= UInt<1>("h00") @[quasar_wrapper.scala 118:36] - _T_3.b.ready <= UInt<1>("h00") @[quasar_wrapper.scala 118:36] - _T_3.w.bits.last <= UInt<1>("h00") @[quasar_wrapper.scala 118:36] - _T_3.w.bits.strb <= UInt<8>("h00") @[quasar_wrapper.scala 118:36] - _T_3.w.bits.data <= UInt<64>("h00") @[quasar_wrapper.scala 118:36] - _T_3.w.valid <= UInt<1>("h00") @[quasar_wrapper.scala 118:36] - _T_3.w.ready <= UInt<1>("h00") @[quasar_wrapper.scala 118:36] - _T_3.aw.bits.qos <= UInt<4>("h00") @[quasar_wrapper.scala 118:36] - _T_3.aw.bits.prot <= UInt<3>("h00") @[quasar_wrapper.scala 118:36] - _T_3.aw.bits.cache <= UInt<4>("h00") @[quasar_wrapper.scala 118:36] - _T_3.aw.bits.lock <= UInt<1>("h00") @[quasar_wrapper.scala 118:36] - _T_3.aw.bits.burst <= UInt<2>("h00") @[quasar_wrapper.scala 118:36] - _T_3.aw.bits.size <= UInt<3>("h00") @[quasar_wrapper.scala 118:36] - _T_3.aw.bits.len <= UInt<8>("h00") @[quasar_wrapper.scala 118:36] - _T_3.aw.bits.region <= UInt<4>("h00") @[quasar_wrapper.scala 118:36] - _T_3.aw.bits.addr <= UInt<32>("h00") @[quasar_wrapper.scala 118:36] - _T_3.aw.bits.id <= UInt<3>("h00") @[quasar_wrapper.scala 118:36] - _T_3.aw.valid <= UInt<1>("h00") @[quasar_wrapper.scala 118:36] - _T_3.aw.ready <= UInt<1>("h00") @[quasar_wrapper.scala 118:36] - _T_3.r.bits.last <= core.io.dma_axi.r.bits.last @[quasar_wrapper.scala 118:21] - _T_3.r.bits.resp <= core.io.dma_axi.r.bits.resp @[quasar_wrapper.scala 118:21] - _T_3.r.bits.data <= core.io.dma_axi.r.bits.data @[quasar_wrapper.scala 118:21] - _T_3.r.bits.id <= core.io.dma_axi.r.bits.id @[quasar_wrapper.scala 118:21] - _T_3.r.valid <= core.io.dma_axi.r.valid @[quasar_wrapper.scala 118:21] - core.io.dma_axi.r.ready <= _T_3.r.ready @[quasar_wrapper.scala 118:21] - core.io.dma_axi.ar.bits.qos <= _T_3.ar.bits.qos @[quasar_wrapper.scala 118:21] - core.io.dma_axi.ar.bits.prot <= _T_3.ar.bits.prot @[quasar_wrapper.scala 118:21] - core.io.dma_axi.ar.bits.cache <= _T_3.ar.bits.cache @[quasar_wrapper.scala 118:21] - core.io.dma_axi.ar.bits.lock <= _T_3.ar.bits.lock @[quasar_wrapper.scala 118:21] - core.io.dma_axi.ar.bits.burst <= _T_3.ar.bits.burst @[quasar_wrapper.scala 118:21] - core.io.dma_axi.ar.bits.size <= _T_3.ar.bits.size @[quasar_wrapper.scala 118:21] - core.io.dma_axi.ar.bits.len <= _T_3.ar.bits.len @[quasar_wrapper.scala 118:21] - core.io.dma_axi.ar.bits.region <= _T_3.ar.bits.region @[quasar_wrapper.scala 118:21] - core.io.dma_axi.ar.bits.addr <= _T_3.ar.bits.addr @[quasar_wrapper.scala 118:21] - core.io.dma_axi.ar.bits.id <= _T_3.ar.bits.id @[quasar_wrapper.scala 118:21] - core.io.dma_axi.ar.valid <= _T_3.ar.valid @[quasar_wrapper.scala 118:21] - _T_3.ar.ready <= core.io.dma_axi.ar.ready @[quasar_wrapper.scala 118:21] - _T_3.b.bits.id <= core.io.dma_axi.b.bits.id @[quasar_wrapper.scala 118:21] - _T_3.b.bits.resp <= core.io.dma_axi.b.bits.resp @[quasar_wrapper.scala 118:21] - _T_3.b.valid <= core.io.dma_axi.b.valid @[quasar_wrapper.scala 118:21] - core.io.dma_axi.b.ready <= _T_3.b.ready @[quasar_wrapper.scala 118:21] - core.io.dma_axi.w.bits.last <= _T_3.w.bits.last @[quasar_wrapper.scala 118:21] - core.io.dma_axi.w.bits.strb <= _T_3.w.bits.strb @[quasar_wrapper.scala 118:21] - core.io.dma_axi.w.bits.data <= _T_3.w.bits.data @[quasar_wrapper.scala 118:21] - core.io.dma_axi.w.valid <= _T_3.w.valid @[quasar_wrapper.scala 118:21] - _T_3.w.ready <= core.io.dma_axi.w.ready @[quasar_wrapper.scala 118:21] - core.io.dma_axi.aw.bits.qos <= _T_3.aw.bits.qos @[quasar_wrapper.scala 118:21] - core.io.dma_axi.aw.bits.prot <= _T_3.aw.bits.prot @[quasar_wrapper.scala 118:21] - core.io.dma_axi.aw.bits.cache <= _T_3.aw.bits.cache @[quasar_wrapper.scala 118:21] - core.io.dma_axi.aw.bits.lock <= _T_3.aw.bits.lock @[quasar_wrapper.scala 118:21] - core.io.dma_axi.aw.bits.burst <= _T_3.aw.bits.burst @[quasar_wrapper.scala 118:21] - core.io.dma_axi.aw.bits.size <= _T_3.aw.bits.size @[quasar_wrapper.scala 118:21] - core.io.dma_axi.aw.bits.len <= _T_3.aw.bits.len @[quasar_wrapper.scala 118:21] - core.io.dma_axi.aw.bits.region <= _T_3.aw.bits.region @[quasar_wrapper.scala 118:21] - core.io.dma_axi.aw.bits.addr <= _T_3.aw.bits.addr @[quasar_wrapper.scala 118:21] - core.io.dma_axi.aw.bits.id <= _T_3.aw.bits.id @[quasar_wrapper.scala 118:21] - core.io.dma_axi.aw.valid <= _T_3.aw.valid @[quasar_wrapper.scala 118:21] - _T_3.aw.ready <= core.io.dma_axi.aw.ready @[quasar_wrapper.scala 118:21] + wire _T : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}} @[quasar_wrapper.scala 99:36] + _T.out.hwdata <= UInt<64>("h00") @[quasar_wrapper.scala 99:36] + _T.out.hwrite <= UInt<1>("h00") @[quasar_wrapper.scala 99:36] + _T.out.htrans <= UInt<2>("h00") @[quasar_wrapper.scala 99:36] + _T.out.hsize <= UInt<3>("h00") @[quasar_wrapper.scala 99:36] + _T.out.hprot <= UInt<4>("h00") @[quasar_wrapper.scala 99:36] + _T.out.hmastlock <= UInt<1>("h00") @[quasar_wrapper.scala 99:36] + _T.out.hburst <= UInt<3>("h00") @[quasar_wrapper.scala 99:36] + _T.out.haddr <= UInt<32>("h00") @[quasar_wrapper.scala 99:36] + _T.in.hresp <= UInt<1>("h00") @[quasar_wrapper.scala 99:36] + _T.in.hready <= UInt<1>("h00") @[quasar_wrapper.scala 99:36] + _T.in.hrdata <= UInt<64>("h00") @[quasar_wrapper.scala 99:36] + _T.out.hwdata <= core.io.ifu_ahb.out.hwdata @[quasar_wrapper.scala 99:21] + _T.out.hwrite <= core.io.ifu_ahb.out.hwrite @[quasar_wrapper.scala 99:21] + _T.out.htrans <= core.io.ifu_ahb.out.htrans @[quasar_wrapper.scala 99:21] + _T.out.hsize <= core.io.ifu_ahb.out.hsize @[quasar_wrapper.scala 99:21] + _T.out.hprot <= core.io.ifu_ahb.out.hprot @[quasar_wrapper.scala 99:21] + _T.out.hmastlock <= core.io.ifu_ahb.out.hmastlock @[quasar_wrapper.scala 99:21] + _T.out.hburst <= core.io.ifu_ahb.out.hburst @[quasar_wrapper.scala 99:21] + _T.out.haddr <= core.io.ifu_ahb.out.haddr @[quasar_wrapper.scala 99:21] + core.io.ifu_ahb.in.hresp <= _T.in.hresp @[quasar_wrapper.scala 99:21] + core.io.ifu_ahb.in.hready <= _T.in.hready @[quasar_wrapper.scala 99:21] + core.io.ifu_ahb.in.hrdata <= _T.in.hrdata @[quasar_wrapper.scala 99:21] + wire _T_1 : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}} @[quasar_wrapper.scala 100:36] + _T_1.out.hwdata <= UInt<64>("h00") @[quasar_wrapper.scala 100:36] + _T_1.out.hwrite <= UInt<1>("h00") @[quasar_wrapper.scala 100:36] + _T_1.out.htrans <= UInt<2>("h00") @[quasar_wrapper.scala 100:36] + _T_1.out.hsize <= UInt<3>("h00") @[quasar_wrapper.scala 100:36] + _T_1.out.hprot <= UInt<4>("h00") @[quasar_wrapper.scala 100:36] + _T_1.out.hmastlock <= UInt<1>("h00") @[quasar_wrapper.scala 100:36] + _T_1.out.hburst <= UInt<3>("h00") @[quasar_wrapper.scala 100:36] + _T_1.out.haddr <= UInt<32>("h00") @[quasar_wrapper.scala 100:36] + _T_1.in.hresp <= UInt<1>("h00") @[quasar_wrapper.scala 100:36] + _T_1.in.hready <= UInt<1>("h00") @[quasar_wrapper.scala 100:36] + _T_1.in.hrdata <= UInt<64>("h00") @[quasar_wrapper.scala 100:36] + _T_1.out.hwdata <= core.io.lsu_ahb.out.hwdata @[quasar_wrapper.scala 100:21] + _T_1.out.hwrite <= core.io.lsu_ahb.out.hwrite @[quasar_wrapper.scala 100:21] + _T_1.out.htrans <= core.io.lsu_ahb.out.htrans @[quasar_wrapper.scala 100:21] + _T_1.out.hsize <= core.io.lsu_ahb.out.hsize @[quasar_wrapper.scala 100:21] + _T_1.out.hprot <= core.io.lsu_ahb.out.hprot @[quasar_wrapper.scala 100:21] + _T_1.out.hmastlock <= core.io.lsu_ahb.out.hmastlock @[quasar_wrapper.scala 100:21] + _T_1.out.hburst <= core.io.lsu_ahb.out.hburst @[quasar_wrapper.scala 100:21] + _T_1.out.haddr <= core.io.lsu_ahb.out.haddr @[quasar_wrapper.scala 100:21] + core.io.lsu_ahb.in.hresp <= _T_1.in.hresp @[quasar_wrapper.scala 100:21] + core.io.lsu_ahb.in.hready <= _T_1.in.hready @[quasar_wrapper.scala 100:21] + core.io.lsu_ahb.in.hrdata <= _T_1.in.hrdata @[quasar_wrapper.scala 100:21] + wire _T_2 : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}} @[quasar_wrapper.scala 101:36] + _T_2.out.hwdata <= UInt<64>("h00") @[quasar_wrapper.scala 101:36] + _T_2.out.hwrite <= UInt<1>("h00") @[quasar_wrapper.scala 101:36] + _T_2.out.htrans <= UInt<2>("h00") @[quasar_wrapper.scala 101:36] + _T_2.out.hsize <= UInt<3>("h00") @[quasar_wrapper.scala 101:36] + _T_2.out.hprot <= UInt<4>("h00") @[quasar_wrapper.scala 101:36] + _T_2.out.hmastlock <= UInt<1>("h00") @[quasar_wrapper.scala 101:36] + _T_2.out.hburst <= UInt<3>("h00") @[quasar_wrapper.scala 101:36] + _T_2.out.haddr <= UInt<32>("h00") @[quasar_wrapper.scala 101:36] + _T_2.in.hresp <= UInt<1>("h00") @[quasar_wrapper.scala 101:36] + _T_2.in.hready <= UInt<1>("h00") @[quasar_wrapper.scala 101:36] + _T_2.in.hrdata <= UInt<64>("h00") @[quasar_wrapper.scala 101:36] + _T_2.out.hwdata <= core.io.sb_ahb.out.hwdata @[quasar_wrapper.scala 101:21] + _T_2.out.hwrite <= core.io.sb_ahb.out.hwrite @[quasar_wrapper.scala 101:21] + _T_2.out.htrans <= core.io.sb_ahb.out.htrans @[quasar_wrapper.scala 101:21] + _T_2.out.hsize <= core.io.sb_ahb.out.hsize @[quasar_wrapper.scala 101:21] + _T_2.out.hprot <= core.io.sb_ahb.out.hprot @[quasar_wrapper.scala 101:21] + _T_2.out.hmastlock <= core.io.sb_ahb.out.hmastlock @[quasar_wrapper.scala 101:21] + _T_2.out.hburst <= core.io.sb_ahb.out.hburst @[quasar_wrapper.scala 101:21] + _T_2.out.haddr <= core.io.sb_ahb.out.haddr @[quasar_wrapper.scala 101:21] + core.io.sb_ahb.in.hresp <= _T_2.in.hresp @[quasar_wrapper.scala 101:21] + core.io.sb_ahb.in.hready <= _T_2.in.hready @[quasar_wrapper.scala 101:21] + core.io.sb_ahb.in.hrdata <= _T_2.in.hrdata @[quasar_wrapper.scala 101:21] + wire _T_3 : {flip sig : {in : {flip hrdata : UInt<64>, flip hready : UInt<1>, flip hresp : UInt<1>}, out : {haddr : UInt<32>, hburst : UInt<3>, hmastlock : UInt<1>, hprot : UInt<4>, hsize : UInt<3>, htrans : UInt<2>, hwrite : UInt<1>, hwdata : UInt<64>}}, flip hsel : UInt<1>, flip hreadyin : UInt<1>} @[quasar_wrapper.scala 102:36] + _T_3.hreadyin <= UInt<1>("h00") @[quasar_wrapper.scala 102:36] + _T_3.hsel <= UInt<1>("h00") @[quasar_wrapper.scala 102:36] + _T_3.sig.out.hwdata <= UInt<64>("h00") @[quasar_wrapper.scala 102:36] + _T_3.sig.out.hwrite <= UInt<1>("h00") @[quasar_wrapper.scala 102:36] + _T_3.sig.out.htrans <= UInt<2>("h00") @[quasar_wrapper.scala 102:36] + _T_3.sig.out.hsize <= UInt<3>("h00") @[quasar_wrapper.scala 102:36] + _T_3.sig.out.hprot <= UInt<4>("h00") @[quasar_wrapper.scala 102:36] + _T_3.sig.out.hmastlock <= UInt<1>("h00") @[quasar_wrapper.scala 102:36] + _T_3.sig.out.hburst <= UInt<3>("h00") @[quasar_wrapper.scala 102:36] + _T_3.sig.out.haddr <= UInt<32>("h00") @[quasar_wrapper.scala 102:36] + _T_3.sig.in.hresp <= UInt<1>("h00") @[quasar_wrapper.scala 102:36] + _T_3.sig.in.hready <= UInt<1>("h00") @[quasar_wrapper.scala 102:36] + _T_3.sig.in.hrdata <= UInt<64>("h00") @[quasar_wrapper.scala 102:36] + core.io.dma_ahb.hreadyin <= _T_3.hreadyin @[quasar_wrapper.scala 102:21] + core.io.dma_ahb.hsel <= _T_3.hsel @[quasar_wrapper.scala 102:21] + core.io.dma_ahb.sig.out.hwdata <= _T_3.sig.out.hwdata @[quasar_wrapper.scala 102:21] + core.io.dma_ahb.sig.out.hwrite <= _T_3.sig.out.hwrite @[quasar_wrapper.scala 102:21] + core.io.dma_ahb.sig.out.htrans <= _T_3.sig.out.htrans @[quasar_wrapper.scala 102:21] + core.io.dma_ahb.sig.out.hsize <= _T_3.sig.out.hsize @[quasar_wrapper.scala 102:21] + core.io.dma_ahb.sig.out.hprot <= _T_3.sig.out.hprot @[quasar_wrapper.scala 102:21] + core.io.dma_ahb.sig.out.hmastlock <= _T_3.sig.out.hmastlock @[quasar_wrapper.scala 102:21] + core.io.dma_ahb.sig.out.hburst <= _T_3.sig.out.hburst @[quasar_wrapper.scala 102:21] + core.io.dma_ahb.sig.out.haddr <= _T_3.sig.out.haddr @[quasar_wrapper.scala 102:21] + _T_3.sig.in.hresp <= core.io.dma_ahb.sig.in.hresp @[quasar_wrapper.scala 102:21] + _T_3.sig.in.hready <= core.io.dma_ahb.sig.in.hready @[quasar_wrapper.scala 102:21] + _T_3.sig.in.hrdata <= core.io.dma_ahb.sig.in.hrdata @[quasar_wrapper.scala 102:21] + core.io.lsu_axi.r.bits.last <= io.lsu_brg.r.bits.last @[quasar_wrapper.scala 104:21] + core.io.lsu_axi.r.bits.resp <= io.lsu_brg.r.bits.resp @[quasar_wrapper.scala 104:21] + core.io.lsu_axi.r.bits.data <= io.lsu_brg.r.bits.data @[quasar_wrapper.scala 104:21] + core.io.lsu_axi.r.bits.id <= io.lsu_brg.r.bits.id @[quasar_wrapper.scala 104:21] + core.io.lsu_axi.r.valid <= io.lsu_brg.r.valid @[quasar_wrapper.scala 104:21] + io.lsu_brg.r.ready <= core.io.lsu_axi.r.ready @[quasar_wrapper.scala 104:21] + io.lsu_brg.ar.bits.qos <= core.io.lsu_axi.ar.bits.qos @[quasar_wrapper.scala 104:21] + io.lsu_brg.ar.bits.prot <= core.io.lsu_axi.ar.bits.prot @[quasar_wrapper.scala 104:21] + io.lsu_brg.ar.bits.cache <= core.io.lsu_axi.ar.bits.cache @[quasar_wrapper.scala 104:21] + io.lsu_brg.ar.bits.lock <= core.io.lsu_axi.ar.bits.lock @[quasar_wrapper.scala 104:21] + io.lsu_brg.ar.bits.burst <= core.io.lsu_axi.ar.bits.burst @[quasar_wrapper.scala 104:21] + io.lsu_brg.ar.bits.size <= core.io.lsu_axi.ar.bits.size @[quasar_wrapper.scala 104:21] + io.lsu_brg.ar.bits.len <= core.io.lsu_axi.ar.bits.len @[quasar_wrapper.scala 104:21] + io.lsu_brg.ar.bits.region <= core.io.lsu_axi.ar.bits.region @[quasar_wrapper.scala 104:21] + io.lsu_brg.ar.bits.addr <= core.io.lsu_axi.ar.bits.addr @[quasar_wrapper.scala 104:21] + io.lsu_brg.ar.bits.id <= core.io.lsu_axi.ar.bits.id @[quasar_wrapper.scala 104:21] + io.lsu_brg.ar.valid <= core.io.lsu_axi.ar.valid @[quasar_wrapper.scala 104:21] + core.io.lsu_axi.ar.ready <= io.lsu_brg.ar.ready @[quasar_wrapper.scala 104:21] + core.io.lsu_axi.b.bits.id <= io.lsu_brg.b.bits.id @[quasar_wrapper.scala 104:21] + core.io.lsu_axi.b.bits.resp <= io.lsu_brg.b.bits.resp @[quasar_wrapper.scala 104:21] + core.io.lsu_axi.b.valid <= io.lsu_brg.b.valid @[quasar_wrapper.scala 104:21] + io.lsu_brg.b.ready <= core.io.lsu_axi.b.ready @[quasar_wrapper.scala 104:21] + io.lsu_brg.w.bits.last <= core.io.lsu_axi.w.bits.last @[quasar_wrapper.scala 104:21] + io.lsu_brg.w.bits.strb <= core.io.lsu_axi.w.bits.strb @[quasar_wrapper.scala 104:21] + io.lsu_brg.w.bits.data <= core.io.lsu_axi.w.bits.data @[quasar_wrapper.scala 104:21] + io.lsu_brg.w.valid <= core.io.lsu_axi.w.valid @[quasar_wrapper.scala 104:21] + core.io.lsu_axi.w.ready <= io.lsu_brg.w.ready @[quasar_wrapper.scala 104:21] + io.lsu_brg.aw.bits.qos <= core.io.lsu_axi.aw.bits.qos @[quasar_wrapper.scala 104:21] + io.lsu_brg.aw.bits.prot <= core.io.lsu_axi.aw.bits.prot @[quasar_wrapper.scala 104:21] + io.lsu_brg.aw.bits.cache <= core.io.lsu_axi.aw.bits.cache @[quasar_wrapper.scala 104:21] + io.lsu_brg.aw.bits.lock <= core.io.lsu_axi.aw.bits.lock @[quasar_wrapper.scala 104:21] + io.lsu_brg.aw.bits.burst <= core.io.lsu_axi.aw.bits.burst @[quasar_wrapper.scala 104:21] + io.lsu_brg.aw.bits.size <= core.io.lsu_axi.aw.bits.size @[quasar_wrapper.scala 104:21] + io.lsu_brg.aw.bits.len <= core.io.lsu_axi.aw.bits.len @[quasar_wrapper.scala 104:21] + io.lsu_brg.aw.bits.region <= core.io.lsu_axi.aw.bits.region @[quasar_wrapper.scala 104:21] + io.lsu_brg.aw.bits.addr <= core.io.lsu_axi.aw.bits.addr @[quasar_wrapper.scala 104:21] + io.lsu_brg.aw.bits.id <= core.io.lsu_axi.aw.bits.id @[quasar_wrapper.scala 104:21] + io.lsu_brg.aw.valid <= core.io.lsu_axi.aw.valid @[quasar_wrapper.scala 104:21] + core.io.lsu_axi.aw.ready <= io.lsu_brg.aw.ready @[quasar_wrapper.scala 104:21] + core.io.ifu_axi.r.bits.last <= io.ifu_brg.r.bits.last @[quasar_wrapper.scala 105:21] + core.io.ifu_axi.r.bits.resp <= io.ifu_brg.r.bits.resp @[quasar_wrapper.scala 105:21] + core.io.ifu_axi.r.bits.data <= io.ifu_brg.r.bits.data @[quasar_wrapper.scala 105:21] + core.io.ifu_axi.r.bits.id <= io.ifu_brg.r.bits.id @[quasar_wrapper.scala 105:21] + core.io.ifu_axi.r.valid <= io.ifu_brg.r.valid @[quasar_wrapper.scala 105:21] + io.ifu_brg.r.ready <= core.io.ifu_axi.r.ready @[quasar_wrapper.scala 105:21] + io.ifu_brg.ar.bits.qos <= core.io.ifu_axi.ar.bits.qos @[quasar_wrapper.scala 105:21] + io.ifu_brg.ar.bits.prot <= core.io.ifu_axi.ar.bits.prot @[quasar_wrapper.scala 105:21] + io.ifu_brg.ar.bits.cache <= core.io.ifu_axi.ar.bits.cache @[quasar_wrapper.scala 105:21] + io.ifu_brg.ar.bits.lock <= core.io.ifu_axi.ar.bits.lock @[quasar_wrapper.scala 105:21] + io.ifu_brg.ar.bits.burst <= core.io.ifu_axi.ar.bits.burst @[quasar_wrapper.scala 105:21] + io.ifu_brg.ar.bits.size <= core.io.ifu_axi.ar.bits.size @[quasar_wrapper.scala 105:21] + io.ifu_brg.ar.bits.len <= core.io.ifu_axi.ar.bits.len @[quasar_wrapper.scala 105:21] + io.ifu_brg.ar.bits.region <= core.io.ifu_axi.ar.bits.region @[quasar_wrapper.scala 105:21] + io.ifu_brg.ar.bits.addr <= core.io.ifu_axi.ar.bits.addr @[quasar_wrapper.scala 105:21] + io.ifu_brg.ar.bits.id <= core.io.ifu_axi.ar.bits.id @[quasar_wrapper.scala 105:21] + io.ifu_brg.ar.valid <= core.io.ifu_axi.ar.valid @[quasar_wrapper.scala 105:21] + core.io.ifu_axi.ar.ready <= io.ifu_brg.ar.ready @[quasar_wrapper.scala 105:21] + core.io.ifu_axi.b.bits.id <= io.ifu_brg.b.bits.id @[quasar_wrapper.scala 105:21] + core.io.ifu_axi.b.bits.resp <= io.ifu_brg.b.bits.resp @[quasar_wrapper.scala 105:21] + core.io.ifu_axi.b.valid <= io.ifu_brg.b.valid @[quasar_wrapper.scala 105:21] + io.ifu_brg.b.ready <= core.io.ifu_axi.b.ready @[quasar_wrapper.scala 105:21] + io.ifu_brg.w.bits.last <= core.io.ifu_axi.w.bits.last @[quasar_wrapper.scala 105:21] + io.ifu_brg.w.bits.strb <= core.io.ifu_axi.w.bits.strb @[quasar_wrapper.scala 105:21] + io.ifu_brg.w.bits.data <= core.io.ifu_axi.w.bits.data @[quasar_wrapper.scala 105:21] + io.ifu_brg.w.valid <= core.io.ifu_axi.w.valid @[quasar_wrapper.scala 105:21] + core.io.ifu_axi.w.ready <= io.ifu_brg.w.ready @[quasar_wrapper.scala 105:21] + io.ifu_brg.aw.bits.qos <= core.io.ifu_axi.aw.bits.qos @[quasar_wrapper.scala 105:21] + io.ifu_brg.aw.bits.prot <= core.io.ifu_axi.aw.bits.prot @[quasar_wrapper.scala 105:21] + io.ifu_brg.aw.bits.cache <= core.io.ifu_axi.aw.bits.cache @[quasar_wrapper.scala 105:21] + io.ifu_brg.aw.bits.lock <= core.io.ifu_axi.aw.bits.lock @[quasar_wrapper.scala 105:21] + io.ifu_brg.aw.bits.burst <= core.io.ifu_axi.aw.bits.burst @[quasar_wrapper.scala 105:21] + io.ifu_brg.aw.bits.size <= core.io.ifu_axi.aw.bits.size @[quasar_wrapper.scala 105:21] + io.ifu_brg.aw.bits.len <= core.io.ifu_axi.aw.bits.len @[quasar_wrapper.scala 105:21] + io.ifu_brg.aw.bits.region <= core.io.ifu_axi.aw.bits.region @[quasar_wrapper.scala 105:21] + io.ifu_brg.aw.bits.addr <= core.io.ifu_axi.aw.bits.addr @[quasar_wrapper.scala 105:21] + io.ifu_brg.aw.bits.id <= core.io.ifu_axi.aw.bits.id @[quasar_wrapper.scala 105:21] + io.ifu_brg.aw.valid <= core.io.ifu_axi.aw.valid @[quasar_wrapper.scala 105:21] + core.io.ifu_axi.aw.ready <= io.ifu_brg.aw.ready @[quasar_wrapper.scala 105:21] + core.io.sb_axi.r.bits.last <= io.sb_brg.r.bits.last @[quasar_wrapper.scala 106:21] + core.io.sb_axi.r.bits.resp <= io.sb_brg.r.bits.resp @[quasar_wrapper.scala 106:21] + core.io.sb_axi.r.bits.data <= io.sb_brg.r.bits.data @[quasar_wrapper.scala 106:21] + core.io.sb_axi.r.bits.id <= io.sb_brg.r.bits.id @[quasar_wrapper.scala 106:21] + core.io.sb_axi.r.valid <= io.sb_brg.r.valid @[quasar_wrapper.scala 106:21] + io.sb_brg.r.ready <= core.io.sb_axi.r.ready @[quasar_wrapper.scala 106:21] + io.sb_brg.ar.bits.qos <= core.io.sb_axi.ar.bits.qos @[quasar_wrapper.scala 106:21] + io.sb_brg.ar.bits.prot <= core.io.sb_axi.ar.bits.prot @[quasar_wrapper.scala 106:21] + io.sb_brg.ar.bits.cache <= core.io.sb_axi.ar.bits.cache @[quasar_wrapper.scala 106:21] + io.sb_brg.ar.bits.lock <= core.io.sb_axi.ar.bits.lock @[quasar_wrapper.scala 106:21] + io.sb_brg.ar.bits.burst <= core.io.sb_axi.ar.bits.burst @[quasar_wrapper.scala 106:21] + io.sb_brg.ar.bits.size <= core.io.sb_axi.ar.bits.size @[quasar_wrapper.scala 106:21] + io.sb_brg.ar.bits.len <= core.io.sb_axi.ar.bits.len @[quasar_wrapper.scala 106:21] + io.sb_brg.ar.bits.region <= core.io.sb_axi.ar.bits.region @[quasar_wrapper.scala 106:21] + io.sb_brg.ar.bits.addr <= core.io.sb_axi.ar.bits.addr @[quasar_wrapper.scala 106:21] + io.sb_brg.ar.bits.id <= core.io.sb_axi.ar.bits.id @[quasar_wrapper.scala 106:21] + io.sb_brg.ar.valid <= core.io.sb_axi.ar.valid @[quasar_wrapper.scala 106:21] + core.io.sb_axi.ar.ready <= io.sb_brg.ar.ready @[quasar_wrapper.scala 106:21] + core.io.sb_axi.b.bits.id <= io.sb_brg.b.bits.id @[quasar_wrapper.scala 106:21] + core.io.sb_axi.b.bits.resp <= io.sb_brg.b.bits.resp @[quasar_wrapper.scala 106:21] + core.io.sb_axi.b.valid <= io.sb_brg.b.valid @[quasar_wrapper.scala 106:21] + io.sb_brg.b.ready <= core.io.sb_axi.b.ready @[quasar_wrapper.scala 106:21] + io.sb_brg.w.bits.last <= core.io.sb_axi.w.bits.last @[quasar_wrapper.scala 106:21] + io.sb_brg.w.bits.strb <= core.io.sb_axi.w.bits.strb @[quasar_wrapper.scala 106:21] + io.sb_brg.w.bits.data <= core.io.sb_axi.w.bits.data @[quasar_wrapper.scala 106:21] + io.sb_brg.w.valid <= core.io.sb_axi.w.valid @[quasar_wrapper.scala 106:21] + core.io.sb_axi.w.ready <= io.sb_brg.w.ready @[quasar_wrapper.scala 106:21] + io.sb_brg.aw.bits.qos <= core.io.sb_axi.aw.bits.qos @[quasar_wrapper.scala 106:21] + io.sb_brg.aw.bits.prot <= core.io.sb_axi.aw.bits.prot @[quasar_wrapper.scala 106:21] + io.sb_brg.aw.bits.cache <= core.io.sb_axi.aw.bits.cache @[quasar_wrapper.scala 106:21] + io.sb_brg.aw.bits.lock <= core.io.sb_axi.aw.bits.lock @[quasar_wrapper.scala 106:21] + io.sb_brg.aw.bits.burst <= core.io.sb_axi.aw.bits.burst @[quasar_wrapper.scala 106:21] + io.sb_brg.aw.bits.size <= core.io.sb_axi.aw.bits.size @[quasar_wrapper.scala 106:21] + io.sb_brg.aw.bits.len <= core.io.sb_axi.aw.bits.len @[quasar_wrapper.scala 106:21] + io.sb_brg.aw.bits.region <= core.io.sb_axi.aw.bits.region @[quasar_wrapper.scala 106:21] + io.sb_brg.aw.bits.addr <= core.io.sb_axi.aw.bits.addr @[quasar_wrapper.scala 106:21] + io.sb_brg.aw.bits.id <= core.io.sb_axi.aw.bits.id @[quasar_wrapper.scala 106:21] + io.sb_brg.aw.valid <= core.io.sb_axi.aw.valid @[quasar_wrapper.scala 106:21] + core.io.sb_axi.aw.ready <= io.sb_brg.aw.ready @[quasar_wrapper.scala 106:21] + io.dma_brg.r.bits.last <= core.io.dma_axi.r.bits.last @[quasar_wrapper.scala 107:21] + io.dma_brg.r.bits.resp <= core.io.dma_axi.r.bits.resp @[quasar_wrapper.scala 107:21] + io.dma_brg.r.bits.data <= core.io.dma_axi.r.bits.data @[quasar_wrapper.scala 107:21] + io.dma_brg.r.bits.id <= core.io.dma_axi.r.bits.id @[quasar_wrapper.scala 107:21] + io.dma_brg.r.valid <= core.io.dma_axi.r.valid @[quasar_wrapper.scala 107:21] + core.io.dma_axi.r.ready <= io.dma_brg.r.ready @[quasar_wrapper.scala 107:21] + core.io.dma_axi.ar.bits.qos <= io.dma_brg.ar.bits.qos @[quasar_wrapper.scala 107:21] + core.io.dma_axi.ar.bits.prot <= io.dma_brg.ar.bits.prot @[quasar_wrapper.scala 107:21] + core.io.dma_axi.ar.bits.cache <= io.dma_brg.ar.bits.cache @[quasar_wrapper.scala 107:21] + core.io.dma_axi.ar.bits.lock <= io.dma_brg.ar.bits.lock @[quasar_wrapper.scala 107:21] + core.io.dma_axi.ar.bits.burst <= io.dma_brg.ar.bits.burst @[quasar_wrapper.scala 107:21] + core.io.dma_axi.ar.bits.size <= io.dma_brg.ar.bits.size @[quasar_wrapper.scala 107:21] + core.io.dma_axi.ar.bits.len <= io.dma_brg.ar.bits.len @[quasar_wrapper.scala 107:21] + core.io.dma_axi.ar.bits.region <= io.dma_brg.ar.bits.region @[quasar_wrapper.scala 107:21] + core.io.dma_axi.ar.bits.addr <= io.dma_brg.ar.bits.addr @[quasar_wrapper.scala 107:21] + core.io.dma_axi.ar.bits.id <= io.dma_brg.ar.bits.id @[quasar_wrapper.scala 107:21] + core.io.dma_axi.ar.valid <= io.dma_brg.ar.valid @[quasar_wrapper.scala 107:21] + io.dma_brg.ar.ready <= core.io.dma_axi.ar.ready @[quasar_wrapper.scala 107:21] + io.dma_brg.b.bits.id <= core.io.dma_axi.b.bits.id @[quasar_wrapper.scala 107:21] + io.dma_brg.b.bits.resp <= core.io.dma_axi.b.bits.resp @[quasar_wrapper.scala 107:21] + io.dma_brg.b.valid <= core.io.dma_axi.b.valid @[quasar_wrapper.scala 107:21] + core.io.dma_axi.b.ready <= io.dma_brg.b.ready @[quasar_wrapper.scala 107:21] + core.io.dma_axi.w.bits.last <= io.dma_brg.w.bits.last @[quasar_wrapper.scala 107:21] + core.io.dma_axi.w.bits.strb <= io.dma_brg.w.bits.strb @[quasar_wrapper.scala 107:21] + core.io.dma_axi.w.bits.data <= io.dma_brg.w.bits.data @[quasar_wrapper.scala 107:21] + core.io.dma_axi.w.valid <= io.dma_brg.w.valid @[quasar_wrapper.scala 107:21] + io.dma_brg.w.ready <= core.io.dma_axi.w.ready @[quasar_wrapper.scala 107:21] + core.io.dma_axi.aw.bits.qos <= io.dma_brg.aw.bits.qos @[quasar_wrapper.scala 107:21] + core.io.dma_axi.aw.bits.prot <= io.dma_brg.aw.bits.prot @[quasar_wrapper.scala 107:21] + core.io.dma_axi.aw.bits.cache <= io.dma_brg.aw.bits.cache @[quasar_wrapper.scala 107:21] + core.io.dma_axi.aw.bits.lock <= io.dma_brg.aw.bits.lock @[quasar_wrapper.scala 107:21] + core.io.dma_axi.aw.bits.burst <= io.dma_brg.aw.bits.burst @[quasar_wrapper.scala 107:21] + core.io.dma_axi.aw.bits.size <= io.dma_brg.aw.bits.size @[quasar_wrapper.scala 107:21] + core.io.dma_axi.aw.bits.len <= io.dma_brg.aw.bits.len @[quasar_wrapper.scala 107:21] + core.io.dma_axi.aw.bits.region <= io.dma_brg.aw.bits.region @[quasar_wrapper.scala 107:21] + core.io.dma_axi.aw.bits.addr <= io.dma_brg.aw.bits.addr @[quasar_wrapper.scala 107:21] + core.io.dma_axi.aw.bits.id <= io.dma_brg.aw.bits.id @[quasar_wrapper.scala 107:21] + core.io.dma_axi.aw.valid <= io.dma_brg.aw.valid @[quasar_wrapper.scala 107:21] + io.dma_brg.aw.ready <= core.io.dma_axi.aw.ready @[quasar_wrapper.scala 107:21] core.io.dbg_rst_l <= io.dbg_rst_l @[quasar_wrapper.scala 121:21] core.io.rst_vec <= io.rst_vec @[quasar_wrapper.scala 122:19] core.io.nmi_int <= io.nmi_int @[quasar_wrapper.scala 123:19] diff --git a/quasar_wrapper.v b/quasar_wrapper.v index 6eb457d5..627e99fb 100644 --- a/quasar_wrapper.v +++ b/quasar_wrapper.v @@ -57,6 +57,7 @@ module ifu_mem_ctl( output io_ifu_axi_ar_valid, output [2:0] io_ifu_axi_ar_bits_id, output [31:0] io_ifu_axi_ar_bits_addr, + output [3:0] io_ifu_axi_ar_bits_region, output io_ifu_axi_r_ready, input io_ifu_axi_r_valid, input [2:0] io_ifu_axi_r_bits_id, @@ -1928,6 +1929,7 @@ module ifu_mem_ctl( wire _T_318 = ~stream_miss_f; // @[ifu_mem_ctl.scala 226:106] reg ifc_region_acc_fault_f; // @[ifu_mem_ctl.scala 232:68] reg [2:0] bus_rd_addr_count; // @[ifu_mem_ctl.scala 540:55] + wire [28:0] ifu_ic_req_addr_f = {miss_addr,bus_rd_addr_count}; // @[Cat.scala 29:58] wire _T_325 = _T_239 | _T_2268; // @[ifu_mem_ctl.scala 234:55] wire _T_328 = _T_325 & _T_56; // @[ifu_mem_ctl.scala 234:82] wire _T_2289 = ~ifu_bus_rid_ff[0]; // @[ifu_mem_ctl.scala 378:55] @@ -5660,6 +5662,7 @@ module ifu_mem_ctl( assign io_ifu_axi_ar_valid = ifu_bus_cmd_valid; // @[ifu_mem_ctl.scala 497:23] assign io_ifu_axi_ar_bits_id = bus_rd_addr_count & _T_2608; // @[ifu_mem_ctl.scala 498:25] assign io_ifu_axi_ar_bits_addr = _T_2610 & _T_2612; // @[ifu_mem_ctl.scala 499:27] + assign io_ifu_axi_ar_bits_region = ifu_ic_req_addr_f[28:25]; // @[ifu_mem_ctl.scala 502:29] assign io_ifu_axi_r_ready = 1'h1; // @[ifu_mem_ctl.scala 504:22] assign io_iccm_rw_addr = _T_3110 ? io_dma_mem_ctl_dma_mem_addr[15:1] : _T_3117; // @[ifu_mem_ctl.scala 599:19] assign io_iccm_buf_correct_ecc = iccm_correct_ecc & _T_2497; // @[ifu_mem_ctl.scala 395:27] @@ -44530,6 +44533,7 @@ module ifu( output io_ifu_ar_valid, output [2:0] io_ifu_ar_bits_id, output [31:0] io_ifu_ar_bits_addr, + output [3:0] io_ifu_ar_bits_region, input io_ifu_r_valid, input [2:0] io_ifu_r_bits_id, input [63:0] io_ifu_r_bits_data, @@ -44588,6 +44592,7 @@ module ifu( wire mem_ctl_io_ifu_axi_ar_valid; // @[ifu.scala 34:23] wire [2:0] mem_ctl_io_ifu_axi_ar_bits_id; // @[ifu.scala 34:23] wire [31:0] mem_ctl_io_ifu_axi_ar_bits_addr; // @[ifu.scala 34:23] + wire [3:0] mem_ctl_io_ifu_axi_ar_bits_region; // @[ifu.scala 34:23] wire mem_ctl_io_ifu_axi_r_ready; // @[ifu.scala 34:23] wire mem_ctl_io_ifu_axi_r_valid; // @[ifu.scala 34:23] wire [2:0] mem_ctl_io_ifu_axi_r_bits_id; // @[ifu.scala 34:23] @@ -44803,6 +44808,7 @@ module ifu( .io_ifu_axi_ar_valid(mem_ctl_io_ifu_axi_ar_valid), .io_ifu_axi_ar_bits_id(mem_ctl_io_ifu_axi_ar_bits_id), .io_ifu_axi_ar_bits_addr(mem_ctl_io_ifu_axi_ar_bits_addr), + .io_ifu_axi_ar_bits_region(mem_ctl_io_ifu_axi_ar_bits_region), .io_ifu_axi_r_ready(mem_ctl_io_ifu_axi_r_ready), .io_ifu_axi_r_valid(mem_ctl_io_ifu_axi_r_valid), .io_ifu_axi_r_bits_id(mem_ctl_io_ifu_axi_r_bits_id), @@ -45043,6 +45049,7 @@ module ifu( assign io_ifu_ar_valid = mem_ctl_io_ifu_axi_ar_valid; // @[ifu.scala 103:22] assign io_ifu_ar_bits_id = mem_ctl_io_ifu_axi_ar_bits_id; // @[ifu.scala 103:22] assign io_ifu_ar_bits_addr = mem_ctl_io_ifu_axi_ar_bits_addr; // @[ifu.scala 103:22] + assign io_ifu_ar_bits_region = mem_ctl_io_ifu_axi_ar_bits_region; // @[ifu.scala 103:22] assign io_iccm_dma_ecc_error = mem_ctl_io_iccm_dma_ecc_error; // @[ifu.scala 113:25] assign io_iccm_dma_rvalid = mem_ctl_io_iccm_dma_rvalid; // @[ifu.scala 114:22] assign io_iccm_dma_rdata = mem_ctl_io_iccm_dma_rdata; // @[ifu.scala 115:21] @@ -50182,56 +50189,56 @@ module dec_timer_ctl( wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] reg [31:0] mitcnt0; // @[lib.scala 374:16] reg [31:0] mitb0_b; // @[lib.scala 374:16] - wire [31:0] mitb0 = ~mitb0_b; // @[dec_tlu_ctl.scala 2713:22] - wire mit0_match_ns = mitcnt0 >= mitb0; // @[dec_tlu_ctl.scala 2674:36] + wire [31:0] mitb0 = ~mitb0_b; // @[dec_tlu_ctl.scala 2711:22] + wire mit0_match_ns = mitcnt0 >= mitb0; // @[dec_tlu_ctl.scala 2672:36] reg [31:0] mitcnt1; // @[lib.scala 374:16] reg [31:0] mitb1_b; // @[lib.scala 374:16] - wire [31:0] mitb1 = ~mitb1_b; // @[dec_tlu_ctl.scala 2722:18] - wire mit1_match_ns = mitcnt1 >= mitb1; // @[dec_tlu_ctl.scala 2675:36] - wire _T = io_dec_csr_wraddr_r == 12'h7d2; // @[dec_tlu_ctl.scala 2685:72] - wire wr_mitcnt0_r = io_dec_csr_wen_r_mod & _T; // @[dec_tlu_ctl.scala 2685:49] - reg [1:0] _T_57; // @[dec_tlu_ctl.scala 2738:67] - reg mitctl0_0_b; // @[dec_tlu_ctl.scala 2737:60] - wire _T_58 = ~mitctl0_0_b; // @[dec_tlu_ctl.scala 2738:90] + wire [31:0] mitb1 = ~mitb1_b; // @[dec_tlu_ctl.scala 2720:18] + wire mit1_match_ns = mitcnt1 >= mitb1; // @[dec_tlu_ctl.scala 2673:36] + wire _T = io_dec_csr_wraddr_r == 12'h7d2; // @[dec_tlu_ctl.scala 2683:72] + wire wr_mitcnt0_r = io_dec_csr_wen_r_mod & _T; // @[dec_tlu_ctl.scala 2683:49] + reg [1:0] _T_57; // @[dec_tlu_ctl.scala 2736:67] + reg mitctl0_0_b; // @[dec_tlu_ctl.scala 2735:60] + wire _T_58 = ~mitctl0_0_b; // @[dec_tlu_ctl.scala 2736:90] wire [2:0] mitctl0 = {_T_57,_T_58}; // @[Cat.scala 29:58] - wire _T_2 = ~io_dec_pause_state; // @[dec_tlu_ctl.scala 2687:56] - wire _T_4 = _T_2 | mitctl0[2]; // @[dec_tlu_ctl.scala 2687:76] - wire _T_5 = mitctl0[0] & _T_4; // @[dec_tlu_ctl.scala 2687:53] - wire _T_6 = ~io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 2687:112] - wire _T_8 = _T_6 | mitctl0[1]; // @[dec_tlu_ctl.scala 2687:138] - wire _T_9 = _T_5 & _T_8; // @[dec_tlu_ctl.scala 2687:109] - wire _T_10 = ~io_internal_dbg_halt_timers; // @[dec_tlu_ctl.scala 2687:173] - wire mitcnt0_inc_ok = _T_9 & _T_10; // @[dec_tlu_ctl.scala 2687:171] - wire [31:0] mitcnt0_inc = mitcnt0 + 32'h1; // @[dec_tlu_ctl.scala 2688:35] - wire _T_15 = wr_mitcnt0_r | mitcnt0_inc_ok; // @[dec_tlu_ctl.scala 2690:59] - wire _T_19 = io_dec_csr_wraddr_r == 12'h7d5; // @[dec_tlu_ctl.scala 2697:72] - wire wr_mitcnt1_r = io_dec_csr_wen_r_mod & _T_19; // @[dec_tlu_ctl.scala 2697:49] - reg [2:0] _T_66; // @[dec_tlu_ctl.scala 2752:52] - reg mitctl1_0_b; // @[dec_tlu_ctl.scala 2751:55] - wire _T_67 = ~mitctl1_0_b; // @[dec_tlu_ctl.scala 2752:75] + wire _T_2 = ~io_dec_pause_state; // @[dec_tlu_ctl.scala 2685:56] + wire _T_4 = _T_2 | mitctl0[2]; // @[dec_tlu_ctl.scala 2685:76] + wire _T_5 = mitctl0[0] & _T_4; // @[dec_tlu_ctl.scala 2685:53] + wire _T_6 = ~io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 2685:112] + wire _T_8 = _T_6 | mitctl0[1]; // @[dec_tlu_ctl.scala 2685:138] + wire _T_9 = _T_5 & _T_8; // @[dec_tlu_ctl.scala 2685:109] + wire _T_10 = ~io_internal_dbg_halt_timers; // @[dec_tlu_ctl.scala 2685:173] + wire mitcnt0_inc_ok = _T_9 & _T_10; // @[dec_tlu_ctl.scala 2685:171] + wire [31:0] mitcnt0_inc = mitcnt0 + 32'h1; // @[dec_tlu_ctl.scala 2686:35] + wire _T_15 = wr_mitcnt0_r | mitcnt0_inc_ok; // @[dec_tlu_ctl.scala 2688:59] + wire _T_19 = io_dec_csr_wraddr_r == 12'h7d5; // @[dec_tlu_ctl.scala 2695:72] + wire wr_mitcnt1_r = io_dec_csr_wen_r_mod & _T_19; // @[dec_tlu_ctl.scala 2695:49] + reg [2:0] _T_66; // @[dec_tlu_ctl.scala 2750:52] + reg mitctl1_0_b; // @[dec_tlu_ctl.scala 2749:55] + wire _T_67 = ~mitctl1_0_b; // @[dec_tlu_ctl.scala 2750:75] wire [3:0] mitctl1 = {_T_66,_T_67}; // @[Cat.scala 29:58] - wire _T_23 = _T_2 | mitctl1[2]; // @[dec_tlu_ctl.scala 2699:76] - wire _T_24 = mitctl1[0] & _T_23; // @[dec_tlu_ctl.scala 2699:53] - wire _T_27 = _T_6 | mitctl1[1]; // @[dec_tlu_ctl.scala 2699:138] - wire _T_28 = _T_24 & _T_27; // @[dec_tlu_ctl.scala 2699:109] - wire mitcnt1_inc_ok = _T_28 & _T_10; // @[dec_tlu_ctl.scala 2699:171] - wire _T_32 = ~mitctl1[3]; // @[dec_tlu_ctl.scala 2702:60] - wire _T_33 = _T_32 | mit0_match_ns; // @[dec_tlu_ctl.scala 2702:72] + wire _T_23 = _T_2 | mitctl1[2]; // @[dec_tlu_ctl.scala 2697:76] + wire _T_24 = mitctl1[0] & _T_23; // @[dec_tlu_ctl.scala 2697:53] + wire _T_27 = _T_6 | mitctl1[1]; // @[dec_tlu_ctl.scala 2697:138] + wire _T_28 = _T_24 & _T_27; // @[dec_tlu_ctl.scala 2697:109] + wire mitcnt1_inc_ok = _T_28 & _T_10; // @[dec_tlu_ctl.scala 2697:171] + wire _T_32 = ~mitctl1[3]; // @[dec_tlu_ctl.scala 2700:60] + wire _T_33 = _T_32 | mit0_match_ns; // @[dec_tlu_ctl.scala 2700:72] wire [31:0] _T_34 = {31'h0,_T_33}; // @[Cat.scala 29:58] - wire [31:0] mitcnt1_inc = mitcnt1 + _T_34; // @[dec_tlu_ctl.scala 2702:35] - wire _T_39 = wr_mitcnt1_r | mitcnt1_inc_ok; // @[dec_tlu_ctl.scala 2704:60] - wire _T_43 = io_dec_csr_wraddr_r == 12'h7d3; // @[dec_tlu_ctl.scala 2711:70] - wire _T_47 = io_dec_csr_wraddr_r == 12'h7d6; // @[dec_tlu_ctl.scala 2720:69] - wire _T_51 = io_dec_csr_wraddr_r == 12'h7d4; // @[dec_tlu_ctl.scala 2733:72] - wire wr_mitctl0_r = io_dec_csr_wen_r_mod & _T_51; // @[dec_tlu_ctl.scala 2733:49] - wire [2:0] mitctl0_ns = wr_mitctl0_r ? io_dec_csr_wrdata_r[2:0] : mitctl0; // @[dec_tlu_ctl.scala 2734:31] - wire _T_60 = io_dec_csr_wraddr_r == 12'h7d7; // @[dec_tlu_ctl.scala 2748:71] - wire wr_mitctl1_r = io_dec_csr_wen_r_mod & _T_60; // @[dec_tlu_ctl.scala 2748:49] - wire [3:0] mitctl1_ns = wr_mitctl1_r ? io_dec_csr_wrdata_r[3:0] : mitctl1; // @[dec_tlu_ctl.scala 2749:31] - wire _T_69 = io_csr_mitcnt1 | io_csr_mitcnt0; // @[dec_tlu_ctl.scala 2754:51] - wire _T_70 = _T_69 | io_csr_mitb1; // @[dec_tlu_ctl.scala 2754:68] - wire _T_71 = _T_70 | io_csr_mitb0; // @[dec_tlu_ctl.scala 2754:83] - wire _T_72 = _T_71 | io_csr_mitctl0; // @[dec_tlu_ctl.scala 2754:98] + wire [31:0] mitcnt1_inc = mitcnt1 + _T_34; // @[dec_tlu_ctl.scala 2700:35] + wire _T_39 = wr_mitcnt1_r | mitcnt1_inc_ok; // @[dec_tlu_ctl.scala 2702:60] + wire _T_43 = io_dec_csr_wraddr_r == 12'h7d3; // @[dec_tlu_ctl.scala 2709:70] + wire _T_47 = io_dec_csr_wraddr_r == 12'h7d6; // @[dec_tlu_ctl.scala 2718:69] + wire _T_51 = io_dec_csr_wraddr_r == 12'h7d4; // @[dec_tlu_ctl.scala 2731:72] + wire wr_mitctl0_r = io_dec_csr_wen_r_mod & _T_51; // @[dec_tlu_ctl.scala 2731:49] + wire [2:0] mitctl0_ns = wr_mitctl0_r ? io_dec_csr_wrdata_r[2:0] : mitctl0; // @[dec_tlu_ctl.scala 2732:31] + wire _T_60 = io_dec_csr_wraddr_r == 12'h7d7; // @[dec_tlu_ctl.scala 2746:71] + wire wr_mitctl1_r = io_dec_csr_wen_r_mod & _T_60; // @[dec_tlu_ctl.scala 2746:49] + wire [3:0] mitctl1_ns = wr_mitctl1_r ? io_dec_csr_wrdata_r[3:0] : mitctl1; // @[dec_tlu_ctl.scala 2747:31] + wire _T_69 = io_csr_mitcnt1 | io_csr_mitcnt0; // @[dec_tlu_ctl.scala 2752:51] + wire _T_70 = _T_69 | io_csr_mitb1; // @[dec_tlu_ctl.scala 2752:68] + wire _T_71 = _T_70 | io_csr_mitb0; // @[dec_tlu_ctl.scala 2752:83] + wire _T_72 = _T_71 | io_csr_mitctl0; // @[dec_tlu_ctl.scala 2752:98] wire [31:0] _T_81 = {29'h0,_T_57,_T_58}; // @[Cat.scala 29:58] wire [31:0] _T_84 = {28'h0,_T_66,_T_67}; // @[Cat.scala 29:58] wire [31:0] _T_85 = io_csr_mitcnt0 ? mitcnt0 : 32'h0; // @[Mux.scala 27:72] @@ -50268,10 +50275,10 @@ module dec_timer_ctl( .io_en(rvclkhdr_3_io_en), .io_scan_mode(rvclkhdr_3_io_scan_mode) ); - assign io_dec_timer_rddata_d = _T_94 | _T_90; // @[dec_tlu_ctl.scala 2755:33] - assign io_dec_timer_read_d = _T_72 | io_csr_mitctl1; // @[dec_tlu_ctl.scala 2754:33] - assign io_dec_timer_t0_pulse = mitcnt0 >= mitb0; // @[dec_tlu_ctl.scala 2677:31] - assign io_dec_timer_t1_pulse = mitcnt1 >= mitb1; // @[dec_tlu_ctl.scala 2678:31] + assign io_dec_timer_rddata_d = _T_94 | _T_90; // @[dec_tlu_ctl.scala 2753:33] + assign io_dec_timer_read_d = _T_72 | io_csr_mitctl1; // @[dec_tlu_ctl.scala 2752:33] + assign io_dec_timer_t0_pulse = mitcnt0 >= mitb0; // @[dec_tlu_ctl.scala 2675:31] + assign io_dec_timer_t1_pulse = mitcnt1 >= mitb1; // @[dec_tlu_ctl.scala 2676:31] assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_io_en = _T_15 | mit0_match_ns; // @[lib.scala 371:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] @@ -50517,7 +50524,6 @@ module csr_tlu( output io_dec_tlu_misc_clk_override, output io_dec_tlu_dec_clk_override, output io_dec_tlu_lsu_clk_override, - output io_dec_tlu_bus_clk_override, output io_dec_tlu_pic_clk_override, output io_dec_tlu_dccm_clk_override, output io_dec_tlu_icm_clk_override, @@ -50540,6 +50546,7 @@ module csr_tlu( input io_lsu_imprecise_error_load_any, input io_lsu_imprecise_error_store_any, output [31:0] io_dec_tlu_mrac_ff, + output io_dec_tlu_wb_coalescing_disable, output io_dec_tlu_bpred_disable, output io_dec_tlu_sideeffect_posted_disable, output io_dec_tlu_core_ecc_disable, @@ -50919,36 +50926,36 @@ module csr_tlu( wire rvclkhdr_34_io_clk; // @[lib.scala 343:22] wire rvclkhdr_34_io_en; // @[lib.scala 343:22] wire rvclkhdr_34_io_scan_mode; // @[lib.scala 343:22] - wire _T = ~io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 1451:45] - wire _T_1 = io_dec_csr_wen_r & _T; // @[dec_tlu_ctl.scala 1451:43] - wire _T_2 = ~io_rfpc_i0_r; // @[dec_tlu_ctl.scala 1451:68] - wire _T_5 = io_dec_csr_wraddr_r == 12'h300; // @[dec_tlu_ctl.scala 1452:71] - wire wr_mstatus_r = io_dec_csr_wen_r_mod & _T_5; // @[dec_tlu_ctl.scala 1452:42] - wire _T_488 = io_dec_csr_wraddr_r == 12'h7c6; // @[dec_tlu_ctl.scala 1838:68] - wire wr_mpmc_r = io_dec_csr_wen_r_mod & _T_488; // @[dec_tlu_ctl.scala 1838:39] - wire _T_500 = ~io_dec_csr_wrdata_r[1]; // @[dec_tlu_ctl.scala 1846:37] - reg mpmc_b; // @[dec_tlu_ctl.scala 1848:44] - wire mpmc = ~mpmc_b; // @[dec_tlu_ctl.scala 1851:10] - wire _T_501 = ~mpmc; // @[dec_tlu_ctl.scala 1846:62] - wire mpmc_b_ns = wr_mpmc_r ? _T_500 : _T_501; // @[dec_tlu_ctl.scala 1846:18] - wire _T_6 = ~mpmc_b_ns; // @[dec_tlu_ctl.scala 1455:28] - wire set_mie_pmu_fw_halt = _T_6 & io_fw_halt_req; // @[dec_tlu_ctl.scala 1455:39] - wire _T_7 = ~wr_mstatus_r; // @[dec_tlu_ctl.scala 1458:5] - wire _T_8 = _T_7 & io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1458:19] + wire _T = ~io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 1449:45] + wire _T_1 = io_dec_csr_wen_r & _T; // @[dec_tlu_ctl.scala 1449:43] + wire _T_2 = ~io_rfpc_i0_r; // @[dec_tlu_ctl.scala 1449:68] + wire _T_5 = io_dec_csr_wraddr_r == 12'h300; // @[dec_tlu_ctl.scala 1450:71] + wire wr_mstatus_r = io_dec_csr_wen_r_mod & _T_5; // @[dec_tlu_ctl.scala 1450:42] + wire _T_498 = io_dec_csr_wraddr_r == 12'h7c6; // @[dec_tlu_ctl.scala 1836:68] + wire wr_mpmc_r = io_dec_csr_wen_r_mod & _T_498; // @[dec_tlu_ctl.scala 1836:39] + wire _T_510 = ~io_dec_csr_wrdata_r[1]; // @[dec_tlu_ctl.scala 1844:37] + reg mpmc_b; // @[dec_tlu_ctl.scala 1846:44] + wire mpmc = ~mpmc_b; // @[dec_tlu_ctl.scala 1849:10] + wire _T_511 = ~mpmc; // @[dec_tlu_ctl.scala 1844:62] + wire mpmc_b_ns = wr_mpmc_r ? _T_510 : _T_511; // @[dec_tlu_ctl.scala 1844:18] + wire _T_6 = ~mpmc_b_ns; // @[dec_tlu_ctl.scala 1453:28] + wire set_mie_pmu_fw_halt = _T_6 & io_fw_halt_req; // @[dec_tlu_ctl.scala 1453:39] + wire _T_7 = ~wr_mstatus_r; // @[dec_tlu_ctl.scala 1456:5] + wire _T_8 = _T_7 & io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1456:19] wire [1:0] _T_12 = {io_mstatus[0],1'h0}; // @[Cat.scala 29:58] - wire _T_13 = wr_mstatus_r & io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1459:18] + wire _T_13 = wr_mstatus_r & io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1457:18] wire [1:0] _T_16 = {io_dec_csr_wrdata_r[3],1'h0}; // @[Cat.scala 29:58] - wire _T_17 = ~io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1460:17] - wire _T_18 = io_mret_r & _T_17; // @[dec_tlu_ctl.scala 1460:15] + wire _T_17 = ~io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 1458:17] + wire _T_18 = io_mret_r & _T_17; // @[dec_tlu_ctl.scala 1458:15] wire [1:0] _T_21 = {1'h1,io_mstatus[1]}; // @[Cat.scala 29:58] wire [1:0] _T_24 = {io_mstatus[1],1'h1}; // @[Cat.scala 29:58] - wire _T_26 = wr_mstatus_r & _T_17; // @[dec_tlu_ctl.scala 1462:18] + wire _T_26 = wr_mstatus_r & _T_17; // @[dec_tlu_ctl.scala 1460:18] wire [1:0] _T_30 = {io_dec_csr_wrdata_r[7],io_dec_csr_wrdata_r[3]}; // @[Cat.scala 29:58] - wire _T_33 = _T_7 & _T_17; // @[dec_tlu_ctl.scala 1463:19] - wire _T_34 = ~io_mret_r; // @[dec_tlu_ctl.scala 1463:46] - wire _T_35 = _T_33 & _T_34; // @[dec_tlu_ctl.scala 1463:44] - wire _T_36 = ~set_mie_pmu_fw_halt; // @[dec_tlu_ctl.scala 1463:59] - wire _T_37 = _T_35 & _T_36; // @[dec_tlu_ctl.scala 1463:57] + wire _T_33 = _T_7 & _T_17; // @[dec_tlu_ctl.scala 1461:19] + wire _T_34 = ~io_mret_r; // @[dec_tlu_ctl.scala 1461:46] + wire _T_35 = _T_33 & _T_34; // @[dec_tlu_ctl.scala 1461:44] + wire _T_36 = ~set_mie_pmu_fw_halt; // @[dec_tlu_ctl.scala 1461:59] + wire _T_37 = _T_35 & _T_36; // @[dec_tlu_ctl.scala 1461:57] wire [1:0] _T_39 = _T_8 ? _T_12 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_40 = _T_13 ? _T_16 : 2'h0; // @[Mux.scala 27:72] wire [1:0] _T_41 = _T_18 ? _T_21 : 2'h0; // @[Mux.scala 27:72] @@ -50959,155 +50966,155 @@ module csr_tlu( wire [1:0] _T_46 = _T_45 | _T_41; // @[Mux.scala 27:72] wire [1:0] _T_47 = _T_46 | _T_42; // @[Mux.scala 27:72] wire [1:0] _T_48 = _T_47 | _T_43; // @[Mux.scala 27:72] - wire _T_52 = ~io_dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 1466:50] - wire _T_54 = _T_52 | io_dcsr[11]; // @[dec_tlu_ctl.scala 1466:81] - reg [1:0] _T_56; // @[dec_tlu_ctl.scala 1468:11] - wire _T_58 = io_dec_csr_wraddr_r == 12'h305; // @[dec_tlu_ctl.scala 1477:69] + wire _T_52 = ~io_dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 1464:50] + wire _T_54 = _T_52 | io_dcsr[11]; // @[dec_tlu_ctl.scala 1464:81] + reg [1:0] _T_56; // @[dec_tlu_ctl.scala 1466:11] + wire _T_58 = io_dec_csr_wraddr_r == 12'h305; // @[dec_tlu_ctl.scala 1475:69] reg [30:0] _T_62; // @[lib.scala 374:16] reg [31:0] mdccmect; // @[lib.scala 374:16] - wire [62:0] _T_564 = 63'hffffffff << mdccmect[31:27]; // @[dec_tlu_ctl.scala 1898:41] - wire [31:0] _T_566 = {5'h0,mdccmect[26:0]}; // @[Cat.scala 29:58] - wire [62:0] _GEN_9 = {{31'd0}, _T_566}; // @[dec_tlu_ctl.scala 1898:61] - wire [62:0] _T_567 = _T_564 & _GEN_9; // @[dec_tlu_ctl.scala 1898:61] - wire mdccme_ce_req = |_T_567; // @[dec_tlu_ctl.scala 1898:94] + wire [62:0] _T_574 = 63'hffffffff << mdccmect[31:27]; // @[dec_tlu_ctl.scala 1896:41] + wire [31:0] _T_576 = {5'h0,mdccmect[26:0]}; // @[Cat.scala 29:58] + wire [62:0] _GEN_9 = {{31'd0}, _T_576}; // @[dec_tlu_ctl.scala 1896:61] + wire [62:0] _T_577 = _T_574 & _GEN_9; // @[dec_tlu_ctl.scala 1896:61] + wire mdccme_ce_req = |_T_577; // @[dec_tlu_ctl.scala 1896:94] reg [31:0] miccmect; // @[lib.scala 374:16] - wire [62:0] _T_544 = 63'hffffffff << miccmect[31:27]; // @[dec_tlu_ctl.scala 1883:40] - wire [31:0] _T_546 = {5'h0,miccmect[26:0]}; // @[Cat.scala 29:58] - wire [62:0] _GEN_10 = {{31'd0}, _T_546}; // @[dec_tlu_ctl.scala 1883:60] - wire [62:0] _T_547 = _T_544 & _GEN_10; // @[dec_tlu_ctl.scala 1883:60] - wire miccme_ce_req = |_T_547; // @[dec_tlu_ctl.scala 1883:93] - wire _T_63 = mdccme_ce_req | miccme_ce_req; // @[dec_tlu_ctl.scala 1491:30] + wire [62:0] _T_554 = 63'hffffffff << miccmect[31:27]; // @[dec_tlu_ctl.scala 1881:40] + wire [31:0] _T_556 = {5'h0,miccmect[26:0]}; // @[Cat.scala 29:58] + wire [62:0] _GEN_10 = {{31'd0}, _T_556}; // @[dec_tlu_ctl.scala 1881:60] + wire [62:0] _T_557 = _T_554 & _GEN_10; // @[dec_tlu_ctl.scala 1881:60] + wire miccme_ce_req = |_T_557; // @[dec_tlu_ctl.scala 1881:93] + wire _T_63 = mdccme_ce_req | miccme_ce_req; // @[dec_tlu_ctl.scala 1489:30] reg [31:0] micect; // @[lib.scala 374:16] - wire [62:0] _T_522 = 63'hffffffff << micect[31:27]; // @[dec_tlu_ctl.scala 1868:39] - wire [31:0] _T_524 = {5'h0,micect[26:0]}; // @[Cat.scala 29:58] - wire [62:0] _GEN_11 = {{31'd0}, _T_524}; // @[dec_tlu_ctl.scala 1868:57] - wire [62:0] _T_525 = _T_522 & _GEN_11; // @[dec_tlu_ctl.scala 1868:57] - wire mice_ce_req = |_T_525; // @[dec_tlu_ctl.scala 1868:88] - wire ce_int = _T_63 | mice_ce_req; // @[dec_tlu_ctl.scala 1491:46] + wire [62:0] _T_532 = 63'hffffffff << micect[31:27]; // @[dec_tlu_ctl.scala 1866:39] + wire [31:0] _T_534 = {5'h0,micect[26:0]}; // @[Cat.scala 29:58] + wire [62:0] _GEN_11 = {{31'd0}, _T_534}; // @[dec_tlu_ctl.scala 1866:57] + wire [62:0] _T_535 = _T_532 & _GEN_11; // @[dec_tlu_ctl.scala 1866:57] + wire mice_ce_req = |_T_535; // @[dec_tlu_ctl.scala 1866:88] + wire ce_int = _T_63 | mice_ce_req; // @[dec_tlu_ctl.scala 1489:46] wire [2:0] _T_65 = {io_mexintpend,io_timer_int_sync,io_soft_int_sync}; // @[Cat.scala 29:58] wire [2:0] _T_67 = {ce_int,io_dec_timer_t0_pulse,io_dec_timer_t1_pulse}; // @[Cat.scala 29:58] - reg [5:0] _T_68; // @[dec_tlu_ctl.scala 1495:11] - wire _T_70 = io_dec_csr_wraddr_r == 12'h304; // @[dec_tlu_ctl.scala 1507:67] - wire wr_mie_r = io_dec_csr_wen_r_mod & _T_70; // @[dec_tlu_ctl.scala 1507:38] + reg [5:0] _T_68; // @[dec_tlu_ctl.scala 1493:11] + wire _T_70 = io_dec_csr_wraddr_r == 12'h304; // @[dec_tlu_ctl.scala 1505:67] + wire wr_mie_r = io_dec_csr_wen_r_mod & _T_70; // @[dec_tlu_ctl.scala 1505:38] wire [5:0] _T_78 = {io_dec_csr_wrdata_r[30:28],io_dec_csr_wrdata_r[11],io_dec_csr_wrdata_r[7],io_dec_csr_wrdata_r[3]}; // @[Cat.scala 29:58] - reg [5:0] mie; // @[dec_tlu_ctl.scala 1510:11] - wire kill_ebreak_count_r = io_ebreak_to_debug_mode_r & io_dcsr[10]; // @[dec_tlu_ctl.scala 1517:54] - wire _T_83 = io_dec_csr_wraddr_r == 12'hb00; // @[dec_tlu_ctl.scala 1519:71] - wire wr_mcyclel_r = io_dec_csr_wen_r_mod & _T_83; // @[dec_tlu_ctl.scala 1519:42] - wire _T_85 = io_dec_tlu_dbg_halted & io_dcsr[10]; // @[dec_tlu_ctl.scala 1521:71] - wire _T_86 = kill_ebreak_count_r | _T_85; // @[dec_tlu_ctl.scala 1521:46] - wire _T_87 = _T_86 | io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 1521:94] + reg [5:0] mie; // @[dec_tlu_ctl.scala 1508:11] + wire kill_ebreak_count_r = io_ebreak_to_debug_mode_r & io_dcsr[10]; // @[dec_tlu_ctl.scala 1515:54] + wire _T_83 = io_dec_csr_wraddr_r == 12'hb00; // @[dec_tlu_ctl.scala 1517:71] + wire wr_mcyclel_r = io_dec_csr_wen_r_mod & _T_83; // @[dec_tlu_ctl.scala 1517:42] + wire _T_85 = io_dec_tlu_dbg_halted & io_dcsr[10]; // @[dec_tlu_ctl.scala 1519:71] + wire _T_86 = kill_ebreak_count_r | _T_85; // @[dec_tlu_ctl.scala 1519:46] + wire _T_87 = _T_86 | io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 1519:94] reg [4:0] temp_ncount6_2; // @[Reg.scala 27:20] reg temp_ncount0; // @[Reg.scala 27:20] wire [6:0] mcountinhibit = {temp_ncount6_2,1'h0,temp_ncount0}; // @[Cat.scala 29:58] - wire _T_89 = _T_87 | mcountinhibit[0]; // @[dec_tlu_ctl.scala 1521:121] - wire mcyclel_cout_in = ~_T_89; // @[dec_tlu_ctl.scala 1521:24] + wire _T_89 = _T_87 | mcountinhibit[0]; // @[dec_tlu_ctl.scala 1519:121] + wire mcyclel_cout_in = ~_T_89; // @[dec_tlu_ctl.scala 1519:24] wire [31:0] _T_90 = {31'h0,mcyclel_cout_in}; // @[Cat.scala 29:58] reg [31:0] mcyclel; // @[lib.scala 374:16] - wire [32:0] mcyclel_inc = mcyclel + _T_90; // @[dec_tlu_ctl.scala 1525:25] - wire mcyclel_cout = mcyclel_inc[32]; // @[dec_tlu_ctl.scala 1527:32] - wire _T_101 = io_dec_csr_wraddr_r == 12'hb80; // @[dec_tlu_ctl.scala 1535:68] - wire wr_mcycleh_r = io_dec_csr_wen_r_mod & _T_101; // @[dec_tlu_ctl.scala 1535:39] - wire _T_98 = ~wr_mcycleh_r; // @[dec_tlu_ctl.scala 1529:71] - reg mcyclel_cout_f; // @[dec_tlu_ctl.scala 1529:54] + wire [32:0] mcyclel_inc = mcyclel + _T_90; // @[dec_tlu_ctl.scala 1523:25] + wire mcyclel_cout = mcyclel_inc[32]; // @[dec_tlu_ctl.scala 1525:32] + wire _T_101 = io_dec_csr_wraddr_r == 12'hb80; // @[dec_tlu_ctl.scala 1533:68] + wire wr_mcycleh_r = io_dec_csr_wen_r_mod & _T_101; // @[dec_tlu_ctl.scala 1533:39] + wire _T_98 = ~wr_mcycleh_r; // @[dec_tlu_ctl.scala 1527:71] + reg mcyclel_cout_f; // @[dec_tlu_ctl.scala 1527:54] wire [31:0] _T_103 = {31'h0,mcyclel_cout_f}; // @[Cat.scala 29:58] reg [31:0] mcycleh; // @[lib.scala 374:16] - wire [31:0] mcycleh_inc = mcycleh + _T_103; // @[dec_tlu_ctl.scala 1537:28] - wire _T_109 = io_ebreak_r | io_ecall_r; // @[dec_tlu_ctl.scala 1554:72] - wire _T_110 = _T_109 | io_ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 1554:85] - wire _T_111 = _T_110 | io_illegal_r; // @[dec_tlu_ctl.scala 1554:113] - wire _T_113 = _T_111 | mcountinhibit[2]; // @[dec_tlu_ctl.scala 1554:128] - wire _T_115 = ~_T_113; // @[dec_tlu_ctl.scala 1554:58] - wire i0_valid_no_ebreak_ecall_r = io_tlu_i0_commit_cmt & _T_115; // @[dec_tlu_ctl.scala 1554:56] - wire _T_117 = io_dec_csr_wraddr_r == 12'hb02; // @[dec_tlu_ctl.scala 1556:73] - wire wr_minstretl_r = io_dec_csr_wen_r_mod & _T_117; // @[dec_tlu_ctl.scala 1556:44] + wire [31:0] mcycleh_inc = mcycleh + _T_103; // @[dec_tlu_ctl.scala 1535:28] + wire _T_109 = io_ebreak_r | io_ecall_r; // @[dec_tlu_ctl.scala 1552:72] + wire _T_110 = _T_109 | io_ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 1552:85] + wire _T_111 = _T_110 | io_illegal_r; // @[dec_tlu_ctl.scala 1552:113] + wire _T_113 = _T_111 | mcountinhibit[2]; // @[dec_tlu_ctl.scala 1552:128] + wire _T_115 = ~_T_113; // @[dec_tlu_ctl.scala 1552:58] + wire i0_valid_no_ebreak_ecall_r = io_tlu_i0_commit_cmt & _T_115; // @[dec_tlu_ctl.scala 1552:56] + wire _T_117 = io_dec_csr_wraddr_r == 12'hb02; // @[dec_tlu_ctl.scala 1554:73] + wire wr_minstretl_r = io_dec_csr_wen_r_mod & _T_117; // @[dec_tlu_ctl.scala 1554:44] wire [31:0] _T_118 = {31'h0,i0_valid_no_ebreak_ecall_r}; // @[Cat.scala 29:58] reg [31:0] minstretl; // @[lib.scala 374:16] - wire [32:0] minstretl_inc = minstretl + _T_118; // @[dec_tlu_ctl.scala 1558:29] - wire minstretl_cout = minstretl_inc[32]; // @[dec_tlu_ctl.scala 1559:36] - reg minstret_enable_f; // @[dec_tlu_ctl.scala 1564:56] - wire _T_128 = io_dec_csr_wraddr_r == 12'hb82; // @[dec_tlu_ctl.scala 1573:71] - wire wr_minstreth_r = io_dec_csr_wen_r_mod & _T_128; // @[dec_tlu_ctl.scala 1573:42] - wire _T_125 = ~wr_minstreth_r; // @[dec_tlu_ctl.scala 1565:75] - reg minstretl_cout_f; // @[dec_tlu_ctl.scala 1565:56] + wire [32:0] minstretl_inc = minstretl + _T_118; // @[dec_tlu_ctl.scala 1556:29] + wire minstretl_cout = minstretl_inc[32]; // @[dec_tlu_ctl.scala 1557:36] + reg minstret_enable_f; // @[dec_tlu_ctl.scala 1562:56] + wire _T_128 = io_dec_csr_wraddr_r == 12'hb82; // @[dec_tlu_ctl.scala 1571:71] + wire wr_minstreth_r = io_dec_csr_wen_r_mod & _T_128; // @[dec_tlu_ctl.scala 1571:42] + wire _T_125 = ~wr_minstreth_r; // @[dec_tlu_ctl.scala 1563:75] + reg minstretl_cout_f; // @[dec_tlu_ctl.scala 1563:56] wire [31:0] _T_131 = {31'h0,minstretl_cout_f}; // @[Cat.scala 29:58] reg [31:0] minstreth; // @[lib.scala 374:16] - wire [31:0] minstreth_inc = minstreth + _T_131; // @[dec_tlu_ctl.scala 1576:29] - wire _T_139 = io_dec_csr_wraddr_r == 12'h340; // @[dec_tlu_ctl.scala 1587:72] + wire [31:0] minstreth_inc = minstreth + _T_131; // @[dec_tlu_ctl.scala 1574:29] + wire _T_139 = io_dec_csr_wraddr_r == 12'h340; // @[dec_tlu_ctl.scala 1585:72] reg [31:0] mscratch; // @[lib.scala 374:16] - wire _T_142 = ~io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 1598:22] - wire _T_143 = ~io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 1598:47] - wire _T_144 = _T_142 & _T_143; // @[dec_tlu_ctl.scala 1598:45] - wire sel_exu_npc_r = _T_144 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 1598:72] - wire _T_146 = _T_142 & io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 1599:47] - wire _T_147 = ~io_dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 1599:75] - wire sel_flush_npc_r = _T_146 & _T_147; // @[dec_tlu_ctl.scala 1599:73] - wire _T_148 = ~sel_exu_npc_r; // @[dec_tlu_ctl.scala 1600:23] - wire _T_149 = ~sel_flush_npc_r; // @[dec_tlu_ctl.scala 1600:40] - wire sel_hold_npc_r = _T_148 & _T_149; // @[dec_tlu_ctl.scala 1600:38] - wire _T_151 = ~io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 1604:13] - wire _T_152 = _T_151 & io_reset_delayed; // @[dec_tlu_ctl.scala 1604:35] + wire _T_142 = ~io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 1596:22] + wire _T_143 = ~io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 1596:47] + wire _T_144 = _T_142 & _T_143; // @[dec_tlu_ctl.scala 1596:45] + wire sel_exu_npc_r = _T_144 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 1596:72] + wire _T_146 = _T_142 & io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 1597:47] + wire _T_147 = ~io_dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 1597:75] + wire sel_flush_npc_r = _T_146 & _T_147; // @[dec_tlu_ctl.scala 1597:73] + wire _T_148 = ~sel_exu_npc_r; // @[dec_tlu_ctl.scala 1598:23] + wire _T_149 = ~sel_flush_npc_r; // @[dec_tlu_ctl.scala 1598:40] + wire sel_hold_npc_r = _T_148 & _T_149; // @[dec_tlu_ctl.scala 1598:38] + wire _T_151 = ~io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 1602:13] + wire _T_152 = _T_151 & io_reset_delayed; // @[dec_tlu_ctl.scala 1602:35] wire [30:0] _T_156 = sel_exu_npc_r ? io_exu_npc_r : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_157 = _T_152 ? io_rst_vec : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_158 = sel_flush_npc_r ? io_tlu_flush_path_r_d1 : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_159 = sel_hold_npc_r ? io_npc_r_d1 : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_160 = _T_156 | _T_157; // @[Mux.scala 27:72] wire [30:0] _T_161 = _T_160 | _T_158; // @[Mux.scala 27:72] - wire _T_164 = sel_exu_npc_r | sel_flush_npc_r; // @[dec_tlu_ctl.scala 1608:48] + wire _T_164 = sel_exu_npc_r | sel_flush_npc_r; // @[dec_tlu_ctl.scala 1606:48] reg [30:0] _T_167; // @[lib.scala 374:16] - wire pc0_valid_r = _T_142 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 1611:44] - wire _T_170 = ~pc0_valid_r; // @[dec_tlu_ctl.scala 1615:22] + wire pc0_valid_r = _T_142 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 1609:44] + wire _T_170 = ~pc0_valid_r; // @[dec_tlu_ctl.scala 1613:22] wire [30:0] _T_171 = pc0_valid_r ? io_dec_tlu_i0_pc_r : 31'h0; // @[Mux.scala 27:72] reg [30:0] pc_r_d1; // @[lib.scala 374:16] wire [30:0] _T_172 = _T_170 ? pc_r_d1 : 31'h0; // @[Mux.scala 27:72] wire [30:0] pc_r = _T_171 | _T_172; // @[Mux.scala 27:72] - wire _T_176 = io_dec_csr_wraddr_r == 12'h341; // @[dec_tlu_ctl.scala 1619:68] - wire wr_mepc_r = io_dec_csr_wen_r_mod & _T_176; // @[dec_tlu_ctl.scala 1619:39] - wire _T_177 = io_i0_exception_valid_r | io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 1622:27] - wire _T_178 = _T_177 | io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1622:48] - wire _T_182 = wr_mepc_r & _T_17; // @[dec_tlu_ctl.scala 1624:13] - wire _T_185 = ~wr_mepc_r; // @[dec_tlu_ctl.scala 1625:3] - wire _T_187 = _T_185 & _T_17; // @[dec_tlu_ctl.scala 1625:14] + wire _T_176 = io_dec_csr_wraddr_r == 12'h341; // @[dec_tlu_ctl.scala 1617:68] + wire wr_mepc_r = io_dec_csr_wen_r_mod & _T_176; // @[dec_tlu_ctl.scala 1617:39] + wire _T_177 = io_i0_exception_valid_r | io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 1620:27] + wire _T_178 = _T_177 | io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1620:48] + wire _T_182 = wr_mepc_r & _T_17; // @[dec_tlu_ctl.scala 1622:13] + wire _T_185 = ~wr_mepc_r; // @[dec_tlu_ctl.scala 1623:3] + wire _T_187 = _T_185 & _T_17; // @[dec_tlu_ctl.scala 1623:14] wire [30:0] _T_189 = _T_178 ? pc_r : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_190 = io_interrupt_valid_r ? io_npc_r : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_191 = _T_182 ? io_dec_csr_wrdata_r[31:1] : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_192 = _T_187 ? io_mepc : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_193 = _T_189 | _T_190; // @[Mux.scala 27:72] wire [30:0] _T_194 = _T_193 | _T_191; // @[Mux.scala 27:72] - reg [30:0] _T_196; // @[dec_tlu_ctl.scala 1627:47] - wire _T_198 = io_dec_csr_wraddr_r == 12'h342; // @[dec_tlu_ctl.scala 1634:72] - wire wr_mcause_r = io_dec_csr_wen_r_mod & _T_198; // @[dec_tlu_ctl.scala 1634:43] - wire _T_199 = io_exc_or_int_valid_r & io_take_nmi; // @[dec_tlu_ctl.scala 1635:53] - wire mcause_sel_nmi_store = _T_199 & io_nmi_lsu_store_type; // @[dec_tlu_ctl.scala 1635:67] - wire mcause_sel_nmi_load = _T_199 & io_nmi_lsu_load_type; // @[dec_tlu_ctl.scala 1636:66] - wire _T_202 = |io_lsu_fir_error; // @[dec_tlu_ctl.scala 1637:84] - wire mcause_sel_nmi_ext = _T_199 & _T_202; // @[dec_tlu_ctl.scala 1637:65] - wire _T_203 = &io_lsu_fir_error; // @[dec_tlu_ctl.scala 1643:53] - wire _T_206 = ~io_lsu_fir_error[0]; // @[dec_tlu_ctl.scala 1643:82] - wire _T_207 = io_lsu_fir_error[1] & _T_206; // @[dec_tlu_ctl.scala 1643:80] + reg [30:0] _T_196; // @[dec_tlu_ctl.scala 1625:47] + wire _T_198 = io_dec_csr_wraddr_r == 12'h342; // @[dec_tlu_ctl.scala 1632:72] + wire wr_mcause_r = io_dec_csr_wen_r_mod & _T_198; // @[dec_tlu_ctl.scala 1632:43] + wire _T_199 = io_exc_or_int_valid_r & io_take_nmi; // @[dec_tlu_ctl.scala 1633:53] + wire mcause_sel_nmi_store = _T_199 & io_nmi_lsu_store_type; // @[dec_tlu_ctl.scala 1633:67] + wire mcause_sel_nmi_load = _T_199 & io_nmi_lsu_load_type; // @[dec_tlu_ctl.scala 1634:66] + wire _T_202 = |io_lsu_fir_error; // @[dec_tlu_ctl.scala 1635:84] + wire mcause_sel_nmi_ext = _T_199 & _T_202; // @[dec_tlu_ctl.scala 1635:65] + wire _T_203 = &io_lsu_fir_error; // @[dec_tlu_ctl.scala 1641:53] + wire _T_206 = ~io_lsu_fir_error[0]; // @[dec_tlu_ctl.scala 1641:82] + wire _T_207 = io_lsu_fir_error[1] & _T_206; // @[dec_tlu_ctl.scala 1641:80] wire [31:0] _T_212 = {30'h3c000400,_T_203,_T_207}; // @[Cat.scala 29:58] - wire _T_213 = ~io_take_nmi; // @[dec_tlu_ctl.scala 1649:56] - wire _T_214 = io_exc_or_int_valid_r & _T_213; // @[dec_tlu_ctl.scala 1649:54] + wire _T_213 = ~io_take_nmi; // @[dec_tlu_ctl.scala 1647:56] + wire _T_214 = io_exc_or_int_valid_r & _T_213; // @[dec_tlu_ctl.scala 1647:54] wire [31:0] _T_217 = {io_interrupt_valid_r,26'h0,io_exc_cause_r}; // @[Cat.scala 29:58] - wire _T_219 = wr_mcause_r & _T_17; // @[dec_tlu_ctl.scala 1650:44] - wire _T_221 = ~wr_mcause_r; // @[dec_tlu_ctl.scala 1651:32] - wire _T_223 = _T_221 & _T_17; // @[dec_tlu_ctl.scala 1651:45] + wire _T_219 = wr_mcause_r & _T_17; // @[dec_tlu_ctl.scala 1648:44] + wire _T_221 = ~wr_mcause_r; // @[dec_tlu_ctl.scala 1649:32] + wire _T_223 = _T_221 & _T_17; // @[dec_tlu_ctl.scala 1649:45] wire [31:0] _T_225 = mcause_sel_nmi_store ? 32'hf0000000 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_226 = mcause_sel_nmi_load ? 32'hf0000001 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_227 = mcause_sel_nmi_ext ? _T_212 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_228 = _T_214 ? _T_217 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_229 = _T_219 ? io_dec_csr_wrdata_r : 32'h0; // @[Mux.scala 27:72] - reg [31:0] mcause; // @[dec_tlu_ctl.scala 1653:49] + reg [31:0] mcause; // @[dec_tlu_ctl.scala 1651:49] wire [31:0] _T_230 = _T_223 ? mcause : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_231 = _T_225 | _T_226; // @[Mux.scala 27:72] wire [31:0] _T_232 = _T_231 | _T_227; // @[Mux.scala 27:72] wire [31:0] _T_233 = _T_232 | _T_228; // @[Mux.scala 27:72] wire [31:0] _T_234 = _T_233 | _T_229; // @[Mux.scala 27:72] - wire _T_238 = io_dec_csr_wraddr_r == 12'h7ff; // @[dec_tlu_ctl.scala 1660:71] - wire wr_mscause_r = io_dec_csr_wen_r_mod & _T_238; // @[dec_tlu_ctl.scala 1660:42] - wire _T_239 = io_dec_tlu_packet_r_icaf_type == 2'h0; // @[dec_tlu_ctl.scala 1662:56] + wire _T_238 = io_dec_csr_wraddr_r == 12'h7ff; // @[dec_tlu_ctl.scala 1658:71] + wire wr_mscause_r = io_dec_csr_wen_r_mod & _T_238; // @[dec_tlu_ctl.scala 1658:42] + wire _T_239 = io_dec_tlu_packet_r_icaf_type == 2'h0; // @[dec_tlu_ctl.scala 1660:56] wire [3:0] _T_240 = {2'h0,io_dec_tlu_packet_r_icaf_type}; // @[Cat.scala 29:58] - wire [3:0] ifu_mscause = _T_239 ? 4'h9 : _T_240; // @[dec_tlu_ctl.scala 1662:24] + wire [3:0] ifu_mscause = _T_239 ? 4'h9 : _T_240; // @[dec_tlu_ctl.scala 1660:24] wire [3:0] _T_245 = io_lsu_i0_exc_r ? io_lsu_error_pkt_r_bits_mscause : 4'h0; // @[Mux.scala 27:72] wire [1:0] _T_247 = io_ebreak_r ? 2'h2 : 2'h0; // @[Mux.scala 27:72] wire [3:0] _T_248 = io_inst_acc_r ? ifu_mscause : 4'h0; // @[Mux.scala 27:72] @@ -51116,487 +51123,481 @@ module csr_tlu( wire [3:0] _GEN_13 = {{2'd0}, _T_247}; // @[Mux.scala 27:72] wire [3:0] _T_250 = _T_249 | _GEN_13; // @[Mux.scala 27:72] wire [3:0] mscause_type = _T_250 | _T_248; // @[Mux.scala 27:72] - wire _T_254 = wr_mscause_r & _T_17; // @[dec_tlu_ctl.scala 1673:38] - wire _T_257 = ~wr_mscause_r; // @[dec_tlu_ctl.scala 1674:25] - wire _T_259 = _T_257 & _T_17; // @[dec_tlu_ctl.scala 1674:39] + wire _T_254 = wr_mscause_r & _T_17; // @[dec_tlu_ctl.scala 1671:38] + wire _T_257 = ~wr_mscause_r; // @[dec_tlu_ctl.scala 1672:25] + wire _T_259 = _T_257 & _T_17; // @[dec_tlu_ctl.scala 1672:39] wire [3:0] _T_261 = io_exc_or_int_valid_r ? mscause_type : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_262 = _T_254 ? io_dec_csr_wrdata_r[3:0] : 4'h0; // @[Mux.scala 27:72] - reg [3:0] mscause; // @[dec_tlu_ctl.scala 1676:47] + reg [3:0] mscause; // @[dec_tlu_ctl.scala 1674:47] wire [3:0] _T_263 = _T_259 ? mscause : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_264 = _T_261 | _T_262; // @[Mux.scala 27:72] - wire _T_268 = io_dec_csr_wraddr_r == 12'h343; // @[dec_tlu_ctl.scala 1683:69] - wire wr_mtval_r = io_dec_csr_wen_r_mod & _T_268; // @[dec_tlu_ctl.scala 1683:40] - wire _T_269 = ~io_inst_acc_second_r; // @[dec_tlu_ctl.scala 1684:83] - wire _T_270 = io_inst_acc_r & _T_269; // @[dec_tlu_ctl.scala 1684:81] - wire _T_271 = io_ebreak_r | _T_270; // @[dec_tlu_ctl.scala 1684:64] - wire _T_272 = _T_271 | io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1684:106] - wire _T_273 = io_exc_or_int_valid_r & _T_272; // @[dec_tlu_ctl.scala 1684:49] - wire mtval_capture_pc_r = _T_273 & _T_213; // @[dec_tlu_ctl.scala 1684:138] - wire _T_275 = io_inst_acc_r & io_inst_acc_second_r; // @[dec_tlu_ctl.scala 1685:72] - wire _T_276 = io_exc_or_int_valid_r & _T_275; // @[dec_tlu_ctl.scala 1685:55] - wire mtval_capture_pc_plus2_r = _T_276 & _T_213; // @[dec_tlu_ctl.scala 1685:96] - wire _T_278 = io_exc_or_int_valid_r & io_illegal_r; // @[dec_tlu_ctl.scala 1686:51] - wire mtval_capture_inst_r = _T_278 & _T_213; // @[dec_tlu_ctl.scala 1686:66] - wire _T_280 = io_exc_or_int_valid_r & io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 1687:50] - wire mtval_capture_lsu_r = _T_280 & _T_213; // @[dec_tlu_ctl.scala 1687:71] - wire _T_282 = ~mtval_capture_pc_r; // @[dec_tlu_ctl.scala 1688:46] - wire _T_283 = io_exc_or_int_valid_r & _T_282; // @[dec_tlu_ctl.scala 1688:44] - wire _T_284 = ~mtval_capture_inst_r; // @[dec_tlu_ctl.scala 1688:68] - wire _T_285 = _T_283 & _T_284; // @[dec_tlu_ctl.scala 1688:66] - wire _T_286 = ~mtval_capture_lsu_r; // @[dec_tlu_ctl.scala 1688:92] - wire _T_287 = _T_285 & _T_286; // @[dec_tlu_ctl.scala 1688:90] - wire _T_288 = ~io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1688:115] - wire mtval_clear_r = _T_287 & _T_288; // @[dec_tlu_ctl.scala 1688:113] + wire _T_268 = io_dec_csr_wraddr_r == 12'h343; // @[dec_tlu_ctl.scala 1681:69] + wire wr_mtval_r = io_dec_csr_wen_r_mod & _T_268; // @[dec_tlu_ctl.scala 1681:40] + wire _T_269 = ~io_inst_acc_second_r; // @[dec_tlu_ctl.scala 1682:83] + wire _T_270 = io_inst_acc_r & _T_269; // @[dec_tlu_ctl.scala 1682:81] + wire _T_271 = io_ebreak_r | _T_270; // @[dec_tlu_ctl.scala 1682:64] + wire _T_272 = _T_271 | io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1682:106] + wire _T_273 = io_exc_or_int_valid_r & _T_272; // @[dec_tlu_ctl.scala 1682:49] + wire mtval_capture_pc_r = _T_273 & _T_213; // @[dec_tlu_ctl.scala 1682:138] + wire _T_275 = io_inst_acc_r & io_inst_acc_second_r; // @[dec_tlu_ctl.scala 1683:72] + wire _T_276 = io_exc_or_int_valid_r & _T_275; // @[dec_tlu_ctl.scala 1683:55] + wire mtval_capture_pc_plus2_r = _T_276 & _T_213; // @[dec_tlu_ctl.scala 1683:96] + wire _T_278 = io_exc_or_int_valid_r & io_illegal_r; // @[dec_tlu_ctl.scala 1684:51] + wire mtval_capture_inst_r = _T_278 & _T_213; // @[dec_tlu_ctl.scala 1684:66] + wire _T_280 = io_exc_or_int_valid_r & io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 1685:50] + wire mtval_capture_lsu_r = _T_280 & _T_213; // @[dec_tlu_ctl.scala 1685:71] + wire _T_282 = ~mtval_capture_pc_r; // @[dec_tlu_ctl.scala 1686:46] + wire _T_283 = io_exc_or_int_valid_r & _T_282; // @[dec_tlu_ctl.scala 1686:44] + wire _T_284 = ~mtval_capture_inst_r; // @[dec_tlu_ctl.scala 1686:68] + wire _T_285 = _T_283 & _T_284; // @[dec_tlu_ctl.scala 1686:66] + wire _T_286 = ~mtval_capture_lsu_r; // @[dec_tlu_ctl.scala 1686:92] + wire _T_287 = _T_285 & _T_286; // @[dec_tlu_ctl.scala 1686:90] + wire _T_288 = ~io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 1686:115] + wire mtval_clear_r = _T_287 & _T_288; // @[dec_tlu_ctl.scala 1686:113] wire [31:0] _T_290 = {pc_r,1'h0}; // @[Cat.scala 29:58] - wire [30:0] _T_293 = pc_r + 31'h1; // @[dec_tlu_ctl.scala 1693:83] + wire [30:0] _T_293 = pc_r + 31'h1; // @[dec_tlu_ctl.scala 1691:83] wire [31:0] _T_294 = {_T_293,1'h0}; // @[Cat.scala 29:58] - wire _T_297 = ~io_interrupt_valid_r; // @[dec_tlu_ctl.scala 1696:18] - wire _T_298 = wr_mtval_r & _T_297; // @[dec_tlu_ctl.scala 1696:16] - wire _T_301 = ~wr_mtval_r; // @[dec_tlu_ctl.scala 1697:20] - wire _T_302 = _T_213 & _T_301; // @[dec_tlu_ctl.scala 1697:18] - wire _T_304 = _T_302 & _T_282; // @[dec_tlu_ctl.scala 1697:32] - wire _T_306 = _T_304 & _T_284; // @[dec_tlu_ctl.scala 1697:54] - wire _T_307 = ~mtval_clear_r; // @[dec_tlu_ctl.scala 1697:80] - wire _T_308 = _T_306 & _T_307; // @[dec_tlu_ctl.scala 1697:78] - wire _T_310 = _T_308 & _T_286; // @[dec_tlu_ctl.scala 1697:95] + wire _T_297 = ~io_interrupt_valid_r; // @[dec_tlu_ctl.scala 1694:18] + wire _T_298 = wr_mtval_r & _T_297; // @[dec_tlu_ctl.scala 1694:16] + wire _T_301 = ~wr_mtval_r; // @[dec_tlu_ctl.scala 1695:20] + wire _T_302 = _T_213 & _T_301; // @[dec_tlu_ctl.scala 1695:18] + wire _T_304 = _T_302 & _T_282; // @[dec_tlu_ctl.scala 1695:32] + wire _T_306 = _T_304 & _T_284; // @[dec_tlu_ctl.scala 1695:54] + wire _T_307 = ~mtval_clear_r; // @[dec_tlu_ctl.scala 1695:80] + wire _T_308 = _T_306 & _T_307; // @[dec_tlu_ctl.scala 1695:78] + wire _T_310 = _T_308 & _T_286; // @[dec_tlu_ctl.scala 1695:95] wire [31:0] _T_312 = mtval_capture_pc_r ? _T_290 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_313 = mtval_capture_pc_plus2_r ? _T_294 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_314 = mtval_capture_inst_r ? io_dec_illegal_inst : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_315 = mtval_capture_lsu_r ? io_lsu_error_pkt_addr_r : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_316 = _T_298 ? io_dec_csr_wrdata_r : 32'h0; // @[Mux.scala 27:72] - reg [31:0] mtval; // @[dec_tlu_ctl.scala 1699:46] + reg [31:0] mtval; // @[dec_tlu_ctl.scala 1697:46] wire [31:0] _T_317 = _T_310 ? mtval : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_318 = _T_312 | _T_313; // @[Mux.scala 27:72] wire [31:0] _T_319 = _T_318 | _T_314; // @[Mux.scala 27:72] wire [31:0] _T_320 = _T_319 | _T_315; // @[Mux.scala 27:72] wire [31:0] _T_321 = _T_320 | _T_316; // @[Mux.scala 27:72] - wire _T_325 = io_dec_csr_wraddr_r == 12'h7f8; // @[dec_tlu_ctl.scala 1714:68] + wire _T_325 = io_dec_csr_wraddr_r == 12'h7f8; // @[dec_tlu_ctl.scala 1712:68] reg [8:0] mcgc; // @[lib.scala 374:16] - wire _T_337 = io_dec_csr_wraddr_r == 12'h7f9; // @[dec_tlu_ctl.scala 1744:68] + wire _T_337 = io_dec_csr_wraddr_r == 12'h7f9; // @[dec_tlu_ctl.scala 1742:68] reg [14:0] mfdc_int; // @[lib.scala 374:16] - wire [2:0] _T_341 = ~io_dec_csr_wrdata_r[18:16]; // @[dec_tlu_ctl.scala 1757:19] - wire [2:0] _T_345 = ~mfdc_int[14:12]; // @[dec_tlu_ctl.scala 1758:19] - wire [18:0] mfdc = {_T_345,4'h0,mfdc_int[11:0]}; // @[Cat.scala 29:58] - wire _T_357 = io_dec_csr_wraddr_r == 12'h7c2; // @[dec_tlu_ctl.scala 1777:77] - wire _T_358 = io_dec_csr_wen_r_mod & _T_357; // @[dec_tlu_ctl.scala 1777:48] - wire _T_360 = _T_358 & _T_297; // @[dec_tlu_ctl.scala 1777:87] - wire _T_361 = ~io_take_ext_int_start; // @[dec_tlu_ctl.scala 1777:113] - wire _T_364 = io_dec_csr_wraddr_r == 12'h7c0; // @[dec_tlu_ctl.scala 1784:68] - wire _T_368 = ~io_dec_csr_wrdata_r[31]; // @[dec_tlu_ctl.scala 1787:71] - wire _T_369 = io_dec_csr_wrdata_r[30] & _T_368; // @[dec_tlu_ctl.scala 1787:69] - wire _T_373 = ~io_dec_csr_wrdata_r[29]; // @[dec_tlu_ctl.scala 1788:73] - wire _T_374 = io_dec_csr_wrdata_r[28] & _T_373; // @[dec_tlu_ctl.scala 1788:71] - wire _T_378 = ~io_dec_csr_wrdata_r[27]; // @[dec_tlu_ctl.scala 1789:73] - wire _T_379 = io_dec_csr_wrdata_r[26] & _T_378; // @[dec_tlu_ctl.scala 1789:71] - wire _T_383 = ~io_dec_csr_wrdata_r[25]; // @[dec_tlu_ctl.scala 1790:73] - wire _T_384 = io_dec_csr_wrdata_r[24] & _T_383; // @[dec_tlu_ctl.scala 1790:71] - wire _T_388 = ~io_dec_csr_wrdata_r[23]; // @[dec_tlu_ctl.scala 1791:73] - wire _T_389 = io_dec_csr_wrdata_r[22] & _T_388; // @[dec_tlu_ctl.scala 1791:71] - wire _T_393 = ~io_dec_csr_wrdata_r[21]; // @[dec_tlu_ctl.scala 1792:73] - wire _T_394 = io_dec_csr_wrdata_r[20] & _T_393; // @[dec_tlu_ctl.scala 1792:71] - wire _T_398 = ~io_dec_csr_wrdata_r[19]; // @[dec_tlu_ctl.scala 1793:73] - wire _T_399 = io_dec_csr_wrdata_r[18] & _T_398; // @[dec_tlu_ctl.scala 1793:71] - wire _T_403 = ~io_dec_csr_wrdata_r[17]; // @[dec_tlu_ctl.scala 1794:73] - wire _T_404 = io_dec_csr_wrdata_r[16] & _T_403; // @[dec_tlu_ctl.scala 1794:71] - wire _T_408 = ~io_dec_csr_wrdata_r[15]; // @[dec_tlu_ctl.scala 1795:73] - wire _T_409 = io_dec_csr_wrdata_r[14] & _T_408; // @[dec_tlu_ctl.scala 1795:71] - wire _T_413 = ~io_dec_csr_wrdata_r[13]; // @[dec_tlu_ctl.scala 1796:73] - wire _T_414 = io_dec_csr_wrdata_r[12] & _T_413; // @[dec_tlu_ctl.scala 1796:71] - wire _T_418 = ~io_dec_csr_wrdata_r[11]; // @[dec_tlu_ctl.scala 1797:73] - wire _T_419 = io_dec_csr_wrdata_r[10] & _T_418; // @[dec_tlu_ctl.scala 1797:71] - wire _T_423 = ~io_dec_csr_wrdata_r[9]; // @[dec_tlu_ctl.scala 1798:73] - wire _T_424 = io_dec_csr_wrdata_r[8] & _T_423; // @[dec_tlu_ctl.scala 1798:70] - wire _T_428 = ~io_dec_csr_wrdata_r[7]; // @[dec_tlu_ctl.scala 1799:73] - wire _T_429 = io_dec_csr_wrdata_r[6] & _T_428; // @[dec_tlu_ctl.scala 1799:70] - wire _T_433 = ~io_dec_csr_wrdata_r[5]; // @[dec_tlu_ctl.scala 1800:73] - wire _T_434 = io_dec_csr_wrdata_r[4] & _T_433; // @[dec_tlu_ctl.scala 1800:70] - wire _T_438 = ~io_dec_csr_wrdata_r[3]; // @[dec_tlu_ctl.scala 1801:73] - wire _T_439 = io_dec_csr_wrdata_r[2] & _T_438; // @[dec_tlu_ctl.scala 1801:70] - wire _T_444 = io_dec_csr_wrdata_r[0] & _T_500; // @[dec_tlu_ctl.scala 1802:70] - wire [7:0] _T_451 = {io_dec_csr_wrdata_r[7],_T_429,io_dec_csr_wrdata_r[5],_T_434,io_dec_csr_wrdata_r[3],_T_439,io_dec_csr_wrdata_r[1],_T_444}; // @[Cat.scala 29:58] - wire [15:0] _T_459 = {io_dec_csr_wrdata_r[15],_T_409,io_dec_csr_wrdata_r[13],_T_414,io_dec_csr_wrdata_r[11],_T_419,io_dec_csr_wrdata_r[9],_T_424,_T_451}; // @[Cat.scala 29:58] - wire [7:0] _T_466 = {io_dec_csr_wrdata_r[23],_T_389,io_dec_csr_wrdata_r[21],_T_394,io_dec_csr_wrdata_r[19],_T_399,io_dec_csr_wrdata_r[17],_T_404}; // @[Cat.scala 29:58] - wire [15:0] _T_474 = {io_dec_csr_wrdata_r[31],_T_369,io_dec_csr_wrdata_r[29],_T_374,io_dec_csr_wrdata_r[27],_T_379,io_dec_csr_wrdata_r[25],_T_384,_T_466}; // @[Cat.scala 29:58] + wire [2:0] _T_341 = ~io_dec_csr_wrdata_r[18:16]; // @[dec_tlu_ctl.scala 1751:20] + wire _T_344 = ~io_dec_csr_wrdata_r[6]; // @[dec_tlu_ctl.scala 1751:75] + wire [6:0] _T_346 = {_T_344,io_dec_csr_wrdata_r[5:0]}; // @[Cat.scala 29:58] + wire [7:0] _T_347 = {_T_341,io_dec_csr_wrdata_r[11:7]}; // @[Cat.scala 29:58] + wire [2:0] _T_350 = ~mfdc_int[14:12]; // @[dec_tlu_ctl.scala 1752:20] + wire _T_353 = ~mfdc_int[6]; // @[dec_tlu_ctl.scala 1752:63] + wire [18:0] mfdc = {_T_350,4'h0,mfdc_int[11:7],_T_353,mfdc_int[5:0]}; // @[Cat.scala 29:58] + wire _T_367 = io_dec_csr_wraddr_r == 12'h7c2; // @[dec_tlu_ctl.scala 1775:77] + wire _T_368 = io_dec_csr_wen_r_mod & _T_367; // @[dec_tlu_ctl.scala 1775:48] + wire _T_370 = _T_368 & _T_297; // @[dec_tlu_ctl.scala 1775:87] + wire _T_371 = ~io_take_ext_int_start; // @[dec_tlu_ctl.scala 1775:113] + wire _T_374 = io_dec_csr_wraddr_r == 12'h7c0; // @[dec_tlu_ctl.scala 1782:68] + wire _T_378 = ~io_dec_csr_wrdata_r[31]; // @[dec_tlu_ctl.scala 1785:71] + wire _T_379 = io_dec_csr_wrdata_r[30] & _T_378; // @[dec_tlu_ctl.scala 1785:69] + wire _T_383 = ~io_dec_csr_wrdata_r[29]; // @[dec_tlu_ctl.scala 1786:73] + wire _T_384 = io_dec_csr_wrdata_r[28] & _T_383; // @[dec_tlu_ctl.scala 1786:71] + wire _T_388 = ~io_dec_csr_wrdata_r[27]; // @[dec_tlu_ctl.scala 1787:73] + wire _T_389 = io_dec_csr_wrdata_r[26] & _T_388; // @[dec_tlu_ctl.scala 1787:71] + wire _T_393 = ~io_dec_csr_wrdata_r[25]; // @[dec_tlu_ctl.scala 1788:73] + wire _T_394 = io_dec_csr_wrdata_r[24] & _T_393; // @[dec_tlu_ctl.scala 1788:71] + wire _T_398 = ~io_dec_csr_wrdata_r[23]; // @[dec_tlu_ctl.scala 1789:73] + wire _T_399 = io_dec_csr_wrdata_r[22] & _T_398; // @[dec_tlu_ctl.scala 1789:71] + wire _T_403 = ~io_dec_csr_wrdata_r[21]; // @[dec_tlu_ctl.scala 1790:73] + wire _T_404 = io_dec_csr_wrdata_r[20] & _T_403; // @[dec_tlu_ctl.scala 1790:71] + wire _T_408 = ~io_dec_csr_wrdata_r[19]; // @[dec_tlu_ctl.scala 1791:73] + wire _T_409 = io_dec_csr_wrdata_r[18] & _T_408; // @[dec_tlu_ctl.scala 1791:71] + wire _T_413 = ~io_dec_csr_wrdata_r[17]; // @[dec_tlu_ctl.scala 1792:73] + wire _T_414 = io_dec_csr_wrdata_r[16] & _T_413; // @[dec_tlu_ctl.scala 1792:71] + wire _T_418 = ~io_dec_csr_wrdata_r[15]; // @[dec_tlu_ctl.scala 1793:73] + wire _T_419 = io_dec_csr_wrdata_r[14] & _T_418; // @[dec_tlu_ctl.scala 1793:71] + wire _T_423 = ~io_dec_csr_wrdata_r[13]; // @[dec_tlu_ctl.scala 1794:73] + wire _T_424 = io_dec_csr_wrdata_r[12] & _T_423; // @[dec_tlu_ctl.scala 1794:71] + wire _T_428 = ~io_dec_csr_wrdata_r[11]; // @[dec_tlu_ctl.scala 1795:73] + wire _T_429 = io_dec_csr_wrdata_r[10] & _T_428; // @[dec_tlu_ctl.scala 1795:71] + wire _T_433 = ~io_dec_csr_wrdata_r[9]; // @[dec_tlu_ctl.scala 1796:73] + wire _T_434 = io_dec_csr_wrdata_r[8] & _T_433; // @[dec_tlu_ctl.scala 1796:70] + wire _T_438 = ~io_dec_csr_wrdata_r[7]; // @[dec_tlu_ctl.scala 1797:73] + wire _T_439 = io_dec_csr_wrdata_r[6] & _T_438; // @[dec_tlu_ctl.scala 1797:70] + wire _T_443 = ~io_dec_csr_wrdata_r[5]; // @[dec_tlu_ctl.scala 1798:73] + wire _T_444 = io_dec_csr_wrdata_r[4] & _T_443; // @[dec_tlu_ctl.scala 1798:70] + wire _T_448 = ~io_dec_csr_wrdata_r[3]; // @[dec_tlu_ctl.scala 1799:73] + wire _T_449 = io_dec_csr_wrdata_r[2] & _T_448; // @[dec_tlu_ctl.scala 1799:70] + wire _T_454 = io_dec_csr_wrdata_r[0] & _T_510; // @[dec_tlu_ctl.scala 1800:70] + wire [7:0] _T_461 = {io_dec_csr_wrdata_r[7],_T_439,io_dec_csr_wrdata_r[5],_T_444,io_dec_csr_wrdata_r[3],_T_449,io_dec_csr_wrdata_r[1],_T_454}; // @[Cat.scala 29:58] + wire [15:0] _T_469 = {io_dec_csr_wrdata_r[15],_T_419,io_dec_csr_wrdata_r[13],_T_424,io_dec_csr_wrdata_r[11],_T_429,io_dec_csr_wrdata_r[9],_T_434,_T_461}; // @[Cat.scala 29:58] + wire [7:0] _T_476 = {io_dec_csr_wrdata_r[23],_T_399,io_dec_csr_wrdata_r[21],_T_404,io_dec_csr_wrdata_r[19],_T_409,io_dec_csr_wrdata_r[17],_T_414}; // @[Cat.scala 29:58] + wire [15:0] _T_484 = {io_dec_csr_wrdata_r[31],_T_379,io_dec_csr_wrdata_r[29],_T_384,io_dec_csr_wrdata_r[27],_T_389,io_dec_csr_wrdata_r[25],_T_394,_T_476}; // @[Cat.scala 29:58] reg [31:0] mrac; // @[lib.scala 374:16] - wire _T_477 = io_dec_csr_wraddr_r == 12'hbc0; // @[dec_tlu_ctl.scala 1815:69] - wire wr_mdeau_r = io_dec_csr_wen_r_mod & _T_477; // @[dec_tlu_ctl.scala 1815:40] - wire _T_478 = ~wr_mdeau_r; // @[dec_tlu_ctl.scala 1825:59] - wire _T_479 = io_mdseac_locked_f & _T_478; // @[dec_tlu_ctl.scala 1825:57] - wire _T_481 = io_lsu_imprecise_error_store_any | io_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 1827:49] - wire _T_482 = ~io_nmi_int_detected_f; // @[dec_tlu_ctl.scala 1827:86] - wire _T_483 = _T_481 & _T_482; // @[dec_tlu_ctl.scala 1827:84] - wire _T_484 = ~io_mdseac_locked_f; // @[dec_tlu_ctl.scala 1827:111] - wire mdseac_en = _T_483 & _T_484; // @[dec_tlu_ctl.scala 1827:109] + wire _T_487 = io_dec_csr_wraddr_r == 12'hbc0; // @[dec_tlu_ctl.scala 1813:69] + wire wr_mdeau_r = io_dec_csr_wen_r_mod & _T_487; // @[dec_tlu_ctl.scala 1813:40] + wire _T_488 = ~wr_mdeau_r; // @[dec_tlu_ctl.scala 1823:59] + wire _T_489 = io_mdseac_locked_f & _T_488; // @[dec_tlu_ctl.scala 1823:57] + wire _T_491 = io_lsu_imprecise_error_store_any | io_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 1825:49] + wire _T_492 = ~io_nmi_int_detected_f; // @[dec_tlu_ctl.scala 1825:86] + wire _T_493 = _T_491 & _T_492; // @[dec_tlu_ctl.scala 1825:84] + wire _T_494 = ~io_mdseac_locked_f; // @[dec_tlu_ctl.scala 1825:111] + wire mdseac_en = _T_493 & _T_494; // @[dec_tlu_ctl.scala 1825:109] reg [31:0] mdseac; // @[lib.scala 374:16] - wire _T_490 = wr_mpmc_r & io_dec_csr_wrdata_r[0]; // @[dec_tlu_ctl.scala 1842:30] - wire _T_491 = ~io_internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 1842:57] - wire _T_492 = _T_490 & _T_491; // @[dec_tlu_ctl.scala 1842:55] - wire _T_493 = ~io_ext_int_freeze_d1; // @[dec_tlu_ctl.scala 1842:89] - wire _T_506 = io_dec_csr_wrdata_r[31:27] > 5'h1a; // @[dec_tlu_ctl.scala 1860:48] - wire [4:0] csr_sat = _T_506 ? 5'h1a : io_dec_csr_wrdata_r[31:27]; // @[dec_tlu_ctl.scala 1860:19] - wire _T_509 = io_dec_csr_wraddr_r == 12'h7f0; // @[dec_tlu_ctl.scala 1862:70] - wire wr_micect_r = io_dec_csr_wen_r_mod & _T_509; // @[dec_tlu_ctl.scala 1862:41] - wire [26:0] _T_510 = {26'h0,io_ic_perr_r_d1}; // @[Cat.scala 29:58] - wire [31:0] _GEN_14 = {{5'd0}, _T_510}; // @[dec_tlu_ctl.scala 1863:23] - wire [31:0] _T_512 = micect + _GEN_14; // @[dec_tlu_ctl.scala 1863:23] - wire [31:0] _T_515 = {csr_sat,io_dec_csr_wrdata_r[26:0]}; // @[Cat.scala 29:58] - wire [26:0] micect_inc = _T_512[26:0]; // @[dec_tlu_ctl.scala 1863:13] - wire [31:0] _T_517 = {micect[31:27],micect_inc}; // @[Cat.scala 29:58] - wire _T_528 = io_dec_csr_wraddr_r == 12'h7f1; // @[dec_tlu_ctl.scala 1877:76] - wire wr_miccmect_r = io_dec_csr_wen_r_mod & _T_528; // @[dec_tlu_ctl.scala 1877:47] - wire _T_530 = io_iccm_sbecc_r_d1 | io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 1878:70] - wire [26:0] _T_531 = {26'h0,_T_530}; // @[Cat.scala 29:58] - wire [26:0] miccmect_inc = miccmect[26:0] + _T_531; // @[dec_tlu_ctl.scala 1878:33] - wire [31:0] _T_538 = {miccmect[31:27],miccmect_inc}; // @[Cat.scala 29:58] - wire _T_539 = wr_miccmect_r | io_iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 1881:48] - wire _T_550 = io_dec_csr_wraddr_r == 12'h7f2; // @[dec_tlu_ctl.scala 1892:76] - wire wr_mdccmect_r = io_dec_csr_wen_r_mod & _T_550; // @[dec_tlu_ctl.scala 1892:47] - wire [26:0] _T_552 = {26'h0,io_lsu_single_ecc_error_r_d1}; // @[Cat.scala 29:58] - wire [26:0] mdccmect_inc = mdccmect[26:0] + _T_552; // @[dec_tlu_ctl.scala 1893:33] - wire [31:0] _T_559 = {mdccmect[31:27],mdccmect_inc}; // @[Cat.scala 29:58] - wire _T_570 = io_dec_csr_wraddr_r == 12'h7ce; // @[dec_tlu_ctl.scala 1908:69] - wire wr_mfdht_r = io_dec_csr_wen_r_mod & _T_570; // @[dec_tlu_ctl.scala 1908:40] - reg [5:0] mfdht; // @[dec_tlu_ctl.scala 1912:43] - wire _T_575 = io_dec_csr_wraddr_r == 12'h7cf; // @[dec_tlu_ctl.scala 1921:69] - wire wr_mfdhs_r = io_dec_csr_wen_r_mod & _T_575; // @[dec_tlu_ctl.scala 1921:40] - wire _T_578 = ~io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 1924:43] - wire _T_579 = io_dbg_tlu_halted & _T_578; // @[dec_tlu_ctl.scala 1924:41] - wire _T_581 = ~io_lsu_idle_any_f; // @[dec_tlu_ctl.scala 1924:78] - wire _T_582 = ~io_ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 1924:98] - wire [1:0] _T_583 = {_T_581,_T_582}; // @[Cat.scala 29:58] + wire _T_500 = wr_mpmc_r & io_dec_csr_wrdata_r[0]; // @[dec_tlu_ctl.scala 1840:30] + wire _T_501 = ~io_internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 1840:57] + wire _T_502 = _T_500 & _T_501; // @[dec_tlu_ctl.scala 1840:55] + wire _T_503 = ~io_ext_int_freeze_d1; // @[dec_tlu_ctl.scala 1840:89] + wire _T_516 = io_dec_csr_wrdata_r[31:27] > 5'h1a; // @[dec_tlu_ctl.scala 1858:48] + wire [4:0] csr_sat = _T_516 ? 5'h1a : io_dec_csr_wrdata_r[31:27]; // @[dec_tlu_ctl.scala 1858:19] + wire _T_519 = io_dec_csr_wraddr_r == 12'h7f0; // @[dec_tlu_ctl.scala 1860:70] + wire wr_micect_r = io_dec_csr_wen_r_mod & _T_519; // @[dec_tlu_ctl.scala 1860:41] + wire [26:0] _T_520 = {26'h0,io_ic_perr_r_d1}; // @[Cat.scala 29:58] + wire [31:0] _GEN_14 = {{5'd0}, _T_520}; // @[dec_tlu_ctl.scala 1861:23] + wire [31:0] _T_522 = micect + _GEN_14; // @[dec_tlu_ctl.scala 1861:23] + wire [31:0] _T_525 = {csr_sat,io_dec_csr_wrdata_r[26:0]}; // @[Cat.scala 29:58] + wire [26:0] micect_inc = _T_522[26:0]; // @[dec_tlu_ctl.scala 1861:13] + wire [31:0] _T_527 = {micect[31:27],micect_inc}; // @[Cat.scala 29:58] + wire _T_538 = io_dec_csr_wraddr_r == 12'h7f1; // @[dec_tlu_ctl.scala 1875:76] + wire wr_miccmect_r = io_dec_csr_wen_r_mod & _T_538; // @[dec_tlu_ctl.scala 1875:47] + wire _T_540 = io_iccm_sbecc_r_d1 | io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 1876:70] + wire [26:0] _T_541 = {26'h0,_T_540}; // @[Cat.scala 29:58] + wire [26:0] miccmect_inc = miccmect[26:0] + _T_541; // @[dec_tlu_ctl.scala 1876:33] + wire [31:0] _T_548 = {miccmect[31:27],miccmect_inc}; // @[Cat.scala 29:58] + wire _T_549 = wr_miccmect_r | io_iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 1879:48] + wire _T_560 = io_dec_csr_wraddr_r == 12'h7f2; // @[dec_tlu_ctl.scala 1890:76] + wire wr_mdccmect_r = io_dec_csr_wen_r_mod & _T_560; // @[dec_tlu_ctl.scala 1890:47] + wire [26:0] _T_562 = {26'h0,io_lsu_single_ecc_error_r_d1}; // @[Cat.scala 29:58] + wire [26:0] mdccmect_inc = mdccmect[26:0] + _T_562; // @[dec_tlu_ctl.scala 1891:33] + wire [31:0] _T_569 = {mdccmect[31:27],mdccmect_inc}; // @[Cat.scala 29:58] + wire _T_580 = io_dec_csr_wraddr_r == 12'h7ce; // @[dec_tlu_ctl.scala 1906:69] + wire wr_mfdht_r = io_dec_csr_wen_r_mod & _T_580; // @[dec_tlu_ctl.scala 1906:40] + reg [5:0] mfdht; // @[dec_tlu_ctl.scala 1910:43] + wire _T_585 = io_dec_csr_wraddr_r == 12'h7cf; // @[dec_tlu_ctl.scala 1919:69] + wire wr_mfdhs_r = io_dec_csr_wen_r_mod & _T_585; // @[dec_tlu_ctl.scala 1919:40] + wire _T_588 = ~io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 1922:43] + wire _T_589 = io_dbg_tlu_halted & _T_588; // @[dec_tlu_ctl.scala 1922:41] + wire _T_591 = ~io_lsu_idle_any_f; // @[dec_tlu_ctl.scala 1922:78] + wire _T_592 = ~io_ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 1922:98] + wire [1:0] _T_593 = {_T_591,_T_592}; // @[Cat.scala 29:58] reg [1:0] mfdhs; // @[Reg.scala 27:20] - wire _T_585 = wr_mfdhs_r | io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 1926:71] + wire _T_595 = wr_mfdhs_r | io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 1924:71] reg [31:0] force_halt_ctr_f; // @[Reg.scala 27:20] - wire [31:0] _T_590 = force_halt_ctr_f + 32'h1; // @[dec_tlu_ctl.scala 1928:74] - wire [62:0] _T_597 = 63'hffffffff << mfdht[5:1]; // @[dec_tlu_ctl.scala 1933:71] - wire [62:0] _GEN_15 = {{31'd0}, force_halt_ctr_f}; // @[dec_tlu_ctl.scala 1933:48] - wire [62:0] _T_598 = _GEN_15 & _T_597; // @[dec_tlu_ctl.scala 1933:48] - wire _T_599 = |_T_598; // @[dec_tlu_ctl.scala 1933:87] - wire _T_602 = io_dec_csr_wraddr_r == 12'hbc8; // @[dec_tlu_ctl.scala 1941:69] + wire [31:0] _T_600 = force_halt_ctr_f + 32'h1; // @[dec_tlu_ctl.scala 1926:74] + wire [62:0] _T_607 = 63'hffffffff << mfdht[5:1]; // @[dec_tlu_ctl.scala 1931:71] + wire [62:0] _GEN_15 = {{31'd0}, force_halt_ctr_f}; // @[dec_tlu_ctl.scala 1931:48] + wire [62:0] _T_608 = _GEN_15 & _T_607; // @[dec_tlu_ctl.scala 1931:48] + wire _T_609 = |_T_608; // @[dec_tlu_ctl.scala 1931:87] + wire _T_612 = io_dec_csr_wraddr_r == 12'hbc8; // @[dec_tlu_ctl.scala 1939:69] reg [21:0] meivt; // @[lib.scala 374:16] - wire _T_621 = io_dec_csr_wraddr_r == 12'hbca; // @[dec_tlu_ctl.scala 1992:69] - wire _T_622 = io_dec_csr_wen_r_mod & _T_621; // @[dec_tlu_ctl.scala 1992:40] - wire wr_meicpct_r = _T_622 | io_take_ext_int_start; // @[dec_tlu_ctl.scala 1992:83] + wire _T_631 = io_dec_csr_wraddr_r == 12'hbca; // @[dec_tlu_ctl.scala 1990:69] + wire _T_632 = io_dec_csr_wen_r_mod & _T_631; // @[dec_tlu_ctl.scala 1990:40] + wire wr_meicpct_r = _T_632 | io_take_ext_int_start; // @[dec_tlu_ctl.scala 1990:83] reg [7:0] meihap; // @[lib.scala 374:16] - wire _T_608 = io_dec_csr_wraddr_r == 12'hbcc; // @[dec_tlu_ctl.scala 1965:72] - wire wr_meicurpl_r = io_dec_csr_wen_r_mod & _T_608; // @[dec_tlu_ctl.scala 1965:43] - reg [3:0] meicurpl; // @[dec_tlu_ctl.scala 1968:46] - wire _T_613 = io_dec_csr_wraddr_r == 12'hbcb; // @[dec_tlu_ctl.scala 1980:73] - wire _T_614 = io_dec_csr_wen_r_mod & _T_613; // @[dec_tlu_ctl.scala 1980:44] - wire wr_meicidpl_r = _T_614 | io_take_ext_int_start; // @[dec_tlu_ctl.scala 1980:88] - reg [3:0] meicidpl; // @[dec_tlu_ctl.scala 1985:44] - wire _T_625 = io_dec_csr_wraddr_r == 12'hbc9; // @[dec_tlu_ctl.scala 2001:69] - wire wr_meipt_r = io_dec_csr_wen_r_mod & _T_625; // @[dec_tlu_ctl.scala 2001:40] - reg [3:0] meipt; // @[dec_tlu_ctl.scala 2004:43] - wire _T_629 = io_trigger_hit_r_d1 & io_dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 2032:89] - wire trigger_hit_for_dscr_cause_r_d1 = io_trigger_hit_dmode_r_d1 | _T_629; // @[dec_tlu_ctl.scala 2032:66] - wire _T_630 = ~io_ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 2035:31] - wire _T_631 = io_dcsr_single_step_done_f & _T_630; // @[dec_tlu_ctl.scala 2035:29] - wire _T_632 = ~trigger_hit_for_dscr_cause_r_d1; // @[dec_tlu_ctl.scala 2035:63] - wire _T_633 = _T_631 & _T_632; // @[dec_tlu_ctl.scala 2035:61] - wire _T_634 = ~io_debug_halt_req; // @[dec_tlu_ctl.scala 2035:98] - wire _T_635 = _T_633 & _T_634; // @[dec_tlu_ctl.scala 2035:96] - wire _T_638 = io_debug_halt_req & _T_630; // @[dec_tlu_ctl.scala 2036:46] - wire _T_640 = _T_638 & _T_632; // @[dec_tlu_ctl.scala 2036:78] - wire _T_643 = io_ebreak_to_debug_mode_r_d1 & _T_632; // @[dec_tlu_ctl.scala 2037:75] - wire [2:0] _T_646 = _T_635 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_647 = _T_640 ? 3'h3 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_648 = _T_643 ? 3'h1 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_649 = trigger_hit_for_dscr_cause_r_d1 ? 3'h2 : 3'h0; // @[Mux.scala 27:72] - wire [2:0] _T_650 = _T_646 | _T_647; // @[Mux.scala 27:72] - wire [2:0] _T_651 = _T_650 | _T_648; // @[Mux.scala 27:72] - wire [2:0] dcsr_cause = _T_651 | _T_649; // @[Mux.scala 27:72] - wire _T_653 = io_allow_dbg_halt_csr_write & io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 2040:46] - wire _T_655 = io_dec_csr_wraddr_r == 12'h7b0; // @[dec_tlu_ctl.scala 2040:98] - wire wr_dcsr_r = _T_653 & _T_655; // @[dec_tlu_ctl.scala 2040:69] - wire _T_657 = io_dcsr[8:6] == 3'h3; // @[dec_tlu_ctl.scala 2046:75] - wire dcsr_cause_upgradeable = io_internal_dbg_halt_mode_f & _T_657; // @[dec_tlu_ctl.scala 2046:59] - wire _T_658 = ~io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 2047:59] - wire _T_659 = _T_658 | dcsr_cause_upgradeable; // @[dec_tlu_ctl.scala 2047:78] - wire enter_debug_halt_req_le = io_enter_debug_halt_req & _T_659; // @[dec_tlu_ctl.scala 2047:56] - wire nmi_in_debug_mode = io_nmi_int_detected_f & io_internal_dbg_halt_mode_f; // @[dec_tlu_ctl.scala 2049:48] - wire [15:0] _T_665 = {io_dcsr[15:9],dcsr_cause,io_dcsr[5:2],2'h3}; // @[Cat.scala 29:58] - wire _T_671 = nmi_in_debug_mode | io_dcsr[3]; // @[dec_tlu_ctl.scala 2051:145] - wire [15:0] _T_680 = {io_dec_csr_wrdata_r[15],3'h0,io_dec_csr_wrdata_r[11:10],1'h0,io_dcsr[8:6],2'h0,_T_671,io_dec_csr_wrdata_r[2],2'h3}; // @[Cat.scala 29:58] - wire [15:0] _T_685 = {io_dcsr[15:4],nmi_in_debug_mode,io_dcsr[2],2'h3}; // @[Cat.scala 29:58] - wire _T_687 = enter_debug_halt_req_le | wr_dcsr_r; // @[dec_tlu_ctl.scala 2053:54] - wire _T_688 = _T_687 | io_internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 2053:66] - reg [15:0] _T_691; // @[lib.scala 374:16] - wire _T_694 = io_dec_csr_wraddr_r == 12'h7b1; // @[dec_tlu_ctl.scala 2061:97] - wire wr_dpc_r = _T_653 & _T_694; // @[dec_tlu_ctl.scala 2061:68] - wire _T_697 = ~io_request_debug_mode_done; // @[dec_tlu_ctl.scala 2062:67] - wire dpc_capture_npc = _T_579 & _T_697; // @[dec_tlu_ctl.scala 2062:65] - wire _T_698 = ~io_request_debug_mode_r; // @[dec_tlu_ctl.scala 2066:21] - wire _T_699 = ~dpc_capture_npc; // @[dec_tlu_ctl.scala 2066:39] - wire _T_700 = _T_698 & _T_699; // @[dec_tlu_ctl.scala 2066:37] - wire _T_701 = _T_700 & wr_dpc_r; // @[dec_tlu_ctl.scala 2066:56] - wire _T_706 = _T_698 & dpc_capture_npc; // @[dec_tlu_ctl.scala 2068:49] - wire [30:0] _T_708 = _T_701 ? io_dec_csr_wrdata_r[31:1] : 31'h0; // @[Mux.scala 27:72] - wire [30:0] _T_709 = io_request_debug_mode_r ? pc_r : 31'h0; // @[Mux.scala 27:72] - wire [30:0] _T_710 = _T_706 ? io_npc_r : 31'h0; // @[Mux.scala 27:72] - wire [30:0] _T_711 = _T_708 | _T_709; // @[Mux.scala 27:72] - wire _T_713 = wr_dpc_r | io_request_debug_mode_r; // @[dec_tlu_ctl.scala 2070:36] - reg [30:0] _T_716; // @[lib.scala 374:16] - wire [2:0] _T_720 = {io_dec_csr_wrdata_r[24],io_dec_csr_wrdata_r[21:20]}; // @[Cat.scala 29:58] - wire _T_723 = io_dec_csr_wraddr_r == 12'h7c8; // @[dec_tlu_ctl.scala 2085:102] + wire _T_618 = io_dec_csr_wraddr_r == 12'hbcc; // @[dec_tlu_ctl.scala 1963:72] + wire wr_meicurpl_r = io_dec_csr_wen_r_mod & _T_618; // @[dec_tlu_ctl.scala 1963:43] + reg [3:0] meicurpl; // @[dec_tlu_ctl.scala 1966:46] + wire _T_623 = io_dec_csr_wraddr_r == 12'hbcb; // @[dec_tlu_ctl.scala 1978:73] + wire _T_624 = io_dec_csr_wen_r_mod & _T_623; // @[dec_tlu_ctl.scala 1978:44] + wire wr_meicidpl_r = _T_624 | io_take_ext_int_start; // @[dec_tlu_ctl.scala 1978:88] + reg [3:0] meicidpl; // @[dec_tlu_ctl.scala 1983:44] + wire _T_635 = io_dec_csr_wraddr_r == 12'hbc9; // @[dec_tlu_ctl.scala 1999:69] + wire wr_meipt_r = io_dec_csr_wen_r_mod & _T_635; // @[dec_tlu_ctl.scala 1999:40] + reg [3:0] meipt; // @[dec_tlu_ctl.scala 2002:43] + wire _T_639 = io_trigger_hit_r_d1 & io_dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 2030:89] + wire trigger_hit_for_dscr_cause_r_d1 = io_trigger_hit_dmode_r_d1 | _T_639; // @[dec_tlu_ctl.scala 2030:66] + wire _T_640 = ~io_ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 2033:31] + wire _T_641 = io_dcsr_single_step_done_f & _T_640; // @[dec_tlu_ctl.scala 2033:29] + wire _T_642 = ~trigger_hit_for_dscr_cause_r_d1; // @[dec_tlu_ctl.scala 2033:63] + wire _T_643 = _T_641 & _T_642; // @[dec_tlu_ctl.scala 2033:61] + wire _T_644 = ~io_debug_halt_req; // @[dec_tlu_ctl.scala 2033:98] + wire _T_645 = _T_643 & _T_644; // @[dec_tlu_ctl.scala 2033:96] + wire _T_648 = io_debug_halt_req & _T_640; // @[dec_tlu_ctl.scala 2034:46] + wire _T_650 = _T_648 & _T_642; // @[dec_tlu_ctl.scala 2034:78] + wire _T_653 = io_ebreak_to_debug_mode_r_d1 & _T_642; // @[dec_tlu_ctl.scala 2035:75] + wire [2:0] _T_656 = _T_645 ? 3'h4 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_657 = _T_650 ? 3'h3 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_658 = _T_653 ? 3'h1 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_659 = trigger_hit_for_dscr_cause_r_d1 ? 3'h2 : 3'h0; // @[Mux.scala 27:72] + wire [2:0] _T_660 = _T_656 | _T_657; // @[Mux.scala 27:72] + wire [2:0] _T_661 = _T_660 | _T_658; // @[Mux.scala 27:72] + wire [2:0] dcsr_cause = _T_661 | _T_659; // @[Mux.scala 27:72] + wire _T_663 = io_allow_dbg_halt_csr_write & io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 2038:46] + wire _T_665 = io_dec_csr_wraddr_r == 12'h7b0; // @[dec_tlu_ctl.scala 2038:98] + wire wr_dcsr_r = _T_663 & _T_665; // @[dec_tlu_ctl.scala 2038:69] + wire _T_667 = io_dcsr[8:6] == 3'h3; // @[dec_tlu_ctl.scala 2044:75] + wire dcsr_cause_upgradeable = io_internal_dbg_halt_mode_f & _T_667; // @[dec_tlu_ctl.scala 2044:59] + wire _T_668 = ~io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 2045:59] + wire _T_669 = _T_668 | dcsr_cause_upgradeable; // @[dec_tlu_ctl.scala 2045:78] + wire enter_debug_halt_req_le = io_enter_debug_halt_req & _T_669; // @[dec_tlu_ctl.scala 2045:56] + wire nmi_in_debug_mode = io_nmi_int_detected_f & io_internal_dbg_halt_mode_f; // @[dec_tlu_ctl.scala 2047:48] + wire [15:0] _T_675 = {io_dcsr[15:9],dcsr_cause,io_dcsr[5:2],2'h3}; // @[Cat.scala 29:58] + wire _T_681 = nmi_in_debug_mode | io_dcsr[3]; // @[dec_tlu_ctl.scala 2049:145] + wire [15:0] _T_690 = {io_dec_csr_wrdata_r[15],3'h0,io_dec_csr_wrdata_r[11:10],1'h0,io_dcsr[8:6],2'h0,_T_681,io_dec_csr_wrdata_r[2],2'h3}; // @[Cat.scala 29:58] + wire [15:0] _T_695 = {io_dcsr[15:4],nmi_in_debug_mode,io_dcsr[2],2'h3}; // @[Cat.scala 29:58] + wire _T_697 = enter_debug_halt_req_le | wr_dcsr_r; // @[dec_tlu_ctl.scala 2051:54] + wire _T_698 = _T_697 | io_internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 2051:66] + reg [15:0] _T_701; // @[lib.scala 374:16] + wire _T_704 = io_dec_csr_wraddr_r == 12'h7b1; // @[dec_tlu_ctl.scala 2059:97] + wire wr_dpc_r = _T_663 & _T_704; // @[dec_tlu_ctl.scala 2059:68] + wire _T_707 = ~io_request_debug_mode_done; // @[dec_tlu_ctl.scala 2060:67] + wire dpc_capture_npc = _T_589 & _T_707; // @[dec_tlu_ctl.scala 2060:65] + wire _T_708 = ~io_request_debug_mode_r; // @[dec_tlu_ctl.scala 2064:21] + wire _T_709 = ~dpc_capture_npc; // @[dec_tlu_ctl.scala 2064:39] + wire _T_710 = _T_708 & _T_709; // @[dec_tlu_ctl.scala 2064:37] + wire _T_711 = _T_710 & wr_dpc_r; // @[dec_tlu_ctl.scala 2064:56] + wire _T_716 = _T_708 & dpc_capture_npc; // @[dec_tlu_ctl.scala 2066:49] + wire [30:0] _T_718 = _T_711 ? io_dec_csr_wrdata_r[31:1] : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_719 = io_request_debug_mode_r ? pc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_720 = _T_716 ? io_npc_r : 31'h0; // @[Mux.scala 27:72] + wire [30:0] _T_721 = _T_718 | _T_719; // @[Mux.scala 27:72] + wire _T_723 = wr_dpc_r | io_request_debug_mode_r; // @[dec_tlu_ctl.scala 2068:36] + reg [30:0] _T_726; // @[lib.scala 374:16] + wire [2:0] _T_730 = {io_dec_csr_wrdata_r[24],io_dec_csr_wrdata_r[21:20]}; // @[Cat.scala 29:58] + wire _T_733 = io_dec_csr_wraddr_r == 12'h7c8; // @[dec_tlu_ctl.scala 2083:102] reg [16:0] dicawics; // @[lib.scala 374:16] - wire _T_727 = io_dec_csr_wraddr_r == 12'h7c9; // @[dec_tlu_ctl.scala 2103:100] - wire wr_dicad0_r = _T_653 & _T_727; // @[dec_tlu_ctl.scala 2103:71] + wire _T_737 = io_dec_csr_wraddr_r == 12'h7c9; // @[dec_tlu_ctl.scala 2101:100] + wire wr_dicad0_r = _T_663 & _T_737; // @[dec_tlu_ctl.scala 2101:71] reg [70:0] dicad0; // @[lib.scala 374:16] - wire _T_733 = io_dec_csr_wraddr_r == 12'h7cc; // @[dec_tlu_ctl.scala 2116:101] - wire wr_dicad0h_r = _T_653 & _T_733; // @[dec_tlu_ctl.scala 2116:72] + wire _T_743 = io_dec_csr_wraddr_r == 12'h7cc; // @[dec_tlu_ctl.scala 2114:101] + wire wr_dicad0h_r = _T_663 & _T_743; // @[dec_tlu_ctl.scala 2114:72] reg [31:0] dicad0h; // @[lib.scala 374:16] - wire _T_741 = io_dec_csr_wraddr_r == 12'h7ca; // @[dec_tlu_ctl.scala 2128:100] - wire _T_742 = _T_653 & _T_741; // @[dec_tlu_ctl.scala 2128:71] - wire _T_747 = _T_742 | io_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 2132:78] - reg [6:0] _T_749; // @[Reg.scala 27:20] - wire [31:0] dicad1 = {25'h0,_T_749}; // @[Cat.scala 29:58] - wire [38:0] _T_754 = {dicad1[6:0],dicad0h}; // @[Cat.scala 29:58] - wire _T_756 = io_allow_dbg_halt_csr_write & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 2160:52] - wire _T_757 = _T_756 & io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 2160:75] - wire _T_758 = ~io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 2160:98] - wire _T_759 = _T_757 & _T_758; // @[dec_tlu_ctl.scala 2160:96] - wire _T_761 = io_dec_csr_rdaddr_d == 12'h7cb; // @[dec_tlu_ctl.scala 2160:149] - wire _T_764 = io_dec_csr_wraddr_r == 12'h7cb; // @[dec_tlu_ctl.scala 2161:104] - reg icache_rd_valid_f; // @[dec_tlu_ctl.scala 2163:58] - reg icache_wr_valid_f; // @[dec_tlu_ctl.scala 2164:58] - wire _T_766 = io_dec_csr_wraddr_r == 12'h7a0; // @[dec_tlu_ctl.scala 2175:69] - wire wr_mtsel_r = io_dec_csr_wen_r_mod & _T_766; // @[dec_tlu_ctl.scala 2175:40] - reg [1:0] mtsel; // @[dec_tlu_ctl.scala 2178:43] - wire tdata_load = io_dec_csr_wrdata_r[0] & _T_398; // @[dec_tlu_ctl.scala 2213:42] - wire tdata_opcode = io_dec_csr_wrdata_r[2] & _T_398; // @[dec_tlu_ctl.scala 2215:44] - wire _T_777 = io_dec_csr_wrdata_r[27] & io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2217:46] - wire tdata_action = _T_777 & io_dec_csr_wrdata_r[12]; // @[dec_tlu_ctl.scala 2217:69] - wire [9:0] tdata_wrdata_r = {_T_777,io_dec_csr_wrdata_r[20:19],tdata_action,io_dec_csr_wrdata_r[11],io_dec_csr_wrdata_r[7:6],tdata_opcode,io_dec_csr_wrdata_r[1],tdata_load}; // @[Cat.scala 29:58] - wire _T_792 = io_dec_csr_wraddr_r == 12'h7a1; // @[dec_tlu_ctl.scala 2223:99] - wire _T_793 = io_dec_csr_wen_r_mod & _T_792; // @[dec_tlu_ctl.scala 2223:70] - wire _T_794 = mtsel == 2'h0; // @[dec_tlu_ctl.scala 2223:121] - wire _T_795 = _T_793 & _T_794; // @[dec_tlu_ctl.scala 2223:112] - wire _T_797 = ~io_mtdata1_t_0[9]; // @[dec_tlu_ctl.scala 2223:138] - wire _T_798 = _T_797 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2223:170] - wire wr_mtdata1_t_r_0 = _T_795 & _T_798; // @[dec_tlu_ctl.scala 2223:135] - wire _T_803 = mtsel == 2'h1; // @[dec_tlu_ctl.scala 2223:121] - wire _T_804 = _T_793 & _T_803; // @[dec_tlu_ctl.scala 2223:112] - wire _T_806 = ~io_mtdata1_t_1[9]; // @[dec_tlu_ctl.scala 2223:138] - wire _T_807 = _T_806 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2223:170] - wire wr_mtdata1_t_r_1 = _T_804 & _T_807; // @[dec_tlu_ctl.scala 2223:135] - wire _T_812 = mtsel == 2'h2; // @[dec_tlu_ctl.scala 2223:121] - wire _T_813 = _T_793 & _T_812; // @[dec_tlu_ctl.scala 2223:112] - wire _T_815 = ~io_mtdata1_t_2[9]; // @[dec_tlu_ctl.scala 2223:138] - wire _T_816 = _T_815 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2223:170] - wire wr_mtdata1_t_r_2 = _T_813 & _T_816; // @[dec_tlu_ctl.scala 2223:135] - wire _T_821 = mtsel == 2'h3; // @[dec_tlu_ctl.scala 2223:121] - wire _T_822 = _T_793 & _T_821; // @[dec_tlu_ctl.scala 2223:112] - wire _T_824 = ~io_mtdata1_t_3[9]; // @[dec_tlu_ctl.scala 2223:138] - wire _T_825 = _T_824 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2223:170] - wire wr_mtdata1_t_r_3 = _T_822 & _T_825; // @[dec_tlu_ctl.scala 2223:135] - wire _T_831 = io_update_hit_bit_r[0] | io_mtdata1_t_0[8]; // @[dec_tlu_ctl.scala 2224:139] - wire [9:0] _T_834 = {io_mtdata1_t_0[9],_T_831,io_mtdata1_t_0[7:0]}; // @[Cat.scala 29:58] - wire _T_840 = io_update_hit_bit_r[1] | io_mtdata1_t_1[8]; // @[dec_tlu_ctl.scala 2224:139] - wire [9:0] _T_843 = {io_mtdata1_t_1[9],_T_840,io_mtdata1_t_1[7:0]}; // @[Cat.scala 29:58] - wire _T_849 = io_update_hit_bit_r[2] | io_mtdata1_t_2[8]; // @[dec_tlu_ctl.scala 2224:139] - wire [9:0] _T_852 = {io_mtdata1_t_2[9],_T_849,io_mtdata1_t_2[7:0]}; // @[Cat.scala 29:58] - wire _T_858 = io_update_hit_bit_r[3] | io_mtdata1_t_3[8]; // @[dec_tlu_ctl.scala 2224:139] - wire [9:0] _T_861 = {io_mtdata1_t_3[9],_T_858,io_mtdata1_t_3[7:0]}; // @[Cat.scala 29:58] - reg [9:0] _T_863; // @[dec_tlu_ctl.scala 2226:74] - reg [9:0] _T_864; // @[dec_tlu_ctl.scala 2226:74] - reg [9:0] _T_865; // @[dec_tlu_ctl.scala 2226:74] - reg [9:0] _T_866; // @[dec_tlu_ctl.scala 2226:74] - wire [31:0] _T_881 = {4'h2,io_mtdata1_t_0[9],6'h1f,io_mtdata1_t_0[8:7],6'h0,io_mtdata1_t_0[6:5],3'h0,io_mtdata1_t_0[4:3],3'h0,io_mtdata1_t_0[2:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_896 = {4'h2,io_mtdata1_t_1[9],6'h1f,io_mtdata1_t_1[8:7],6'h0,io_mtdata1_t_1[6:5],3'h0,io_mtdata1_t_1[4:3],3'h0,io_mtdata1_t_1[2:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_911 = {4'h2,io_mtdata1_t_2[9],6'h1f,io_mtdata1_t_2[8:7],6'h0,io_mtdata1_t_2[6:5],3'h0,io_mtdata1_t_2[4:3],3'h0,io_mtdata1_t_2[2:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_926 = {4'h2,io_mtdata1_t_3[9],6'h1f,io_mtdata1_t_3[8:7],6'h0,io_mtdata1_t_3[6:5],3'h0,io_mtdata1_t_3[4:3],3'h0,io_mtdata1_t_3[2:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_927 = _T_794 ? _T_881 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_928 = _T_803 ? _T_896 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_929 = _T_812 ? _T_911 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_930 = _T_821 ? _T_926 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_931 = _T_927 | _T_928; // @[Mux.scala 27:72] - wire [31:0] _T_932 = _T_931 | _T_929; // @[Mux.scala 27:72] - wire [31:0] mtdata1_tsel_out = _T_932 | _T_930; // @[Mux.scala 27:72] - wire _T_959 = io_dec_csr_wraddr_r == 12'h7a2; // @[dec_tlu_ctl.scala 2243:98] - wire _T_960 = io_dec_csr_wen_r_mod & _T_959; // @[dec_tlu_ctl.scala 2243:69] - wire _T_962 = _T_960 & _T_794; // @[dec_tlu_ctl.scala 2243:111] - wire _T_971 = _T_960 & _T_803; // @[dec_tlu_ctl.scala 2243:111] - wire _T_980 = _T_960 & _T_812; // @[dec_tlu_ctl.scala 2243:111] - wire _T_989 = _T_960 & _T_821; // @[dec_tlu_ctl.scala 2243:111] + wire _T_751 = io_dec_csr_wraddr_r == 12'h7ca; // @[dec_tlu_ctl.scala 2126:100] + wire _T_752 = _T_663 & _T_751; // @[dec_tlu_ctl.scala 2126:71] + wire _T_757 = _T_752 | io_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 2130:78] + reg [6:0] _T_759; // @[Reg.scala 27:20] + wire [31:0] dicad1 = {25'h0,_T_759}; // @[Cat.scala 29:58] + wire [38:0] _T_764 = {dicad1[6:0],dicad0h}; // @[Cat.scala 29:58] + wire _T_766 = io_allow_dbg_halt_csr_write & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 2158:52] + wire _T_767 = _T_766 & io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 2158:75] + wire _T_768 = ~io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 2158:98] + wire _T_769 = _T_767 & _T_768; // @[dec_tlu_ctl.scala 2158:96] + wire _T_771 = io_dec_csr_rdaddr_d == 12'h7cb; // @[dec_tlu_ctl.scala 2158:149] + wire _T_774 = io_dec_csr_wraddr_r == 12'h7cb; // @[dec_tlu_ctl.scala 2159:104] + reg icache_rd_valid_f; // @[dec_tlu_ctl.scala 2161:58] + reg icache_wr_valid_f; // @[dec_tlu_ctl.scala 2162:58] + wire _T_776 = io_dec_csr_wraddr_r == 12'h7a0; // @[dec_tlu_ctl.scala 2173:69] + wire wr_mtsel_r = io_dec_csr_wen_r_mod & _T_776; // @[dec_tlu_ctl.scala 2173:40] + reg [1:0] mtsel; // @[dec_tlu_ctl.scala 2176:43] + wire tdata_load = io_dec_csr_wrdata_r[0] & _T_408; // @[dec_tlu_ctl.scala 2211:42] + wire tdata_opcode = io_dec_csr_wrdata_r[2] & _T_408; // @[dec_tlu_ctl.scala 2213:44] + wire _T_787 = io_dec_csr_wrdata_r[27] & io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2215:46] + wire tdata_action = _T_787 & io_dec_csr_wrdata_r[12]; // @[dec_tlu_ctl.scala 2215:69] + wire [9:0] tdata_wrdata_r = {_T_787,io_dec_csr_wrdata_r[20:19],tdata_action,io_dec_csr_wrdata_r[11],io_dec_csr_wrdata_r[7:6],tdata_opcode,io_dec_csr_wrdata_r[1],tdata_load}; // @[Cat.scala 29:58] + wire _T_802 = io_dec_csr_wraddr_r == 12'h7a1; // @[dec_tlu_ctl.scala 2221:99] + wire _T_803 = io_dec_csr_wen_r_mod & _T_802; // @[dec_tlu_ctl.scala 2221:70] + wire _T_804 = mtsel == 2'h0; // @[dec_tlu_ctl.scala 2221:121] + wire _T_805 = _T_803 & _T_804; // @[dec_tlu_ctl.scala 2221:112] + wire _T_807 = ~io_mtdata1_t_0[9]; // @[dec_tlu_ctl.scala 2221:138] + wire _T_808 = _T_807 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2221:170] + wire wr_mtdata1_t_r_0 = _T_805 & _T_808; // @[dec_tlu_ctl.scala 2221:135] + wire _T_813 = mtsel == 2'h1; // @[dec_tlu_ctl.scala 2221:121] + wire _T_814 = _T_803 & _T_813; // @[dec_tlu_ctl.scala 2221:112] + wire _T_816 = ~io_mtdata1_t_1[9]; // @[dec_tlu_ctl.scala 2221:138] + wire _T_817 = _T_816 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2221:170] + wire wr_mtdata1_t_r_1 = _T_814 & _T_817; // @[dec_tlu_ctl.scala 2221:135] + wire _T_822 = mtsel == 2'h2; // @[dec_tlu_ctl.scala 2221:121] + wire _T_823 = _T_803 & _T_822; // @[dec_tlu_ctl.scala 2221:112] + wire _T_825 = ~io_mtdata1_t_2[9]; // @[dec_tlu_ctl.scala 2221:138] + wire _T_826 = _T_825 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2221:170] + wire wr_mtdata1_t_r_2 = _T_823 & _T_826; // @[dec_tlu_ctl.scala 2221:135] + wire _T_831 = mtsel == 2'h3; // @[dec_tlu_ctl.scala 2221:121] + wire _T_832 = _T_803 & _T_831; // @[dec_tlu_ctl.scala 2221:112] + wire _T_834 = ~io_mtdata1_t_3[9]; // @[dec_tlu_ctl.scala 2221:138] + wire _T_835 = _T_834 | io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 2221:170] + wire wr_mtdata1_t_r_3 = _T_832 & _T_835; // @[dec_tlu_ctl.scala 2221:135] + wire _T_841 = io_update_hit_bit_r[0] | io_mtdata1_t_0[8]; // @[dec_tlu_ctl.scala 2222:139] + wire [9:0] _T_844 = {io_mtdata1_t_0[9],_T_841,io_mtdata1_t_0[7:0]}; // @[Cat.scala 29:58] + wire _T_850 = io_update_hit_bit_r[1] | io_mtdata1_t_1[8]; // @[dec_tlu_ctl.scala 2222:139] + wire [9:0] _T_853 = {io_mtdata1_t_1[9],_T_850,io_mtdata1_t_1[7:0]}; // @[Cat.scala 29:58] + wire _T_859 = io_update_hit_bit_r[2] | io_mtdata1_t_2[8]; // @[dec_tlu_ctl.scala 2222:139] + wire [9:0] _T_862 = {io_mtdata1_t_2[9],_T_859,io_mtdata1_t_2[7:0]}; // @[Cat.scala 29:58] + wire _T_868 = io_update_hit_bit_r[3] | io_mtdata1_t_3[8]; // @[dec_tlu_ctl.scala 2222:139] + wire [9:0] _T_871 = {io_mtdata1_t_3[9],_T_868,io_mtdata1_t_3[7:0]}; // @[Cat.scala 29:58] + reg [9:0] _T_873; // @[dec_tlu_ctl.scala 2224:74] + reg [9:0] _T_874; // @[dec_tlu_ctl.scala 2224:74] + reg [9:0] _T_875; // @[dec_tlu_ctl.scala 2224:74] + reg [9:0] _T_876; // @[dec_tlu_ctl.scala 2224:74] + wire [31:0] _T_891 = {4'h2,io_mtdata1_t_0[9],6'h1f,io_mtdata1_t_0[8:7],6'h0,io_mtdata1_t_0[6:5],3'h0,io_mtdata1_t_0[4:3],3'h0,io_mtdata1_t_0[2:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_906 = {4'h2,io_mtdata1_t_1[9],6'h1f,io_mtdata1_t_1[8:7],6'h0,io_mtdata1_t_1[6:5],3'h0,io_mtdata1_t_1[4:3],3'h0,io_mtdata1_t_1[2:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_921 = {4'h2,io_mtdata1_t_2[9],6'h1f,io_mtdata1_t_2[8:7],6'h0,io_mtdata1_t_2[6:5],3'h0,io_mtdata1_t_2[4:3],3'h0,io_mtdata1_t_2[2:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_936 = {4'h2,io_mtdata1_t_3[9],6'h1f,io_mtdata1_t_3[8:7],6'h0,io_mtdata1_t_3[6:5],3'h0,io_mtdata1_t_3[4:3],3'h0,io_mtdata1_t_3[2:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_937 = _T_804 ? _T_891 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_938 = _T_813 ? _T_906 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_939 = _T_822 ? _T_921 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_940 = _T_831 ? _T_936 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_941 = _T_937 | _T_938; // @[Mux.scala 27:72] + wire [31:0] _T_942 = _T_941 | _T_939; // @[Mux.scala 27:72] + wire [31:0] mtdata1_tsel_out = _T_942 | _T_940; // @[Mux.scala 27:72] + wire _T_969 = io_dec_csr_wraddr_r == 12'h7a2; // @[dec_tlu_ctl.scala 2241:98] + wire _T_970 = io_dec_csr_wen_r_mod & _T_969; // @[dec_tlu_ctl.scala 2241:69] + wire _T_972 = _T_970 & _T_804; // @[dec_tlu_ctl.scala 2241:111] + wire _T_981 = _T_970 & _T_813; // @[dec_tlu_ctl.scala 2241:111] + wire _T_990 = _T_970 & _T_822; // @[dec_tlu_ctl.scala 2241:111] + wire _T_999 = _T_970 & _T_831; // @[dec_tlu_ctl.scala 2241:111] reg [31:0] mtdata2_t_0; // @[lib.scala 374:16] reg [31:0] mtdata2_t_1; // @[lib.scala 374:16] reg [31:0] mtdata2_t_2; // @[lib.scala 374:16] reg [31:0] mtdata2_t_3; // @[lib.scala 374:16] - wire [31:0] _T_1006 = _T_794 ? mtdata2_t_0 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1007 = _T_803 ? mtdata2_t_1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1008 = _T_812 ? mtdata2_t_2 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1009 = _T_821 ? mtdata2_t_3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_1010 = _T_1006 | _T_1007; // @[Mux.scala 27:72] - wire [31:0] _T_1011 = _T_1010 | _T_1008; // @[Mux.scala 27:72] - wire [31:0] mtdata2_tsel_out = _T_1011 | _T_1009; // @[Mux.scala 27:72] - wire [3:0] _T_1014 = io_tlu_i0_commit_cmt ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] pmu_i0_itype_qual = io_dec_tlu_packet_r_pmu_i0_itype & _T_1014; // @[dec_tlu_ctl.scala 2268:59] - wire _T_1016 = ~mcountinhibit[3]; // @[dec_tlu_ctl.scala 2274:24] + wire [31:0] _T_1016 = _T_804 ? mtdata2_t_0 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1017 = _T_813 ? mtdata2_t_1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1018 = _T_822 ? mtdata2_t_2 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1019 = _T_831 ? mtdata2_t_3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_1020 = _T_1016 | _T_1017; // @[Mux.scala 27:72] + wire [31:0] _T_1021 = _T_1020 | _T_1018; // @[Mux.scala 27:72] + wire [31:0] mtdata2_tsel_out = _T_1021 | _T_1019; // @[Mux.scala 27:72] + wire [3:0] _T_1024 = io_tlu_i0_commit_cmt ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] pmu_i0_itype_qual = io_dec_tlu_packet_r_pmu_i0_itype & _T_1024; // @[dec_tlu_ctl.scala 2266:59] + wire _T_1026 = ~mcountinhibit[3]; // @[dec_tlu_ctl.scala 2272:24] reg [9:0] mhpme3; // @[Reg.scala 27:20] - wire _T_1017 = mhpme3 == 10'h1; // @[dec_tlu_ctl.scala 2275:34] - wire _T_1019 = mhpme3 == 10'h2; // @[dec_tlu_ctl.scala 2276:34] - wire _T_1021 = mhpme3 == 10'h3; // @[dec_tlu_ctl.scala 2277:34] - wire _T_1023 = mhpme3 == 10'h4; // @[dec_tlu_ctl.scala 2278:34] - wire _T_1025 = ~io_illegal_r; // @[dec_tlu_ctl.scala 2278:96] - wire _T_1026 = io_tlu_i0_commit_cmt & _T_1025; // @[dec_tlu_ctl.scala 2278:94] - wire _T_1027 = mhpme3 == 10'h5; // @[dec_tlu_ctl.scala 2279:34] - wire _T_1029 = ~io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 2279:96] - wire _T_1030 = io_tlu_i0_commit_cmt & _T_1029; // @[dec_tlu_ctl.scala 2279:94] - wire _T_1032 = _T_1030 & _T_1025; // @[dec_tlu_ctl.scala 2279:115] - wire _T_1033 = mhpme3 == 10'h6; // @[dec_tlu_ctl.scala 2280:34] - wire _T_1035 = io_tlu_i0_commit_cmt & io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 2280:94] - wire _T_1037 = _T_1035 & _T_1025; // @[dec_tlu_ctl.scala 2280:115] - wire _T_1038 = mhpme3 == 10'h7; // @[dec_tlu_ctl.scala 2281:34] - wire _T_1040 = mhpme3 == 10'h8; // @[dec_tlu_ctl.scala 2282:34] - wire _T_1042 = mhpme3 == 10'h1e; // @[dec_tlu_ctl.scala 2283:34] - wire _T_1044 = mhpme3 == 10'h9; // @[dec_tlu_ctl.scala 2284:34] - wire _T_1046 = pmu_i0_itype_qual == 4'h1; // @[dec_tlu_ctl.scala 2284:91] - wire _T_1047 = mhpme3 == 10'ha; // @[dec_tlu_ctl.scala 2285:34] - wire _T_1049 = io_dec_tlu_packet_r_pmu_divide & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2285:105] - wire _T_1050 = mhpme3 == 10'hb; // @[dec_tlu_ctl.scala 2286:34] - wire _T_1052 = pmu_i0_itype_qual == 4'h2; // @[dec_tlu_ctl.scala 2286:91] - wire _T_1053 = mhpme3 == 10'hc; // @[dec_tlu_ctl.scala 2287:34] - wire _T_1055 = pmu_i0_itype_qual == 4'h3; // @[dec_tlu_ctl.scala 2287:91] - wire _T_1056 = mhpme3 == 10'hd; // @[dec_tlu_ctl.scala 2288:34] - wire _T_1059 = _T_1052 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 2288:100] - wire _T_1060 = mhpme3 == 10'he; // @[dec_tlu_ctl.scala 2289:34] - wire _T_1064 = _T_1055 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 2289:101] - wire _T_1065 = mhpme3 == 10'hf; // @[dec_tlu_ctl.scala 2290:34] - wire _T_1067 = pmu_i0_itype_qual == 4'h4; // @[dec_tlu_ctl.scala 2290:89] - wire _T_1068 = mhpme3 == 10'h10; // @[dec_tlu_ctl.scala 2291:34] - wire _T_1070 = pmu_i0_itype_qual == 4'h5; // @[dec_tlu_ctl.scala 2291:89] - wire _T_1071 = mhpme3 == 10'h12; // @[dec_tlu_ctl.scala 2292:34] - wire _T_1073 = pmu_i0_itype_qual == 4'h6; // @[dec_tlu_ctl.scala 2292:89] - wire _T_1074 = mhpme3 == 10'h11; // @[dec_tlu_ctl.scala 2293:34] - wire _T_1076 = pmu_i0_itype_qual == 4'h7; // @[dec_tlu_ctl.scala 2293:89] - wire _T_1077 = mhpme3 == 10'h13; // @[dec_tlu_ctl.scala 2294:34] - wire _T_1079 = pmu_i0_itype_qual == 4'h8; // @[dec_tlu_ctl.scala 2294:89] - wire _T_1080 = mhpme3 == 10'h14; // @[dec_tlu_ctl.scala 2295:34] - wire _T_1082 = pmu_i0_itype_qual == 4'h9; // @[dec_tlu_ctl.scala 2295:89] - wire _T_1083 = mhpme3 == 10'h15; // @[dec_tlu_ctl.scala 2296:34] - wire _T_1085 = pmu_i0_itype_qual == 4'ha; // @[dec_tlu_ctl.scala 2296:89] - wire _T_1086 = mhpme3 == 10'h16; // @[dec_tlu_ctl.scala 2297:34] - wire _T_1088 = pmu_i0_itype_qual == 4'hb; // @[dec_tlu_ctl.scala 2297:89] - wire _T_1089 = mhpme3 == 10'h17; // @[dec_tlu_ctl.scala 2298:34] - wire _T_1091 = pmu_i0_itype_qual == 4'hc; // @[dec_tlu_ctl.scala 2298:89] - wire _T_1092 = mhpme3 == 10'h18; // @[dec_tlu_ctl.scala 2299:34] - wire _T_1094 = pmu_i0_itype_qual == 4'hd; // @[dec_tlu_ctl.scala 2299:89] - wire _T_1095 = pmu_i0_itype_qual == 4'he; // @[dec_tlu_ctl.scala 2299:122] - wire _T_1096 = _T_1094 | _T_1095; // @[dec_tlu_ctl.scala 2299:101] - wire _T_1097 = mhpme3 == 10'h19; // @[dec_tlu_ctl.scala 2300:34] - wire _T_1099 = io_exu_pmu_i0_br_misp & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2300:95] - wire _T_1100 = mhpme3 == 10'h1a; // @[dec_tlu_ctl.scala 2301:34] - wire _T_1102 = io_exu_pmu_i0_br_ataken & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2301:97] - wire _T_1103 = mhpme3 == 10'h1b; // @[dec_tlu_ctl.scala 2302:34] - wire _T_1105 = io_dec_tlu_packet_r_pmu_i0_br_unpred & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2302:110] - wire _T_1106 = mhpme3 == 10'h1c; // @[dec_tlu_ctl.scala 2303:34] - wire _T_1110 = mhpme3 == 10'h1f; // @[dec_tlu_ctl.scala 2305:34] - wire _T_1112 = mhpme3 == 10'h20; // @[dec_tlu_ctl.scala 2306:34] - wire _T_1114 = mhpme3 == 10'h22; // @[dec_tlu_ctl.scala 2307:34] - wire _T_1116 = mhpme3 == 10'h23; // @[dec_tlu_ctl.scala 2308:34] - wire _T_1118 = mhpme3 == 10'h24; // @[dec_tlu_ctl.scala 2309:34] - wire _T_1120 = mhpme3 == 10'h25; // @[dec_tlu_ctl.scala 2310:34] - wire _T_1122 = io_i0_exception_valid_r | io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 2310:98] - wire _T_1123 = _T_1122 | io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 2310:120] - wire _T_1124 = mhpme3 == 10'h26; // @[dec_tlu_ctl.scala 2311:34] - wire _T_1126 = io_take_timer_int | io_take_int_timer0_int; // @[dec_tlu_ctl.scala 2311:92] - wire _T_1127 = _T_1126 | io_take_int_timer1_int; // @[dec_tlu_ctl.scala 2311:117] - wire _T_1128 = mhpme3 == 10'h27; // @[dec_tlu_ctl.scala 2312:34] - wire _T_1130 = mhpme3 == 10'h28; // @[dec_tlu_ctl.scala 2313:34] - wire _T_1132 = mhpme3 == 10'h29; // @[dec_tlu_ctl.scala 2314:34] - wire _T_1134 = io_dec_tlu_br0_error_r | io_dec_tlu_br0_start_error_r; // @[dec_tlu_ctl.scala 2314:97] - wire _T_1135 = _T_1134 & io_rfpc_i0_r; // @[dec_tlu_ctl.scala 2314:129] - wire _T_1136 = mhpme3 == 10'h2a; // @[dec_tlu_ctl.scala 2315:34] - wire _T_1138 = mhpme3 == 10'h2b; // @[dec_tlu_ctl.scala 2316:34] - wire _T_1140 = mhpme3 == 10'h2c; // @[dec_tlu_ctl.scala 2317:34] - wire _T_1142 = mhpme3 == 10'h2d; // @[dec_tlu_ctl.scala 2318:34] - wire _T_1144 = mhpme3 == 10'h2e; // @[dec_tlu_ctl.scala 2319:34] - wire _T_1146 = mhpme3 == 10'h2f; // @[dec_tlu_ctl.scala 2320:34] - wire _T_1148 = mhpme3 == 10'h30; // @[dec_tlu_ctl.scala 2321:34] - wire _T_1150 = mhpme3 == 10'h31; // @[dec_tlu_ctl.scala 2322:34] - wire _T_1154 = ~io_mstatus[0]; // @[dec_tlu_ctl.scala 2322:73] - wire _T_1155 = mhpme3 == 10'h32; // @[dec_tlu_ctl.scala 2323:34] - wire [5:0] _T_1162 = io_mip & mie; // @[dec_tlu_ctl.scala 2323:113] - wire _T_1163 = |_T_1162; // @[dec_tlu_ctl.scala 2323:125] - wire _T_1164 = _T_1154 & _T_1163; // @[dec_tlu_ctl.scala 2323:98] - wire _T_1165 = mhpme3 == 10'h36; // @[dec_tlu_ctl.scala 2324:34] - wire _T_1167 = pmu_i0_itype_qual == 4'hf; // @[dec_tlu_ctl.scala 2324:91] - wire _T_1168 = mhpme3 == 10'h37; // @[dec_tlu_ctl.scala 2325:34] - wire _T_1170 = io_tlu_i0_commit_cmt & io_lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 2325:94] - wire _T_1171 = mhpme3 == 10'h38; // @[dec_tlu_ctl.scala 2326:34] - wire _T_1173 = io_tlu_i0_commit_cmt & io_lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 2326:94] - wire _T_1174 = mhpme3 == 10'h200; // @[dec_tlu_ctl.scala 2328:34] - wire _T_1176 = mhpme3 == 10'h201; // @[dec_tlu_ctl.scala 2329:34] - wire _T_1178 = mhpme3 == 10'h202; // @[dec_tlu_ctl.scala 2330:34] - wire _T_1180 = mhpme3 == 10'h203; // @[dec_tlu_ctl.scala 2331:34] - wire _T_1182 = mhpme3 == 10'h204; // @[dec_tlu_ctl.scala 2332:34] - wire _T_1185 = _T_1019 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] - wire _T_1186 = _T_1021 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] - wire _T_1187 = _T_1023 & _T_1026; // @[Mux.scala 27:72] - wire _T_1188 = _T_1027 & _T_1032; // @[Mux.scala 27:72] - wire _T_1189 = _T_1033 & _T_1037; // @[Mux.scala 27:72] - wire _T_1190 = _T_1038 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] - wire _T_1191 = _T_1040 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] - wire _T_1192 = _T_1042 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] - wire _T_1193 = _T_1044 & _T_1046; // @[Mux.scala 27:72] - wire _T_1194 = _T_1047 & _T_1049; // @[Mux.scala 27:72] - wire _T_1195 = _T_1050 & _T_1052; // @[Mux.scala 27:72] - wire _T_1196 = _T_1053 & _T_1055; // @[Mux.scala 27:72] - wire _T_1197 = _T_1056 & _T_1059; // @[Mux.scala 27:72] - wire _T_1198 = _T_1060 & _T_1064; // @[Mux.scala 27:72] - wire _T_1199 = _T_1065 & _T_1067; // @[Mux.scala 27:72] - wire _T_1200 = _T_1068 & _T_1070; // @[Mux.scala 27:72] - wire _T_1201 = _T_1071 & _T_1073; // @[Mux.scala 27:72] - wire _T_1202 = _T_1074 & _T_1076; // @[Mux.scala 27:72] - wire _T_1203 = _T_1077 & _T_1079; // @[Mux.scala 27:72] - wire _T_1204 = _T_1080 & _T_1082; // @[Mux.scala 27:72] - wire _T_1205 = _T_1083 & _T_1085; // @[Mux.scala 27:72] - wire _T_1206 = _T_1086 & _T_1088; // @[Mux.scala 27:72] - wire _T_1207 = _T_1089 & _T_1091; // @[Mux.scala 27:72] - wire _T_1208 = _T_1092 & _T_1096; // @[Mux.scala 27:72] - wire _T_1209 = _T_1097 & _T_1099; // @[Mux.scala 27:72] - wire _T_1210 = _T_1100 & _T_1102; // @[Mux.scala 27:72] - wire _T_1211 = _T_1103 & _T_1105; // @[Mux.scala 27:72] - wire _T_1212 = _T_1106 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] - wire _T_1214 = _T_1110 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] - wire _T_1215 = _T_1112 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] - wire _T_1216 = _T_1114 & io_lsu_store_stall_any; // @[Mux.scala 27:72] - wire _T_1217 = _T_1116 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] - wire _T_1218 = _T_1118 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] - wire _T_1219 = _T_1120 & _T_1123; // @[Mux.scala 27:72] - wire _T_1220 = _T_1124 & _T_1127; // @[Mux.scala 27:72] - wire _T_1221 = _T_1128 & io_take_ext_int; // @[Mux.scala 27:72] - wire _T_1222 = _T_1130 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] - wire _T_1223 = _T_1132 & _T_1135; // @[Mux.scala 27:72] - wire _T_1224 = _T_1136 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_1225 = _T_1138 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_1226 = _T_1140 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] - wire _T_1227 = _T_1142 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_1228 = _T_1144 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_1229 = _T_1146 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_1230 = _T_1148 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_1231 = _T_1150 & _T_1154; // @[Mux.scala 27:72] - wire _T_1232 = _T_1155 & _T_1164; // @[Mux.scala 27:72] - wire _T_1233 = _T_1165 & _T_1167; // @[Mux.scala 27:72] - wire _T_1234 = _T_1168 & _T_1170; // @[Mux.scala 27:72] - wire _T_1235 = _T_1171 & _T_1173; // @[Mux.scala 27:72] - wire _T_1236 = _T_1174 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] - wire _T_1237 = _T_1176 & io_dma_pmu_any_read; // @[Mux.scala 27:72] - wire _T_1238 = _T_1178 & io_dma_pmu_any_write; // @[Mux.scala 27:72] - wire _T_1239 = _T_1180 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] - wire _T_1240 = _T_1182 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] - wire _T_1241 = _T_1017 | _T_1185; // @[Mux.scala 27:72] - wire _T_1242 = _T_1241 | _T_1186; // @[Mux.scala 27:72] - wire _T_1243 = _T_1242 | _T_1187; // @[Mux.scala 27:72] - wire _T_1244 = _T_1243 | _T_1188; // @[Mux.scala 27:72] - wire _T_1245 = _T_1244 | _T_1189; // @[Mux.scala 27:72] - wire _T_1246 = _T_1245 | _T_1190; // @[Mux.scala 27:72] - wire _T_1247 = _T_1246 | _T_1191; // @[Mux.scala 27:72] - wire _T_1248 = _T_1247 | _T_1192; // @[Mux.scala 27:72] - wire _T_1249 = _T_1248 | _T_1193; // @[Mux.scala 27:72] - wire _T_1250 = _T_1249 | _T_1194; // @[Mux.scala 27:72] - wire _T_1251 = _T_1250 | _T_1195; // @[Mux.scala 27:72] + wire _T_1027 = mhpme3 == 10'h1; // @[dec_tlu_ctl.scala 2273:34] + wire _T_1029 = mhpme3 == 10'h2; // @[dec_tlu_ctl.scala 2274:34] + wire _T_1031 = mhpme3 == 10'h3; // @[dec_tlu_ctl.scala 2275:34] + wire _T_1033 = mhpme3 == 10'h4; // @[dec_tlu_ctl.scala 2276:34] + wire _T_1035 = ~io_illegal_r; // @[dec_tlu_ctl.scala 2276:96] + wire _T_1036 = io_tlu_i0_commit_cmt & _T_1035; // @[dec_tlu_ctl.scala 2276:94] + wire _T_1037 = mhpme3 == 10'h5; // @[dec_tlu_ctl.scala 2277:34] + wire _T_1039 = ~io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 2277:96] + wire _T_1040 = io_tlu_i0_commit_cmt & _T_1039; // @[dec_tlu_ctl.scala 2277:94] + wire _T_1042 = _T_1040 & _T_1035; // @[dec_tlu_ctl.scala 2277:115] + wire _T_1043 = mhpme3 == 10'h6; // @[dec_tlu_ctl.scala 2278:34] + wire _T_1045 = io_tlu_i0_commit_cmt & io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 2278:94] + wire _T_1047 = _T_1045 & _T_1035; // @[dec_tlu_ctl.scala 2278:115] + wire _T_1048 = mhpme3 == 10'h7; // @[dec_tlu_ctl.scala 2279:34] + wire _T_1050 = mhpme3 == 10'h8; // @[dec_tlu_ctl.scala 2280:34] + wire _T_1052 = mhpme3 == 10'h1e; // @[dec_tlu_ctl.scala 2281:34] + wire _T_1054 = mhpme3 == 10'h9; // @[dec_tlu_ctl.scala 2282:34] + wire _T_1056 = pmu_i0_itype_qual == 4'h1; // @[dec_tlu_ctl.scala 2282:91] + wire _T_1057 = mhpme3 == 10'ha; // @[dec_tlu_ctl.scala 2283:34] + wire _T_1059 = io_dec_tlu_packet_r_pmu_divide & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2283:105] + wire _T_1060 = mhpme3 == 10'hb; // @[dec_tlu_ctl.scala 2284:34] + wire _T_1062 = pmu_i0_itype_qual == 4'h2; // @[dec_tlu_ctl.scala 2284:91] + wire _T_1063 = mhpme3 == 10'hc; // @[dec_tlu_ctl.scala 2285:34] + wire _T_1065 = pmu_i0_itype_qual == 4'h3; // @[dec_tlu_ctl.scala 2285:91] + wire _T_1066 = mhpme3 == 10'hd; // @[dec_tlu_ctl.scala 2286:34] + wire _T_1069 = _T_1062 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 2286:100] + wire _T_1070 = mhpme3 == 10'he; // @[dec_tlu_ctl.scala 2287:34] + wire _T_1074 = _T_1065 & io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 2287:101] + wire _T_1075 = mhpme3 == 10'hf; // @[dec_tlu_ctl.scala 2288:34] + wire _T_1077 = pmu_i0_itype_qual == 4'h4; // @[dec_tlu_ctl.scala 2288:89] + wire _T_1078 = mhpme3 == 10'h10; // @[dec_tlu_ctl.scala 2289:34] + wire _T_1080 = pmu_i0_itype_qual == 4'h5; // @[dec_tlu_ctl.scala 2289:89] + wire _T_1081 = mhpme3 == 10'h12; // @[dec_tlu_ctl.scala 2290:34] + wire _T_1083 = pmu_i0_itype_qual == 4'h6; // @[dec_tlu_ctl.scala 2290:89] + wire _T_1084 = mhpme3 == 10'h11; // @[dec_tlu_ctl.scala 2291:34] + wire _T_1086 = pmu_i0_itype_qual == 4'h7; // @[dec_tlu_ctl.scala 2291:89] + wire _T_1087 = mhpme3 == 10'h13; // @[dec_tlu_ctl.scala 2292:34] + wire _T_1089 = pmu_i0_itype_qual == 4'h8; // @[dec_tlu_ctl.scala 2292:89] + wire _T_1090 = mhpme3 == 10'h14; // @[dec_tlu_ctl.scala 2293:34] + wire _T_1092 = pmu_i0_itype_qual == 4'h9; // @[dec_tlu_ctl.scala 2293:89] + wire _T_1093 = mhpme3 == 10'h15; // @[dec_tlu_ctl.scala 2294:34] + wire _T_1095 = pmu_i0_itype_qual == 4'ha; // @[dec_tlu_ctl.scala 2294:89] + wire _T_1096 = mhpme3 == 10'h16; // @[dec_tlu_ctl.scala 2295:34] + wire _T_1098 = pmu_i0_itype_qual == 4'hb; // @[dec_tlu_ctl.scala 2295:89] + wire _T_1099 = mhpme3 == 10'h17; // @[dec_tlu_ctl.scala 2296:34] + wire _T_1101 = pmu_i0_itype_qual == 4'hc; // @[dec_tlu_ctl.scala 2296:89] + wire _T_1102 = mhpme3 == 10'h18; // @[dec_tlu_ctl.scala 2297:34] + wire _T_1104 = pmu_i0_itype_qual == 4'hd; // @[dec_tlu_ctl.scala 2297:89] + wire _T_1105 = pmu_i0_itype_qual == 4'he; // @[dec_tlu_ctl.scala 2297:122] + wire _T_1106 = _T_1104 | _T_1105; // @[dec_tlu_ctl.scala 2297:101] + wire _T_1107 = mhpme3 == 10'h19; // @[dec_tlu_ctl.scala 2298:34] + wire _T_1109 = io_exu_pmu_i0_br_misp & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2298:95] + wire _T_1110 = mhpme3 == 10'h1a; // @[dec_tlu_ctl.scala 2299:34] + wire _T_1112 = io_exu_pmu_i0_br_ataken & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2299:97] + wire _T_1113 = mhpme3 == 10'h1b; // @[dec_tlu_ctl.scala 2300:34] + wire _T_1115 = io_dec_tlu_packet_r_pmu_i0_br_unpred & io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 2300:110] + wire _T_1116 = mhpme3 == 10'h1c; // @[dec_tlu_ctl.scala 2301:34] + wire _T_1120 = mhpme3 == 10'h1f; // @[dec_tlu_ctl.scala 2303:34] + wire _T_1122 = mhpme3 == 10'h20; // @[dec_tlu_ctl.scala 2304:34] + wire _T_1124 = mhpme3 == 10'h22; // @[dec_tlu_ctl.scala 2305:34] + wire _T_1126 = mhpme3 == 10'h23; // @[dec_tlu_ctl.scala 2306:34] + wire _T_1128 = mhpme3 == 10'h24; // @[dec_tlu_ctl.scala 2307:34] + wire _T_1130 = mhpme3 == 10'h25; // @[dec_tlu_ctl.scala 2308:34] + wire _T_1132 = io_i0_exception_valid_r | io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 2308:98] + wire _T_1133 = _T_1132 | io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 2308:120] + wire _T_1134 = mhpme3 == 10'h26; // @[dec_tlu_ctl.scala 2309:34] + wire _T_1136 = io_take_timer_int | io_take_int_timer0_int; // @[dec_tlu_ctl.scala 2309:92] + wire _T_1137 = _T_1136 | io_take_int_timer1_int; // @[dec_tlu_ctl.scala 2309:117] + wire _T_1138 = mhpme3 == 10'h27; // @[dec_tlu_ctl.scala 2310:34] + wire _T_1140 = mhpme3 == 10'h28; // @[dec_tlu_ctl.scala 2311:34] + wire _T_1142 = mhpme3 == 10'h29; // @[dec_tlu_ctl.scala 2312:34] + wire _T_1144 = io_dec_tlu_br0_error_r | io_dec_tlu_br0_start_error_r; // @[dec_tlu_ctl.scala 2312:97] + wire _T_1145 = _T_1144 & io_rfpc_i0_r; // @[dec_tlu_ctl.scala 2312:129] + wire _T_1146 = mhpme3 == 10'h2a; // @[dec_tlu_ctl.scala 2313:34] + wire _T_1148 = mhpme3 == 10'h2b; // @[dec_tlu_ctl.scala 2314:34] + wire _T_1150 = mhpme3 == 10'h2c; // @[dec_tlu_ctl.scala 2315:34] + wire _T_1152 = mhpme3 == 10'h2d; // @[dec_tlu_ctl.scala 2316:34] + wire _T_1154 = mhpme3 == 10'h2e; // @[dec_tlu_ctl.scala 2317:34] + wire _T_1156 = mhpme3 == 10'h2f; // @[dec_tlu_ctl.scala 2318:34] + wire _T_1158 = mhpme3 == 10'h30; // @[dec_tlu_ctl.scala 2319:34] + wire _T_1160 = mhpme3 == 10'h31; // @[dec_tlu_ctl.scala 2320:34] + wire _T_1164 = ~io_mstatus[0]; // @[dec_tlu_ctl.scala 2320:73] + wire _T_1165 = mhpme3 == 10'h32; // @[dec_tlu_ctl.scala 2321:34] + wire [5:0] _T_1172 = io_mip & mie; // @[dec_tlu_ctl.scala 2321:113] + wire _T_1173 = |_T_1172; // @[dec_tlu_ctl.scala 2321:125] + wire _T_1174 = _T_1164 & _T_1173; // @[dec_tlu_ctl.scala 2321:98] + wire _T_1175 = mhpme3 == 10'h36; // @[dec_tlu_ctl.scala 2322:34] + wire _T_1177 = pmu_i0_itype_qual == 4'hf; // @[dec_tlu_ctl.scala 2322:91] + wire _T_1178 = mhpme3 == 10'h37; // @[dec_tlu_ctl.scala 2323:34] + wire _T_1180 = io_tlu_i0_commit_cmt & io_lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 2323:94] + wire _T_1181 = mhpme3 == 10'h38; // @[dec_tlu_ctl.scala 2324:34] + wire _T_1183 = io_tlu_i0_commit_cmt & io_lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 2324:94] + wire _T_1184 = mhpme3 == 10'h200; // @[dec_tlu_ctl.scala 2326:34] + wire _T_1186 = mhpme3 == 10'h201; // @[dec_tlu_ctl.scala 2327:34] + wire _T_1188 = mhpme3 == 10'h202; // @[dec_tlu_ctl.scala 2328:34] + wire _T_1190 = mhpme3 == 10'h203; // @[dec_tlu_ctl.scala 2329:34] + wire _T_1192 = mhpme3 == 10'h204; // @[dec_tlu_ctl.scala 2330:34] + wire _T_1195 = _T_1029 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_1196 = _T_1031 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_1197 = _T_1033 & _T_1036; // @[Mux.scala 27:72] + wire _T_1198 = _T_1037 & _T_1042; // @[Mux.scala 27:72] + wire _T_1199 = _T_1043 & _T_1047; // @[Mux.scala 27:72] + wire _T_1200 = _T_1048 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_1201 = _T_1050 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_1202 = _T_1052 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_1203 = _T_1054 & _T_1056; // @[Mux.scala 27:72] + wire _T_1204 = _T_1057 & _T_1059; // @[Mux.scala 27:72] + wire _T_1205 = _T_1060 & _T_1062; // @[Mux.scala 27:72] + wire _T_1206 = _T_1063 & _T_1065; // @[Mux.scala 27:72] + wire _T_1207 = _T_1066 & _T_1069; // @[Mux.scala 27:72] + wire _T_1208 = _T_1070 & _T_1074; // @[Mux.scala 27:72] + wire _T_1209 = _T_1075 & _T_1077; // @[Mux.scala 27:72] + wire _T_1210 = _T_1078 & _T_1080; // @[Mux.scala 27:72] + wire _T_1211 = _T_1081 & _T_1083; // @[Mux.scala 27:72] + wire _T_1212 = _T_1084 & _T_1086; // @[Mux.scala 27:72] + wire _T_1213 = _T_1087 & _T_1089; // @[Mux.scala 27:72] + wire _T_1214 = _T_1090 & _T_1092; // @[Mux.scala 27:72] + wire _T_1215 = _T_1093 & _T_1095; // @[Mux.scala 27:72] + wire _T_1216 = _T_1096 & _T_1098; // @[Mux.scala 27:72] + wire _T_1217 = _T_1099 & _T_1101; // @[Mux.scala 27:72] + wire _T_1218 = _T_1102 & _T_1106; // @[Mux.scala 27:72] + wire _T_1219 = _T_1107 & _T_1109; // @[Mux.scala 27:72] + wire _T_1220 = _T_1110 & _T_1112; // @[Mux.scala 27:72] + wire _T_1221 = _T_1113 & _T_1115; // @[Mux.scala 27:72] + wire _T_1222 = _T_1116 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_1224 = _T_1120 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_1225 = _T_1122 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_1226 = _T_1124 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_1227 = _T_1126 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_1228 = _T_1128 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_1229 = _T_1130 & _T_1133; // @[Mux.scala 27:72] + wire _T_1230 = _T_1134 & _T_1137; // @[Mux.scala 27:72] + wire _T_1231 = _T_1138 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_1232 = _T_1140 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_1233 = _T_1142 & _T_1145; // @[Mux.scala 27:72] + wire _T_1234 = _T_1146 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1235 = _T_1148 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1236 = _T_1150 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_1237 = _T_1152 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1238 = _T_1154 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1239 = _T_1156 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1240 = _T_1158 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1241 = _T_1160 & _T_1164; // @[Mux.scala 27:72] + wire _T_1242 = _T_1165 & _T_1174; // @[Mux.scala 27:72] + wire _T_1243 = _T_1175 & _T_1177; // @[Mux.scala 27:72] + wire _T_1244 = _T_1178 & _T_1180; // @[Mux.scala 27:72] + wire _T_1245 = _T_1181 & _T_1183; // @[Mux.scala 27:72] + wire _T_1246 = _T_1184 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_1247 = _T_1186 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_1248 = _T_1188 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_1249 = _T_1190 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_1250 = _T_1192 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_1251 = _T_1027 | _T_1195; // @[Mux.scala 27:72] wire _T_1252 = _T_1251 | _T_1196; // @[Mux.scala 27:72] wire _T_1253 = _T_1252 | _T_1197; // @[Mux.scala 27:72] wire _T_1254 = _T_1253 | _T_1198; // @[Mux.scala 27:72] @@ -51614,7 +51615,7 @@ module csr_tlu( wire _T_1266 = _T_1265 | _T_1210; // @[Mux.scala 27:72] wire _T_1267 = _T_1266 | _T_1211; // @[Mux.scala 27:72] wire _T_1268 = _T_1267 | _T_1212; // @[Mux.scala 27:72] - wire _T_1269 = _T_1268 | _T_1192; // @[Mux.scala 27:72] + wire _T_1269 = _T_1268 | _T_1213; // @[Mux.scala 27:72] wire _T_1270 = _T_1269 | _T_1214; // @[Mux.scala 27:72] wire _T_1271 = _T_1270 | _T_1215; // @[Mux.scala 27:72] wire _T_1272 = _T_1271 | _T_1216; // @[Mux.scala 27:72] @@ -51624,7 +51625,7 @@ module csr_tlu( wire _T_1276 = _T_1275 | _T_1220; // @[Mux.scala 27:72] wire _T_1277 = _T_1276 | _T_1221; // @[Mux.scala 27:72] wire _T_1278 = _T_1277 | _T_1222; // @[Mux.scala 27:72] - wire _T_1279 = _T_1278 | _T_1223; // @[Mux.scala 27:72] + wire _T_1279 = _T_1278 | _T_1202; // @[Mux.scala 27:72] wire _T_1280 = _T_1279 | _T_1224; // @[Mux.scala 27:72] wire _T_1281 = _T_1280 | _T_1225; // @[Mux.scala 27:72] wire _T_1282 = _T_1281 | _T_1226; // @[Mux.scala 27:72] @@ -51642,131 +51643,131 @@ module csr_tlu( wire _T_1294 = _T_1293 | _T_1238; // @[Mux.scala 27:72] wire _T_1295 = _T_1294 | _T_1239; // @[Mux.scala 27:72] wire _T_1296 = _T_1295 | _T_1240; // @[Mux.scala 27:72] - wire mhpmc_inc_r_0 = _T_1016 & _T_1296; // @[dec_tlu_ctl.scala 2274:44] - wire _T_1300 = ~mcountinhibit[4]; // @[dec_tlu_ctl.scala 2274:24] + wire _T_1297 = _T_1296 | _T_1241; // @[Mux.scala 27:72] + wire _T_1298 = _T_1297 | _T_1242; // @[Mux.scala 27:72] + wire _T_1299 = _T_1298 | _T_1243; // @[Mux.scala 27:72] + wire _T_1300 = _T_1299 | _T_1244; // @[Mux.scala 27:72] + wire _T_1301 = _T_1300 | _T_1245; // @[Mux.scala 27:72] + wire _T_1302 = _T_1301 | _T_1246; // @[Mux.scala 27:72] + wire _T_1303 = _T_1302 | _T_1247; // @[Mux.scala 27:72] + wire _T_1304 = _T_1303 | _T_1248; // @[Mux.scala 27:72] + wire _T_1305 = _T_1304 | _T_1249; // @[Mux.scala 27:72] + wire _T_1306 = _T_1305 | _T_1250; // @[Mux.scala 27:72] + wire mhpmc_inc_r_0 = _T_1026 & _T_1306; // @[dec_tlu_ctl.scala 2272:44] + wire _T_1310 = ~mcountinhibit[4]; // @[dec_tlu_ctl.scala 2272:24] reg [9:0] mhpme4; // @[Reg.scala 27:20] - wire _T_1301 = mhpme4 == 10'h1; // @[dec_tlu_ctl.scala 2275:34] - wire _T_1303 = mhpme4 == 10'h2; // @[dec_tlu_ctl.scala 2276:34] - wire _T_1305 = mhpme4 == 10'h3; // @[dec_tlu_ctl.scala 2277:34] - wire _T_1307 = mhpme4 == 10'h4; // @[dec_tlu_ctl.scala 2278:34] - wire _T_1311 = mhpme4 == 10'h5; // @[dec_tlu_ctl.scala 2279:34] - wire _T_1317 = mhpme4 == 10'h6; // @[dec_tlu_ctl.scala 2280:34] - wire _T_1322 = mhpme4 == 10'h7; // @[dec_tlu_ctl.scala 2281:34] - wire _T_1324 = mhpme4 == 10'h8; // @[dec_tlu_ctl.scala 2282:34] - wire _T_1326 = mhpme4 == 10'h1e; // @[dec_tlu_ctl.scala 2283:34] - wire _T_1328 = mhpme4 == 10'h9; // @[dec_tlu_ctl.scala 2284:34] - wire _T_1331 = mhpme4 == 10'ha; // @[dec_tlu_ctl.scala 2285:34] - wire _T_1334 = mhpme4 == 10'hb; // @[dec_tlu_ctl.scala 2286:34] - wire _T_1337 = mhpme4 == 10'hc; // @[dec_tlu_ctl.scala 2287:34] - wire _T_1340 = mhpme4 == 10'hd; // @[dec_tlu_ctl.scala 2288:34] - wire _T_1344 = mhpme4 == 10'he; // @[dec_tlu_ctl.scala 2289:34] - wire _T_1349 = mhpme4 == 10'hf; // @[dec_tlu_ctl.scala 2290:34] - wire _T_1352 = mhpme4 == 10'h10; // @[dec_tlu_ctl.scala 2291:34] - wire _T_1355 = mhpme4 == 10'h12; // @[dec_tlu_ctl.scala 2292:34] - wire _T_1358 = mhpme4 == 10'h11; // @[dec_tlu_ctl.scala 2293:34] - wire _T_1361 = mhpme4 == 10'h13; // @[dec_tlu_ctl.scala 2294:34] - wire _T_1364 = mhpme4 == 10'h14; // @[dec_tlu_ctl.scala 2295:34] - wire _T_1367 = mhpme4 == 10'h15; // @[dec_tlu_ctl.scala 2296:34] - wire _T_1370 = mhpme4 == 10'h16; // @[dec_tlu_ctl.scala 2297:34] - wire _T_1373 = mhpme4 == 10'h17; // @[dec_tlu_ctl.scala 2298:34] - wire _T_1376 = mhpme4 == 10'h18; // @[dec_tlu_ctl.scala 2299:34] - wire _T_1381 = mhpme4 == 10'h19; // @[dec_tlu_ctl.scala 2300:34] - wire _T_1384 = mhpme4 == 10'h1a; // @[dec_tlu_ctl.scala 2301:34] - wire _T_1387 = mhpme4 == 10'h1b; // @[dec_tlu_ctl.scala 2302:34] - wire _T_1390 = mhpme4 == 10'h1c; // @[dec_tlu_ctl.scala 2303:34] - wire _T_1394 = mhpme4 == 10'h1f; // @[dec_tlu_ctl.scala 2305:34] - wire _T_1396 = mhpme4 == 10'h20; // @[dec_tlu_ctl.scala 2306:34] - wire _T_1398 = mhpme4 == 10'h22; // @[dec_tlu_ctl.scala 2307:34] - wire _T_1400 = mhpme4 == 10'h23; // @[dec_tlu_ctl.scala 2308:34] - wire _T_1402 = mhpme4 == 10'h24; // @[dec_tlu_ctl.scala 2309:34] - wire _T_1404 = mhpme4 == 10'h25; // @[dec_tlu_ctl.scala 2310:34] - wire _T_1408 = mhpme4 == 10'h26; // @[dec_tlu_ctl.scala 2311:34] - wire _T_1412 = mhpme4 == 10'h27; // @[dec_tlu_ctl.scala 2312:34] - wire _T_1414 = mhpme4 == 10'h28; // @[dec_tlu_ctl.scala 2313:34] - wire _T_1416 = mhpme4 == 10'h29; // @[dec_tlu_ctl.scala 2314:34] - wire _T_1420 = mhpme4 == 10'h2a; // @[dec_tlu_ctl.scala 2315:34] - wire _T_1422 = mhpme4 == 10'h2b; // @[dec_tlu_ctl.scala 2316:34] - wire _T_1424 = mhpme4 == 10'h2c; // @[dec_tlu_ctl.scala 2317:34] - wire _T_1426 = mhpme4 == 10'h2d; // @[dec_tlu_ctl.scala 2318:34] - wire _T_1428 = mhpme4 == 10'h2e; // @[dec_tlu_ctl.scala 2319:34] - wire _T_1430 = mhpme4 == 10'h2f; // @[dec_tlu_ctl.scala 2320:34] - wire _T_1432 = mhpme4 == 10'h30; // @[dec_tlu_ctl.scala 2321:34] - wire _T_1434 = mhpme4 == 10'h31; // @[dec_tlu_ctl.scala 2322:34] - wire _T_1439 = mhpme4 == 10'h32; // @[dec_tlu_ctl.scala 2323:34] - wire _T_1449 = mhpme4 == 10'h36; // @[dec_tlu_ctl.scala 2324:34] - wire _T_1452 = mhpme4 == 10'h37; // @[dec_tlu_ctl.scala 2325:34] - wire _T_1455 = mhpme4 == 10'h38; // @[dec_tlu_ctl.scala 2326:34] - wire _T_1458 = mhpme4 == 10'h200; // @[dec_tlu_ctl.scala 2328:34] - wire _T_1460 = mhpme4 == 10'h201; // @[dec_tlu_ctl.scala 2329:34] - wire _T_1462 = mhpme4 == 10'h202; // @[dec_tlu_ctl.scala 2330:34] - wire _T_1464 = mhpme4 == 10'h203; // @[dec_tlu_ctl.scala 2331:34] - wire _T_1466 = mhpme4 == 10'h204; // @[dec_tlu_ctl.scala 2332:34] - wire _T_1469 = _T_1303 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] - wire _T_1470 = _T_1305 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] - wire _T_1471 = _T_1307 & _T_1026; // @[Mux.scala 27:72] - wire _T_1472 = _T_1311 & _T_1032; // @[Mux.scala 27:72] - wire _T_1473 = _T_1317 & _T_1037; // @[Mux.scala 27:72] - wire _T_1474 = _T_1322 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] - wire _T_1475 = _T_1324 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] - wire _T_1476 = _T_1326 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] - wire _T_1477 = _T_1328 & _T_1046; // @[Mux.scala 27:72] - wire _T_1478 = _T_1331 & _T_1049; // @[Mux.scala 27:72] - wire _T_1479 = _T_1334 & _T_1052; // @[Mux.scala 27:72] - wire _T_1480 = _T_1337 & _T_1055; // @[Mux.scala 27:72] - wire _T_1481 = _T_1340 & _T_1059; // @[Mux.scala 27:72] - wire _T_1482 = _T_1344 & _T_1064; // @[Mux.scala 27:72] - wire _T_1483 = _T_1349 & _T_1067; // @[Mux.scala 27:72] - wire _T_1484 = _T_1352 & _T_1070; // @[Mux.scala 27:72] - wire _T_1485 = _T_1355 & _T_1073; // @[Mux.scala 27:72] - wire _T_1486 = _T_1358 & _T_1076; // @[Mux.scala 27:72] - wire _T_1487 = _T_1361 & _T_1079; // @[Mux.scala 27:72] - wire _T_1488 = _T_1364 & _T_1082; // @[Mux.scala 27:72] - wire _T_1489 = _T_1367 & _T_1085; // @[Mux.scala 27:72] - wire _T_1490 = _T_1370 & _T_1088; // @[Mux.scala 27:72] - wire _T_1491 = _T_1373 & _T_1091; // @[Mux.scala 27:72] - wire _T_1492 = _T_1376 & _T_1096; // @[Mux.scala 27:72] - wire _T_1493 = _T_1381 & _T_1099; // @[Mux.scala 27:72] - wire _T_1494 = _T_1384 & _T_1102; // @[Mux.scala 27:72] - wire _T_1495 = _T_1387 & _T_1105; // @[Mux.scala 27:72] - wire _T_1496 = _T_1390 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] - wire _T_1498 = _T_1394 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] - wire _T_1499 = _T_1396 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] - wire _T_1500 = _T_1398 & io_lsu_store_stall_any; // @[Mux.scala 27:72] - wire _T_1501 = _T_1400 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] - wire _T_1502 = _T_1402 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] - wire _T_1503 = _T_1404 & _T_1123; // @[Mux.scala 27:72] - wire _T_1504 = _T_1408 & _T_1127; // @[Mux.scala 27:72] - wire _T_1505 = _T_1412 & io_take_ext_int; // @[Mux.scala 27:72] - wire _T_1506 = _T_1414 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] - wire _T_1507 = _T_1416 & _T_1135; // @[Mux.scala 27:72] - wire _T_1508 = _T_1420 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_1509 = _T_1422 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_1510 = _T_1424 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] - wire _T_1511 = _T_1426 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_1512 = _T_1428 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_1513 = _T_1430 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_1514 = _T_1432 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_1515 = _T_1434 & _T_1154; // @[Mux.scala 27:72] - wire _T_1516 = _T_1439 & _T_1164; // @[Mux.scala 27:72] - wire _T_1517 = _T_1449 & _T_1167; // @[Mux.scala 27:72] - wire _T_1518 = _T_1452 & _T_1170; // @[Mux.scala 27:72] - wire _T_1519 = _T_1455 & _T_1173; // @[Mux.scala 27:72] - wire _T_1520 = _T_1458 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] - wire _T_1521 = _T_1460 & io_dma_pmu_any_read; // @[Mux.scala 27:72] - wire _T_1522 = _T_1462 & io_dma_pmu_any_write; // @[Mux.scala 27:72] - wire _T_1523 = _T_1464 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] - wire _T_1524 = _T_1466 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] - wire _T_1525 = _T_1301 | _T_1469; // @[Mux.scala 27:72] - wire _T_1526 = _T_1525 | _T_1470; // @[Mux.scala 27:72] - wire _T_1527 = _T_1526 | _T_1471; // @[Mux.scala 27:72] - wire _T_1528 = _T_1527 | _T_1472; // @[Mux.scala 27:72] - wire _T_1529 = _T_1528 | _T_1473; // @[Mux.scala 27:72] - wire _T_1530 = _T_1529 | _T_1474; // @[Mux.scala 27:72] - wire _T_1531 = _T_1530 | _T_1475; // @[Mux.scala 27:72] - wire _T_1532 = _T_1531 | _T_1476; // @[Mux.scala 27:72] - wire _T_1533 = _T_1532 | _T_1477; // @[Mux.scala 27:72] - wire _T_1534 = _T_1533 | _T_1478; // @[Mux.scala 27:72] - wire _T_1535 = _T_1534 | _T_1479; // @[Mux.scala 27:72] + wire _T_1311 = mhpme4 == 10'h1; // @[dec_tlu_ctl.scala 2273:34] + wire _T_1313 = mhpme4 == 10'h2; // @[dec_tlu_ctl.scala 2274:34] + wire _T_1315 = mhpme4 == 10'h3; // @[dec_tlu_ctl.scala 2275:34] + wire _T_1317 = mhpme4 == 10'h4; // @[dec_tlu_ctl.scala 2276:34] + wire _T_1321 = mhpme4 == 10'h5; // @[dec_tlu_ctl.scala 2277:34] + wire _T_1327 = mhpme4 == 10'h6; // @[dec_tlu_ctl.scala 2278:34] + wire _T_1332 = mhpme4 == 10'h7; // @[dec_tlu_ctl.scala 2279:34] + wire _T_1334 = mhpme4 == 10'h8; // @[dec_tlu_ctl.scala 2280:34] + wire _T_1336 = mhpme4 == 10'h1e; // @[dec_tlu_ctl.scala 2281:34] + wire _T_1338 = mhpme4 == 10'h9; // @[dec_tlu_ctl.scala 2282:34] + wire _T_1341 = mhpme4 == 10'ha; // @[dec_tlu_ctl.scala 2283:34] + wire _T_1344 = mhpme4 == 10'hb; // @[dec_tlu_ctl.scala 2284:34] + wire _T_1347 = mhpme4 == 10'hc; // @[dec_tlu_ctl.scala 2285:34] + wire _T_1350 = mhpme4 == 10'hd; // @[dec_tlu_ctl.scala 2286:34] + wire _T_1354 = mhpme4 == 10'he; // @[dec_tlu_ctl.scala 2287:34] + wire _T_1359 = mhpme4 == 10'hf; // @[dec_tlu_ctl.scala 2288:34] + wire _T_1362 = mhpme4 == 10'h10; // @[dec_tlu_ctl.scala 2289:34] + wire _T_1365 = mhpme4 == 10'h12; // @[dec_tlu_ctl.scala 2290:34] + wire _T_1368 = mhpme4 == 10'h11; // @[dec_tlu_ctl.scala 2291:34] + wire _T_1371 = mhpme4 == 10'h13; // @[dec_tlu_ctl.scala 2292:34] + wire _T_1374 = mhpme4 == 10'h14; // @[dec_tlu_ctl.scala 2293:34] + wire _T_1377 = mhpme4 == 10'h15; // @[dec_tlu_ctl.scala 2294:34] + wire _T_1380 = mhpme4 == 10'h16; // @[dec_tlu_ctl.scala 2295:34] + wire _T_1383 = mhpme4 == 10'h17; // @[dec_tlu_ctl.scala 2296:34] + wire _T_1386 = mhpme4 == 10'h18; // @[dec_tlu_ctl.scala 2297:34] + wire _T_1391 = mhpme4 == 10'h19; // @[dec_tlu_ctl.scala 2298:34] + wire _T_1394 = mhpme4 == 10'h1a; // @[dec_tlu_ctl.scala 2299:34] + wire _T_1397 = mhpme4 == 10'h1b; // @[dec_tlu_ctl.scala 2300:34] + wire _T_1400 = mhpme4 == 10'h1c; // @[dec_tlu_ctl.scala 2301:34] + wire _T_1404 = mhpme4 == 10'h1f; // @[dec_tlu_ctl.scala 2303:34] + wire _T_1406 = mhpme4 == 10'h20; // @[dec_tlu_ctl.scala 2304:34] + wire _T_1408 = mhpme4 == 10'h22; // @[dec_tlu_ctl.scala 2305:34] + wire _T_1410 = mhpme4 == 10'h23; // @[dec_tlu_ctl.scala 2306:34] + wire _T_1412 = mhpme4 == 10'h24; // @[dec_tlu_ctl.scala 2307:34] + wire _T_1414 = mhpme4 == 10'h25; // @[dec_tlu_ctl.scala 2308:34] + wire _T_1418 = mhpme4 == 10'h26; // @[dec_tlu_ctl.scala 2309:34] + wire _T_1422 = mhpme4 == 10'h27; // @[dec_tlu_ctl.scala 2310:34] + wire _T_1424 = mhpme4 == 10'h28; // @[dec_tlu_ctl.scala 2311:34] + wire _T_1426 = mhpme4 == 10'h29; // @[dec_tlu_ctl.scala 2312:34] + wire _T_1430 = mhpme4 == 10'h2a; // @[dec_tlu_ctl.scala 2313:34] + wire _T_1432 = mhpme4 == 10'h2b; // @[dec_tlu_ctl.scala 2314:34] + wire _T_1434 = mhpme4 == 10'h2c; // @[dec_tlu_ctl.scala 2315:34] + wire _T_1436 = mhpme4 == 10'h2d; // @[dec_tlu_ctl.scala 2316:34] + wire _T_1438 = mhpme4 == 10'h2e; // @[dec_tlu_ctl.scala 2317:34] + wire _T_1440 = mhpme4 == 10'h2f; // @[dec_tlu_ctl.scala 2318:34] + wire _T_1442 = mhpme4 == 10'h30; // @[dec_tlu_ctl.scala 2319:34] + wire _T_1444 = mhpme4 == 10'h31; // @[dec_tlu_ctl.scala 2320:34] + wire _T_1449 = mhpme4 == 10'h32; // @[dec_tlu_ctl.scala 2321:34] + wire _T_1459 = mhpme4 == 10'h36; // @[dec_tlu_ctl.scala 2322:34] + wire _T_1462 = mhpme4 == 10'h37; // @[dec_tlu_ctl.scala 2323:34] + wire _T_1465 = mhpme4 == 10'h38; // @[dec_tlu_ctl.scala 2324:34] + wire _T_1468 = mhpme4 == 10'h200; // @[dec_tlu_ctl.scala 2326:34] + wire _T_1470 = mhpme4 == 10'h201; // @[dec_tlu_ctl.scala 2327:34] + wire _T_1472 = mhpme4 == 10'h202; // @[dec_tlu_ctl.scala 2328:34] + wire _T_1474 = mhpme4 == 10'h203; // @[dec_tlu_ctl.scala 2329:34] + wire _T_1476 = mhpme4 == 10'h204; // @[dec_tlu_ctl.scala 2330:34] + wire _T_1479 = _T_1313 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_1480 = _T_1315 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_1481 = _T_1317 & _T_1036; // @[Mux.scala 27:72] + wire _T_1482 = _T_1321 & _T_1042; // @[Mux.scala 27:72] + wire _T_1483 = _T_1327 & _T_1047; // @[Mux.scala 27:72] + wire _T_1484 = _T_1332 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_1485 = _T_1334 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_1486 = _T_1336 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_1487 = _T_1338 & _T_1056; // @[Mux.scala 27:72] + wire _T_1488 = _T_1341 & _T_1059; // @[Mux.scala 27:72] + wire _T_1489 = _T_1344 & _T_1062; // @[Mux.scala 27:72] + wire _T_1490 = _T_1347 & _T_1065; // @[Mux.scala 27:72] + wire _T_1491 = _T_1350 & _T_1069; // @[Mux.scala 27:72] + wire _T_1492 = _T_1354 & _T_1074; // @[Mux.scala 27:72] + wire _T_1493 = _T_1359 & _T_1077; // @[Mux.scala 27:72] + wire _T_1494 = _T_1362 & _T_1080; // @[Mux.scala 27:72] + wire _T_1495 = _T_1365 & _T_1083; // @[Mux.scala 27:72] + wire _T_1496 = _T_1368 & _T_1086; // @[Mux.scala 27:72] + wire _T_1497 = _T_1371 & _T_1089; // @[Mux.scala 27:72] + wire _T_1498 = _T_1374 & _T_1092; // @[Mux.scala 27:72] + wire _T_1499 = _T_1377 & _T_1095; // @[Mux.scala 27:72] + wire _T_1500 = _T_1380 & _T_1098; // @[Mux.scala 27:72] + wire _T_1501 = _T_1383 & _T_1101; // @[Mux.scala 27:72] + wire _T_1502 = _T_1386 & _T_1106; // @[Mux.scala 27:72] + wire _T_1503 = _T_1391 & _T_1109; // @[Mux.scala 27:72] + wire _T_1504 = _T_1394 & _T_1112; // @[Mux.scala 27:72] + wire _T_1505 = _T_1397 & _T_1115; // @[Mux.scala 27:72] + wire _T_1506 = _T_1400 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_1508 = _T_1404 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_1509 = _T_1406 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_1510 = _T_1408 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_1511 = _T_1410 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_1512 = _T_1412 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_1513 = _T_1414 & _T_1133; // @[Mux.scala 27:72] + wire _T_1514 = _T_1418 & _T_1137; // @[Mux.scala 27:72] + wire _T_1515 = _T_1422 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_1516 = _T_1424 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_1517 = _T_1426 & _T_1145; // @[Mux.scala 27:72] + wire _T_1518 = _T_1430 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1519 = _T_1432 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1520 = _T_1434 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_1521 = _T_1436 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1522 = _T_1438 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1523 = _T_1440 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1524 = _T_1442 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1525 = _T_1444 & _T_1164; // @[Mux.scala 27:72] + wire _T_1526 = _T_1449 & _T_1174; // @[Mux.scala 27:72] + wire _T_1527 = _T_1459 & _T_1177; // @[Mux.scala 27:72] + wire _T_1528 = _T_1462 & _T_1180; // @[Mux.scala 27:72] + wire _T_1529 = _T_1465 & _T_1183; // @[Mux.scala 27:72] + wire _T_1530 = _T_1468 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_1531 = _T_1470 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_1532 = _T_1472 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_1533 = _T_1474 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_1534 = _T_1476 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_1535 = _T_1311 | _T_1479; // @[Mux.scala 27:72] wire _T_1536 = _T_1535 | _T_1480; // @[Mux.scala 27:72] wire _T_1537 = _T_1536 | _T_1481; // @[Mux.scala 27:72] wire _T_1538 = _T_1537 | _T_1482; // @[Mux.scala 27:72] @@ -51784,7 +51785,7 @@ module csr_tlu( wire _T_1550 = _T_1549 | _T_1494; // @[Mux.scala 27:72] wire _T_1551 = _T_1550 | _T_1495; // @[Mux.scala 27:72] wire _T_1552 = _T_1551 | _T_1496; // @[Mux.scala 27:72] - wire _T_1553 = _T_1552 | _T_1476; // @[Mux.scala 27:72] + wire _T_1553 = _T_1552 | _T_1497; // @[Mux.scala 27:72] wire _T_1554 = _T_1553 | _T_1498; // @[Mux.scala 27:72] wire _T_1555 = _T_1554 | _T_1499; // @[Mux.scala 27:72] wire _T_1556 = _T_1555 | _T_1500; // @[Mux.scala 27:72] @@ -51794,7 +51795,7 @@ module csr_tlu( wire _T_1560 = _T_1559 | _T_1504; // @[Mux.scala 27:72] wire _T_1561 = _T_1560 | _T_1505; // @[Mux.scala 27:72] wire _T_1562 = _T_1561 | _T_1506; // @[Mux.scala 27:72] - wire _T_1563 = _T_1562 | _T_1507; // @[Mux.scala 27:72] + wire _T_1563 = _T_1562 | _T_1486; // @[Mux.scala 27:72] wire _T_1564 = _T_1563 | _T_1508; // @[Mux.scala 27:72] wire _T_1565 = _T_1564 | _T_1509; // @[Mux.scala 27:72] wire _T_1566 = _T_1565 | _T_1510; // @[Mux.scala 27:72] @@ -51812,131 +51813,131 @@ module csr_tlu( wire _T_1578 = _T_1577 | _T_1522; // @[Mux.scala 27:72] wire _T_1579 = _T_1578 | _T_1523; // @[Mux.scala 27:72] wire _T_1580 = _T_1579 | _T_1524; // @[Mux.scala 27:72] - wire mhpmc_inc_r_1 = _T_1300 & _T_1580; // @[dec_tlu_ctl.scala 2274:44] - wire _T_1584 = ~mcountinhibit[5]; // @[dec_tlu_ctl.scala 2274:24] + wire _T_1581 = _T_1580 | _T_1525; // @[Mux.scala 27:72] + wire _T_1582 = _T_1581 | _T_1526; // @[Mux.scala 27:72] + wire _T_1583 = _T_1582 | _T_1527; // @[Mux.scala 27:72] + wire _T_1584 = _T_1583 | _T_1528; // @[Mux.scala 27:72] + wire _T_1585 = _T_1584 | _T_1529; // @[Mux.scala 27:72] + wire _T_1586 = _T_1585 | _T_1530; // @[Mux.scala 27:72] + wire _T_1587 = _T_1586 | _T_1531; // @[Mux.scala 27:72] + wire _T_1588 = _T_1587 | _T_1532; // @[Mux.scala 27:72] + wire _T_1589 = _T_1588 | _T_1533; // @[Mux.scala 27:72] + wire _T_1590 = _T_1589 | _T_1534; // @[Mux.scala 27:72] + wire mhpmc_inc_r_1 = _T_1310 & _T_1590; // @[dec_tlu_ctl.scala 2272:44] + wire _T_1594 = ~mcountinhibit[5]; // @[dec_tlu_ctl.scala 2272:24] reg [9:0] mhpme5; // @[Reg.scala 27:20] - wire _T_1585 = mhpme5 == 10'h1; // @[dec_tlu_ctl.scala 2275:34] - wire _T_1587 = mhpme5 == 10'h2; // @[dec_tlu_ctl.scala 2276:34] - wire _T_1589 = mhpme5 == 10'h3; // @[dec_tlu_ctl.scala 2277:34] - wire _T_1591 = mhpme5 == 10'h4; // @[dec_tlu_ctl.scala 2278:34] - wire _T_1595 = mhpme5 == 10'h5; // @[dec_tlu_ctl.scala 2279:34] - wire _T_1601 = mhpme5 == 10'h6; // @[dec_tlu_ctl.scala 2280:34] - wire _T_1606 = mhpme5 == 10'h7; // @[dec_tlu_ctl.scala 2281:34] - wire _T_1608 = mhpme5 == 10'h8; // @[dec_tlu_ctl.scala 2282:34] - wire _T_1610 = mhpme5 == 10'h1e; // @[dec_tlu_ctl.scala 2283:34] - wire _T_1612 = mhpme5 == 10'h9; // @[dec_tlu_ctl.scala 2284:34] - wire _T_1615 = mhpme5 == 10'ha; // @[dec_tlu_ctl.scala 2285:34] - wire _T_1618 = mhpme5 == 10'hb; // @[dec_tlu_ctl.scala 2286:34] - wire _T_1621 = mhpme5 == 10'hc; // @[dec_tlu_ctl.scala 2287:34] - wire _T_1624 = mhpme5 == 10'hd; // @[dec_tlu_ctl.scala 2288:34] - wire _T_1628 = mhpme5 == 10'he; // @[dec_tlu_ctl.scala 2289:34] - wire _T_1633 = mhpme5 == 10'hf; // @[dec_tlu_ctl.scala 2290:34] - wire _T_1636 = mhpme5 == 10'h10; // @[dec_tlu_ctl.scala 2291:34] - wire _T_1639 = mhpme5 == 10'h12; // @[dec_tlu_ctl.scala 2292:34] - wire _T_1642 = mhpme5 == 10'h11; // @[dec_tlu_ctl.scala 2293:34] - wire _T_1645 = mhpme5 == 10'h13; // @[dec_tlu_ctl.scala 2294:34] - wire _T_1648 = mhpme5 == 10'h14; // @[dec_tlu_ctl.scala 2295:34] - wire _T_1651 = mhpme5 == 10'h15; // @[dec_tlu_ctl.scala 2296:34] - wire _T_1654 = mhpme5 == 10'h16; // @[dec_tlu_ctl.scala 2297:34] - wire _T_1657 = mhpme5 == 10'h17; // @[dec_tlu_ctl.scala 2298:34] - wire _T_1660 = mhpme5 == 10'h18; // @[dec_tlu_ctl.scala 2299:34] - wire _T_1665 = mhpme5 == 10'h19; // @[dec_tlu_ctl.scala 2300:34] - wire _T_1668 = mhpme5 == 10'h1a; // @[dec_tlu_ctl.scala 2301:34] - wire _T_1671 = mhpme5 == 10'h1b; // @[dec_tlu_ctl.scala 2302:34] - wire _T_1674 = mhpme5 == 10'h1c; // @[dec_tlu_ctl.scala 2303:34] - wire _T_1678 = mhpme5 == 10'h1f; // @[dec_tlu_ctl.scala 2305:34] - wire _T_1680 = mhpme5 == 10'h20; // @[dec_tlu_ctl.scala 2306:34] - wire _T_1682 = mhpme5 == 10'h22; // @[dec_tlu_ctl.scala 2307:34] - wire _T_1684 = mhpme5 == 10'h23; // @[dec_tlu_ctl.scala 2308:34] - wire _T_1686 = mhpme5 == 10'h24; // @[dec_tlu_ctl.scala 2309:34] - wire _T_1688 = mhpme5 == 10'h25; // @[dec_tlu_ctl.scala 2310:34] - wire _T_1692 = mhpme5 == 10'h26; // @[dec_tlu_ctl.scala 2311:34] - wire _T_1696 = mhpme5 == 10'h27; // @[dec_tlu_ctl.scala 2312:34] - wire _T_1698 = mhpme5 == 10'h28; // @[dec_tlu_ctl.scala 2313:34] - wire _T_1700 = mhpme5 == 10'h29; // @[dec_tlu_ctl.scala 2314:34] - wire _T_1704 = mhpme5 == 10'h2a; // @[dec_tlu_ctl.scala 2315:34] - wire _T_1706 = mhpme5 == 10'h2b; // @[dec_tlu_ctl.scala 2316:34] - wire _T_1708 = mhpme5 == 10'h2c; // @[dec_tlu_ctl.scala 2317:34] - wire _T_1710 = mhpme5 == 10'h2d; // @[dec_tlu_ctl.scala 2318:34] - wire _T_1712 = mhpme5 == 10'h2e; // @[dec_tlu_ctl.scala 2319:34] - wire _T_1714 = mhpme5 == 10'h2f; // @[dec_tlu_ctl.scala 2320:34] - wire _T_1716 = mhpme5 == 10'h30; // @[dec_tlu_ctl.scala 2321:34] - wire _T_1718 = mhpme5 == 10'h31; // @[dec_tlu_ctl.scala 2322:34] - wire _T_1723 = mhpme5 == 10'h32; // @[dec_tlu_ctl.scala 2323:34] - wire _T_1733 = mhpme5 == 10'h36; // @[dec_tlu_ctl.scala 2324:34] - wire _T_1736 = mhpme5 == 10'h37; // @[dec_tlu_ctl.scala 2325:34] - wire _T_1739 = mhpme5 == 10'h38; // @[dec_tlu_ctl.scala 2326:34] - wire _T_1742 = mhpme5 == 10'h200; // @[dec_tlu_ctl.scala 2328:34] - wire _T_1744 = mhpme5 == 10'h201; // @[dec_tlu_ctl.scala 2329:34] - wire _T_1746 = mhpme5 == 10'h202; // @[dec_tlu_ctl.scala 2330:34] - wire _T_1748 = mhpme5 == 10'h203; // @[dec_tlu_ctl.scala 2331:34] - wire _T_1750 = mhpme5 == 10'h204; // @[dec_tlu_ctl.scala 2332:34] - wire _T_1753 = _T_1587 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] - wire _T_1754 = _T_1589 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] - wire _T_1755 = _T_1591 & _T_1026; // @[Mux.scala 27:72] - wire _T_1756 = _T_1595 & _T_1032; // @[Mux.scala 27:72] - wire _T_1757 = _T_1601 & _T_1037; // @[Mux.scala 27:72] - wire _T_1758 = _T_1606 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] - wire _T_1759 = _T_1608 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] - wire _T_1760 = _T_1610 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] - wire _T_1761 = _T_1612 & _T_1046; // @[Mux.scala 27:72] - wire _T_1762 = _T_1615 & _T_1049; // @[Mux.scala 27:72] - wire _T_1763 = _T_1618 & _T_1052; // @[Mux.scala 27:72] - wire _T_1764 = _T_1621 & _T_1055; // @[Mux.scala 27:72] - wire _T_1765 = _T_1624 & _T_1059; // @[Mux.scala 27:72] - wire _T_1766 = _T_1628 & _T_1064; // @[Mux.scala 27:72] - wire _T_1767 = _T_1633 & _T_1067; // @[Mux.scala 27:72] - wire _T_1768 = _T_1636 & _T_1070; // @[Mux.scala 27:72] - wire _T_1769 = _T_1639 & _T_1073; // @[Mux.scala 27:72] - wire _T_1770 = _T_1642 & _T_1076; // @[Mux.scala 27:72] - wire _T_1771 = _T_1645 & _T_1079; // @[Mux.scala 27:72] - wire _T_1772 = _T_1648 & _T_1082; // @[Mux.scala 27:72] - wire _T_1773 = _T_1651 & _T_1085; // @[Mux.scala 27:72] - wire _T_1774 = _T_1654 & _T_1088; // @[Mux.scala 27:72] - wire _T_1775 = _T_1657 & _T_1091; // @[Mux.scala 27:72] - wire _T_1776 = _T_1660 & _T_1096; // @[Mux.scala 27:72] - wire _T_1777 = _T_1665 & _T_1099; // @[Mux.scala 27:72] - wire _T_1778 = _T_1668 & _T_1102; // @[Mux.scala 27:72] - wire _T_1779 = _T_1671 & _T_1105; // @[Mux.scala 27:72] - wire _T_1780 = _T_1674 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] - wire _T_1782 = _T_1678 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] - wire _T_1783 = _T_1680 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] - wire _T_1784 = _T_1682 & io_lsu_store_stall_any; // @[Mux.scala 27:72] - wire _T_1785 = _T_1684 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] - wire _T_1786 = _T_1686 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] - wire _T_1787 = _T_1688 & _T_1123; // @[Mux.scala 27:72] - wire _T_1788 = _T_1692 & _T_1127; // @[Mux.scala 27:72] - wire _T_1789 = _T_1696 & io_take_ext_int; // @[Mux.scala 27:72] - wire _T_1790 = _T_1698 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] - wire _T_1791 = _T_1700 & _T_1135; // @[Mux.scala 27:72] - wire _T_1792 = _T_1704 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_1793 = _T_1706 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_1794 = _T_1708 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] - wire _T_1795 = _T_1710 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_1796 = _T_1712 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_1797 = _T_1714 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_1798 = _T_1716 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_1799 = _T_1718 & _T_1154; // @[Mux.scala 27:72] - wire _T_1800 = _T_1723 & _T_1164; // @[Mux.scala 27:72] - wire _T_1801 = _T_1733 & _T_1167; // @[Mux.scala 27:72] - wire _T_1802 = _T_1736 & _T_1170; // @[Mux.scala 27:72] - wire _T_1803 = _T_1739 & _T_1173; // @[Mux.scala 27:72] - wire _T_1804 = _T_1742 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] - wire _T_1805 = _T_1744 & io_dma_pmu_any_read; // @[Mux.scala 27:72] - wire _T_1806 = _T_1746 & io_dma_pmu_any_write; // @[Mux.scala 27:72] - wire _T_1807 = _T_1748 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] - wire _T_1808 = _T_1750 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] - wire _T_1809 = _T_1585 | _T_1753; // @[Mux.scala 27:72] - wire _T_1810 = _T_1809 | _T_1754; // @[Mux.scala 27:72] - wire _T_1811 = _T_1810 | _T_1755; // @[Mux.scala 27:72] - wire _T_1812 = _T_1811 | _T_1756; // @[Mux.scala 27:72] - wire _T_1813 = _T_1812 | _T_1757; // @[Mux.scala 27:72] - wire _T_1814 = _T_1813 | _T_1758; // @[Mux.scala 27:72] - wire _T_1815 = _T_1814 | _T_1759; // @[Mux.scala 27:72] - wire _T_1816 = _T_1815 | _T_1760; // @[Mux.scala 27:72] - wire _T_1817 = _T_1816 | _T_1761; // @[Mux.scala 27:72] - wire _T_1818 = _T_1817 | _T_1762; // @[Mux.scala 27:72] - wire _T_1819 = _T_1818 | _T_1763; // @[Mux.scala 27:72] + wire _T_1595 = mhpme5 == 10'h1; // @[dec_tlu_ctl.scala 2273:34] + wire _T_1597 = mhpme5 == 10'h2; // @[dec_tlu_ctl.scala 2274:34] + wire _T_1599 = mhpme5 == 10'h3; // @[dec_tlu_ctl.scala 2275:34] + wire _T_1601 = mhpme5 == 10'h4; // @[dec_tlu_ctl.scala 2276:34] + wire _T_1605 = mhpme5 == 10'h5; // @[dec_tlu_ctl.scala 2277:34] + wire _T_1611 = mhpme5 == 10'h6; // @[dec_tlu_ctl.scala 2278:34] + wire _T_1616 = mhpme5 == 10'h7; // @[dec_tlu_ctl.scala 2279:34] + wire _T_1618 = mhpme5 == 10'h8; // @[dec_tlu_ctl.scala 2280:34] + wire _T_1620 = mhpme5 == 10'h1e; // @[dec_tlu_ctl.scala 2281:34] + wire _T_1622 = mhpme5 == 10'h9; // @[dec_tlu_ctl.scala 2282:34] + wire _T_1625 = mhpme5 == 10'ha; // @[dec_tlu_ctl.scala 2283:34] + wire _T_1628 = mhpme5 == 10'hb; // @[dec_tlu_ctl.scala 2284:34] + wire _T_1631 = mhpme5 == 10'hc; // @[dec_tlu_ctl.scala 2285:34] + wire _T_1634 = mhpme5 == 10'hd; // @[dec_tlu_ctl.scala 2286:34] + wire _T_1638 = mhpme5 == 10'he; // @[dec_tlu_ctl.scala 2287:34] + wire _T_1643 = mhpme5 == 10'hf; // @[dec_tlu_ctl.scala 2288:34] + wire _T_1646 = mhpme5 == 10'h10; // @[dec_tlu_ctl.scala 2289:34] + wire _T_1649 = mhpme5 == 10'h12; // @[dec_tlu_ctl.scala 2290:34] + wire _T_1652 = mhpme5 == 10'h11; // @[dec_tlu_ctl.scala 2291:34] + wire _T_1655 = mhpme5 == 10'h13; // @[dec_tlu_ctl.scala 2292:34] + wire _T_1658 = mhpme5 == 10'h14; // @[dec_tlu_ctl.scala 2293:34] + wire _T_1661 = mhpme5 == 10'h15; // @[dec_tlu_ctl.scala 2294:34] + wire _T_1664 = mhpme5 == 10'h16; // @[dec_tlu_ctl.scala 2295:34] + wire _T_1667 = mhpme5 == 10'h17; // @[dec_tlu_ctl.scala 2296:34] + wire _T_1670 = mhpme5 == 10'h18; // @[dec_tlu_ctl.scala 2297:34] + wire _T_1675 = mhpme5 == 10'h19; // @[dec_tlu_ctl.scala 2298:34] + wire _T_1678 = mhpme5 == 10'h1a; // @[dec_tlu_ctl.scala 2299:34] + wire _T_1681 = mhpme5 == 10'h1b; // @[dec_tlu_ctl.scala 2300:34] + wire _T_1684 = mhpme5 == 10'h1c; // @[dec_tlu_ctl.scala 2301:34] + wire _T_1688 = mhpme5 == 10'h1f; // @[dec_tlu_ctl.scala 2303:34] + wire _T_1690 = mhpme5 == 10'h20; // @[dec_tlu_ctl.scala 2304:34] + wire _T_1692 = mhpme5 == 10'h22; // @[dec_tlu_ctl.scala 2305:34] + wire _T_1694 = mhpme5 == 10'h23; // @[dec_tlu_ctl.scala 2306:34] + wire _T_1696 = mhpme5 == 10'h24; // @[dec_tlu_ctl.scala 2307:34] + wire _T_1698 = mhpme5 == 10'h25; // @[dec_tlu_ctl.scala 2308:34] + wire _T_1702 = mhpme5 == 10'h26; // @[dec_tlu_ctl.scala 2309:34] + wire _T_1706 = mhpme5 == 10'h27; // @[dec_tlu_ctl.scala 2310:34] + wire _T_1708 = mhpme5 == 10'h28; // @[dec_tlu_ctl.scala 2311:34] + wire _T_1710 = mhpme5 == 10'h29; // @[dec_tlu_ctl.scala 2312:34] + wire _T_1714 = mhpme5 == 10'h2a; // @[dec_tlu_ctl.scala 2313:34] + wire _T_1716 = mhpme5 == 10'h2b; // @[dec_tlu_ctl.scala 2314:34] + wire _T_1718 = mhpme5 == 10'h2c; // @[dec_tlu_ctl.scala 2315:34] + wire _T_1720 = mhpme5 == 10'h2d; // @[dec_tlu_ctl.scala 2316:34] + wire _T_1722 = mhpme5 == 10'h2e; // @[dec_tlu_ctl.scala 2317:34] + wire _T_1724 = mhpme5 == 10'h2f; // @[dec_tlu_ctl.scala 2318:34] + wire _T_1726 = mhpme5 == 10'h30; // @[dec_tlu_ctl.scala 2319:34] + wire _T_1728 = mhpme5 == 10'h31; // @[dec_tlu_ctl.scala 2320:34] + wire _T_1733 = mhpme5 == 10'h32; // @[dec_tlu_ctl.scala 2321:34] + wire _T_1743 = mhpme5 == 10'h36; // @[dec_tlu_ctl.scala 2322:34] + wire _T_1746 = mhpme5 == 10'h37; // @[dec_tlu_ctl.scala 2323:34] + wire _T_1749 = mhpme5 == 10'h38; // @[dec_tlu_ctl.scala 2324:34] + wire _T_1752 = mhpme5 == 10'h200; // @[dec_tlu_ctl.scala 2326:34] + wire _T_1754 = mhpme5 == 10'h201; // @[dec_tlu_ctl.scala 2327:34] + wire _T_1756 = mhpme5 == 10'h202; // @[dec_tlu_ctl.scala 2328:34] + wire _T_1758 = mhpme5 == 10'h203; // @[dec_tlu_ctl.scala 2329:34] + wire _T_1760 = mhpme5 == 10'h204; // @[dec_tlu_ctl.scala 2330:34] + wire _T_1763 = _T_1597 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_1764 = _T_1599 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_1765 = _T_1601 & _T_1036; // @[Mux.scala 27:72] + wire _T_1766 = _T_1605 & _T_1042; // @[Mux.scala 27:72] + wire _T_1767 = _T_1611 & _T_1047; // @[Mux.scala 27:72] + wire _T_1768 = _T_1616 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_1769 = _T_1618 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_1770 = _T_1620 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_1771 = _T_1622 & _T_1056; // @[Mux.scala 27:72] + wire _T_1772 = _T_1625 & _T_1059; // @[Mux.scala 27:72] + wire _T_1773 = _T_1628 & _T_1062; // @[Mux.scala 27:72] + wire _T_1774 = _T_1631 & _T_1065; // @[Mux.scala 27:72] + wire _T_1775 = _T_1634 & _T_1069; // @[Mux.scala 27:72] + wire _T_1776 = _T_1638 & _T_1074; // @[Mux.scala 27:72] + wire _T_1777 = _T_1643 & _T_1077; // @[Mux.scala 27:72] + wire _T_1778 = _T_1646 & _T_1080; // @[Mux.scala 27:72] + wire _T_1779 = _T_1649 & _T_1083; // @[Mux.scala 27:72] + wire _T_1780 = _T_1652 & _T_1086; // @[Mux.scala 27:72] + wire _T_1781 = _T_1655 & _T_1089; // @[Mux.scala 27:72] + wire _T_1782 = _T_1658 & _T_1092; // @[Mux.scala 27:72] + wire _T_1783 = _T_1661 & _T_1095; // @[Mux.scala 27:72] + wire _T_1784 = _T_1664 & _T_1098; // @[Mux.scala 27:72] + wire _T_1785 = _T_1667 & _T_1101; // @[Mux.scala 27:72] + wire _T_1786 = _T_1670 & _T_1106; // @[Mux.scala 27:72] + wire _T_1787 = _T_1675 & _T_1109; // @[Mux.scala 27:72] + wire _T_1788 = _T_1678 & _T_1112; // @[Mux.scala 27:72] + wire _T_1789 = _T_1681 & _T_1115; // @[Mux.scala 27:72] + wire _T_1790 = _T_1684 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_1792 = _T_1688 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_1793 = _T_1690 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_1794 = _T_1692 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_1795 = _T_1694 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_1796 = _T_1696 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_1797 = _T_1698 & _T_1133; // @[Mux.scala 27:72] + wire _T_1798 = _T_1702 & _T_1137; // @[Mux.scala 27:72] + wire _T_1799 = _T_1706 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_1800 = _T_1708 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_1801 = _T_1710 & _T_1145; // @[Mux.scala 27:72] + wire _T_1802 = _T_1714 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1803 = _T_1716 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_1804 = _T_1718 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_1805 = _T_1720 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1806 = _T_1722 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_1807 = _T_1724 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1808 = _T_1726 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_1809 = _T_1728 & _T_1164; // @[Mux.scala 27:72] + wire _T_1810 = _T_1733 & _T_1174; // @[Mux.scala 27:72] + wire _T_1811 = _T_1743 & _T_1177; // @[Mux.scala 27:72] + wire _T_1812 = _T_1746 & _T_1180; // @[Mux.scala 27:72] + wire _T_1813 = _T_1749 & _T_1183; // @[Mux.scala 27:72] + wire _T_1814 = _T_1752 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_1815 = _T_1754 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_1816 = _T_1756 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_1817 = _T_1758 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_1818 = _T_1760 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_1819 = _T_1595 | _T_1763; // @[Mux.scala 27:72] wire _T_1820 = _T_1819 | _T_1764; // @[Mux.scala 27:72] wire _T_1821 = _T_1820 | _T_1765; // @[Mux.scala 27:72] wire _T_1822 = _T_1821 | _T_1766; // @[Mux.scala 27:72] @@ -51954,7 +51955,7 @@ module csr_tlu( wire _T_1834 = _T_1833 | _T_1778; // @[Mux.scala 27:72] wire _T_1835 = _T_1834 | _T_1779; // @[Mux.scala 27:72] wire _T_1836 = _T_1835 | _T_1780; // @[Mux.scala 27:72] - wire _T_1837 = _T_1836 | _T_1760; // @[Mux.scala 27:72] + wire _T_1837 = _T_1836 | _T_1781; // @[Mux.scala 27:72] wire _T_1838 = _T_1837 | _T_1782; // @[Mux.scala 27:72] wire _T_1839 = _T_1838 | _T_1783; // @[Mux.scala 27:72] wire _T_1840 = _T_1839 | _T_1784; // @[Mux.scala 27:72] @@ -51964,7 +51965,7 @@ module csr_tlu( wire _T_1844 = _T_1843 | _T_1788; // @[Mux.scala 27:72] wire _T_1845 = _T_1844 | _T_1789; // @[Mux.scala 27:72] wire _T_1846 = _T_1845 | _T_1790; // @[Mux.scala 27:72] - wire _T_1847 = _T_1846 | _T_1791; // @[Mux.scala 27:72] + wire _T_1847 = _T_1846 | _T_1770; // @[Mux.scala 27:72] wire _T_1848 = _T_1847 | _T_1792; // @[Mux.scala 27:72] wire _T_1849 = _T_1848 | _T_1793; // @[Mux.scala 27:72] wire _T_1850 = _T_1849 | _T_1794; // @[Mux.scala 27:72] @@ -51982,131 +51983,131 @@ module csr_tlu( wire _T_1862 = _T_1861 | _T_1806; // @[Mux.scala 27:72] wire _T_1863 = _T_1862 | _T_1807; // @[Mux.scala 27:72] wire _T_1864 = _T_1863 | _T_1808; // @[Mux.scala 27:72] - wire mhpmc_inc_r_2 = _T_1584 & _T_1864; // @[dec_tlu_ctl.scala 2274:44] - wire _T_1868 = ~mcountinhibit[6]; // @[dec_tlu_ctl.scala 2274:24] + wire _T_1865 = _T_1864 | _T_1809; // @[Mux.scala 27:72] + wire _T_1866 = _T_1865 | _T_1810; // @[Mux.scala 27:72] + wire _T_1867 = _T_1866 | _T_1811; // @[Mux.scala 27:72] + wire _T_1868 = _T_1867 | _T_1812; // @[Mux.scala 27:72] + wire _T_1869 = _T_1868 | _T_1813; // @[Mux.scala 27:72] + wire _T_1870 = _T_1869 | _T_1814; // @[Mux.scala 27:72] + wire _T_1871 = _T_1870 | _T_1815; // @[Mux.scala 27:72] + wire _T_1872 = _T_1871 | _T_1816; // @[Mux.scala 27:72] + wire _T_1873 = _T_1872 | _T_1817; // @[Mux.scala 27:72] + wire _T_1874 = _T_1873 | _T_1818; // @[Mux.scala 27:72] + wire mhpmc_inc_r_2 = _T_1594 & _T_1874; // @[dec_tlu_ctl.scala 2272:44] + wire _T_1878 = ~mcountinhibit[6]; // @[dec_tlu_ctl.scala 2272:24] reg [9:0] mhpme6; // @[Reg.scala 27:20] - wire _T_1869 = mhpme6 == 10'h1; // @[dec_tlu_ctl.scala 2275:34] - wire _T_1871 = mhpme6 == 10'h2; // @[dec_tlu_ctl.scala 2276:34] - wire _T_1873 = mhpme6 == 10'h3; // @[dec_tlu_ctl.scala 2277:34] - wire _T_1875 = mhpme6 == 10'h4; // @[dec_tlu_ctl.scala 2278:34] - wire _T_1879 = mhpme6 == 10'h5; // @[dec_tlu_ctl.scala 2279:34] - wire _T_1885 = mhpme6 == 10'h6; // @[dec_tlu_ctl.scala 2280:34] - wire _T_1890 = mhpme6 == 10'h7; // @[dec_tlu_ctl.scala 2281:34] - wire _T_1892 = mhpme6 == 10'h8; // @[dec_tlu_ctl.scala 2282:34] - wire _T_1894 = mhpme6 == 10'h1e; // @[dec_tlu_ctl.scala 2283:34] - wire _T_1896 = mhpme6 == 10'h9; // @[dec_tlu_ctl.scala 2284:34] - wire _T_1899 = mhpme6 == 10'ha; // @[dec_tlu_ctl.scala 2285:34] - wire _T_1902 = mhpme6 == 10'hb; // @[dec_tlu_ctl.scala 2286:34] - wire _T_1905 = mhpme6 == 10'hc; // @[dec_tlu_ctl.scala 2287:34] - wire _T_1908 = mhpme6 == 10'hd; // @[dec_tlu_ctl.scala 2288:34] - wire _T_1912 = mhpme6 == 10'he; // @[dec_tlu_ctl.scala 2289:34] - wire _T_1917 = mhpme6 == 10'hf; // @[dec_tlu_ctl.scala 2290:34] - wire _T_1920 = mhpme6 == 10'h10; // @[dec_tlu_ctl.scala 2291:34] - wire _T_1923 = mhpme6 == 10'h12; // @[dec_tlu_ctl.scala 2292:34] - wire _T_1926 = mhpme6 == 10'h11; // @[dec_tlu_ctl.scala 2293:34] - wire _T_1929 = mhpme6 == 10'h13; // @[dec_tlu_ctl.scala 2294:34] - wire _T_1932 = mhpme6 == 10'h14; // @[dec_tlu_ctl.scala 2295:34] - wire _T_1935 = mhpme6 == 10'h15; // @[dec_tlu_ctl.scala 2296:34] - wire _T_1938 = mhpme6 == 10'h16; // @[dec_tlu_ctl.scala 2297:34] - wire _T_1941 = mhpme6 == 10'h17; // @[dec_tlu_ctl.scala 2298:34] - wire _T_1944 = mhpme6 == 10'h18; // @[dec_tlu_ctl.scala 2299:34] - wire _T_1949 = mhpme6 == 10'h19; // @[dec_tlu_ctl.scala 2300:34] - wire _T_1952 = mhpme6 == 10'h1a; // @[dec_tlu_ctl.scala 2301:34] - wire _T_1955 = mhpme6 == 10'h1b; // @[dec_tlu_ctl.scala 2302:34] - wire _T_1958 = mhpme6 == 10'h1c; // @[dec_tlu_ctl.scala 2303:34] - wire _T_1962 = mhpme6 == 10'h1f; // @[dec_tlu_ctl.scala 2305:34] - wire _T_1964 = mhpme6 == 10'h20; // @[dec_tlu_ctl.scala 2306:34] - wire _T_1966 = mhpme6 == 10'h22; // @[dec_tlu_ctl.scala 2307:34] - wire _T_1968 = mhpme6 == 10'h23; // @[dec_tlu_ctl.scala 2308:34] - wire _T_1970 = mhpme6 == 10'h24; // @[dec_tlu_ctl.scala 2309:34] - wire _T_1972 = mhpme6 == 10'h25; // @[dec_tlu_ctl.scala 2310:34] - wire _T_1976 = mhpme6 == 10'h26; // @[dec_tlu_ctl.scala 2311:34] - wire _T_1980 = mhpme6 == 10'h27; // @[dec_tlu_ctl.scala 2312:34] - wire _T_1982 = mhpme6 == 10'h28; // @[dec_tlu_ctl.scala 2313:34] - wire _T_1984 = mhpme6 == 10'h29; // @[dec_tlu_ctl.scala 2314:34] - wire _T_1988 = mhpme6 == 10'h2a; // @[dec_tlu_ctl.scala 2315:34] - wire _T_1990 = mhpme6 == 10'h2b; // @[dec_tlu_ctl.scala 2316:34] - wire _T_1992 = mhpme6 == 10'h2c; // @[dec_tlu_ctl.scala 2317:34] - wire _T_1994 = mhpme6 == 10'h2d; // @[dec_tlu_ctl.scala 2318:34] - wire _T_1996 = mhpme6 == 10'h2e; // @[dec_tlu_ctl.scala 2319:34] - wire _T_1998 = mhpme6 == 10'h2f; // @[dec_tlu_ctl.scala 2320:34] - wire _T_2000 = mhpme6 == 10'h30; // @[dec_tlu_ctl.scala 2321:34] - wire _T_2002 = mhpme6 == 10'h31; // @[dec_tlu_ctl.scala 2322:34] - wire _T_2007 = mhpme6 == 10'h32; // @[dec_tlu_ctl.scala 2323:34] - wire _T_2017 = mhpme6 == 10'h36; // @[dec_tlu_ctl.scala 2324:34] - wire _T_2020 = mhpme6 == 10'h37; // @[dec_tlu_ctl.scala 2325:34] - wire _T_2023 = mhpme6 == 10'h38; // @[dec_tlu_ctl.scala 2326:34] - wire _T_2026 = mhpme6 == 10'h200; // @[dec_tlu_ctl.scala 2328:34] - wire _T_2028 = mhpme6 == 10'h201; // @[dec_tlu_ctl.scala 2329:34] - wire _T_2030 = mhpme6 == 10'h202; // @[dec_tlu_ctl.scala 2330:34] - wire _T_2032 = mhpme6 == 10'h203; // @[dec_tlu_ctl.scala 2331:34] - wire _T_2034 = mhpme6 == 10'h204; // @[dec_tlu_ctl.scala 2332:34] - wire _T_2037 = _T_1871 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] - wire _T_2038 = _T_1873 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] - wire _T_2039 = _T_1875 & _T_1026; // @[Mux.scala 27:72] - wire _T_2040 = _T_1879 & _T_1032; // @[Mux.scala 27:72] - wire _T_2041 = _T_1885 & _T_1037; // @[Mux.scala 27:72] - wire _T_2042 = _T_1890 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] - wire _T_2043 = _T_1892 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] - wire _T_2044 = _T_1894 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] - wire _T_2045 = _T_1896 & _T_1046; // @[Mux.scala 27:72] - wire _T_2046 = _T_1899 & _T_1049; // @[Mux.scala 27:72] - wire _T_2047 = _T_1902 & _T_1052; // @[Mux.scala 27:72] - wire _T_2048 = _T_1905 & _T_1055; // @[Mux.scala 27:72] - wire _T_2049 = _T_1908 & _T_1059; // @[Mux.scala 27:72] - wire _T_2050 = _T_1912 & _T_1064; // @[Mux.scala 27:72] - wire _T_2051 = _T_1917 & _T_1067; // @[Mux.scala 27:72] - wire _T_2052 = _T_1920 & _T_1070; // @[Mux.scala 27:72] - wire _T_2053 = _T_1923 & _T_1073; // @[Mux.scala 27:72] - wire _T_2054 = _T_1926 & _T_1076; // @[Mux.scala 27:72] - wire _T_2055 = _T_1929 & _T_1079; // @[Mux.scala 27:72] - wire _T_2056 = _T_1932 & _T_1082; // @[Mux.scala 27:72] - wire _T_2057 = _T_1935 & _T_1085; // @[Mux.scala 27:72] - wire _T_2058 = _T_1938 & _T_1088; // @[Mux.scala 27:72] - wire _T_2059 = _T_1941 & _T_1091; // @[Mux.scala 27:72] - wire _T_2060 = _T_1944 & _T_1096; // @[Mux.scala 27:72] - wire _T_2061 = _T_1949 & _T_1099; // @[Mux.scala 27:72] - wire _T_2062 = _T_1952 & _T_1102; // @[Mux.scala 27:72] - wire _T_2063 = _T_1955 & _T_1105; // @[Mux.scala 27:72] - wire _T_2064 = _T_1958 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] - wire _T_2066 = _T_1962 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] - wire _T_2067 = _T_1964 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] - wire _T_2068 = _T_1966 & io_lsu_store_stall_any; // @[Mux.scala 27:72] - wire _T_2069 = _T_1968 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] - wire _T_2070 = _T_1970 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] - wire _T_2071 = _T_1972 & _T_1123; // @[Mux.scala 27:72] - wire _T_2072 = _T_1976 & _T_1127; // @[Mux.scala 27:72] - wire _T_2073 = _T_1980 & io_take_ext_int; // @[Mux.scala 27:72] - wire _T_2074 = _T_1982 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] - wire _T_2075 = _T_1984 & _T_1135; // @[Mux.scala 27:72] - wire _T_2076 = _T_1988 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_2077 = _T_1990 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] - wire _T_2078 = _T_1992 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] - wire _T_2079 = _T_1994 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_2080 = _T_1996 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] - wire _T_2081 = _T_1998 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_2082 = _T_2000 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] - wire _T_2083 = _T_2002 & _T_1154; // @[Mux.scala 27:72] - wire _T_2084 = _T_2007 & _T_1164; // @[Mux.scala 27:72] - wire _T_2085 = _T_2017 & _T_1167; // @[Mux.scala 27:72] - wire _T_2086 = _T_2020 & _T_1170; // @[Mux.scala 27:72] - wire _T_2087 = _T_2023 & _T_1173; // @[Mux.scala 27:72] - wire _T_2088 = _T_2026 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] - wire _T_2089 = _T_2028 & io_dma_pmu_any_read; // @[Mux.scala 27:72] - wire _T_2090 = _T_2030 & io_dma_pmu_any_write; // @[Mux.scala 27:72] - wire _T_2091 = _T_2032 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] - wire _T_2092 = _T_2034 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] - wire _T_2093 = _T_1869 | _T_2037; // @[Mux.scala 27:72] - wire _T_2094 = _T_2093 | _T_2038; // @[Mux.scala 27:72] - wire _T_2095 = _T_2094 | _T_2039; // @[Mux.scala 27:72] - wire _T_2096 = _T_2095 | _T_2040; // @[Mux.scala 27:72] - wire _T_2097 = _T_2096 | _T_2041; // @[Mux.scala 27:72] - wire _T_2098 = _T_2097 | _T_2042; // @[Mux.scala 27:72] - wire _T_2099 = _T_2098 | _T_2043; // @[Mux.scala 27:72] - wire _T_2100 = _T_2099 | _T_2044; // @[Mux.scala 27:72] - wire _T_2101 = _T_2100 | _T_2045; // @[Mux.scala 27:72] - wire _T_2102 = _T_2101 | _T_2046; // @[Mux.scala 27:72] - wire _T_2103 = _T_2102 | _T_2047; // @[Mux.scala 27:72] + wire _T_1879 = mhpme6 == 10'h1; // @[dec_tlu_ctl.scala 2273:34] + wire _T_1881 = mhpme6 == 10'h2; // @[dec_tlu_ctl.scala 2274:34] + wire _T_1883 = mhpme6 == 10'h3; // @[dec_tlu_ctl.scala 2275:34] + wire _T_1885 = mhpme6 == 10'h4; // @[dec_tlu_ctl.scala 2276:34] + wire _T_1889 = mhpme6 == 10'h5; // @[dec_tlu_ctl.scala 2277:34] + wire _T_1895 = mhpme6 == 10'h6; // @[dec_tlu_ctl.scala 2278:34] + wire _T_1900 = mhpme6 == 10'h7; // @[dec_tlu_ctl.scala 2279:34] + wire _T_1902 = mhpme6 == 10'h8; // @[dec_tlu_ctl.scala 2280:34] + wire _T_1904 = mhpme6 == 10'h1e; // @[dec_tlu_ctl.scala 2281:34] + wire _T_1906 = mhpme6 == 10'h9; // @[dec_tlu_ctl.scala 2282:34] + wire _T_1909 = mhpme6 == 10'ha; // @[dec_tlu_ctl.scala 2283:34] + wire _T_1912 = mhpme6 == 10'hb; // @[dec_tlu_ctl.scala 2284:34] + wire _T_1915 = mhpme6 == 10'hc; // @[dec_tlu_ctl.scala 2285:34] + wire _T_1918 = mhpme6 == 10'hd; // @[dec_tlu_ctl.scala 2286:34] + wire _T_1922 = mhpme6 == 10'he; // @[dec_tlu_ctl.scala 2287:34] + wire _T_1927 = mhpme6 == 10'hf; // @[dec_tlu_ctl.scala 2288:34] + wire _T_1930 = mhpme6 == 10'h10; // @[dec_tlu_ctl.scala 2289:34] + wire _T_1933 = mhpme6 == 10'h12; // @[dec_tlu_ctl.scala 2290:34] + wire _T_1936 = mhpme6 == 10'h11; // @[dec_tlu_ctl.scala 2291:34] + wire _T_1939 = mhpme6 == 10'h13; // @[dec_tlu_ctl.scala 2292:34] + wire _T_1942 = mhpme6 == 10'h14; // @[dec_tlu_ctl.scala 2293:34] + wire _T_1945 = mhpme6 == 10'h15; // @[dec_tlu_ctl.scala 2294:34] + wire _T_1948 = mhpme6 == 10'h16; // @[dec_tlu_ctl.scala 2295:34] + wire _T_1951 = mhpme6 == 10'h17; // @[dec_tlu_ctl.scala 2296:34] + wire _T_1954 = mhpme6 == 10'h18; // @[dec_tlu_ctl.scala 2297:34] + wire _T_1959 = mhpme6 == 10'h19; // @[dec_tlu_ctl.scala 2298:34] + wire _T_1962 = mhpme6 == 10'h1a; // @[dec_tlu_ctl.scala 2299:34] + wire _T_1965 = mhpme6 == 10'h1b; // @[dec_tlu_ctl.scala 2300:34] + wire _T_1968 = mhpme6 == 10'h1c; // @[dec_tlu_ctl.scala 2301:34] + wire _T_1972 = mhpme6 == 10'h1f; // @[dec_tlu_ctl.scala 2303:34] + wire _T_1974 = mhpme6 == 10'h20; // @[dec_tlu_ctl.scala 2304:34] + wire _T_1976 = mhpme6 == 10'h22; // @[dec_tlu_ctl.scala 2305:34] + wire _T_1978 = mhpme6 == 10'h23; // @[dec_tlu_ctl.scala 2306:34] + wire _T_1980 = mhpme6 == 10'h24; // @[dec_tlu_ctl.scala 2307:34] + wire _T_1982 = mhpme6 == 10'h25; // @[dec_tlu_ctl.scala 2308:34] + wire _T_1986 = mhpme6 == 10'h26; // @[dec_tlu_ctl.scala 2309:34] + wire _T_1990 = mhpme6 == 10'h27; // @[dec_tlu_ctl.scala 2310:34] + wire _T_1992 = mhpme6 == 10'h28; // @[dec_tlu_ctl.scala 2311:34] + wire _T_1994 = mhpme6 == 10'h29; // @[dec_tlu_ctl.scala 2312:34] + wire _T_1998 = mhpme6 == 10'h2a; // @[dec_tlu_ctl.scala 2313:34] + wire _T_2000 = mhpme6 == 10'h2b; // @[dec_tlu_ctl.scala 2314:34] + wire _T_2002 = mhpme6 == 10'h2c; // @[dec_tlu_ctl.scala 2315:34] + wire _T_2004 = mhpme6 == 10'h2d; // @[dec_tlu_ctl.scala 2316:34] + wire _T_2006 = mhpme6 == 10'h2e; // @[dec_tlu_ctl.scala 2317:34] + wire _T_2008 = mhpme6 == 10'h2f; // @[dec_tlu_ctl.scala 2318:34] + wire _T_2010 = mhpme6 == 10'h30; // @[dec_tlu_ctl.scala 2319:34] + wire _T_2012 = mhpme6 == 10'h31; // @[dec_tlu_ctl.scala 2320:34] + wire _T_2017 = mhpme6 == 10'h32; // @[dec_tlu_ctl.scala 2321:34] + wire _T_2027 = mhpme6 == 10'h36; // @[dec_tlu_ctl.scala 2322:34] + wire _T_2030 = mhpme6 == 10'h37; // @[dec_tlu_ctl.scala 2323:34] + wire _T_2033 = mhpme6 == 10'h38; // @[dec_tlu_ctl.scala 2324:34] + wire _T_2036 = mhpme6 == 10'h200; // @[dec_tlu_ctl.scala 2326:34] + wire _T_2038 = mhpme6 == 10'h201; // @[dec_tlu_ctl.scala 2327:34] + wire _T_2040 = mhpme6 == 10'h202; // @[dec_tlu_ctl.scala 2328:34] + wire _T_2042 = mhpme6 == 10'h203; // @[dec_tlu_ctl.scala 2329:34] + wire _T_2044 = mhpme6 == 10'h204; // @[dec_tlu_ctl.scala 2330:34] + wire _T_2047 = _T_1881 & io_ifu_pmu_ic_hit; // @[Mux.scala 27:72] + wire _T_2048 = _T_1883 & io_ifu_pmu_ic_miss; // @[Mux.scala 27:72] + wire _T_2049 = _T_1885 & _T_1036; // @[Mux.scala 27:72] + wire _T_2050 = _T_1889 & _T_1042; // @[Mux.scala 27:72] + wire _T_2051 = _T_1895 & _T_1047; // @[Mux.scala 27:72] + wire _T_2052 = _T_1900 & io_ifu_pmu_instr_aligned; // @[Mux.scala 27:72] + wire _T_2053 = _T_1902 & io_dec_pmu_instr_decoded; // @[Mux.scala 27:72] + wire _T_2054 = _T_1904 & io_dec_pmu_decode_stall; // @[Mux.scala 27:72] + wire _T_2055 = _T_1906 & _T_1056; // @[Mux.scala 27:72] + wire _T_2056 = _T_1909 & _T_1059; // @[Mux.scala 27:72] + wire _T_2057 = _T_1912 & _T_1062; // @[Mux.scala 27:72] + wire _T_2058 = _T_1915 & _T_1065; // @[Mux.scala 27:72] + wire _T_2059 = _T_1918 & _T_1069; // @[Mux.scala 27:72] + wire _T_2060 = _T_1922 & _T_1074; // @[Mux.scala 27:72] + wire _T_2061 = _T_1927 & _T_1077; // @[Mux.scala 27:72] + wire _T_2062 = _T_1930 & _T_1080; // @[Mux.scala 27:72] + wire _T_2063 = _T_1933 & _T_1083; // @[Mux.scala 27:72] + wire _T_2064 = _T_1936 & _T_1086; // @[Mux.scala 27:72] + wire _T_2065 = _T_1939 & _T_1089; // @[Mux.scala 27:72] + wire _T_2066 = _T_1942 & _T_1092; // @[Mux.scala 27:72] + wire _T_2067 = _T_1945 & _T_1095; // @[Mux.scala 27:72] + wire _T_2068 = _T_1948 & _T_1098; // @[Mux.scala 27:72] + wire _T_2069 = _T_1951 & _T_1101; // @[Mux.scala 27:72] + wire _T_2070 = _T_1954 & _T_1106; // @[Mux.scala 27:72] + wire _T_2071 = _T_1959 & _T_1109; // @[Mux.scala 27:72] + wire _T_2072 = _T_1962 & _T_1112; // @[Mux.scala 27:72] + wire _T_2073 = _T_1965 & _T_1115; // @[Mux.scala 27:72] + wire _T_2074 = _T_1968 & io_ifu_pmu_fetch_stall; // @[Mux.scala 27:72] + wire _T_2076 = _T_1972 & io_dec_pmu_postsync_stall; // @[Mux.scala 27:72] + wire _T_2077 = _T_1974 & io_dec_pmu_presync_stall; // @[Mux.scala 27:72] + wire _T_2078 = _T_1976 & io_lsu_store_stall_any; // @[Mux.scala 27:72] + wire _T_2079 = _T_1978 & io_dma_dccm_stall_any; // @[Mux.scala 27:72] + wire _T_2080 = _T_1980 & io_dma_iccm_stall_any; // @[Mux.scala 27:72] + wire _T_2081 = _T_1982 & _T_1133; // @[Mux.scala 27:72] + wire _T_2082 = _T_1986 & _T_1137; // @[Mux.scala 27:72] + wire _T_2083 = _T_1990 & io_take_ext_int; // @[Mux.scala 27:72] + wire _T_2084 = _T_1992 & io_tlu_flush_lower_r; // @[Mux.scala 27:72] + wire _T_2085 = _T_1994 & _T_1145; // @[Mux.scala 27:72] + wire _T_2086 = _T_1998 & io_ifu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_2087 = _T_2000 & io_lsu_pmu_bus_trxn; // @[Mux.scala 27:72] + wire _T_2088 = _T_2002 & io_lsu_pmu_bus_misaligned; // @[Mux.scala 27:72] + wire _T_2089 = _T_2004 & io_ifu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_2090 = _T_2006 & io_lsu_pmu_bus_error; // @[Mux.scala 27:72] + wire _T_2091 = _T_2008 & io_ifu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_2092 = _T_2010 & io_lsu_pmu_bus_busy; // @[Mux.scala 27:72] + wire _T_2093 = _T_2012 & _T_1164; // @[Mux.scala 27:72] + wire _T_2094 = _T_2017 & _T_1174; // @[Mux.scala 27:72] + wire _T_2095 = _T_2027 & _T_1177; // @[Mux.scala 27:72] + wire _T_2096 = _T_2030 & _T_1180; // @[Mux.scala 27:72] + wire _T_2097 = _T_2033 & _T_1183; // @[Mux.scala 27:72] + wire _T_2098 = _T_2036 & io_dec_tlu_pmu_fw_halted; // @[Mux.scala 27:72] + wire _T_2099 = _T_2038 & io_dma_pmu_any_read; // @[Mux.scala 27:72] + wire _T_2100 = _T_2040 & io_dma_pmu_any_write; // @[Mux.scala 27:72] + wire _T_2101 = _T_2042 & io_dma_pmu_dccm_read; // @[Mux.scala 27:72] + wire _T_2102 = _T_2044 & io_dma_pmu_dccm_write; // @[Mux.scala 27:72] + wire _T_2103 = _T_1879 | _T_2047; // @[Mux.scala 27:72] wire _T_2104 = _T_2103 | _T_2048; // @[Mux.scala 27:72] wire _T_2105 = _T_2104 | _T_2049; // @[Mux.scala 27:72] wire _T_2106 = _T_2105 | _T_2050; // @[Mux.scala 27:72] @@ -52124,7 +52125,7 @@ module csr_tlu( wire _T_2118 = _T_2117 | _T_2062; // @[Mux.scala 27:72] wire _T_2119 = _T_2118 | _T_2063; // @[Mux.scala 27:72] wire _T_2120 = _T_2119 | _T_2064; // @[Mux.scala 27:72] - wire _T_2121 = _T_2120 | _T_2044; // @[Mux.scala 27:72] + wire _T_2121 = _T_2120 | _T_2065; // @[Mux.scala 27:72] wire _T_2122 = _T_2121 | _T_2066; // @[Mux.scala 27:72] wire _T_2123 = _T_2122 | _T_2067; // @[Mux.scala 27:72] wire _T_2124 = _T_2123 | _T_2068; // @[Mux.scala 27:72] @@ -52134,7 +52135,7 @@ module csr_tlu( wire _T_2128 = _T_2127 | _T_2072; // @[Mux.scala 27:72] wire _T_2129 = _T_2128 | _T_2073; // @[Mux.scala 27:72] wire _T_2130 = _T_2129 | _T_2074; // @[Mux.scala 27:72] - wire _T_2131 = _T_2130 | _T_2075; // @[Mux.scala 27:72] + wire _T_2131 = _T_2130 | _T_2054; // @[Mux.scala 27:72] wire _T_2132 = _T_2131 | _T_2076; // @[Mux.scala 27:72] wire _T_2133 = _T_2132 | _T_2077; // @[Mux.scala 27:72] wire _T_2134 = _T_2133 | _T_2078; // @[Mux.scala 27:72] @@ -52152,196 +52153,196 @@ module csr_tlu( wire _T_2146 = _T_2145 | _T_2090; // @[Mux.scala 27:72] wire _T_2147 = _T_2146 | _T_2091; // @[Mux.scala 27:72] wire _T_2148 = _T_2147 | _T_2092; // @[Mux.scala 27:72] - wire mhpmc_inc_r_3 = _T_1868 & _T_2148; // @[dec_tlu_ctl.scala 2274:44] - reg mhpmc_inc_r_d1_0; // @[dec_tlu_ctl.scala 2335:53] - reg mhpmc_inc_r_d1_1; // @[dec_tlu_ctl.scala 2336:53] - reg mhpmc_inc_r_d1_2; // @[dec_tlu_ctl.scala 2337:53] - reg mhpmc_inc_r_d1_3; // @[dec_tlu_ctl.scala 2338:53] - reg perfcnt_halted_d1; // @[dec_tlu_ctl.scala 2339:56] - wire perfcnt_halted = _T_85 | io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 2342:67] - wire _T_2160 = ~_T_85; // @[dec_tlu_ctl.scala 2343:37] - wire [3:0] _T_2162 = _T_2160 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_2169 = {mhpme6[9],mhpme5[9],mhpme4[9],mhpme3[9]}; // @[Cat.scala 29:58] - wire [3:0] perfcnt_during_sleep = _T_2162 & _T_2169; // @[dec_tlu_ctl.scala 2343:86] - wire _T_2171 = ~perfcnt_during_sleep[0]; // @[dec_tlu_ctl.scala 2345:67] - wire _T_2172 = perfcnt_halted_d1 & _T_2171; // @[dec_tlu_ctl.scala 2345:65] - wire _T_2173 = ~_T_2172; // @[dec_tlu_ctl.scala 2345:45] - wire _T_2176 = ~perfcnt_during_sleep[1]; // @[dec_tlu_ctl.scala 2346:67] - wire _T_2177 = perfcnt_halted_d1 & _T_2176; // @[dec_tlu_ctl.scala 2346:65] - wire _T_2178 = ~_T_2177; // @[dec_tlu_ctl.scala 2346:45] - wire _T_2181 = ~perfcnt_during_sleep[2]; // @[dec_tlu_ctl.scala 2347:67] - wire _T_2182 = perfcnt_halted_d1 & _T_2181; // @[dec_tlu_ctl.scala 2347:65] - wire _T_2183 = ~_T_2182; // @[dec_tlu_ctl.scala 2347:45] - wire _T_2186 = ~perfcnt_during_sleep[3]; // @[dec_tlu_ctl.scala 2348:67] - wire _T_2187 = perfcnt_halted_d1 & _T_2186; // @[dec_tlu_ctl.scala 2348:65] - wire _T_2188 = ~_T_2187; // @[dec_tlu_ctl.scala 2348:45] - wire _T_2191 = io_dec_csr_wraddr_r == 12'hb03; // @[dec_tlu_ctl.scala 2354:72] - wire mhpmc3_wr_en0 = io_dec_csr_wen_r_mod & _T_2191; // @[dec_tlu_ctl.scala 2354:43] - wire _T_2192 = ~perfcnt_halted; // @[dec_tlu_ctl.scala 2355:23] - wire _T_2194 = _T_2192 | perfcnt_during_sleep[0]; // @[dec_tlu_ctl.scala 2355:39] - wire _T_2195 = |mhpmc_inc_r_0; // @[dec_tlu_ctl.scala 2355:86] - wire mhpmc3_wr_en1 = _T_2194 & _T_2195; // @[dec_tlu_ctl.scala 2355:66] + wire _T_2149 = _T_2148 | _T_2093; // @[Mux.scala 27:72] + wire _T_2150 = _T_2149 | _T_2094; // @[Mux.scala 27:72] + wire _T_2151 = _T_2150 | _T_2095; // @[Mux.scala 27:72] + wire _T_2152 = _T_2151 | _T_2096; // @[Mux.scala 27:72] + wire _T_2153 = _T_2152 | _T_2097; // @[Mux.scala 27:72] + wire _T_2154 = _T_2153 | _T_2098; // @[Mux.scala 27:72] + wire _T_2155 = _T_2154 | _T_2099; // @[Mux.scala 27:72] + wire _T_2156 = _T_2155 | _T_2100; // @[Mux.scala 27:72] + wire _T_2157 = _T_2156 | _T_2101; // @[Mux.scala 27:72] + wire _T_2158 = _T_2157 | _T_2102; // @[Mux.scala 27:72] + wire mhpmc_inc_r_3 = _T_1878 & _T_2158; // @[dec_tlu_ctl.scala 2272:44] + reg mhpmc_inc_r_d1_0; // @[dec_tlu_ctl.scala 2333:53] + reg mhpmc_inc_r_d1_1; // @[dec_tlu_ctl.scala 2334:53] + reg mhpmc_inc_r_d1_2; // @[dec_tlu_ctl.scala 2335:53] + reg mhpmc_inc_r_d1_3; // @[dec_tlu_ctl.scala 2336:53] + reg perfcnt_halted_d1; // @[dec_tlu_ctl.scala 2337:56] + wire perfcnt_halted = _T_85 | io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 2340:67] + wire _T_2170 = ~_T_85; // @[dec_tlu_ctl.scala 2341:37] + wire [3:0] _T_2172 = _T_2170 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_2179 = {mhpme6[9],mhpme5[9],mhpme4[9],mhpme3[9]}; // @[Cat.scala 29:58] + wire [3:0] perfcnt_during_sleep = _T_2172 & _T_2179; // @[dec_tlu_ctl.scala 2341:86] + wire _T_2181 = ~perfcnt_during_sleep[0]; // @[dec_tlu_ctl.scala 2343:67] + wire _T_2182 = perfcnt_halted_d1 & _T_2181; // @[dec_tlu_ctl.scala 2343:65] + wire _T_2183 = ~_T_2182; // @[dec_tlu_ctl.scala 2343:45] + wire _T_2186 = ~perfcnt_during_sleep[1]; // @[dec_tlu_ctl.scala 2344:67] + wire _T_2187 = perfcnt_halted_d1 & _T_2186; // @[dec_tlu_ctl.scala 2344:65] + wire _T_2188 = ~_T_2187; // @[dec_tlu_ctl.scala 2344:45] + wire _T_2191 = ~perfcnt_during_sleep[2]; // @[dec_tlu_ctl.scala 2345:67] + wire _T_2192 = perfcnt_halted_d1 & _T_2191; // @[dec_tlu_ctl.scala 2345:65] + wire _T_2193 = ~_T_2192; // @[dec_tlu_ctl.scala 2345:45] + wire _T_2196 = ~perfcnt_during_sleep[3]; // @[dec_tlu_ctl.scala 2346:67] + wire _T_2197 = perfcnt_halted_d1 & _T_2196; // @[dec_tlu_ctl.scala 2346:65] + wire _T_2198 = ~_T_2197; // @[dec_tlu_ctl.scala 2346:45] + wire _T_2201 = io_dec_csr_wraddr_r == 12'hb03; // @[dec_tlu_ctl.scala 2352:72] + wire mhpmc3_wr_en0 = io_dec_csr_wen_r_mod & _T_2201; // @[dec_tlu_ctl.scala 2352:43] + wire _T_2202 = ~perfcnt_halted; // @[dec_tlu_ctl.scala 2353:23] + wire _T_2204 = _T_2202 | perfcnt_during_sleep[0]; // @[dec_tlu_ctl.scala 2353:39] + wire _T_2205 = |mhpmc_inc_r_0; // @[dec_tlu_ctl.scala 2353:86] + wire mhpmc3_wr_en1 = _T_2204 & _T_2205; // @[dec_tlu_ctl.scala 2353:66] reg [31:0] mhpmc3h; // @[lib.scala 374:16] reg [31:0] mhpmc3; // @[lib.scala 374:16] - wire [63:0] _T_2198 = {mhpmc3h,mhpmc3}; // @[Cat.scala 29:58] - wire [63:0] _T_2199 = {63'h0,mhpmc_inc_r_0}; // @[Cat.scala 29:58] - wire [63:0] mhpmc3_incr = _T_2198 + _T_2199; // @[dec_tlu_ctl.scala 2359:49] - wire _T_2207 = io_dec_csr_wraddr_r == 12'hb83; // @[dec_tlu_ctl.scala 2364:73] - wire mhpmc3h_wr_en0 = io_dec_csr_wen_r_mod & _T_2207; // @[dec_tlu_ctl.scala 2364:44] - wire _T_2213 = io_dec_csr_wraddr_r == 12'hb04; // @[dec_tlu_ctl.scala 2373:72] - wire mhpmc4_wr_en0 = io_dec_csr_wen_r_mod & _T_2213; // @[dec_tlu_ctl.scala 2373:43] - wire _T_2216 = _T_2192 | perfcnt_during_sleep[1]; // @[dec_tlu_ctl.scala 2374:39] - wire _T_2217 = |mhpmc_inc_r_1; // @[dec_tlu_ctl.scala 2374:86] - wire mhpmc4_wr_en1 = _T_2216 & _T_2217; // @[dec_tlu_ctl.scala 2374:66] + wire [63:0] _T_2208 = {mhpmc3h,mhpmc3}; // @[Cat.scala 29:58] + wire [63:0] _T_2209 = {63'h0,mhpmc_inc_r_0}; // @[Cat.scala 29:58] + wire [63:0] mhpmc3_incr = _T_2208 + _T_2209; // @[dec_tlu_ctl.scala 2357:49] + wire _T_2217 = io_dec_csr_wraddr_r == 12'hb83; // @[dec_tlu_ctl.scala 2362:73] + wire mhpmc3h_wr_en0 = io_dec_csr_wen_r_mod & _T_2217; // @[dec_tlu_ctl.scala 2362:44] + wire _T_2223 = io_dec_csr_wraddr_r == 12'hb04; // @[dec_tlu_ctl.scala 2371:72] + wire mhpmc4_wr_en0 = io_dec_csr_wen_r_mod & _T_2223; // @[dec_tlu_ctl.scala 2371:43] + wire _T_2226 = _T_2202 | perfcnt_during_sleep[1]; // @[dec_tlu_ctl.scala 2372:39] + wire _T_2227 = |mhpmc_inc_r_1; // @[dec_tlu_ctl.scala 2372:86] + wire mhpmc4_wr_en1 = _T_2226 & _T_2227; // @[dec_tlu_ctl.scala 2372:66] reg [31:0] mhpmc4h; // @[lib.scala 374:16] reg [31:0] mhpmc4; // @[lib.scala 374:16] - wire [63:0] _T_2220 = {mhpmc4h,mhpmc4}; // @[Cat.scala 29:58] - wire [63:0] _T_2221 = {63'h0,mhpmc_inc_r_1}; // @[Cat.scala 29:58] - wire [63:0] mhpmc4_incr = _T_2220 + _T_2221; // @[dec_tlu_ctl.scala 2379:49] - wire _T_2230 = io_dec_csr_wraddr_r == 12'hb84; // @[dec_tlu_ctl.scala 2383:73] - wire mhpmc4h_wr_en0 = io_dec_csr_wen_r_mod & _T_2230; // @[dec_tlu_ctl.scala 2383:44] - wire _T_2236 = io_dec_csr_wraddr_r == 12'hb05; // @[dec_tlu_ctl.scala 2392:72] - wire mhpmc5_wr_en0 = io_dec_csr_wen_r_mod & _T_2236; // @[dec_tlu_ctl.scala 2392:43] - wire _T_2239 = _T_2192 | perfcnt_during_sleep[2]; // @[dec_tlu_ctl.scala 2393:39] - wire _T_2240 = |mhpmc_inc_r_2; // @[dec_tlu_ctl.scala 2393:86] - wire mhpmc5_wr_en1 = _T_2239 & _T_2240; // @[dec_tlu_ctl.scala 2393:66] + wire [63:0] _T_2230 = {mhpmc4h,mhpmc4}; // @[Cat.scala 29:58] + wire [63:0] _T_2231 = {63'h0,mhpmc_inc_r_1}; // @[Cat.scala 29:58] + wire [63:0] mhpmc4_incr = _T_2230 + _T_2231; // @[dec_tlu_ctl.scala 2377:49] + wire _T_2240 = io_dec_csr_wraddr_r == 12'hb84; // @[dec_tlu_ctl.scala 2381:73] + wire mhpmc4h_wr_en0 = io_dec_csr_wen_r_mod & _T_2240; // @[dec_tlu_ctl.scala 2381:44] + wire _T_2246 = io_dec_csr_wraddr_r == 12'hb05; // @[dec_tlu_ctl.scala 2390:72] + wire mhpmc5_wr_en0 = io_dec_csr_wen_r_mod & _T_2246; // @[dec_tlu_ctl.scala 2390:43] + wire _T_2249 = _T_2202 | perfcnt_during_sleep[2]; // @[dec_tlu_ctl.scala 2391:39] + wire _T_2250 = |mhpmc_inc_r_2; // @[dec_tlu_ctl.scala 2391:86] + wire mhpmc5_wr_en1 = _T_2249 & _T_2250; // @[dec_tlu_ctl.scala 2391:66] reg [31:0] mhpmc5h; // @[lib.scala 374:16] reg [31:0] mhpmc5; // @[lib.scala 374:16] - wire [63:0] _T_2243 = {mhpmc5h,mhpmc5}; // @[Cat.scala 29:58] - wire [63:0] _T_2244 = {63'h0,mhpmc_inc_r_2}; // @[Cat.scala 29:58] - wire [63:0] mhpmc5_incr = _T_2243 + _T_2244; // @[dec_tlu_ctl.scala 2396:49] - wire _T_2252 = io_dec_csr_wraddr_r == 12'hb85; // @[dec_tlu_ctl.scala 2401:73] - wire mhpmc5h_wr_en0 = io_dec_csr_wen_r_mod & _T_2252; // @[dec_tlu_ctl.scala 2401:44] - wire _T_2258 = io_dec_csr_wraddr_r == 12'hb06; // @[dec_tlu_ctl.scala 2410:72] - wire mhpmc6_wr_en0 = io_dec_csr_wen_r_mod & _T_2258; // @[dec_tlu_ctl.scala 2410:43] - wire _T_2261 = _T_2192 | perfcnt_during_sleep[3]; // @[dec_tlu_ctl.scala 2411:39] - wire _T_2262 = |mhpmc_inc_r_3; // @[dec_tlu_ctl.scala 2411:86] - wire mhpmc6_wr_en1 = _T_2261 & _T_2262; // @[dec_tlu_ctl.scala 2411:66] + wire [63:0] _T_2253 = {mhpmc5h,mhpmc5}; // @[Cat.scala 29:58] + wire [63:0] _T_2254 = {63'h0,mhpmc_inc_r_2}; // @[Cat.scala 29:58] + wire [63:0] mhpmc5_incr = _T_2253 + _T_2254; // @[dec_tlu_ctl.scala 2394:49] + wire _T_2262 = io_dec_csr_wraddr_r == 12'hb85; // @[dec_tlu_ctl.scala 2399:73] + wire mhpmc5h_wr_en0 = io_dec_csr_wen_r_mod & _T_2262; // @[dec_tlu_ctl.scala 2399:44] + wire _T_2268 = io_dec_csr_wraddr_r == 12'hb06; // @[dec_tlu_ctl.scala 2408:72] + wire mhpmc6_wr_en0 = io_dec_csr_wen_r_mod & _T_2268; // @[dec_tlu_ctl.scala 2408:43] + wire _T_2271 = _T_2202 | perfcnt_during_sleep[3]; // @[dec_tlu_ctl.scala 2409:39] + wire _T_2272 = |mhpmc_inc_r_3; // @[dec_tlu_ctl.scala 2409:86] + wire mhpmc6_wr_en1 = _T_2271 & _T_2272; // @[dec_tlu_ctl.scala 2409:66] reg [31:0] mhpmc6h; // @[lib.scala 374:16] reg [31:0] mhpmc6; // @[lib.scala 374:16] - wire [63:0] _T_2265 = {mhpmc6h,mhpmc6}; // @[Cat.scala 29:58] - wire [63:0] _T_2266 = {63'h0,mhpmc_inc_r_3}; // @[Cat.scala 29:58] - wire [63:0] mhpmc6_incr = _T_2265 + _T_2266; // @[dec_tlu_ctl.scala 2414:49] - wire _T_2274 = io_dec_csr_wraddr_r == 12'hb86; // @[dec_tlu_ctl.scala 2419:73] - wire mhpmc6h_wr_en0 = io_dec_csr_wen_r_mod & _T_2274; // @[dec_tlu_ctl.scala 2419:44] - wire _T_2280 = io_dec_csr_wrdata_r[9:0] > 10'h204; // @[dec_tlu_ctl.scala 2430:56] - wire _T_2282 = |io_dec_csr_wrdata_r[31:10]; // @[dec_tlu_ctl.scala 2430:102] - wire _T_2283 = _T_2280 | _T_2282; // @[dec_tlu_ctl.scala 2430:71] - wire _T_2286 = io_dec_csr_wraddr_r == 12'h323; // @[dec_tlu_ctl.scala 2432:70] - wire wr_mhpme3_r = io_dec_csr_wen_r_mod & _T_2286; // @[dec_tlu_ctl.scala 2432:41] - wire _T_2290 = io_dec_csr_wraddr_r == 12'h324; // @[dec_tlu_ctl.scala 2439:70] - wire wr_mhpme4_r = io_dec_csr_wen_r_mod & _T_2290; // @[dec_tlu_ctl.scala 2439:41] - wire _T_2294 = io_dec_csr_wraddr_r == 12'h325; // @[dec_tlu_ctl.scala 2446:70] - wire wr_mhpme5_r = io_dec_csr_wen_r_mod & _T_2294; // @[dec_tlu_ctl.scala 2446:41] - wire _T_2298 = io_dec_csr_wraddr_r == 12'h326; // @[dec_tlu_ctl.scala 2453:70] - wire wr_mhpme6_r = io_dec_csr_wen_r_mod & _T_2298; // @[dec_tlu_ctl.scala 2453:41] - wire _T_2302 = io_dec_csr_wraddr_r == 12'h320; // @[dec_tlu_ctl.scala 2470:77] - wire wr_mcountinhibit_r = io_dec_csr_wen_r_mod & _T_2302; // @[dec_tlu_ctl.scala 2470:48] - wire _T_2314 = io_i0_valid_wb | io_exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 2485:51] - wire _T_2315 = _T_2314 | io_interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 2485:78] - wire _T_2316 = _T_2315 | io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 2485:104] - wire _T_2317 = _T_2316 | io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 2485:130] - wire _T_2318 = _T_2317 | io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 2486:32] - reg _T_2321; // @[dec_tlu_ctl.scala 2488:62] - wire _T_2322 = io_i0_exception_valid_r_d1 | io_lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 2489:91] - wire _T_2323 = ~io_trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 2489:137] - wire _T_2324 = io_trigger_hit_r_d1 & _T_2323; // @[dec_tlu_ctl.scala 2489:135] - reg _T_2326; // @[dec_tlu_ctl.scala 2489:62] - reg [4:0] _T_2327; // @[dec_tlu_ctl.scala 2490:62] - reg _T_2328; // @[dec_tlu_ctl.scala 2491:62] - wire [31:0] _T_2334 = {io_core_id,4'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2343 = {21'h3,3'h0,io_mstatus[1],3'h0,io_mstatus[0],3'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2348 = {io_mtvec[30:1],1'h0,io_mtvec[0]}; // @[Cat.scala 29:58] - wire [31:0] _T_2361 = {1'h0,io_mip[5:3],16'h0,io_mip[2],3'h0,io_mip[1],3'h0,io_mip[0],3'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2374 = {1'h0,mie[5:3],16'h0,mie[2],3'h0,mie[1],3'h0,mie[0],3'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2386 = {io_mepc,1'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2391 = {28'h0,mscause}; // @[Cat.scala 29:58] - wire [31:0] _T_2399 = {meivt,10'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2402 = {meivt,meihap,2'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2405 = {28'h0,meicurpl}; // @[Cat.scala 29:58] - wire [31:0] _T_2408 = {28'h0,meicidpl}; // @[Cat.scala 29:58] - wire [31:0] _T_2411 = {28'h0,meipt}; // @[Cat.scala 29:58] - wire [31:0] _T_2414 = {23'h0,mcgc}; // @[Cat.scala 29:58] - wire [31:0] _T_2417 = {13'h0,_T_345,4'h0,mfdc_int[11:0]}; // @[Cat.scala 29:58] - wire [31:0] _T_2421 = {16'h4000,io_dcsr[15:2],2'h3}; // @[Cat.scala 29:58] - wire [31:0] _T_2423 = {io_dpc,1'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2439 = {7'h0,dicawics[16],2'h0,dicawics[15:14],3'h0,dicawics[13:0],3'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2442 = {30'h0,mtsel}; // @[Cat.scala 29:58] - wire [31:0] _T_2471 = {26'h0,mfdht}; // @[Cat.scala 29:58] - wire [31:0] _T_2474 = {30'h0,mfdhs}; // @[Cat.scala 29:58] - wire [31:0] _T_2477 = {22'h0,mhpme3}; // @[Cat.scala 29:58] - wire [31:0] _T_2480 = {22'h0,mhpme4}; // @[Cat.scala 29:58] - wire [31:0] _T_2483 = {22'h0,mhpme5}; // @[Cat.scala 29:58] - wire [31:0] _T_2486 = {22'h0,mhpme6}; // @[Cat.scala 29:58] - wire [31:0] _T_2489 = {25'h0,temp_ncount6_2,1'h0,temp_ncount0}; // @[Cat.scala 29:58] - wire [31:0] _T_2492 = {30'h0,mpmc,1'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_2495 = io_csr_pkt_csr_misa ? 32'h40001104 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2496 = io_csr_pkt_csr_mvendorid ? 32'h45 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2497 = io_csr_pkt_csr_marchid ? 32'h10 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2498 = io_csr_pkt_csr_mimpid ? 32'h1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2499 = io_csr_pkt_csr_mhartid ? _T_2334 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2500 = io_csr_pkt_csr_mstatus ? _T_2343 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2501 = io_csr_pkt_csr_mtvec ? _T_2348 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2502 = io_csr_pkt_csr_mip ? _T_2361 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2503 = io_csr_pkt_csr_mie ? _T_2374 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2504 = io_csr_pkt_csr_mcyclel ? mcyclel : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2505 = io_csr_pkt_csr_mcycleh ? mcycleh_inc : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2506 = io_csr_pkt_csr_minstretl ? minstretl : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2507 = io_csr_pkt_csr_minstreth ? minstreth_inc : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2508 = io_csr_pkt_csr_mscratch ? mscratch : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2509 = io_csr_pkt_csr_mepc ? _T_2386 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2510 = io_csr_pkt_csr_mcause ? mcause : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2511 = io_csr_pkt_csr_mscause ? _T_2391 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2512 = io_csr_pkt_csr_mtval ? mtval : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2513 = io_csr_pkt_csr_mrac ? mrac : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2514 = io_csr_pkt_csr_mdseac ? mdseac : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2515 = io_csr_pkt_csr_meivt ? _T_2399 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2516 = io_csr_pkt_csr_meihap ? _T_2402 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2517 = io_csr_pkt_csr_meicurpl ? _T_2405 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2518 = io_csr_pkt_csr_meicidpl ? _T_2408 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2519 = io_csr_pkt_csr_meipt ? _T_2411 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2520 = io_csr_pkt_csr_mcgc ? _T_2414 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2521 = io_csr_pkt_csr_mfdc ? _T_2417 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2522 = io_csr_pkt_csr_dcsr ? _T_2421 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2523 = io_csr_pkt_csr_dpc ? _T_2423 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2524 = io_csr_pkt_csr_dicad0 ? dicad0[31:0] : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2525 = io_csr_pkt_csr_dicad0h ? dicad0h : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2526 = io_csr_pkt_csr_dicad1 ? dicad1 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2527 = io_csr_pkt_csr_dicawics ? _T_2439 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2528 = io_csr_pkt_csr_mtsel ? _T_2442 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2529 = io_csr_pkt_csr_mtdata1 ? mtdata1_tsel_out : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2530 = io_csr_pkt_csr_mtdata2 ? mtdata2_tsel_out : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2531 = io_csr_pkt_csr_micect ? micect : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2532 = io_csr_pkt_csr_miccmect ? miccmect : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2533 = io_csr_pkt_csr_mdccmect ? mdccmect : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2534 = io_csr_pkt_csr_mhpmc3 ? mhpmc3 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2535 = io_csr_pkt_csr_mhpmc4 ? mhpmc4 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2536 = io_csr_pkt_csr_mhpmc5 ? mhpmc5 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2537 = io_csr_pkt_csr_mhpmc6 ? mhpmc6 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2538 = io_csr_pkt_csr_mhpmc3h ? mhpmc3h : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2539 = io_csr_pkt_csr_mhpmc4h ? mhpmc4h : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2540 = io_csr_pkt_csr_mhpmc5h ? mhpmc5h : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2541 = io_csr_pkt_csr_mhpmc6h ? mhpmc6h : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2542 = io_csr_pkt_csr_mfdht ? _T_2471 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2543 = io_csr_pkt_csr_mfdhs ? _T_2474 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2544 = io_csr_pkt_csr_mhpme3 ? _T_2477 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2545 = io_csr_pkt_csr_mhpme4 ? _T_2480 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2546 = io_csr_pkt_csr_mhpme5 ? _T_2483 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2547 = io_csr_pkt_csr_mhpme6 ? _T_2486 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2548 = io_csr_pkt_csr_mcountinhibit ? _T_2489 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2549 = io_csr_pkt_csr_mpmc ? _T_2492 : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2550 = io_dec_timer_read_d ? io_dec_timer_rddata_d : 32'h0; // @[Mux.scala 27:72] - wire [31:0] _T_2551 = _T_2495 | _T_2496; // @[Mux.scala 27:72] - wire [31:0] _T_2552 = _T_2551 | _T_2497; // @[Mux.scala 27:72] - wire [31:0] _T_2553 = _T_2552 | _T_2498; // @[Mux.scala 27:72] - wire [31:0] _T_2554 = _T_2553 | _T_2499; // @[Mux.scala 27:72] - wire [31:0] _T_2555 = _T_2554 | _T_2500; // @[Mux.scala 27:72] - wire [31:0] _T_2556 = _T_2555 | _T_2501; // @[Mux.scala 27:72] - wire [31:0] _T_2557 = _T_2556 | _T_2502; // @[Mux.scala 27:72] - wire [31:0] _T_2558 = _T_2557 | _T_2503; // @[Mux.scala 27:72] - wire [31:0] _T_2559 = _T_2558 | _T_2504; // @[Mux.scala 27:72] - wire [31:0] _T_2560 = _T_2559 | _T_2505; // @[Mux.scala 27:72] - wire [31:0] _T_2561 = _T_2560 | _T_2506; // @[Mux.scala 27:72] + wire [63:0] _T_2275 = {mhpmc6h,mhpmc6}; // @[Cat.scala 29:58] + wire [63:0] _T_2276 = {63'h0,mhpmc_inc_r_3}; // @[Cat.scala 29:58] + wire [63:0] mhpmc6_incr = _T_2275 + _T_2276; // @[dec_tlu_ctl.scala 2412:49] + wire _T_2284 = io_dec_csr_wraddr_r == 12'hb86; // @[dec_tlu_ctl.scala 2417:73] + wire mhpmc6h_wr_en0 = io_dec_csr_wen_r_mod & _T_2284; // @[dec_tlu_ctl.scala 2417:44] + wire _T_2290 = io_dec_csr_wrdata_r[9:0] > 10'h204; // @[dec_tlu_ctl.scala 2428:56] + wire _T_2292 = |io_dec_csr_wrdata_r[31:10]; // @[dec_tlu_ctl.scala 2428:102] + wire _T_2293 = _T_2290 | _T_2292; // @[dec_tlu_ctl.scala 2428:71] + wire _T_2296 = io_dec_csr_wraddr_r == 12'h323; // @[dec_tlu_ctl.scala 2430:70] + wire wr_mhpme3_r = io_dec_csr_wen_r_mod & _T_2296; // @[dec_tlu_ctl.scala 2430:41] + wire _T_2300 = io_dec_csr_wraddr_r == 12'h324; // @[dec_tlu_ctl.scala 2437:70] + wire wr_mhpme4_r = io_dec_csr_wen_r_mod & _T_2300; // @[dec_tlu_ctl.scala 2437:41] + wire _T_2304 = io_dec_csr_wraddr_r == 12'h325; // @[dec_tlu_ctl.scala 2444:70] + wire wr_mhpme5_r = io_dec_csr_wen_r_mod & _T_2304; // @[dec_tlu_ctl.scala 2444:41] + wire _T_2308 = io_dec_csr_wraddr_r == 12'h326; // @[dec_tlu_ctl.scala 2451:70] + wire wr_mhpme6_r = io_dec_csr_wen_r_mod & _T_2308; // @[dec_tlu_ctl.scala 2451:41] + wire _T_2312 = io_dec_csr_wraddr_r == 12'h320; // @[dec_tlu_ctl.scala 2468:77] + wire wr_mcountinhibit_r = io_dec_csr_wen_r_mod & _T_2312; // @[dec_tlu_ctl.scala 2468:48] + wire _T_2324 = io_i0_valid_wb | io_exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 2483:51] + wire _T_2325 = _T_2324 | io_interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 2483:78] + wire _T_2326 = _T_2325 | io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 2483:104] + wire _T_2327 = _T_2326 | io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 2483:130] + wire _T_2328 = _T_2327 | io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 2484:32] + reg _T_2331; // @[dec_tlu_ctl.scala 2486:62] + wire _T_2332 = io_i0_exception_valid_r_d1 | io_lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 2487:91] + wire _T_2333 = ~io_trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 2487:137] + wire _T_2334 = io_trigger_hit_r_d1 & _T_2333; // @[dec_tlu_ctl.scala 2487:135] + reg _T_2336; // @[dec_tlu_ctl.scala 2487:62] + reg [4:0] _T_2337; // @[dec_tlu_ctl.scala 2488:62] + reg _T_2338; // @[dec_tlu_ctl.scala 2489:62] + wire [31:0] _T_2344 = {io_core_id,4'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2353 = {21'h3,3'h0,io_mstatus[1],3'h0,io_mstatus[0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2358 = {io_mtvec[30:1],1'h0,io_mtvec[0]}; // @[Cat.scala 29:58] + wire [31:0] _T_2371 = {1'h0,io_mip[5:3],16'h0,io_mip[2],3'h0,io_mip[1],3'h0,io_mip[0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2384 = {1'h0,mie[5:3],16'h0,mie[2],3'h0,mie[1],3'h0,mie[0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2396 = {io_mepc,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2401 = {28'h0,mscause}; // @[Cat.scala 29:58] + wire [31:0] _T_2409 = {meivt,10'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2412 = {meivt,meihap,2'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2415 = {28'h0,meicurpl}; // @[Cat.scala 29:58] + wire [31:0] _T_2418 = {28'h0,meicidpl}; // @[Cat.scala 29:58] + wire [31:0] _T_2421 = {28'h0,meipt}; // @[Cat.scala 29:58] + wire [31:0] _T_2424 = {23'h0,mcgc}; // @[Cat.scala 29:58] + wire [31:0] _T_2427 = {13'h0,_T_350,4'h0,mfdc_int[11:7],_T_353,mfdc_int[5:0]}; // @[Cat.scala 29:58] + wire [31:0] _T_2431 = {16'h4000,io_dcsr[15:2],2'h3}; // @[Cat.scala 29:58] + wire [31:0] _T_2433 = {io_dpc,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2449 = {7'h0,dicawics[16],2'h0,dicawics[15:14],3'h0,dicawics[13:0],3'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2452 = {30'h0,mtsel}; // @[Cat.scala 29:58] + wire [31:0] _T_2481 = {26'h0,mfdht}; // @[Cat.scala 29:58] + wire [31:0] _T_2484 = {30'h0,mfdhs}; // @[Cat.scala 29:58] + wire [31:0] _T_2487 = {22'h0,mhpme3}; // @[Cat.scala 29:58] + wire [31:0] _T_2490 = {22'h0,mhpme4}; // @[Cat.scala 29:58] + wire [31:0] _T_2493 = {22'h0,mhpme5}; // @[Cat.scala 29:58] + wire [31:0] _T_2496 = {22'h0,mhpme6}; // @[Cat.scala 29:58] + wire [31:0] _T_2499 = {25'h0,temp_ncount6_2,1'h0,temp_ncount0}; // @[Cat.scala 29:58] + wire [31:0] _T_2502 = {30'h0,mpmc,1'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_2505 = io_csr_pkt_csr_misa ? 32'h40001104 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2506 = io_csr_pkt_csr_mvendorid ? 32'h45 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2507 = io_csr_pkt_csr_marchid ? 32'h10 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2508 = io_csr_pkt_csr_mimpid ? 32'h1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2509 = io_csr_pkt_csr_mhartid ? _T_2344 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2510 = io_csr_pkt_csr_mstatus ? _T_2353 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2511 = io_csr_pkt_csr_mtvec ? _T_2358 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2512 = io_csr_pkt_csr_mip ? _T_2371 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2513 = io_csr_pkt_csr_mie ? _T_2384 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2514 = io_csr_pkt_csr_mcyclel ? mcyclel : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2515 = io_csr_pkt_csr_mcycleh ? mcycleh_inc : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2516 = io_csr_pkt_csr_minstretl ? minstretl : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2517 = io_csr_pkt_csr_minstreth ? minstreth_inc : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2518 = io_csr_pkt_csr_mscratch ? mscratch : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2519 = io_csr_pkt_csr_mepc ? _T_2396 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2520 = io_csr_pkt_csr_mcause ? mcause : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2521 = io_csr_pkt_csr_mscause ? _T_2401 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2522 = io_csr_pkt_csr_mtval ? mtval : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2523 = io_csr_pkt_csr_mrac ? mrac : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2524 = io_csr_pkt_csr_mdseac ? mdseac : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2525 = io_csr_pkt_csr_meivt ? _T_2409 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2526 = io_csr_pkt_csr_meihap ? _T_2412 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2527 = io_csr_pkt_csr_meicurpl ? _T_2415 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2528 = io_csr_pkt_csr_meicidpl ? _T_2418 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2529 = io_csr_pkt_csr_meipt ? _T_2421 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2530 = io_csr_pkt_csr_mcgc ? _T_2424 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2531 = io_csr_pkt_csr_mfdc ? _T_2427 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2532 = io_csr_pkt_csr_dcsr ? _T_2431 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2533 = io_csr_pkt_csr_dpc ? _T_2433 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2534 = io_csr_pkt_csr_dicad0 ? dicad0[31:0] : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2535 = io_csr_pkt_csr_dicad0h ? dicad0h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2536 = io_csr_pkt_csr_dicad1 ? dicad1 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2537 = io_csr_pkt_csr_dicawics ? _T_2449 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2538 = io_csr_pkt_csr_mtsel ? _T_2452 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2539 = io_csr_pkt_csr_mtdata1 ? mtdata1_tsel_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2540 = io_csr_pkt_csr_mtdata2 ? mtdata2_tsel_out : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2541 = io_csr_pkt_csr_micect ? micect : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2542 = io_csr_pkt_csr_miccmect ? miccmect : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2543 = io_csr_pkt_csr_mdccmect ? mdccmect : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2544 = io_csr_pkt_csr_mhpmc3 ? mhpmc3 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2545 = io_csr_pkt_csr_mhpmc4 ? mhpmc4 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2546 = io_csr_pkt_csr_mhpmc5 ? mhpmc5 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2547 = io_csr_pkt_csr_mhpmc6 ? mhpmc6 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2548 = io_csr_pkt_csr_mhpmc3h ? mhpmc3h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2549 = io_csr_pkt_csr_mhpmc4h ? mhpmc4h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2550 = io_csr_pkt_csr_mhpmc5h ? mhpmc5h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2551 = io_csr_pkt_csr_mhpmc6h ? mhpmc6h : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2552 = io_csr_pkt_csr_mfdht ? _T_2481 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2553 = io_csr_pkt_csr_mfdhs ? _T_2484 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2554 = io_csr_pkt_csr_mhpme3 ? _T_2487 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2555 = io_csr_pkt_csr_mhpme4 ? _T_2490 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2556 = io_csr_pkt_csr_mhpme5 ? _T_2493 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2557 = io_csr_pkt_csr_mhpme6 ? _T_2496 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2558 = io_csr_pkt_csr_mcountinhibit ? _T_2499 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2559 = io_csr_pkt_csr_mpmc ? _T_2502 : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2560 = io_dec_timer_read_d ? io_dec_timer_rddata_d : 32'h0; // @[Mux.scala 27:72] + wire [31:0] _T_2561 = _T_2505 | _T_2506; // @[Mux.scala 27:72] wire [31:0] _T_2562 = _T_2561 | _T_2507; // @[Mux.scala 27:72] wire [31:0] _T_2563 = _T_2562 | _T_2508; // @[Mux.scala 27:72] wire [31:0] _T_2564 = _T_2563 | _T_2509; // @[Mux.scala 27:72] @@ -52385,6 +52386,16 @@ module csr_tlu( wire [31:0] _T_2602 = _T_2601 | _T_2547; // @[Mux.scala 27:72] wire [31:0] _T_2603 = _T_2602 | _T_2548; // @[Mux.scala 27:72] wire [31:0] _T_2604 = _T_2603 | _T_2549; // @[Mux.scala 27:72] + wire [31:0] _T_2605 = _T_2604 | _T_2550; // @[Mux.scala 27:72] + wire [31:0] _T_2606 = _T_2605 | _T_2551; // @[Mux.scala 27:72] + wire [31:0] _T_2607 = _T_2606 | _T_2552; // @[Mux.scala 27:72] + wire [31:0] _T_2608 = _T_2607 | _T_2553; // @[Mux.scala 27:72] + wire [31:0] _T_2609 = _T_2608 | _T_2554; // @[Mux.scala 27:72] + wire [31:0] _T_2610 = _T_2609 | _T_2555; // @[Mux.scala 27:72] + wire [31:0] _T_2611 = _T_2610 | _T_2556; // @[Mux.scala 27:72] + wire [31:0] _T_2612 = _T_2611 | _T_2557; // @[Mux.scala 27:72] + wire [31:0] _T_2613 = _T_2612 | _T_2558; // @[Mux.scala 27:72] + wire [31:0] _T_2614 = _T_2613 | _T_2559; // @[Mux.scala 27:72] rvclkhdr rvclkhdr ( // @[lib.scala 368:23] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -52595,84 +52606,84 @@ module csr_tlu( .io_en(rvclkhdr_34_io_en), .io_scan_mode(rvclkhdr_34_io_scan_mode) ); - assign io_dec_tlu_ic_diag_pkt_icache_wrdata = {_T_754,dicad0[31:0]}; // @[dec_tlu_ctl.scala 2155:56] - assign io_dec_tlu_ic_diag_pkt_icache_dicawics = dicawics; // @[dec_tlu_ctl.scala 2158:41] - assign io_dec_tlu_ic_diag_pkt_icache_rd_valid = icache_rd_valid_f; // @[dec_tlu_ctl.scala 2166:41] - assign io_dec_tlu_ic_diag_pkt_icache_wr_valid = icache_wr_valid_f; // @[dec_tlu_ctl.scala 2167:41] - assign io_trigger_pkt_any_0_select = io_mtdata1_t_0[7]; // @[dec_tlu_ctl.scala 2231:40] - assign io_trigger_pkt_any_0_match_pkt = io_mtdata1_t_0[4]; // @[dec_tlu_ctl.scala 2232:43] - assign io_trigger_pkt_any_0_store = io_mtdata1_t_0[1]; // @[dec_tlu_ctl.scala 2233:40] - assign io_trigger_pkt_any_0_load = io_mtdata1_t_0[0]; // @[dec_tlu_ctl.scala 2234:40] - assign io_trigger_pkt_any_0_execute = io_mtdata1_t_0[2]; // @[dec_tlu_ctl.scala 2235:40] - assign io_trigger_pkt_any_0_m = io_mtdata1_t_0[3]; // @[dec_tlu_ctl.scala 2236:40] - assign io_trigger_pkt_any_0_tdata2 = mtdata2_t_0; // @[dec_tlu_ctl.scala 2249:51] - assign io_trigger_pkt_any_1_select = io_mtdata1_t_1[7]; // @[dec_tlu_ctl.scala 2231:40] - assign io_trigger_pkt_any_1_match_pkt = io_mtdata1_t_1[4]; // @[dec_tlu_ctl.scala 2232:43] - assign io_trigger_pkt_any_1_store = io_mtdata1_t_1[1]; // @[dec_tlu_ctl.scala 2233:40] - assign io_trigger_pkt_any_1_load = io_mtdata1_t_1[0]; // @[dec_tlu_ctl.scala 2234:40] - assign io_trigger_pkt_any_1_execute = io_mtdata1_t_1[2]; // @[dec_tlu_ctl.scala 2235:40] - assign io_trigger_pkt_any_1_m = io_mtdata1_t_1[3]; // @[dec_tlu_ctl.scala 2236:40] - assign io_trigger_pkt_any_1_tdata2 = mtdata2_t_1; // @[dec_tlu_ctl.scala 2249:51] - assign io_trigger_pkt_any_2_select = io_mtdata1_t_2[7]; // @[dec_tlu_ctl.scala 2231:40] - assign io_trigger_pkt_any_2_match_pkt = io_mtdata1_t_2[4]; // @[dec_tlu_ctl.scala 2232:43] - assign io_trigger_pkt_any_2_store = io_mtdata1_t_2[1]; // @[dec_tlu_ctl.scala 2233:40] - assign io_trigger_pkt_any_2_load = io_mtdata1_t_2[0]; // @[dec_tlu_ctl.scala 2234:40] - assign io_trigger_pkt_any_2_execute = io_mtdata1_t_2[2]; // @[dec_tlu_ctl.scala 2235:40] - assign io_trigger_pkt_any_2_m = io_mtdata1_t_2[3]; // @[dec_tlu_ctl.scala 2236:40] - assign io_trigger_pkt_any_2_tdata2 = mtdata2_t_2; // @[dec_tlu_ctl.scala 2249:51] - assign io_trigger_pkt_any_3_select = io_mtdata1_t_3[7]; // @[dec_tlu_ctl.scala 2231:40] - assign io_trigger_pkt_any_3_match_pkt = io_mtdata1_t_3[4]; // @[dec_tlu_ctl.scala 2232:43] - assign io_trigger_pkt_any_3_store = io_mtdata1_t_3[1]; // @[dec_tlu_ctl.scala 2233:40] - assign io_trigger_pkt_any_3_load = io_mtdata1_t_3[0]; // @[dec_tlu_ctl.scala 2234:40] - assign io_trigger_pkt_any_3_execute = io_mtdata1_t_3[2]; // @[dec_tlu_ctl.scala 2235:40] - assign io_trigger_pkt_any_3_m = io_mtdata1_t_3[3]; // @[dec_tlu_ctl.scala 2236:40] - assign io_trigger_pkt_any_3_tdata2 = mtdata2_t_3; // @[dec_tlu_ctl.scala 2249:51] - assign io_dec_tlu_int_valid_wb1 = _T_2328; // @[dec_tlu_ctl.scala 2491:30] - assign io_dec_tlu_i0_exc_valid_wb1 = _T_2326; // @[dec_tlu_ctl.scala 2489:30] - assign io_dec_tlu_i0_valid_wb1 = _T_2321; // @[dec_tlu_ctl.scala 2488:30] - assign io_dec_tlu_mtval_wb1 = mtval; // @[dec_tlu_ctl.scala 2493:24] - assign io_dec_tlu_exc_cause_wb1 = _T_2327; // @[dec_tlu_ctl.scala 2490:30] - assign io_dec_tlu_perfcnt0 = mhpmc_inc_r_d1_0 & _T_2173; // @[dec_tlu_ctl.scala 2345:22] - assign io_dec_tlu_perfcnt1 = mhpmc_inc_r_d1_1 & _T_2178; // @[dec_tlu_ctl.scala 2346:22] - assign io_dec_tlu_perfcnt2 = mhpmc_inc_r_d1_2 & _T_2183; // @[dec_tlu_ctl.scala 2347:22] - assign io_dec_tlu_perfcnt3 = mhpmc_inc_r_d1_3 & _T_2188; // @[dec_tlu_ctl.scala 2348:22] - assign io_dec_tlu_misc_clk_override = mcgc[8]; // @[dec_tlu_ctl.scala 1718:31] - assign io_dec_tlu_dec_clk_override = mcgc[7]; // @[dec_tlu_ctl.scala 1719:31] - assign io_dec_tlu_lsu_clk_override = mcgc[4]; // @[dec_tlu_ctl.scala 1721:31] - assign io_dec_tlu_bus_clk_override = mcgc[3]; // @[dec_tlu_ctl.scala 1722:31] - assign io_dec_tlu_pic_clk_override = mcgc[2]; // @[dec_tlu_ctl.scala 1723:31] - assign io_dec_tlu_dccm_clk_override = mcgc[1]; // @[dec_tlu_ctl.scala 1724:31] - assign io_dec_tlu_icm_clk_override = mcgc[0]; // @[dec_tlu_ctl.scala 1725:31] - assign io_dec_csr_rddata_d = _T_2604 | _T_2550; // @[dec_tlu_ctl.scala 2498:21] - assign io_dec_tlu_pipelining_disable = mfdc[0]; // @[dec_tlu_ctl.scala 1768:39] - assign io_dec_tlu_wr_pause_r = _T_360 & _T_361; // @[dec_tlu_ctl.scala 1777:24] - assign io_dec_tlu_meipt = meipt; // @[dec_tlu_ctl.scala 2006:19] - assign io_dec_tlu_meicurpl = meicurpl; // @[dec_tlu_ctl.scala 1970:22] - assign io_dec_tlu_meihap = {meivt,meihap}; // @[dec_tlu_ctl.scala 1956:20] - assign io_dec_tlu_mrac_ff = mrac; // @[dec_tlu_ctl.scala 1807:21] - assign io_dec_tlu_bpred_disable = mfdc[3]; // @[dec_tlu_ctl.scala 1766:39] - assign io_dec_tlu_sideeffect_posted_disable = mfdc[6]; // @[dec_tlu_ctl.scala 1765:39] - assign io_dec_tlu_core_ecc_disable = mfdc[8]; // @[dec_tlu_ctl.scala 1764:39] - assign io_dec_tlu_external_ldfwd_disable = mfdc[11]; // @[dec_tlu_ctl.scala 1763:39] - assign io_dec_tlu_dma_qos_prty = mfdc[18:16]; // @[dec_tlu_ctl.scala 1762:39] - assign io_dec_csr_wen_r_mod = _T_1 & _T_2; // @[dec_tlu_ctl.scala 1451:23] - assign io_fw_halt_req = _T_492 & _T_493; // @[dec_tlu_ctl.scala 1842:17] - assign io_mstatus = _T_56; // @[dec_tlu_ctl.scala 1467:13] - assign io_mstatus_mie_ns = io_mstatus[0] & _T_54; // @[dec_tlu_ctl.scala 1466:20] - assign io_dcsr = _T_691; // @[dec_tlu_ctl.scala 2053:10] - assign io_mtvec = _T_62; // @[dec_tlu_ctl.scala 1479:11] - assign io_mip = _T_68; // @[dec_tlu_ctl.scala 1494:9] - assign io_mie_ns = wr_mie_r ? _T_78 : mie; // @[dec_tlu_ctl.scala 1508:12] - assign io_npc_r = _T_161 | _T_159; // @[dec_tlu_ctl.scala 1602:11] - assign io_npc_r_d1 = _T_167; // @[dec_tlu_ctl.scala 1608:14] - assign io_mepc = _T_196; // @[dec_tlu_ctl.scala 1627:10] - assign io_mdseac_locked_ns = mdseac_en | _T_479; // @[dec_tlu_ctl.scala 1825:22] - assign io_force_halt = mfdht[0] & _T_599; // @[dec_tlu_ctl.scala 1933:16] - assign io_dpc = _T_716; // @[dec_tlu_ctl.scala 2070:9] - assign io_mtdata1_t_0 = _T_863; // @[dec_tlu_ctl.scala 2226:39] - assign io_mtdata1_t_1 = _T_864; // @[dec_tlu_ctl.scala 2226:39] - assign io_mtdata1_t_2 = _T_865; // @[dec_tlu_ctl.scala 2226:39] - assign io_mtdata1_t_3 = _T_866; // @[dec_tlu_ctl.scala 2226:39] + assign io_dec_tlu_ic_diag_pkt_icache_wrdata = {_T_764,dicad0[31:0]}; // @[dec_tlu_ctl.scala 2153:56] + assign io_dec_tlu_ic_diag_pkt_icache_dicawics = dicawics; // @[dec_tlu_ctl.scala 2156:41] + assign io_dec_tlu_ic_diag_pkt_icache_rd_valid = icache_rd_valid_f; // @[dec_tlu_ctl.scala 2164:41] + assign io_dec_tlu_ic_diag_pkt_icache_wr_valid = icache_wr_valid_f; // @[dec_tlu_ctl.scala 2165:41] + assign io_trigger_pkt_any_0_select = io_mtdata1_t_0[7]; // @[dec_tlu_ctl.scala 2229:40] + assign io_trigger_pkt_any_0_match_pkt = io_mtdata1_t_0[4]; // @[dec_tlu_ctl.scala 2230:43] + assign io_trigger_pkt_any_0_store = io_mtdata1_t_0[1]; // @[dec_tlu_ctl.scala 2231:40] + assign io_trigger_pkt_any_0_load = io_mtdata1_t_0[0]; // @[dec_tlu_ctl.scala 2232:40] + assign io_trigger_pkt_any_0_execute = io_mtdata1_t_0[2]; // @[dec_tlu_ctl.scala 2233:40] + assign io_trigger_pkt_any_0_m = io_mtdata1_t_0[3]; // @[dec_tlu_ctl.scala 2234:40] + assign io_trigger_pkt_any_0_tdata2 = mtdata2_t_0; // @[dec_tlu_ctl.scala 2247:51] + assign io_trigger_pkt_any_1_select = io_mtdata1_t_1[7]; // @[dec_tlu_ctl.scala 2229:40] + assign io_trigger_pkt_any_1_match_pkt = io_mtdata1_t_1[4]; // @[dec_tlu_ctl.scala 2230:43] + assign io_trigger_pkt_any_1_store = io_mtdata1_t_1[1]; // @[dec_tlu_ctl.scala 2231:40] + assign io_trigger_pkt_any_1_load = io_mtdata1_t_1[0]; // @[dec_tlu_ctl.scala 2232:40] + assign io_trigger_pkt_any_1_execute = io_mtdata1_t_1[2]; // @[dec_tlu_ctl.scala 2233:40] + assign io_trigger_pkt_any_1_m = io_mtdata1_t_1[3]; // @[dec_tlu_ctl.scala 2234:40] + assign io_trigger_pkt_any_1_tdata2 = mtdata2_t_1; // @[dec_tlu_ctl.scala 2247:51] + assign io_trigger_pkt_any_2_select = io_mtdata1_t_2[7]; // @[dec_tlu_ctl.scala 2229:40] + assign io_trigger_pkt_any_2_match_pkt = io_mtdata1_t_2[4]; // @[dec_tlu_ctl.scala 2230:43] + assign io_trigger_pkt_any_2_store = io_mtdata1_t_2[1]; // @[dec_tlu_ctl.scala 2231:40] + assign io_trigger_pkt_any_2_load = io_mtdata1_t_2[0]; // @[dec_tlu_ctl.scala 2232:40] + assign io_trigger_pkt_any_2_execute = io_mtdata1_t_2[2]; // @[dec_tlu_ctl.scala 2233:40] + assign io_trigger_pkt_any_2_m = io_mtdata1_t_2[3]; // @[dec_tlu_ctl.scala 2234:40] + assign io_trigger_pkt_any_2_tdata2 = mtdata2_t_2; // @[dec_tlu_ctl.scala 2247:51] + assign io_trigger_pkt_any_3_select = io_mtdata1_t_3[7]; // @[dec_tlu_ctl.scala 2229:40] + assign io_trigger_pkt_any_3_match_pkt = io_mtdata1_t_3[4]; // @[dec_tlu_ctl.scala 2230:43] + assign io_trigger_pkt_any_3_store = io_mtdata1_t_3[1]; // @[dec_tlu_ctl.scala 2231:40] + assign io_trigger_pkt_any_3_load = io_mtdata1_t_3[0]; // @[dec_tlu_ctl.scala 2232:40] + assign io_trigger_pkt_any_3_execute = io_mtdata1_t_3[2]; // @[dec_tlu_ctl.scala 2233:40] + assign io_trigger_pkt_any_3_m = io_mtdata1_t_3[3]; // @[dec_tlu_ctl.scala 2234:40] + assign io_trigger_pkt_any_3_tdata2 = mtdata2_t_3; // @[dec_tlu_ctl.scala 2247:51] + assign io_dec_tlu_int_valid_wb1 = _T_2338; // @[dec_tlu_ctl.scala 2489:30] + assign io_dec_tlu_i0_exc_valid_wb1 = _T_2336; // @[dec_tlu_ctl.scala 2487:30] + assign io_dec_tlu_i0_valid_wb1 = _T_2331; // @[dec_tlu_ctl.scala 2486:30] + assign io_dec_tlu_mtval_wb1 = mtval; // @[dec_tlu_ctl.scala 2491:24] + assign io_dec_tlu_exc_cause_wb1 = _T_2337; // @[dec_tlu_ctl.scala 2488:30] + assign io_dec_tlu_perfcnt0 = mhpmc_inc_r_d1_0 & _T_2183; // @[dec_tlu_ctl.scala 2343:22] + assign io_dec_tlu_perfcnt1 = mhpmc_inc_r_d1_1 & _T_2188; // @[dec_tlu_ctl.scala 2344:22] + assign io_dec_tlu_perfcnt2 = mhpmc_inc_r_d1_2 & _T_2193; // @[dec_tlu_ctl.scala 2345:22] + assign io_dec_tlu_perfcnt3 = mhpmc_inc_r_d1_3 & _T_2198; // @[dec_tlu_ctl.scala 2346:22] + assign io_dec_tlu_misc_clk_override = mcgc[8]; // @[dec_tlu_ctl.scala 1716:31] + assign io_dec_tlu_dec_clk_override = mcgc[7]; // @[dec_tlu_ctl.scala 1717:31] + assign io_dec_tlu_lsu_clk_override = mcgc[4]; // @[dec_tlu_ctl.scala 1719:31] + assign io_dec_tlu_pic_clk_override = mcgc[2]; // @[dec_tlu_ctl.scala 1721:31] + assign io_dec_tlu_dccm_clk_override = mcgc[1]; // @[dec_tlu_ctl.scala 1722:31] + assign io_dec_tlu_icm_clk_override = mcgc[0]; // @[dec_tlu_ctl.scala 1723:31] + assign io_dec_csr_rddata_d = _T_2614 | _T_2560; // @[dec_tlu_ctl.scala 2496:21] + assign io_dec_tlu_pipelining_disable = mfdc[0]; // @[dec_tlu_ctl.scala 1766:39] + assign io_dec_tlu_wr_pause_r = _T_370 & _T_371; // @[dec_tlu_ctl.scala 1775:24] + assign io_dec_tlu_meipt = meipt; // @[dec_tlu_ctl.scala 2004:19] + assign io_dec_tlu_meicurpl = meicurpl; // @[dec_tlu_ctl.scala 1968:22] + assign io_dec_tlu_meihap = {meivt,meihap}; // @[dec_tlu_ctl.scala 1954:20] + assign io_dec_tlu_mrac_ff = mrac; // @[dec_tlu_ctl.scala 1805:21] + assign io_dec_tlu_wb_coalescing_disable = mfdc[2]; // @[dec_tlu_ctl.scala 1765:39] + assign io_dec_tlu_bpred_disable = mfdc[3]; // @[dec_tlu_ctl.scala 1764:39] + assign io_dec_tlu_sideeffect_posted_disable = mfdc[6]; // @[dec_tlu_ctl.scala 1763:39] + assign io_dec_tlu_core_ecc_disable = mfdc[8]; // @[dec_tlu_ctl.scala 1762:39] + assign io_dec_tlu_external_ldfwd_disable = mfdc[11]; // @[dec_tlu_ctl.scala 1761:39] + assign io_dec_tlu_dma_qos_prty = mfdc[18:16]; // @[dec_tlu_ctl.scala 1760:39] + assign io_dec_csr_wen_r_mod = _T_1 & _T_2; // @[dec_tlu_ctl.scala 1449:23] + assign io_fw_halt_req = _T_502 & _T_503; // @[dec_tlu_ctl.scala 1840:17] + assign io_mstatus = _T_56; // @[dec_tlu_ctl.scala 1465:13] + assign io_mstatus_mie_ns = io_mstatus[0] & _T_54; // @[dec_tlu_ctl.scala 1464:20] + assign io_dcsr = _T_701; // @[dec_tlu_ctl.scala 2051:10] + assign io_mtvec = _T_62; // @[dec_tlu_ctl.scala 1477:11] + assign io_mip = _T_68; // @[dec_tlu_ctl.scala 1492:9] + assign io_mie_ns = wr_mie_r ? _T_78 : mie; // @[dec_tlu_ctl.scala 1506:12] + assign io_npc_r = _T_161 | _T_159; // @[dec_tlu_ctl.scala 1600:11] + assign io_npc_r_d1 = _T_167; // @[dec_tlu_ctl.scala 1606:14] + assign io_mepc = _T_196; // @[dec_tlu_ctl.scala 1625:10] + assign io_mdseac_locked_ns = mdseac_en | _T_489; // @[dec_tlu_ctl.scala 1823:22] + assign io_force_halt = mfdht[0] & _T_609; // @[dec_tlu_ctl.scala 1931:16] + assign io_dpc = _T_726; // @[dec_tlu_ctl.scala 2068:9] + assign io_mtdata1_t_0 = _T_873; // @[dec_tlu_ctl.scala 2224:39] + assign io_mtdata1_t_1 = _T_874; // @[dec_tlu_ctl.scala 2224:39] + assign io_mtdata1_t_2 = _T_875; // @[dec_tlu_ctl.scala 2224:39] + assign io_mtdata1_t_3 = _T_876; // @[dec_tlu_ctl.scala 2224:39] assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_io_en = io_dec_csr_wen_r_mod & _T_58; // @[lib.scala 371:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] @@ -52704,34 +52715,34 @@ module csr_tlu( assign rvclkhdr_9_io_en = io_dec_csr_wen_r_mod & _T_337; // @[lib.scala 371:17] assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_10_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_10_io_en = io_dec_csr_wen_r_mod & _T_364; // @[lib.scala 371:17] + assign rvclkhdr_10_io_en = io_dec_csr_wen_r_mod & _T_374; // @[lib.scala 371:17] assign rvclkhdr_10_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_11_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_11_io_en = _T_483 & _T_484; // @[lib.scala 371:17] + assign rvclkhdr_11_io_en = _T_493 & _T_494; // @[lib.scala 371:17] assign rvclkhdr_11_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_12_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_12_io_en = wr_micect_r | io_ic_perr_r_d1; // @[lib.scala 371:17] assign rvclkhdr_12_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_13_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_13_io_en = _T_539 | io_iccm_dma_sb_error; // @[lib.scala 371:17] + assign rvclkhdr_13_io_en = _T_549 | io_iccm_dma_sb_error; // @[lib.scala 371:17] assign rvclkhdr_13_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_14_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_14_io_en = wr_mdccmect_r | io_lsu_single_ecc_error_r_d1; // @[lib.scala 371:17] assign rvclkhdr_14_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_15_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_15_io_en = io_dec_csr_wen_r_mod & _T_602; // @[lib.scala 371:17] + assign rvclkhdr_15_io_en = io_dec_csr_wen_r_mod & _T_612; // @[lib.scala 371:17] assign rvclkhdr_15_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_16_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_16_io_en = _T_622 | io_take_ext_int_start; // @[lib.scala 371:17] + assign rvclkhdr_16_io_en = _T_632 | io_take_ext_int_start; // @[lib.scala 371:17] assign rvclkhdr_16_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_17_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_17_io_en = _T_688 | io_take_nmi; // @[lib.scala 371:17] + assign rvclkhdr_17_io_en = _T_698 | io_take_nmi; // @[lib.scala 371:17] assign rvclkhdr_17_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_18_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_18_io_en = _T_713 | dpc_capture_npc; // @[lib.scala 371:17] + assign rvclkhdr_18_io_en = _T_723 | dpc_capture_npc; // @[lib.scala 371:17] assign rvclkhdr_18_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_19_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_19_io_en = _T_653 & _T_723; // @[lib.scala 371:17] + assign rvclkhdr_19_io_en = _T_663 & _T_733; // @[lib.scala 371:17] assign rvclkhdr_19_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_20_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_20_io_en = wr_dicad0_r | io_ifu_ic_debug_rd_data_valid; // @[lib.scala 371:17] @@ -52740,16 +52751,16 @@ module csr_tlu( assign rvclkhdr_21_io_en = wr_dicad0h_r | io_ifu_ic_debug_rd_data_valid; // @[lib.scala 371:17] assign rvclkhdr_21_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_22_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_22_io_en = _T_962 & _T_798; // @[lib.scala 371:17] + assign rvclkhdr_22_io_en = _T_972 & _T_808; // @[lib.scala 371:17] assign rvclkhdr_22_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_23_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_23_io_en = _T_971 & _T_807; // @[lib.scala 371:17] + assign rvclkhdr_23_io_en = _T_981 & _T_817; // @[lib.scala 371:17] assign rvclkhdr_23_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_24_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_24_io_en = _T_980 & _T_816; // @[lib.scala 371:17] + assign rvclkhdr_24_io_en = _T_990 & _T_826; // @[lib.scala 371:17] assign rvclkhdr_24_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_25_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_25_io_en = _T_989 & _T_825; // @[lib.scala 371:17] + assign rvclkhdr_25_io_en = _T_999 & _T_835; // @[lib.scala 371:17] assign rvclkhdr_25_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_26_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_26_io_en = mhpmc3_wr_en0 | mhpmc3_wr_en1; // @[lib.scala 371:17] @@ -52776,7 +52787,7 @@ module csr_tlu( assign rvclkhdr_33_io_en = mhpmc6h_wr_en0 | mhpmc6_wr_en1; // @[lib.scala 371:17] assign rvclkhdr_33_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_34_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_34_io_en = _T_2318 | io_clk_override; // @[lib.scala 345:16] + assign rvclkhdr_34_io_en = _T_2328 | io_clk_override; // @[lib.scala 345:16] assign rvclkhdr_34_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE @@ -52886,9 +52897,9 @@ initial begin _RAND_35 = {1{`RANDOM}}; meipt = _RAND_35[3:0]; _RAND_36 = {1{`RANDOM}}; - _T_691 = _RAND_36[15:0]; + _T_701 = _RAND_36[15:0]; _RAND_37 = {1{`RANDOM}}; - _T_716 = _RAND_37[30:0]; + _T_726 = _RAND_37[30:0]; _RAND_38 = {1{`RANDOM}}; dicawics = _RAND_38[16:0]; _RAND_39 = {3{`RANDOM}}; @@ -52896,7 +52907,7 @@ initial begin _RAND_40 = {1{`RANDOM}}; dicad0h = _RAND_40[31:0]; _RAND_41 = {1{`RANDOM}}; - _T_749 = _RAND_41[6:0]; + _T_759 = _RAND_41[6:0]; _RAND_42 = {1{`RANDOM}}; icache_rd_valid_f = _RAND_42[0:0]; _RAND_43 = {1{`RANDOM}}; @@ -52904,13 +52915,13 @@ initial begin _RAND_44 = {1{`RANDOM}}; mtsel = _RAND_44[1:0]; _RAND_45 = {1{`RANDOM}}; - _T_863 = _RAND_45[9:0]; + _T_873 = _RAND_45[9:0]; _RAND_46 = {1{`RANDOM}}; - _T_864 = _RAND_46[9:0]; + _T_874 = _RAND_46[9:0]; _RAND_47 = {1{`RANDOM}}; - _T_865 = _RAND_47[9:0]; + _T_875 = _RAND_47[9:0]; _RAND_48 = {1{`RANDOM}}; - _T_866 = _RAND_48[9:0]; + _T_876 = _RAND_48[9:0]; _RAND_49 = {1{`RANDOM}}; mtdata2_t_0 = _RAND_49[31:0]; _RAND_50 = {1{`RANDOM}}; @@ -52954,13 +52965,13 @@ initial begin _RAND_69 = {1{`RANDOM}}; mhpmc6 = _RAND_69[31:0]; _RAND_70 = {1{`RANDOM}}; - _T_2321 = _RAND_70[0:0]; + _T_2331 = _RAND_70[0:0]; _RAND_71 = {1{`RANDOM}}; - _T_2326 = _RAND_71[0:0]; + _T_2336 = _RAND_71[0:0]; _RAND_72 = {1{`RANDOM}}; - _T_2327 = _RAND_72[4:0]; + _T_2337 = _RAND_72[4:0]; _RAND_73 = {1{`RANDOM}}; - _T_2328 = _RAND_73[0:0]; + _T_2338 = _RAND_73[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin mpmc_b = 1'h0; @@ -53071,10 +53082,10 @@ initial begin meipt = 4'h0; end if (reset) begin - _T_691 = 16'h0; + _T_701 = 16'h0; end if (reset) begin - _T_716 = 31'h0; + _T_726 = 31'h0; end if (reset) begin dicawics = 17'h0; @@ -53086,7 +53097,7 @@ initial begin dicad0h = 32'h0; end if (reset) begin - _T_749 = 7'h0; + _T_759 = 7'h0; end if (reset) begin icache_rd_valid_f = 1'h0; @@ -53098,16 +53109,16 @@ initial begin mtsel = 2'h0; end if (reset) begin - _T_863 = 10'h0; + _T_873 = 10'h0; end if (reset) begin - _T_864 = 10'h0; + _T_874 = 10'h0; end if (reset) begin - _T_865 = 10'h0; + _T_875 = 10'h0; end if (reset) begin - _T_866 = 10'h0; + _T_876 = 10'h0; end if (reset) begin mtdata2_t_0 = 32'h0; @@ -53173,16 +53184,16 @@ initial begin mhpmc6 = 32'h0; end if (reset) begin - _T_2321 = 1'h0; + _T_2331 = 1'h0; end if (reset) begin - _T_2326 = 1'h0; + _T_2336 = 1'h0; end if (reset) begin - _T_2327 = 5'h0; + _T_2337 = 5'h0; end if (reset) begin - _T_2328 = 1'h0; + _T_2338 = 1'h0; end `endif // RANDOMIZE end // initial @@ -53194,9 +53205,9 @@ end // initial if (reset) begin mpmc_b <= 1'h0; end else if (wr_mpmc_r) begin - mpmc_b <= _T_500; + mpmc_b <= _T_510; end else begin - mpmc_b <= _T_501; + mpmc_b <= _T_511; end end always @(posedge io_free_clk or posedge reset) begin @@ -53217,27 +53228,27 @@ end // initial if (reset) begin mdccmect <= 32'h0; end else if (wr_mdccmect_r) begin - mdccmect <= _T_515; + mdccmect <= _T_525; end else begin - mdccmect <= _T_559; + mdccmect <= _T_569; end end always @(posedge rvclkhdr_13_io_l1clk or posedge reset) begin if (reset) begin miccmect <= 32'h0; end else if (wr_miccmect_r) begin - miccmect <= _T_515; + miccmect <= _T_525; end else begin - miccmect <= _T_538; + miccmect <= _T_548; end end always @(posedge rvclkhdr_12_io_l1clk or posedge reset) begin if (reset) begin micect <= 32'h0; end else if (wr_micect_r) begin - micect <= _T_515; + micect <= _T_525; end else begin - micect <= _T_517; + micect <= _T_527; end end always @(posedge io_free_clk or posedge reset) begin @@ -53385,14 +53396,14 @@ end // initial if (reset) begin mfdc_int <= 15'h0; end else begin - mfdc_int <= {_T_341,io_dec_csr_wrdata_r[11:0]}; + mfdc_int <= {_T_347,_T_346}; end end always @(posedge rvclkhdr_10_io_l1clk or posedge reset) begin if (reset) begin mrac <= 32'h0; end else begin - mrac <= {_T_474,_T_459}; + mrac <= {_T_484,_T_469}; end end always @(posedge rvclkhdr_11_io_l1clk or posedge reset) begin @@ -53412,11 +53423,11 @@ end // initial always @(posedge io_active_clk or posedge reset) begin if (reset) begin mfdhs <= 2'h0; - end else if (_T_585) begin + end else if (_T_595) begin if (wr_mfdhs_r) begin mfdhs <= io_dec_csr_wrdata_r[1:0]; - end else if (_T_579) begin - mfdhs <= _T_583; + end else if (_T_589) begin + mfdhs <= _T_593; end end end @@ -53425,7 +53436,7 @@ end // initial force_halt_ctr_f <= 32'h0; end else if (mfdht[0]) begin if (io_debug_halt_req_f) begin - force_halt_ctr_f <= _T_590; + force_halt_ctr_f <= _T_600; end else if (io_dbg_tlu_halted_f) begin force_halt_ctr_f <= 32'h0; end @@ -53470,27 +53481,27 @@ end // initial end always @(posedge rvclkhdr_17_io_l1clk or posedge reset) begin if (reset) begin - _T_691 <= 16'h0; + _T_701 <= 16'h0; end else if (enter_debug_halt_req_le) begin - _T_691 <= _T_665; + _T_701 <= _T_675; end else if (wr_dcsr_r) begin - _T_691 <= _T_680; + _T_701 <= _T_690; end else begin - _T_691 <= _T_685; + _T_701 <= _T_695; end end always @(posedge rvclkhdr_18_io_l1clk or posedge reset) begin if (reset) begin - _T_716 <= 31'h0; + _T_726 <= 31'h0; end else begin - _T_716 <= _T_711 | _T_710; + _T_726 <= _T_721 | _T_720; end end always @(posedge rvclkhdr_19_io_l1clk or posedge reset) begin if (reset) begin dicawics <= 17'h0; end else begin - dicawics <= {_T_720,io_dec_csr_wrdata_r[16:3]}; + dicawics <= {_T_730,io_dec_csr_wrdata_r[16:3]}; end end always @(posedge rvclkhdr_20_io_l1clk or posedge reset) begin @@ -53513,12 +53524,12 @@ end // initial end always @(posedge io_active_clk or posedge reset) begin if (reset) begin - _T_749 <= 7'h0; - end else if (_T_747) begin - if (_T_742) begin - _T_749 <= io_dec_csr_wrdata_r[6:0]; + _T_759 <= 7'h0; + end else if (_T_757) begin + if (_T_752) begin + _T_759 <= io_dec_csr_wrdata_r[6:0]; end else begin - _T_749 <= io_ifu_ic_debug_rd_data[70:64]; + _T_759 <= io_ifu_ic_debug_rd_data[70:64]; end end end @@ -53526,14 +53537,14 @@ end // initial if (reset) begin icache_rd_valid_f <= 1'h0; end else begin - icache_rd_valid_f <= _T_759 & _T_761; + icache_rd_valid_f <= _T_769 & _T_771; end end always @(posedge io_active_clk or posedge reset) begin if (reset) begin icache_wr_valid_f <= 1'h0; end else begin - icache_wr_valid_f <= _T_653 & _T_764; + icache_wr_valid_f <= _T_663 & _T_774; end end always @(posedge io_csr_wr_clk or posedge reset) begin @@ -53545,38 +53556,38 @@ end // initial end always @(posedge io_active_clk or posedge reset) begin if (reset) begin - _T_863 <= 10'h0; + _T_873 <= 10'h0; end else if (wr_mtdata1_t_r_0) begin - _T_863 <= tdata_wrdata_r; + _T_873 <= tdata_wrdata_r; end else begin - _T_863 <= _T_834; + _T_873 <= _T_844; end end always @(posedge io_active_clk or posedge reset) begin if (reset) begin - _T_864 <= 10'h0; + _T_874 <= 10'h0; end else if (wr_mtdata1_t_r_1) begin - _T_864 <= tdata_wrdata_r; + _T_874 <= tdata_wrdata_r; end else begin - _T_864 <= _T_843; + _T_874 <= _T_853; end end always @(posedge io_active_clk or posedge reset) begin if (reset) begin - _T_865 <= 10'h0; + _T_875 <= 10'h0; end else if (wr_mtdata1_t_r_2) begin - _T_865 <= tdata_wrdata_r; + _T_875 <= tdata_wrdata_r; end else begin - _T_865 <= _T_852; + _T_875 <= _T_862; end end always @(posedge io_active_clk or posedge reset) begin if (reset) begin - _T_866 <= 10'h0; + _T_876 <= 10'h0; end else if (wr_mtdata1_t_r_3) begin - _T_866 <= tdata_wrdata_r; + _T_876 <= tdata_wrdata_r; end else begin - _T_866 <= _T_861; + _T_876 <= _T_871; end end always @(posedge rvclkhdr_22_io_l1clk or posedge reset) begin @@ -53611,7 +53622,7 @@ end // initial if (reset) begin mhpme3 <= 10'h0; end else if (wr_mhpme3_r) begin - if (_T_2283) begin + if (_T_2293) begin mhpme3 <= 10'h204; end else begin mhpme3 <= io_dec_csr_wrdata_r[9:0]; @@ -53622,7 +53633,7 @@ end // initial if (reset) begin mhpme4 <= 10'h0; end else if (wr_mhpme4_r) begin - if (_T_2283) begin + if (_T_2293) begin mhpme4 <= 10'h204; end else begin mhpme4 <= io_dec_csr_wrdata_r[9:0]; @@ -53633,7 +53644,7 @@ end // initial if (reset) begin mhpme5 <= 10'h0; end else if (wr_mhpme5_r) begin - if (_T_2283) begin + if (_T_2293) begin mhpme5 <= 10'h204; end else begin mhpme5 <= io_dec_csr_wrdata_r[9:0]; @@ -53644,7 +53655,7 @@ end // initial if (reset) begin mhpme6 <= 10'h0; end else if (wr_mhpme6_r) begin - if (_T_2283) begin + if (_T_2293) begin mhpme6 <= 10'h204; end else begin mhpme6 <= io_dec_csr_wrdata_r[9:0]; @@ -53655,28 +53666,28 @@ end // initial if (reset) begin mhpmc_inc_r_d1_0 <= 1'h0; end else begin - mhpmc_inc_r_d1_0 <= _T_1016 & _T_1296; + mhpmc_inc_r_d1_0 <= _T_1026 & _T_1306; end end always @(posedge io_free_clk or posedge reset) begin if (reset) begin mhpmc_inc_r_d1_1 <= 1'h0; end else begin - mhpmc_inc_r_d1_1 <= _T_1300 & _T_1580; + mhpmc_inc_r_d1_1 <= _T_1310 & _T_1590; end end always @(posedge io_free_clk or posedge reset) begin if (reset) begin mhpmc_inc_r_d1_2 <= 1'h0; end else begin - mhpmc_inc_r_d1_2 <= _T_1584 & _T_1864; + mhpmc_inc_r_d1_2 <= _T_1594 & _T_1874; end end always @(posedge io_free_clk or posedge reset) begin if (reset) begin mhpmc_inc_r_d1_3 <= 1'h0; end else begin - mhpmc_inc_r_d1_3 <= _T_1868 & _T_2148; + mhpmc_inc_r_d1_3 <= _T_1878 & _T_2158; end end always @(posedge io_free_clk or posedge reset) begin @@ -53760,30 +53771,30 @@ end // initial end always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin if (reset) begin - _T_2321 <= 1'h0; + _T_2331 <= 1'h0; end else begin - _T_2321 <= io_i0_valid_wb; + _T_2331 <= io_i0_valid_wb; end end always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin if (reset) begin - _T_2326 <= 1'h0; + _T_2336 <= 1'h0; end else begin - _T_2326 <= _T_2322 | _T_2324; + _T_2336 <= _T_2332 | _T_2334; end end always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin if (reset) begin - _T_2327 <= 5'h0; + _T_2337 <= 5'h0; end else begin - _T_2327 <= io_exc_cause_wb; + _T_2337 <= io_exc_cause_wb; end end always @(posedge rvclkhdr_34_io_l1clk or posedge reset) begin if (reset) begin - _T_2328 <= 1'h0; + _T_2338 <= 1'h0; end else begin - _T_2328 <= io_interrupt_valid_r_d1; + _T_2338 <= io_interrupt_valid_r_d1; end end endmodule @@ -53857,371 +53868,371 @@ module dec_decode_csr_read( output io_csr_pkt_postsync, output io_csr_pkt_legal ); - wire _T_1 = ~io_dec_csr_rdaddr_d[11]; // @[dec_tlu_ctl.scala 2570:129] - wire _T_3 = ~io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2570:129] - wire _T_5 = ~io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2570:129] - wire _T_7 = ~io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:129] - wire _T_9 = _T_1 & _T_3; // @[dec_tlu_ctl.scala 2570:198] - wire _T_10 = _T_9 & _T_5; // @[dec_tlu_ctl.scala 2570:198] - wire _T_11 = _T_10 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_15 = ~io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2570:129] - wire _T_17 = ~io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:129] - wire _T_19 = io_dec_csr_rdaddr_d[10] & _T_15; // @[dec_tlu_ctl.scala 2570:198] - wire _T_20 = _T_19 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_27 = ~io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2570:165] - wire _T_29 = _T_19 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_36 = io_dec_csr_rdaddr_d[10] & _T_3; // @[dec_tlu_ctl.scala 2570:198] - wire _T_37 = _T_36 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_69 = _T_10 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_70 = _T_69 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_75 = _T_15 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_94 = ~io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2570:129] - wire _T_96 = ~io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2570:129] - wire _T_101 = io_dec_csr_rdaddr_d[11] & _T_15; // @[dec_tlu_ctl.scala 2570:198] - wire _T_102 = _T_101 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_103 = _T_102 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_104 = _T_103 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_119 = io_dec_csr_rdaddr_d[7] & _T_3; // @[dec_tlu_ctl.scala 2570:198] - wire _T_120 = _T_119 & _T_5; // @[dec_tlu_ctl.scala 2570:198] - wire _T_121 = _T_120 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_122 = _T_121 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_123 = _T_122 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_138 = _T_15 & _T_3; // @[dec_tlu_ctl.scala 2570:198] - wire _T_139 = _T_138 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_140 = _T_139 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_141 = _T_140 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_142 = _T_141 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_145 = ~io_dec_csr_rdaddr_d[10]; // @[dec_tlu_ctl.scala 2570:129] - wire _T_156 = _T_145 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_157 = _T_156 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_158 = _T_157 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_159 = _T_158 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_160 = _T_159 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_172 = _T_75 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_173 = _T_172 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_182 = _T_75 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_183 = _T_182 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_191 = _T_75 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_196 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_217 = _T_1 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_218 = _T_217 & _T_5; // @[dec_tlu_ctl.scala 2570:198] - wire _T_219 = _T_218 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_220 = _T_219 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_230 = io_dec_csr_rdaddr_d[10] & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_231 = _T_230 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_232 = _T_231 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_240 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[10]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_241 = _T_240 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_258 = _T_145 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_259 = _T_258 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_260 = _T_259 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_261 = _T_260 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_268 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_269 = _T_268 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_281 = _T_268 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_291 = _T_36 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_292 = _T_291 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_299 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_300 = _T_299 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_310 = _T_300 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_311 = _T_310 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_330 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_331 = _T_330 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_332 = _T_331 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_342 = _T_231 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_381 = _T_103 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_382 = _T_381 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_397 = _T_103 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_411 = _T_15 & _T_5; // @[dec_tlu_ctl.scala 2570:198] - wire _T_412 = _T_411 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_413 = _T_412 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_414 = _T_413 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_415 = _T_414 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_426 = io_dec_csr_rdaddr_d[7] & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_427 = _T_426 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_428 = _T_427 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_429 = _T_428 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_444 = _T_119 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_445 = _T_444 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_446 = _T_445 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_447 = _T_446 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_460 = _T_427 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_461 = _T_460 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_478 = _T_446 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_490 = _T_15 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_491 = _T_490 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_492 = _T_491 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_493 = _T_492 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_505 = io_dec_csr_rdaddr_d[5] & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_506 = _T_505 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_507 = _T_506 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_508 = _T_507 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_536 = _T_507 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_553 = _T_493 & _T_27; // @[dec_tlu_ctl.scala 2570:198] - wire _T_562 = io_dec_csr_rdaddr_d[6] & _T_5; // @[dec_tlu_ctl.scala 2570:198] - wire _T_563 = _T_562 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_564 = _T_563 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_572 = io_dec_csr_rdaddr_d[6] & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_573 = _T_572 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_574 = _T_573 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_585 = _T_563 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_593 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_594 = _T_593 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_595 = _T_594 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_614 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_615 = _T_614 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_624 = io_dec_csr_rdaddr_d[6] & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_625 = _T_624 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_626 = _T_625 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_668 = _T_196 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_669 = _T_668 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_685 = _T_196 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_693 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_694 = _T_693 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_695 = _T_694 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_703 = _T_624 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_716 = _T_1 & _T_5; // @[dec_tlu_ctl.scala 2570:198] - wire _T_717 = _T_716 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_718 = _T_717 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_719 = _T_718 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_726 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_727 = _T_726 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_737 = _T_230 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_738 = _T_737 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_748 = _T_726 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_749 = _T_748 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_787 = _T_311 | _T_553; // @[dec_tlu_ctl.scala 2638:81] - wire _T_799 = _T_3 & _T_5; // @[dec_tlu_ctl.scala 2570:198] - wire _T_800 = _T_799 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_801 = _T_800 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_802 = _T_801 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_803 = _T_802 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_804 = _T_787 | _T_803; // @[dec_tlu_ctl.scala 2638:121] - wire _T_813 = io_dec_csr_rdaddr_d[11] & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_814 = _T_813 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_815 = _T_814 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_816 = _T_815 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_817 = _T_804 | _T_816; // @[dec_tlu_ctl.scala 2638:155] - wire _T_828 = _T_814 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_829 = _T_828 & _T_27; // @[dec_tlu_ctl.scala 2570:198] - wire _T_830 = _T_817 | _T_829; // @[dec_tlu_ctl.scala 2639:97] - wire _T_841 = io_dec_csr_rdaddr_d[7] & _T_5; // @[dec_tlu_ctl.scala 2570:198] - wire _T_842 = _T_841 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_843 = _T_842 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_844 = _T_843 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_845 = _T_844 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_869 = _T_311 | _T_70; // @[dec_tlu_ctl.scala 2640:81] - wire _T_879 = _T_869 | _T_183; // @[dec_tlu_ctl.scala 2640:121] - wire _T_889 = _T_879 | _T_342; // @[dec_tlu_ctl.scala 2640:162] - wire _T_904 = _T_1 & _T_15; // @[dec_tlu_ctl.scala 2570:198] - wire _T_905 = _T_904 & _T_3; // @[dec_tlu_ctl.scala 2570:198] - wire _T_906 = _T_905 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_907 = _T_906 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_908 = _T_907 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_909 = _T_908 & _T_27; // @[dec_tlu_ctl.scala 2570:198] - wire _T_910 = _T_889 | _T_909; // @[dec_tlu_ctl.scala 2641:105] - wire _T_922 = _T_217 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_923 = _T_922 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_924 = _T_923 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_925 = _T_924 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_926 = _T_910 | _T_925; // @[dec_tlu_ctl.scala 2641:145] - wire _T_937 = _T_231 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_938 = _T_937 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_955 = _T_1 & io_dec_csr_rdaddr_d[10]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_956 = _T_955 & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_957 = _T_956 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_958 = _T_957 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_959 = _T_958 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_960 = _T_959 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_961 = _T_960 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_962 = _T_961 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_963 = _T_962 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_964 = _T_963 & _T_27; // @[dec_tlu_ctl.scala 2570:198] - wire _T_983 = _T_1 & _T_145; // @[dec_tlu_ctl.scala 2570:198] - wire _T_984 = _T_983 & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_985 = _T_984 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_986 = _T_985 & _T_15; // @[dec_tlu_ctl.scala 2570:198] - wire _T_987 = _T_986 & _T_3; // @[dec_tlu_ctl.scala 2570:198] - wire _T_988 = _T_987 & _T_5; // @[dec_tlu_ctl.scala 2570:198] - wire _T_989 = _T_988 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_990 = _T_989 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_991 = _T_990 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_992 = _T_964 | _T_991; // @[dec_tlu_ctl.scala 2643:81] - wire _T_1013 = _T_987 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1014 = _T_1013 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1015 = _T_1014 & _T_27; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1016 = _T_992 | _T_1015; // @[dec_tlu_ctl.scala 2643:129] - wire _T_1032 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1033 = _T_1032 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1034 = _T_1033 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1035 = _T_1034 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1036 = _T_1035 & _T_5; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1037 = _T_1036 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1038 = _T_1037 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1039 = _T_1038 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1040 = _T_1039 & _T_27; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1041 = _T_1016 | _T_1040; // @[dec_tlu_ctl.scala 2644:105] - wire _T_1053 = io_dec_csr_rdaddr_d[11] & _T_145; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1054 = _T_1053 & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1055 = _T_1054 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1056 = _T_1055 & _T_3; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1057 = _T_1056 & _T_5; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1058 = _T_1057 & _T_27; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1059 = _T_1041 | _T_1058; // @[dec_tlu_ctl.scala 2644:153] - wire _T_1078 = _T_959 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1079 = _T_1078 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1080 = _T_1079 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1081 = _T_1080 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1082 = _T_1081 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1083 = _T_1082 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1084 = _T_1059 | _T_1083; // @[dec_tlu_ctl.scala 2645:105] - wire _T_1105 = _T_1079 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1106 = _T_1105 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1107 = _T_1084 | _T_1106; // @[dec_tlu_ctl.scala 2645:153] - wire _T_1125 = _T_1033 & _T_15; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1126 = _T_1125 & _T_3; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1127 = _T_1126 & _T_5; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1128 = _T_1127 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1129 = _T_1128 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1130 = _T_1129 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1131 = _T_1130 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1132 = _T_1107 | _T_1131; // @[dec_tlu_ctl.scala 2646:105] - wire _T_1152 = _T_958 & _T_3; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1153 = _T_1152 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1154 = _T_1153 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1155 = _T_1154 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1156 = _T_1155 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1157 = _T_1132 | _T_1156; // @[dec_tlu_ctl.scala 2646:161] - wire _T_1176 = _T_1013 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1177 = _T_1157 | _T_1176; // @[dec_tlu_ctl.scala 2647:105] - wire _T_1202 = _T_1129 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1203 = _T_1202 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1204 = _T_1203 & _T_27; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1205 = _T_1177 | _T_1204; // @[dec_tlu_ctl.scala 2647:161] - wire _T_1224 = _T_959 & _T_5; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1225 = _T_1224 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1226 = _T_1225 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1227 = _T_1226 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1228 = _T_1205 | _T_1227; // @[dec_tlu_ctl.scala 2648:97] - wire _T_1248 = _T_1224 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1249 = _T_1248 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1250 = _T_1249 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1251 = _T_1228 | _T_1250; // @[dec_tlu_ctl.scala 2648:153] - wire _T_1275 = _T_1130 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1276 = _T_1251 | _T_1275; // @[dec_tlu_ctl.scala 2649:105] - wire _T_1296 = _T_1013 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1297 = _T_1296 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1298 = _T_1276 | _T_1297; // @[dec_tlu_ctl.scala 2649:161] - wire _T_1315 = _T_1055 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1316 = _T_1315 & _T_5; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1317 = _T_1316 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1318 = _T_1317 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1319 = _T_1318 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1320 = _T_1298 | _T_1319; // @[dec_tlu_ctl.scala 2650:105] - wire _T_1343 = _T_1318 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1344 = _T_1343 & _T_27; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1345 = _T_1320 | _T_1344; // @[dec_tlu_ctl.scala 2650:161] - wire _T_1361 = _T_1057 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1362 = _T_1345 | _T_1361; // @[dec_tlu_ctl.scala 2651:105] - wire _T_1384 = _T_1249 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1385 = _T_1362 | _T_1384; // @[dec_tlu_ctl.scala 2651:161] - wire _T_1406 = _T_1225 & _T_27; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1407 = _T_1385 | _T_1406; // @[dec_tlu_ctl.scala 2652:105] - wire _T_1430 = _T_1226 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1431 = _T_1407 | _T_1430; // @[dec_tlu_ctl.scala 2652:161] - wire _T_1455 = _T_1153 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1456 = _T_1455 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1457 = _T_1456 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1458 = _T_1457 & _T_27; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1459 = _T_1431 | _T_1458; // @[dec_tlu_ctl.scala 2653:105] - wire _T_1475 = _T_1057 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1476 = _T_1459 | _T_1475; // @[dec_tlu_ctl.scala 2653:153] - wire _T_1498 = _T_986 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1499 = _T_1498 & _T_5; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1500 = _T_1499 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1501 = _T_1500 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1502 = _T_1501 & _T_7; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1503 = _T_1476 | _T_1502; // @[dec_tlu_ctl.scala 2654:113] - wire _T_1526 = _T_986 & _T_5; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1527 = _T_1526 & _T_94; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1528 = _T_1527 & _T_96; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1529 = _T_1528 & _T_17; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1530 = _T_1529 & _T_27; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1531 = _T_1503 | _T_1530; // @[dec_tlu_ctl.scala 2654:161] - wire _T_1550 = _T_1013 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1551 = _T_1531 | _T_1550; // @[dec_tlu_ctl.scala 2655:97] - wire _T_1567 = _T_1057 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1568 = _T_1551 | _T_1567; // @[dec_tlu_ctl.scala 2655:153] - wire _T_1587 = _T_1013 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2570:198] - wire _T_1588 = _T_1568 | _T_1587; // @[dec_tlu_ctl.scala 2656:113] - wire _T_1604 = _T_1057 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2570:198] - assign io_csr_pkt_csr_misa = _T_11 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2572:57] - assign io_csr_pkt_csr_mvendorid = _T_20 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2573:57] - assign io_csr_pkt_csr_marchid = _T_29 & _T_27; // @[dec_tlu_ctl.scala 2574:57] - assign io_csr_pkt_csr_mimpid = _T_37 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2575:57] - assign io_csr_pkt_csr_mhartid = _T_19 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2576:57] - assign io_csr_pkt_csr_mstatus = _T_11 & _T_27; // @[dec_tlu_ctl.scala 2577:57] - assign io_csr_pkt_csr_mtvec = _T_69 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2578:57] - assign io_csr_pkt_csr_mip = _T_75 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2579:65] - assign io_csr_pkt_csr_mie = _T_69 & _T_27; // @[dec_tlu_ctl.scala 2580:65] - assign io_csr_pkt_csr_mcyclel = _T_104 & _T_17; // @[dec_tlu_ctl.scala 2581:57] - assign io_csr_pkt_csr_mcycleh = _T_123 & _T_17; // @[dec_tlu_ctl.scala 2582:57] - assign io_csr_pkt_csr_minstretl = _T_142 & _T_27; // @[dec_tlu_ctl.scala 2583:57] - assign io_csr_pkt_csr_minstreth = _T_160 & _T_27; // @[dec_tlu_ctl.scala 2584:57] - assign io_csr_pkt_csr_mscratch = _T_173 & _T_27; // @[dec_tlu_ctl.scala 2585:57] - assign io_csr_pkt_csr_mepc = _T_182 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2586:57] - assign io_csr_pkt_csr_mcause = _T_191 & _T_27; // @[dec_tlu_ctl.scala 2587:57] - assign io_csr_pkt_csr_mscause = _T_196 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2588:57] - assign io_csr_pkt_csr_mtval = _T_191 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2589:57] - assign io_csr_pkt_csr_mrac = _T_220 & _T_17; // @[dec_tlu_ctl.scala 2590:57] - assign io_csr_pkt_csr_dmst = _T_232 & _T_17; // @[dec_tlu_ctl.scala 2591:57] - assign io_csr_pkt_csr_mdseac = _T_241 & _T_96; // @[dec_tlu_ctl.scala 2592:57] - assign io_csr_pkt_csr_meihap = _T_240 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2593:57] - assign io_csr_pkt_csr_meivt = _T_261 & _T_27; // @[dec_tlu_ctl.scala 2594:57] - assign io_csr_pkt_csr_meipt = _T_269 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2595:57] - assign io_csr_pkt_csr_meicurpl = _T_268 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2596:57] - assign io_csr_pkt_csr_meicidpl = _T_281 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2597:57] - assign io_csr_pkt_csr_dcsr = _T_292 & _T_27; // @[dec_tlu_ctl.scala 2598:57] - assign io_csr_pkt_csr_mcgc = _T_300 & _T_27; // @[dec_tlu_ctl.scala 2599:57] - assign io_csr_pkt_csr_mfdc = _T_310 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2600:57] - assign io_csr_pkt_csr_dpc = _T_292 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2601:65] - assign io_csr_pkt_csr_mtsel = _T_332 & _T_27; // @[dec_tlu_ctl.scala 2602:57] - assign io_csr_pkt_csr_mtdata1 = _T_231 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2603:57] - assign io_csr_pkt_csr_mtdata2 = _T_331 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2604:57] - assign io_csr_pkt_csr_mhpmc3 = _T_104 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2605:57] - assign io_csr_pkt_csr_mhpmc4 = _T_382 & _T_27; // @[dec_tlu_ctl.scala 2606:57] - assign io_csr_pkt_csr_mhpmc5 = _T_397 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2607:57] - assign io_csr_pkt_csr_mhpmc6 = _T_415 & _T_27; // @[dec_tlu_ctl.scala 2608:57] - assign io_csr_pkt_csr_mhpmc3h = _T_429 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2609:57] - assign io_csr_pkt_csr_mhpmc4h = _T_447 & _T_27; // @[dec_tlu_ctl.scala 2610:57] - assign io_csr_pkt_csr_mhpmc5h = _T_461 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2611:57] - assign io_csr_pkt_csr_mhpmc6h = _T_478 & _T_27; // @[dec_tlu_ctl.scala 2612:57] - assign io_csr_pkt_csr_mhpme3 = _T_493 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2613:57] - assign io_csr_pkt_csr_mhpme4 = _T_508 & _T_27; // @[dec_tlu_ctl.scala 2614:57] - assign io_csr_pkt_csr_mhpme5 = _T_508 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2615:57] - assign io_csr_pkt_csr_mhpme6 = _T_536 & _T_27; // @[dec_tlu_ctl.scala 2616:57] - assign io_csr_pkt_csr_mcountinhibit = _T_493 & _T_27; // @[dec_tlu_ctl.scala 2617:49] - assign io_csr_pkt_csr_mitctl0 = _T_564 & _T_27; // @[dec_tlu_ctl.scala 2618:57] - assign io_csr_pkt_csr_mitctl1 = _T_574 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2619:57] - assign io_csr_pkt_csr_mitb0 = _T_585 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2620:57] - assign io_csr_pkt_csr_mitb1 = _T_595 & _T_27; // @[dec_tlu_ctl.scala 2621:57] - assign io_csr_pkt_csr_mitcnt0 = _T_585 & _T_27; // @[dec_tlu_ctl.scala 2622:57] - assign io_csr_pkt_csr_mitcnt1 = _T_615 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2623:57] - assign io_csr_pkt_csr_mpmc = _T_626 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2624:57] - assign io_csr_pkt_csr_meicpct = _T_281 & _T_27; // @[dec_tlu_ctl.scala 2626:57] - assign io_csr_pkt_csr_micect = _T_669 & _T_27; // @[dec_tlu_ctl.scala 2628:57] - assign io_csr_pkt_csr_miccmect = _T_668 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2629:57] - assign io_csr_pkt_csr_mdccmect = _T_685 & _T_27; // @[dec_tlu_ctl.scala 2630:57] - assign io_csr_pkt_csr_mfdht = _T_695 & _T_27; // @[dec_tlu_ctl.scala 2631:57] - assign io_csr_pkt_csr_mfdhs = _T_703 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2632:57] - assign io_csr_pkt_csr_dicawics = _T_719 & _T_27; // @[dec_tlu_ctl.scala 2633:57] - assign io_csr_pkt_csr_dicad0h = _T_727 & _T_17; // @[dec_tlu_ctl.scala 2634:57] - assign io_csr_pkt_csr_dicad0 = _T_738 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2635:57] - assign io_csr_pkt_csr_dicad1 = _T_749 & _T_27; // @[dec_tlu_ctl.scala 2636:57] - assign io_csr_pkt_csr_dicago = _T_749 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2637:57] - assign io_csr_pkt_presync = _T_830 | _T_845; // @[dec_tlu_ctl.scala 2638:34] - assign io_csr_pkt_postsync = _T_926 | _T_938; // @[dec_tlu_ctl.scala 2640:30] - assign io_csr_pkt_legal = _T_1588 | _T_1604; // @[dec_tlu_ctl.scala 2643:26] + wire _T_1 = ~io_dec_csr_rdaddr_d[11]; // @[dec_tlu_ctl.scala 2568:129] + wire _T_3 = ~io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2568:129] + wire _T_5 = ~io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2568:129] + wire _T_7 = ~io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:129] + wire _T_9 = _T_1 & _T_3; // @[dec_tlu_ctl.scala 2568:198] + wire _T_10 = _T_9 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_11 = _T_10 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_15 = ~io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2568:129] + wire _T_17 = ~io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:129] + wire _T_19 = io_dec_csr_rdaddr_d[10] & _T_15; // @[dec_tlu_ctl.scala 2568:198] + wire _T_20 = _T_19 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_27 = ~io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2568:165] + wire _T_29 = _T_19 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_36 = io_dec_csr_rdaddr_d[10] & _T_3; // @[dec_tlu_ctl.scala 2568:198] + wire _T_37 = _T_36 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_69 = _T_10 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_70 = _T_69 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_75 = _T_15 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_94 = ~io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:129] + wire _T_96 = ~io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:129] + wire _T_101 = io_dec_csr_rdaddr_d[11] & _T_15; // @[dec_tlu_ctl.scala 2568:198] + wire _T_102 = _T_101 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_103 = _T_102 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_104 = _T_103 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_119 = io_dec_csr_rdaddr_d[7] & _T_3; // @[dec_tlu_ctl.scala 2568:198] + wire _T_120 = _T_119 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_121 = _T_120 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_122 = _T_121 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_123 = _T_122 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_138 = _T_15 & _T_3; // @[dec_tlu_ctl.scala 2568:198] + wire _T_139 = _T_138 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_140 = _T_139 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_141 = _T_140 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_142 = _T_141 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_145 = ~io_dec_csr_rdaddr_d[10]; // @[dec_tlu_ctl.scala 2568:129] + wire _T_156 = _T_145 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_157 = _T_156 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_158 = _T_157 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_159 = _T_158 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_160 = _T_159 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_172 = _T_75 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_173 = _T_172 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_182 = _T_75 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_183 = _T_182 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_191 = _T_75 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_196 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_217 = _T_1 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_218 = _T_217 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_219 = _T_218 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_220 = _T_219 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_230 = io_dec_csr_rdaddr_d[10] & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_231 = _T_230 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_232 = _T_231 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_240 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[10]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_241 = _T_240 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_258 = _T_145 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_259 = _T_258 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_260 = _T_259 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_261 = _T_260 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_268 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_269 = _T_268 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_281 = _T_268 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_291 = _T_36 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_292 = _T_291 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_299 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_300 = _T_299 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_310 = _T_300 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_311 = _T_310 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_330 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_331 = _T_330 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_332 = _T_331 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_342 = _T_231 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_381 = _T_103 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_382 = _T_381 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_397 = _T_103 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_411 = _T_15 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_412 = _T_411 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_413 = _T_412 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_414 = _T_413 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_415 = _T_414 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_426 = io_dec_csr_rdaddr_d[7] & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_427 = _T_426 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_428 = _T_427 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_429 = _T_428 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_444 = _T_119 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_445 = _T_444 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_446 = _T_445 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_447 = _T_446 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_460 = _T_427 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_461 = _T_460 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_478 = _T_446 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_490 = _T_15 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_491 = _T_490 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_492 = _T_491 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_493 = _T_492 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_505 = io_dec_csr_rdaddr_d[5] & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_506 = _T_505 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_507 = _T_506 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_508 = _T_507 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_536 = _T_507 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_553 = _T_493 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_562 = io_dec_csr_rdaddr_d[6] & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_563 = _T_562 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_564 = _T_563 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_572 = io_dec_csr_rdaddr_d[6] & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_573 = _T_572 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_574 = _T_573 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_585 = _T_563 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_593 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_594 = _T_593 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_595 = _T_594 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_614 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_615 = _T_614 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_624 = io_dec_csr_rdaddr_d[6] & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_625 = _T_624 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_626 = _T_625 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_668 = _T_196 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_669 = _T_668 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_685 = _T_196 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_693 = io_dec_csr_rdaddr_d[6] & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_694 = _T_693 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_695 = _T_694 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_703 = _T_624 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_716 = _T_1 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_717 = _T_716 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_718 = _T_717 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_719 = _T_718 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_726 = io_dec_csr_rdaddr_d[10] & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_727 = _T_726 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_737 = _T_230 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_738 = _T_737 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_748 = _T_726 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_749 = _T_748 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_787 = _T_311 | _T_553; // @[dec_tlu_ctl.scala 2636:81] + wire _T_799 = _T_3 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_800 = _T_799 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_801 = _T_800 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_802 = _T_801 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_803 = _T_802 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_804 = _T_787 | _T_803; // @[dec_tlu_ctl.scala 2636:121] + wire _T_813 = io_dec_csr_rdaddr_d[11] & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_814 = _T_813 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_815 = _T_814 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_816 = _T_815 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_817 = _T_804 | _T_816; // @[dec_tlu_ctl.scala 2636:155] + wire _T_828 = _T_814 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_829 = _T_828 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_830 = _T_817 | _T_829; // @[dec_tlu_ctl.scala 2637:97] + wire _T_841 = io_dec_csr_rdaddr_d[7] & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_842 = _T_841 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_843 = _T_842 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_844 = _T_843 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_845 = _T_844 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_869 = _T_311 | _T_70; // @[dec_tlu_ctl.scala 2638:81] + wire _T_879 = _T_869 | _T_183; // @[dec_tlu_ctl.scala 2638:121] + wire _T_889 = _T_879 | _T_342; // @[dec_tlu_ctl.scala 2638:162] + wire _T_904 = _T_1 & _T_15; // @[dec_tlu_ctl.scala 2568:198] + wire _T_905 = _T_904 & _T_3; // @[dec_tlu_ctl.scala 2568:198] + wire _T_906 = _T_905 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_907 = _T_906 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_908 = _T_907 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_909 = _T_908 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_910 = _T_889 | _T_909; // @[dec_tlu_ctl.scala 2639:105] + wire _T_922 = _T_217 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_923 = _T_922 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_924 = _T_923 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_925 = _T_924 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_926 = _T_910 | _T_925; // @[dec_tlu_ctl.scala 2639:145] + wire _T_937 = _T_231 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_938 = _T_937 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_955 = _T_1 & io_dec_csr_rdaddr_d[10]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_956 = _T_955 & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_957 = _T_956 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_958 = _T_957 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_959 = _T_958 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_960 = _T_959 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_961 = _T_960 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_962 = _T_961 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_963 = _T_962 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_964 = _T_963 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_983 = _T_1 & _T_145; // @[dec_tlu_ctl.scala 2568:198] + wire _T_984 = _T_983 & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_985 = _T_984 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_986 = _T_985 & _T_15; // @[dec_tlu_ctl.scala 2568:198] + wire _T_987 = _T_986 & _T_3; // @[dec_tlu_ctl.scala 2568:198] + wire _T_988 = _T_987 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_989 = _T_988 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_990 = _T_989 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_991 = _T_990 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_992 = _T_964 | _T_991; // @[dec_tlu_ctl.scala 2641:81] + wire _T_1013 = _T_987 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1014 = _T_1013 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1015 = _T_1014 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1016 = _T_992 | _T_1015; // @[dec_tlu_ctl.scala 2641:129] + wire _T_1032 = io_dec_csr_rdaddr_d[11] & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1033 = _T_1032 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1034 = _T_1033 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1035 = _T_1034 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1036 = _T_1035 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1037 = _T_1036 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1038 = _T_1037 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1039 = _T_1038 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1040 = _T_1039 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1041 = _T_1016 | _T_1040; // @[dec_tlu_ctl.scala 2642:105] + wire _T_1053 = io_dec_csr_rdaddr_d[11] & _T_145; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1054 = _T_1053 & io_dec_csr_rdaddr_d[9]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1055 = _T_1054 & io_dec_csr_rdaddr_d[8]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1056 = _T_1055 & _T_3; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1057 = _T_1056 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1058 = _T_1057 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1059 = _T_1041 | _T_1058; // @[dec_tlu_ctl.scala 2642:153] + wire _T_1078 = _T_959 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1079 = _T_1078 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1080 = _T_1079 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1081 = _T_1080 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1082 = _T_1081 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1083 = _T_1082 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1084 = _T_1059 | _T_1083; // @[dec_tlu_ctl.scala 2643:105] + wire _T_1105 = _T_1079 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1106 = _T_1105 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1107 = _T_1084 | _T_1106; // @[dec_tlu_ctl.scala 2643:153] + wire _T_1125 = _T_1033 & _T_15; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1126 = _T_1125 & _T_3; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1127 = _T_1126 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1128 = _T_1127 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1129 = _T_1128 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1130 = _T_1129 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1131 = _T_1130 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1132 = _T_1107 | _T_1131; // @[dec_tlu_ctl.scala 2644:105] + wire _T_1152 = _T_958 & _T_3; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1153 = _T_1152 & io_dec_csr_rdaddr_d[5]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1154 = _T_1153 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1155 = _T_1154 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1156 = _T_1155 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1157 = _T_1132 | _T_1156; // @[dec_tlu_ctl.scala 2644:161] + wire _T_1176 = _T_1013 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1177 = _T_1157 | _T_1176; // @[dec_tlu_ctl.scala 2645:105] + wire _T_1202 = _T_1129 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1203 = _T_1202 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1204 = _T_1203 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1205 = _T_1177 | _T_1204; // @[dec_tlu_ctl.scala 2645:161] + wire _T_1224 = _T_959 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1225 = _T_1224 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1226 = _T_1225 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1227 = _T_1226 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1228 = _T_1205 | _T_1227; // @[dec_tlu_ctl.scala 2646:97] + wire _T_1248 = _T_1224 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1249 = _T_1248 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1250 = _T_1249 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1251 = _T_1228 | _T_1250; // @[dec_tlu_ctl.scala 2646:153] + wire _T_1275 = _T_1130 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1276 = _T_1251 | _T_1275; // @[dec_tlu_ctl.scala 2647:105] + wire _T_1296 = _T_1013 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1297 = _T_1296 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1298 = _T_1276 | _T_1297; // @[dec_tlu_ctl.scala 2647:161] + wire _T_1315 = _T_1055 & io_dec_csr_rdaddr_d[7]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1316 = _T_1315 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1317 = _T_1316 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1318 = _T_1317 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1319 = _T_1318 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1320 = _T_1298 | _T_1319; // @[dec_tlu_ctl.scala 2648:105] + wire _T_1343 = _T_1318 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1344 = _T_1343 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1345 = _T_1320 | _T_1344; // @[dec_tlu_ctl.scala 2648:161] + wire _T_1361 = _T_1057 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1362 = _T_1345 | _T_1361; // @[dec_tlu_ctl.scala 2649:105] + wire _T_1384 = _T_1249 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1385 = _T_1362 | _T_1384; // @[dec_tlu_ctl.scala 2649:161] + wire _T_1406 = _T_1225 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1407 = _T_1385 | _T_1406; // @[dec_tlu_ctl.scala 2650:105] + wire _T_1430 = _T_1226 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1431 = _T_1407 | _T_1430; // @[dec_tlu_ctl.scala 2650:161] + wire _T_1455 = _T_1153 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1456 = _T_1455 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1457 = _T_1456 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1458 = _T_1457 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1459 = _T_1431 | _T_1458; // @[dec_tlu_ctl.scala 2651:105] + wire _T_1475 = _T_1057 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1476 = _T_1459 | _T_1475; // @[dec_tlu_ctl.scala 2651:153] + wire _T_1498 = _T_986 & io_dec_csr_rdaddr_d[6]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1499 = _T_1498 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1500 = _T_1499 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1501 = _T_1500 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1502 = _T_1501 & _T_7; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1503 = _T_1476 | _T_1502; // @[dec_tlu_ctl.scala 2652:113] + wire _T_1526 = _T_986 & _T_5; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1527 = _T_1526 & _T_94; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1528 = _T_1527 & _T_96; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1529 = _T_1528 & _T_17; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1530 = _T_1529 & _T_27; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1531 = _T_1503 | _T_1530; // @[dec_tlu_ctl.scala 2652:161] + wire _T_1550 = _T_1013 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1551 = _T_1531 | _T_1550; // @[dec_tlu_ctl.scala 2653:97] + wire _T_1567 = _T_1057 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1568 = _T_1551 | _T_1567; // @[dec_tlu_ctl.scala 2653:153] + wire _T_1587 = _T_1013 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + wire _T_1588 = _T_1568 | _T_1587; // @[dec_tlu_ctl.scala 2654:113] + wire _T_1604 = _T_1057 & io_dec_csr_rdaddr_d[4]; // @[dec_tlu_ctl.scala 2568:198] + assign io_csr_pkt_csr_misa = _T_11 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2570:57] + assign io_csr_pkt_csr_mvendorid = _T_20 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2571:57] + assign io_csr_pkt_csr_marchid = _T_29 & _T_27; // @[dec_tlu_ctl.scala 2572:57] + assign io_csr_pkt_csr_mimpid = _T_37 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2573:57] + assign io_csr_pkt_csr_mhartid = _T_19 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2574:57] + assign io_csr_pkt_csr_mstatus = _T_11 & _T_27; // @[dec_tlu_ctl.scala 2575:57] + assign io_csr_pkt_csr_mtvec = _T_69 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2576:57] + assign io_csr_pkt_csr_mip = _T_75 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2577:65] + assign io_csr_pkt_csr_mie = _T_69 & _T_27; // @[dec_tlu_ctl.scala 2578:65] + assign io_csr_pkt_csr_mcyclel = _T_104 & _T_17; // @[dec_tlu_ctl.scala 2579:57] + assign io_csr_pkt_csr_mcycleh = _T_123 & _T_17; // @[dec_tlu_ctl.scala 2580:57] + assign io_csr_pkt_csr_minstretl = _T_142 & _T_27; // @[dec_tlu_ctl.scala 2581:57] + assign io_csr_pkt_csr_minstreth = _T_160 & _T_27; // @[dec_tlu_ctl.scala 2582:57] + assign io_csr_pkt_csr_mscratch = _T_173 & _T_27; // @[dec_tlu_ctl.scala 2583:57] + assign io_csr_pkt_csr_mepc = _T_182 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2584:57] + assign io_csr_pkt_csr_mcause = _T_191 & _T_27; // @[dec_tlu_ctl.scala 2585:57] + assign io_csr_pkt_csr_mscause = _T_196 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2586:57] + assign io_csr_pkt_csr_mtval = _T_191 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2587:57] + assign io_csr_pkt_csr_mrac = _T_220 & _T_17; // @[dec_tlu_ctl.scala 2588:57] + assign io_csr_pkt_csr_dmst = _T_232 & _T_17; // @[dec_tlu_ctl.scala 2589:57] + assign io_csr_pkt_csr_mdseac = _T_241 & _T_96; // @[dec_tlu_ctl.scala 2590:57] + assign io_csr_pkt_csr_meihap = _T_240 & io_dec_csr_rdaddr_d[3]; // @[dec_tlu_ctl.scala 2591:57] + assign io_csr_pkt_csr_meivt = _T_261 & _T_27; // @[dec_tlu_ctl.scala 2592:57] + assign io_csr_pkt_csr_meipt = _T_269 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2593:57] + assign io_csr_pkt_csr_meicurpl = _T_268 & io_dec_csr_rdaddr_d[2]; // @[dec_tlu_ctl.scala 2594:57] + assign io_csr_pkt_csr_meicidpl = _T_281 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2595:57] + assign io_csr_pkt_csr_dcsr = _T_292 & _T_27; // @[dec_tlu_ctl.scala 2596:57] + assign io_csr_pkt_csr_mcgc = _T_300 & _T_27; // @[dec_tlu_ctl.scala 2597:57] + assign io_csr_pkt_csr_mfdc = _T_310 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2598:57] + assign io_csr_pkt_csr_dpc = _T_292 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2599:65] + assign io_csr_pkt_csr_mtsel = _T_332 & _T_27; // @[dec_tlu_ctl.scala 2600:57] + assign io_csr_pkt_csr_mtdata1 = _T_231 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2601:57] + assign io_csr_pkt_csr_mtdata2 = _T_331 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2602:57] + assign io_csr_pkt_csr_mhpmc3 = _T_104 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2603:57] + assign io_csr_pkt_csr_mhpmc4 = _T_382 & _T_27; // @[dec_tlu_ctl.scala 2604:57] + assign io_csr_pkt_csr_mhpmc5 = _T_397 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2605:57] + assign io_csr_pkt_csr_mhpmc6 = _T_415 & _T_27; // @[dec_tlu_ctl.scala 2606:57] + assign io_csr_pkt_csr_mhpmc3h = _T_429 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2607:57] + assign io_csr_pkt_csr_mhpmc4h = _T_447 & _T_27; // @[dec_tlu_ctl.scala 2608:57] + assign io_csr_pkt_csr_mhpmc5h = _T_461 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2609:57] + assign io_csr_pkt_csr_mhpmc6h = _T_478 & _T_27; // @[dec_tlu_ctl.scala 2610:57] + assign io_csr_pkt_csr_mhpme3 = _T_493 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2611:57] + assign io_csr_pkt_csr_mhpme4 = _T_508 & _T_27; // @[dec_tlu_ctl.scala 2612:57] + assign io_csr_pkt_csr_mhpme5 = _T_508 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2613:57] + assign io_csr_pkt_csr_mhpme6 = _T_536 & _T_27; // @[dec_tlu_ctl.scala 2614:57] + assign io_csr_pkt_csr_mcountinhibit = _T_493 & _T_27; // @[dec_tlu_ctl.scala 2615:49] + assign io_csr_pkt_csr_mitctl0 = _T_564 & _T_27; // @[dec_tlu_ctl.scala 2616:57] + assign io_csr_pkt_csr_mitctl1 = _T_574 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2617:57] + assign io_csr_pkt_csr_mitb0 = _T_585 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2618:57] + assign io_csr_pkt_csr_mitb1 = _T_595 & _T_27; // @[dec_tlu_ctl.scala 2619:57] + assign io_csr_pkt_csr_mitcnt0 = _T_585 & _T_27; // @[dec_tlu_ctl.scala 2620:57] + assign io_csr_pkt_csr_mitcnt1 = _T_615 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2621:57] + assign io_csr_pkt_csr_mpmc = _T_626 & io_dec_csr_rdaddr_d[1]; // @[dec_tlu_ctl.scala 2622:57] + assign io_csr_pkt_csr_meicpct = _T_281 & _T_27; // @[dec_tlu_ctl.scala 2624:57] + assign io_csr_pkt_csr_micect = _T_669 & _T_27; // @[dec_tlu_ctl.scala 2626:57] + assign io_csr_pkt_csr_miccmect = _T_668 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2627:57] + assign io_csr_pkt_csr_mdccmect = _T_685 & _T_27; // @[dec_tlu_ctl.scala 2628:57] + assign io_csr_pkt_csr_mfdht = _T_695 & _T_27; // @[dec_tlu_ctl.scala 2629:57] + assign io_csr_pkt_csr_mfdhs = _T_703 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2630:57] + assign io_csr_pkt_csr_dicawics = _T_719 & _T_27; // @[dec_tlu_ctl.scala 2631:57] + assign io_csr_pkt_csr_dicad0h = _T_727 & _T_17; // @[dec_tlu_ctl.scala 2632:57] + assign io_csr_pkt_csr_dicad0 = _T_738 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2633:57] + assign io_csr_pkt_csr_dicad1 = _T_749 & _T_27; // @[dec_tlu_ctl.scala 2634:57] + assign io_csr_pkt_csr_dicago = _T_749 & io_dec_csr_rdaddr_d[0]; // @[dec_tlu_ctl.scala 2635:57] + assign io_csr_pkt_presync = _T_830 | _T_845; // @[dec_tlu_ctl.scala 2636:34] + assign io_csr_pkt_postsync = _T_926 | _T_938; // @[dec_tlu_ctl.scala 2638:30] + assign io_csr_pkt_legal = _T_1588 | _T_1604; // @[dec_tlu_ctl.scala 2641:26] endmodule module dec_tlu_ctl( input clock, @@ -54367,7 +54378,6 @@ module dec_tlu_ctl( output io_dec_tlu_misc_clk_override, output io_dec_tlu_dec_clk_override, output io_dec_tlu_lsu_clk_override, - output io_dec_tlu_bus_clk_override, output io_dec_tlu_pic_clk_override, output io_dec_tlu_dccm_clk_override, output io_dec_tlu_icm_clk_override, @@ -54408,6 +54418,7 @@ module dec_tlu_ctl( input io_tlu_busbuff_lsu_pmu_bus_error, input io_tlu_busbuff_lsu_pmu_bus_busy, output io_tlu_busbuff_dec_tlu_external_ldfwd_disable, + output io_tlu_busbuff_dec_tlu_wb_coalescing_disable, output io_tlu_busbuff_dec_tlu_sideeffect_posted_disable, input io_tlu_busbuff_lsu_imprecise_error_load_any, input io_tlu_busbuff_lsu_imprecise_error_store_any, @@ -54534,347 +54545,347 @@ module dec_tlu_ctl( wire rvclkhdr_3_io_clk; // @[lib.scala 343:22] wire rvclkhdr_3_io_en; // @[lib.scala 343:22] wire rvclkhdr_3_io_scan_mode; // @[lib.scala 343:22] - wire csr_clock; // @[dec_tlu_ctl.scala 818:15] - wire csr_reset; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_free_clk; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_active_clk; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_scan_mode; // @[dec_tlu_ctl.scala 818:15] - wire [31:0] csr_io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 818:15] - wire [11:0] csr_io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 818:15] - wire [11:0] csr_io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 818:15] - wire [70:0] csr_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[dec_tlu_ctl.scala 818:15] - wire [16:0] csr_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_0_select; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_0_match_pkt; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_0_store; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_0_load; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_0_execute; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_0_m; // @[dec_tlu_ctl.scala 818:15] - wire [31:0] csr_io_trigger_pkt_any_0_tdata2; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_1_select; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_1_match_pkt; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_1_store; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_1_load; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_1_execute; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_1_m; // @[dec_tlu_ctl.scala 818:15] - wire [31:0] csr_io_trigger_pkt_any_1_tdata2; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_2_select; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_2_match_pkt; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_2_store; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_2_load; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_2_execute; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_2_m; // @[dec_tlu_ctl.scala 818:15] - wire [31:0] csr_io_trigger_pkt_any_2_tdata2; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_3_select; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_3_match_pkt; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_3_store; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_3_load; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_3_execute; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_pkt_any_3_m; // @[dec_tlu_ctl.scala 818:15] - wire [31:0] csr_io_trigger_pkt_any_3_tdata2; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_ifu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dma_iccm_stall_any; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dma_dccm_stall_any; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_lsu_store_stall_any; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_pmu_presync_stall; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_pmu_postsync_stall; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_pmu_decode_stall; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_ifu_pmu_fetch_stall; // @[dec_tlu_ctl.scala 818:15] - wire [1:0] csr_io_dec_tlu_packet_r_icaf_type; // @[dec_tlu_ctl.scala 818:15] - wire [3:0] csr_io_dec_tlu_packet_r_pmu_i0_itype; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_packet_r_pmu_divide; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_exu_pmu_i0_br_misp; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_pmu_instr_decoded; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_ifu_pmu_instr_aligned; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_ifu_pmu_ic_miss; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_ifu_pmu_ic_hit; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_csr_wen_r; // @[dec_tlu_ctl.scala 818:15] - wire [31:0] csr_io_dec_tlu_mtval_wb1; // @[dec_tlu_ctl.scala 818:15] - wire [4:0] csr_io_dec_tlu_exc_cause_wb1; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_perfcnt0; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_perfcnt1; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_perfcnt2; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_perfcnt3; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dma_pmu_dccm_write; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dma_pmu_dccm_read; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dma_pmu_any_write; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dma_pmu_any_read; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_lsu_pmu_bus_busy; // @[dec_tlu_ctl.scala 818:15] - wire [30:0] csr_io_dec_tlu_i0_pc_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_misc_clk_override; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_lsu_clk_override; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_bus_clk_override; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_pic_clk_override; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_dccm_clk_override; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_icm_clk_override; // @[dec_tlu_ctl.scala 818:15] - wire [31:0] csr_io_dec_csr_rddata_d; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_pipelining_disable; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_wr_pause_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_ifu_pmu_bus_busy; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_lsu_pmu_bus_error; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_ifu_pmu_bus_error; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_lsu_pmu_bus_misaligned; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_lsu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 818:15] - wire [70:0] csr_io_ifu_ic_debug_rd_data; // @[dec_tlu_ctl.scala 818:15] - wire [3:0] csr_io_dec_tlu_meipt; // @[dec_tlu_ctl.scala 818:15] - wire [3:0] csr_io_pic_pl; // @[dec_tlu_ctl.scala 818:15] - wire [3:0] csr_io_dec_tlu_meicurpl; // @[dec_tlu_ctl.scala 818:15] - wire [29:0] csr_io_dec_tlu_meihap; // @[dec_tlu_ctl.scala 818:15] - wire [7:0] csr_io_pic_claimid; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 818:15] - wire [31:0] csr_io_lsu_imprecise_error_addr_any; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 818:15] - wire [31:0] csr_io_dec_tlu_mrac_ff; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_bpred_disable; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_sideeffect_posted_disable; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_core_ecc_disable; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_external_ldfwd_disable; // @[dec_tlu_ctl.scala 818:15] - wire [2:0] csr_io_dec_tlu_dma_qos_prty; // @[dec_tlu_ctl.scala 818:15] - wire [31:0] csr_io_dec_illegal_inst; // @[dec_tlu_ctl.scala 818:15] - wire [3:0] csr_io_lsu_error_pkt_r_bits_mscause; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_mexintpend; // @[dec_tlu_ctl.scala 818:15] - wire [30:0] csr_io_exu_npc_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 818:15] - wire [30:0] csr_io_rst_vec; // @[dec_tlu_ctl.scala 818:15] - wire [27:0] csr_io_core_id; // @[dec_tlu_ctl.scala 818:15] - wire [31:0] csr_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_rfpc_i0_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_fw_halt_req; // @[dec_tlu_ctl.scala 818:15] - wire [1:0] csr_io_mstatus; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_mret_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_mstatus_mie_ns; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 818:15] - wire [15:0] csr_io_dcsr; // @[dec_tlu_ctl.scala 818:15] - wire [30:0] csr_io_mtvec; // @[dec_tlu_ctl.scala 818:15] - wire [5:0] csr_io_mip; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_timer_int_sync; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_soft_int_sync; // @[dec_tlu_ctl.scala 818:15] - wire [5:0] csr_io_mie_ns; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_wr_clk; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 818:15] - wire [1:0] csr_io_lsu_fir_error; // @[dec_tlu_ctl.scala 818:15] - wire [30:0] csr_io_npc_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 818:15] - wire [30:0] csr_io_tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 818:15] - wire [30:0] csr_io_npc_r_d1; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_reset_delayed; // @[dec_tlu_ctl.scala 818:15] - wire [30:0] csr_io_mepc; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_interrupt_valid_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_i0_exception_valid_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_e4e5_int_clk; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_lsu_i0_exc_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_inst_acc_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_inst_acc_second_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_take_nmi; // @[dec_tlu_ctl.scala 818:15] - wire [31:0] csr_io_lsu_error_pkt_addr_r; // @[dec_tlu_ctl.scala 818:15] - wire [4:0] csr_io_exc_cause_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_i0_valid_wb; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_clk_override; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 818:15] - wire [4:0] csr_io_exc_cause_wb; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_nmi_lsu_store_type; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_nmi_lsu_load_type; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_ebreak_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_ecall_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_illegal_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_mdseac_locked_ns; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_mdseac_locked_f; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_nmi_int_detected_f; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_ext_int_freeze_d1; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_ic_perr_r_d1; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_lsu_idle_any_f; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_debug_halt_req_f; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_force_halt; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_take_ext_int_start; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_trigger_hit_r_d1; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_debug_halt_req; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_allow_dbg_halt_csr_write; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_internal_dbg_halt_mode_f; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_enter_debug_halt_req; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_request_debug_mode_done; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_request_debug_mode_r; // @[dec_tlu_ctl.scala 818:15] - wire [30:0] csr_io_dpc; // @[dec_tlu_ctl.scala 818:15] - wire [3:0] csr_io_update_hit_bit_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_take_timer_int; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_take_int_timer0_int; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_take_int_timer1_int; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_take_ext_int; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_tlu_flush_lower_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_br0_error_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_dec_tlu_br0_start_error_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 818:15] - wire csr_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 818:15] - wire [9:0] csr_io_mtdata1_t_0; // @[dec_tlu_ctl.scala 818:15] - wire [9:0] csr_io_mtdata1_t_1; // @[dec_tlu_ctl.scala 818:15] - wire [9:0] csr_io_mtdata1_t_2; // @[dec_tlu_ctl.scala 818:15] - wire [9:0] csr_io_mtdata1_t_3; // @[dec_tlu_ctl.scala 818:15] - wire [11:0] csr_read_io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mitctl0; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mitctl1; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mitb0; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mitb1; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mitcnt0; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mitcnt1; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_meicpct; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_presync; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_postsync; // @[dec_tlu_ctl.scala 1011:22] - wire csr_read_io_csr_pkt_legal; // @[dec_tlu_ctl.scala 1011:22] - reg dbg_halt_state_f; // @[dec_tlu_ctl.scala 367:89] + wire csr_clock; // @[dec_tlu_ctl.scala 816:15] + wire csr_reset; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_free_clk; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_active_clk; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_scan_mode; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 816:15] + wire [11:0] csr_io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 816:15] + wire [11:0] csr_io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 816:15] + wire [70:0] csr_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[dec_tlu_ctl.scala 816:15] + wire [16:0] csr_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_0_select; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_0_match_pkt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_0_store; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_0_load; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_0_execute; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_0_m; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_trigger_pkt_any_0_tdata2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_1_select; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_1_match_pkt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_1_store; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_1_load; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_1_execute; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_1_m; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_trigger_pkt_any_1_tdata2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_2_select; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_2_match_pkt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_2_store; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_2_load; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_2_execute; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_2_m; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_trigger_pkt_any_2_tdata2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_3_select; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_3_match_pkt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_3_store; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_3_load; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_3_execute; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_pkt_any_3_m; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_trigger_pkt_any_3_tdata2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dma_iccm_stall_any; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dma_dccm_stall_any; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_store_stall_any; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_pmu_presync_stall; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_pmu_postsync_stall; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_pmu_decode_stall; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_fetch_stall; // @[dec_tlu_ctl.scala 816:15] + wire [1:0] csr_io_dec_tlu_packet_r_icaf_type; // @[dec_tlu_ctl.scala 816:15] + wire [3:0] csr_io_dec_tlu_packet_r_pmu_i0_itype; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_packet_r_pmu_divide; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_exu_pmu_i0_br_misp; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_pmu_instr_decoded; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_instr_aligned; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_ic_miss; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_ic_hit; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_csr_wen_r; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_dec_tlu_mtval_wb1; // @[dec_tlu_ctl.scala 816:15] + wire [4:0] csr_io_dec_tlu_exc_cause_wb1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_perfcnt0; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_perfcnt1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_perfcnt2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_perfcnt3; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dma_pmu_dccm_write; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dma_pmu_dccm_read; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dma_pmu_any_write; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dma_pmu_any_read; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_pmu_bus_busy; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_dec_tlu_i0_pc_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_misc_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_lsu_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_pic_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_dccm_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_icm_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_dec_csr_rddata_d; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_pipelining_disable; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_wr_pause_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_bus_busy; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_pmu_bus_error; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_pmu_bus_error; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_pmu_bus_misaligned; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 816:15] + wire [70:0] csr_io_ifu_ic_debug_rd_data; // @[dec_tlu_ctl.scala 816:15] + wire [3:0] csr_io_dec_tlu_meipt; // @[dec_tlu_ctl.scala 816:15] + wire [3:0] csr_io_pic_pl; // @[dec_tlu_ctl.scala 816:15] + wire [3:0] csr_io_dec_tlu_meicurpl; // @[dec_tlu_ctl.scala 816:15] + wire [29:0] csr_io_dec_tlu_meihap; // @[dec_tlu_ctl.scala 816:15] + wire [7:0] csr_io_pic_claimid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_lsu_imprecise_error_addr_any; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_dec_tlu_mrac_ff; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_wb_coalescing_disable; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_bpred_disable; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_sideeffect_posted_disable; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_core_ecc_disable; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_external_ldfwd_disable; // @[dec_tlu_ctl.scala 816:15] + wire [2:0] csr_io_dec_tlu_dma_qos_prty; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_dec_illegal_inst; // @[dec_tlu_ctl.scala 816:15] + wire [3:0] csr_io_lsu_error_pkt_r_bits_mscause; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mexintpend; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_exu_npc_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_rst_vec; // @[dec_tlu_ctl.scala 816:15] + wire [27:0] csr_io_core_id; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_rfpc_i0_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_i0_trigger_hit_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_fw_halt_req; // @[dec_tlu_ctl.scala 816:15] + wire [1:0] csr_io_mstatus; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_exc_or_int_valid_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mret_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mstatus_mie_ns; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 816:15] + wire [15:0] csr_io_dcsr; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_mtvec; // @[dec_tlu_ctl.scala 816:15] + wire [5:0] csr_io_mip; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_timer_int_sync; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_soft_int_sync; // @[dec_tlu_ctl.scala 816:15] + wire [5:0] csr_io_mie_ns; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_wr_clk; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_pmu_fw_halted; // @[dec_tlu_ctl.scala 816:15] + wire [1:0] csr_io_lsu_fir_error; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_npc_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_npc_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_reset_delayed; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_mepc; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_interrupt_valid_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_i0_exception_valid_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_exc_valid_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_e4e5_int_clk; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_i0_exc_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_inst_acc_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_inst_acc_second_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_take_nmi; // @[dec_tlu_ctl.scala 816:15] + wire [31:0] csr_io_lsu_error_pkt_addr_r; // @[dec_tlu_ctl.scala 816:15] + wire [4:0] csr_io_exc_cause_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_i0_valid_wb; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_clk_override; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire [4:0] csr_io_exc_cause_wb; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_nmi_lsu_store_type; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_nmi_lsu_load_type; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_tlu_i0_commit_cmt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ebreak_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ecall_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_illegal_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mdseac_locked_ns; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_mdseac_locked_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_nmi_int_detected_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ext_int_freeze_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ic_perr_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_idle_any_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dbg_tlu_halted; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_debug_halt_req_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_force_halt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_take_ext_int_start; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_trigger_hit_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_debug_halt_req; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_allow_dbg_halt_csr_write; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_internal_dbg_halt_mode_f; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_enter_debug_halt_req; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_request_debug_mode_done; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_request_debug_mode_r; // @[dec_tlu_ctl.scala 816:15] + wire [30:0] csr_io_dpc; // @[dec_tlu_ctl.scala 816:15] + wire [3:0] csr_io_update_hit_bit_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_take_timer_int; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_take_int_timer0_int; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_take_int_timer1_int; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_take_ext_int; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_tlu_flush_lower_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_br0_error_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_dec_tlu_br0_start_error_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 816:15] + wire csr_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 816:15] + wire [9:0] csr_io_mtdata1_t_0; // @[dec_tlu_ctl.scala 816:15] + wire [9:0] csr_io_mtdata1_t_1; // @[dec_tlu_ctl.scala 816:15] + wire [9:0] csr_io_mtdata1_t_2; // @[dec_tlu_ctl.scala 816:15] + wire [9:0] csr_io_mtdata1_t_3; // @[dec_tlu_ctl.scala 816:15] + wire [11:0] csr_read_io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mitctl0; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mitctl1; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mitb0; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mitb1; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mitcnt0; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mitcnt1; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_meicpct; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_presync; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_postsync; // @[dec_tlu_ctl.scala 1009:22] + wire csr_read_io_csr_pkt_legal; // @[dec_tlu_ctl.scala 1009:22] + reg dbg_halt_state_f; // @[dec_tlu_ctl.scala 365:89] wire _T = ~dbg_halt_state_f; // @[dec_tlu_ctl.scala 274:39] - reg mpc_halt_state_f; // @[dec_tlu_ctl.scala 362:89] + reg mpc_halt_state_f; // @[dec_tlu_ctl.scala 360:89] wire [2:0] _T_3 = {io_i_cpu_run_req,io_mpc_debug_halt_req,io_mpc_debug_run_req}; // @[Cat.scala 29:58] wire [3:0] _T_6 = {io_nmi_int,io_timer_int,io_soft_int,io_i_cpu_halt_req}; // @[Cat.scala 29:58] reg [6:0] _T_8; // @[lib.scala 37:81] @@ -54884,405 +54895,405 @@ module dec_tlu_ctl( wire i_cpu_run_req_sync = syncro_ff[2]; // @[dec_tlu_ctl.scala 306:59] wire mpc_debug_halt_req_sync_raw = syncro_ff[1]; // @[dec_tlu_ctl.scala 307:51] wire mpc_debug_run_req_sync = syncro_ff[0]; // @[dec_tlu_ctl.scala 308:51] - wire dec_csr_wen_r_mod = csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 1004:31] - reg lsu_exc_valid_r_d1; // @[dec_tlu_ctl.scala 613:74] + wire dec_csr_wen_r_mod = csr_io_dec_csr_wen_r_mod; // @[dec_tlu_ctl.scala 1002:31] + reg lsu_exc_valid_r_d1; // @[dec_tlu_ctl.scala 611:74] wire _T_11 = io_lsu_error_pkt_r_valid | lsu_exc_valid_r_d1; // @[dec_tlu_ctl.scala 312:67] reg e5_valid; // @[dec_tlu_ctl.scala 324:97] wire e4e5_valid = io_dec_tlu_i0_valid_r | e5_valid; // @[dec_tlu_ctl.scala 315:30] reg debug_mode_status; // @[dec_tlu_ctl.scala 325:81] - reg i_cpu_run_req_d1_raw; // @[dec_tlu_ctl.scala 573:80] - reg nmi_int_delayed; // @[dec_tlu_ctl.scala 340:72] - wire _T_37 = ~nmi_int_delayed; // @[dec_tlu_ctl.scala 349:45] - wire _T_38 = nmi_int_sync & _T_37; // @[dec_tlu_ctl.scala 349:43] - reg mdseac_locked_f; // @[dec_tlu_ctl.scala 606:89] - wire _T_35 = ~mdseac_locked_f; // @[dec_tlu_ctl.scala 347:32] - wire _T_36 = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 347:96] - wire nmi_lsu_detected = _T_35 & _T_36; // @[dec_tlu_ctl.scala 347:49] - wire _T_39 = _T_38 | nmi_lsu_detected; // @[dec_tlu_ctl.scala 349:63] - reg nmi_int_detected_f; // @[dec_tlu_ctl.scala 341:72] - reg take_nmi_r_d1; // @[dec_tlu_ctl.scala 815:98] - wire _T_40 = ~take_nmi_r_d1; // @[dec_tlu_ctl.scala 349:106] - wire _T_41 = nmi_int_detected_f & _T_40; // @[dec_tlu_ctl.scala 349:104] - wire _T_42 = _T_39 | _T_41; // @[dec_tlu_ctl.scala 349:82] - reg take_ext_int_start_d3; // @[dec_tlu_ctl.scala 746:62] - wire _T_43 = |io_lsu_fir_error; // @[dec_tlu_ctl.scala 349:165] - wire _T_44 = take_ext_int_start_d3 & _T_43; // @[dec_tlu_ctl.scala 349:146] - wire nmi_int_detected = _T_42 | _T_44; // @[dec_tlu_ctl.scala 349:122] - wire _T_631 = ~io_dec_csr_stall_int_ff; // @[dec_tlu_ctl.scala 723:23] - wire mstatus_mie_ns = csr_io_mstatus_mie_ns; // @[dec_tlu_ctl.scala 1003:31] - wire _T_632 = _T_631 & mstatus_mie_ns; // @[dec_tlu_ctl.scala 723:48] - wire [5:0] mip = csr_io_mip; // @[dec_tlu_ctl.scala 1009:31] - wire _T_634 = _T_632 & mip[1]; // @[dec_tlu_ctl.scala 723:65] - wire [5:0] mie_ns = csr_io_mie_ns; // @[dec_tlu_ctl.scala 998:31] - wire timer_int_ready = _T_634 & mie_ns[1]; // @[dec_tlu_ctl.scala 723:83] - wire _T_391 = nmi_int_detected | timer_int_ready; // @[dec_tlu_ctl.scala 600:66] - wire _T_628 = _T_632 & mip[0]; // @[dec_tlu_ctl.scala 722:65] - wire soft_int_ready = _T_628 & mie_ns[0]; // @[dec_tlu_ctl.scala 722:83] - wire _T_392 = _T_391 | soft_int_ready; // @[dec_tlu_ctl.scala 600:84] - reg int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 580:73] - wire _T_393 = _T_392 | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 600:101] - reg int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 581:73] - wire _T_394 = _T_393 | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 600:125] - wire _T_608 = _T_632 & mip[2]; // @[dec_tlu_ctl.scala 719:66] - wire mhwakeup_ready = _T_608 & mie_ns[2]; // @[dec_tlu_ctl.scala 719:84] - wire _T_395 = io_dec_pic_mhwakeup & mhwakeup_ready; // @[dec_tlu_ctl.scala 600:172] - wire _T_396 = _T_394 | _T_395; // @[dec_tlu_ctl.scala 600:149] - wire _T_397 = _T_396 & io_o_cpu_halt_status; // @[dec_tlu_ctl.scala 600:191] - reg i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 572:80] - wire _T_398 = ~i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 600:216] - wire _T_399 = _T_397 & _T_398; // @[dec_tlu_ctl.scala 600:214] - wire i_cpu_run_req_d1 = i_cpu_run_req_d1_raw | _T_399; // @[dec_tlu_ctl.scala 600:45] + reg i_cpu_run_req_d1_raw; // @[dec_tlu_ctl.scala 571:80] + reg nmi_int_delayed; // @[dec_tlu_ctl.scala 338:72] + wire _T_37 = ~nmi_int_delayed; // @[dec_tlu_ctl.scala 347:45] + wire _T_38 = nmi_int_sync & _T_37; // @[dec_tlu_ctl.scala 347:43] + reg mdseac_locked_f; // @[dec_tlu_ctl.scala 604:89] + wire _T_35 = ~mdseac_locked_f; // @[dec_tlu_ctl.scala 345:32] + wire _T_36 = io_tlu_busbuff_lsu_imprecise_error_load_any | io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 345:96] + wire nmi_lsu_detected = _T_35 & _T_36; // @[dec_tlu_ctl.scala 345:49] + wire _T_39 = _T_38 | nmi_lsu_detected; // @[dec_tlu_ctl.scala 347:63] + reg nmi_int_detected_f; // @[dec_tlu_ctl.scala 339:72] + reg take_nmi_r_d1; // @[dec_tlu_ctl.scala 813:98] + wire _T_40 = ~take_nmi_r_d1; // @[dec_tlu_ctl.scala 347:106] + wire _T_41 = nmi_int_detected_f & _T_40; // @[dec_tlu_ctl.scala 347:104] + wire _T_42 = _T_39 | _T_41; // @[dec_tlu_ctl.scala 347:82] + reg take_ext_int_start_d3; // @[dec_tlu_ctl.scala 744:62] + wire _T_43 = |io_lsu_fir_error; // @[dec_tlu_ctl.scala 347:165] + wire _T_44 = take_ext_int_start_d3 & _T_43; // @[dec_tlu_ctl.scala 347:146] + wire nmi_int_detected = _T_42 | _T_44; // @[dec_tlu_ctl.scala 347:122] + wire _T_631 = ~io_dec_csr_stall_int_ff; // @[dec_tlu_ctl.scala 721:23] + wire mstatus_mie_ns = csr_io_mstatus_mie_ns; // @[dec_tlu_ctl.scala 1001:31] + wire _T_632 = _T_631 & mstatus_mie_ns; // @[dec_tlu_ctl.scala 721:48] + wire [5:0] mip = csr_io_mip; // @[dec_tlu_ctl.scala 1007:31] + wire _T_634 = _T_632 & mip[1]; // @[dec_tlu_ctl.scala 721:65] + wire [5:0] mie_ns = csr_io_mie_ns; // @[dec_tlu_ctl.scala 996:31] + wire timer_int_ready = _T_634 & mie_ns[1]; // @[dec_tlu_ctl.scala 721:83] + wire _T_391 = nmi_int_detected | timer_int_ready; // @[dec_tlu_ctl.scala 598:66] + wire _T_628 = _T_632 & mip[0]; // @[dec_tlu_ctl.scala 720:65] + wire soft_int_ready = _T_628 & mie_ns[0]; // @[dec_tlu_ctl.scala 720:83] + wire _T_392 = _T_391 | soft_int_ready; // @[dec_tlu_ctl.scala 598:84] + reg int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 578:73] + wire _T_393 = _T_392 | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 598:101] + reg int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 579:73] + wire _T_394 = _T_393 | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 598:125] + wire _T_608 = _T_632 & mip[2]; // @[dec_tlu_ctl.scala 717:66] + wire mhwakeup_ready = _T_608 & mie_ns[2]; // @[dec_tlu_ctl.scala 717:84] + wire _T_395 = io_dec_pic_mhwakeup & mhwakeup_ready; // @[dec_tlu_ctl.scala 598:172] + wire _T_396 = _T_394 | _T_395; // @[dec_tlu_ctl.scala 598:149] + wire _T_397 = _T_396 & io_o_cpu_halt_status; // @[dec_tlu_ctl.scala 598:191] + reg i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 570:80] + wire _T_398 = ~i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 598:216] + wire _T_399 = _T_397 & _T_398; // @[dec_tlu_ctl.scala 598:214] + wire i_cpu_run_req_d1 = i_cpu_run_req_d1_raw | _T_399; // @[dec_tlu_ctl.scala 598:45] wire _T_14 = debug_mode_status | i_cpu_run_req_d1; // @[dec_tlu_ctl.scala 316:50] - wire _T_685 = ~_T_43; // @[dec_tlu_ctl.scala 751:49] - wire take_ext_int = take_ext_int_start_d3 & _T_685; // @[dec_tlu_ctl.scala 751:47] - wire _T_698 = ~soft_int_ready; // @[dec_tlu_ctl.scala 768:40] - wire _T_699 = timer_int_ready & _T_698; // @[dec_tlu_ctl.scala 768:38] - wire _T_617 = ~io_lsu_fastint_stall_any; // @[dec_tlu_ctl.scala 720:104] - wire ext_int_ready = mhwakeup_ready & _T_617; // @[dec_tlu_ctl.scala 720:102] - wire _T_700 = ~ext_int_ready; // @[dec_tlu_ctl.scala 768:58] - wire _T_701 = _T_699 & _T_700; // @[dec_tlu_ctl.scala 768:56] - wire _T_622 = _T_632 & mip[5]; // @[dec_tlu_ctl.scala 721:65] - wire ce_int_ready = _T_622 & mie_ns[5]; // @[dec_tlu_ctl.scala 721:83] - wire _T_702 = ~ce_int_ready; // @[dec_tlu_ctl.scala 768:75] - wire _T_703 = _T_701 & _T_702; // @[dec_tlu_ctl.scala 768:73] - wire _T_152 = ~debug_mode_status; // @[dec_tlu_ctl.scala 423:37] - reg dbg_halt_req_held; // @[dec_tlu_ctl.scala 466:81] - wire _T_106 = io_dbg_halt_req | dbg_halt_req_held; // @[dec_tlu_ctl.scala 400:48] - reg ext_int_freeze_d1; // @[dec_tlu_ctl.scala 747:66] - wire _T_107 = ~ext_int_freeze_d1; // @[dec_tlu_ctl.scala 400:71] - wire dbg_halt_req_final = _T_106 & _T_107; // @[dec_tlu_ctl.scala 400:69] - wire mpc_debug_halt_req_sync = mpc_debug_halt_req_sync_raw & _T_107; // @[dec_tlu_ctl.scala 359:67] - wire _T_109 = dbg_halt_req_final | mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 403:50] - reg reset_detect; // @[dec_tlu_ctl.scala 336:88] - reg reset_detected; // @[dec_tlu_ctl.scala 337:88] - wire reset_delayed = reset_detect ^ reset_detected; // @[dec_tlu_ctl.scala 338:64] - wire _T_110 = ~io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 403:95] - wire _T_111 = reset_delayed & _T_110; // @[dec_tlu_ctl.scala 403:93] - wire _T_112 = _T_109 | _T_111; // @[dec_tlu_ctl.scala 403:76] - wire _T_114 = _T_112 & _T_152; // @[dec_tlu_ctl.scala 403:119] - wire debug_halt_req = _T_114 & _T_107; // @[dec_tlu_ctl.scala 403:147] - wire _T_153 = _T_152 & debug_halt_req; // @[dec_tlu_ctl.scala 423:63] - reg dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 458:81] - wire _T_154 = _T_153 | dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 423:81] - reg trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 457:81] - wire _T_155 = _T_154 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 423:107] - reg ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 672:64] - wire enter_debug_halt_req = _T_155 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 423:132] - reg debug_halt_req_f; // @[dec_tlu_ctl.scala 455:89] - wire force_halt = csr_io_force_halt; // @[dec_tlu_ctl.scala 1001:31] - reg lsu_idle_any_f; // @[dec_tlu_ctl.scala 451:89] - wire _T_142 = io_lsu_idle_any & lsu_idle_any_f; // @[dec_tlu_ctl.scala 417:53] - wire _T_143 = _T_142 & io_tlu_mem_ifu_miss_state_idle; // @[dec_tlu_ctl.scala 417:70] - reg ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 452:81] - wire _T_144 = _T_143 & ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 417:103] - wire _T_145 = ~debug_halt_req; // @[dec_tlu_ctl.scala 417:129] - wire _T_146 = _T_144 & _T_145; // @[dec_tlu_ctl.scala 417:127] - reg debug_halt_req_d1; // @[dec_tlu_ctl.scala 459:89] - wire _T_147 = ~debug_halt_req_d1; // @[dec_tlu_ctl.scala 417:147] - wire _T_148 = _T_146 & _T_147; // @[dec_tlu_ctl.scala 417:145] - wire _T_149 = ~io_dec_div_active; // @[dec_tlu_ctl.scala 417:168] - wire _T_150 = _T_148 & _T_149; // @[dec_tlu_ctl.scala 417:166] - wire core_empty = force_halt | _T_150; // @[dec_tlu_ctl.scala 417:34] - wire _T_163 = debug_halt_req_f & core_empty; // @[dec_tlu_ctl.scala 433:48] - reg dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 449:81] - reg dec_tlu_flush_pause_r_d1; // @[dec_tlu_ctl.scala 465:73] - wire _T_132 = ~dec_tlu_flush_pause_r_d1; // @[dec_tlu_ctl.scala 413:56] - wire _T_133 = dec_tlu_flush_noredir_r_d1 & _T_132; // @[dec_tlu_ctl.scala 413:54] - reg take_ext_int_start_d1; // @[dec_tlu_ctl.scala 744:62] - wire _T_134 = ~take_ext_int_start_d1; // @[dec_tlu_ctl.scala 413:84] - wire _T_135 = _T_133 & _T_134; // @[dec_tlu_ctl.scala 413:82] - reg halt_taken_f; // @[dec_tlu_ctl.scala 450:89] - reg dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 453:89] - wire _T_136 = ~dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 413:126] - wire _T_137 = halt_taken_f & _T_136; // @[dec_tlu_ctl.scala 413:124] - reg pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 579:73] - wire _T_138 = ~pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 413:146] - wire _T_139 = _T_137 & _T_138; // @[dec_tlu_ctl.scala 413:144] - reg interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 809:90] - wire _T_140 = ~interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 413:169] - wire _T_141 = _T_139 & _T_140; // @[dec_tlu_ctl.scala 413:167] - wire halt_taken = _T_135 | _T_141; // @[dec_tlu_ctl.scala 413:108] - wire _T_164 = _T_163 & halt_taken; // @[dec_tlu_ctl.scala 433:61] - reg debug_resume_req_f; // @[dec_tlu_ctl.scala 456:89] - wire _T_165 = ~debug_resume_req_f; // @[dec_tlu_ctl.scala 433:97] - wire _T_166 = dbg_tlu_halted_f & _T_165; // @[dec_tlu_ctl.scala 433:95] - wire dbg_tlu_halted = _T_164 | _T_166; // @[dec_tlu_ctl.scala 433:75] - wire _T_167 = ~dbg_tlu_halted; // @[dec_tlu_ctl.scala 434:73] - wire _T_168 = debug_halt_req_f & _T_167; // @[dec_tlu_ctl.scala 434:71] - wire debug_halt_req_ns = enter_debug_halt_req | _T_168; // @[dec_tlu_ctl.scala 434:51] - wire [15:0] dcsr = csr_io_dcsr; // @[dec_tlu_ctl.scala 1007:31] - wire _T_157 = ~dcsr[2]; // @[dec_tlu_ctl.scala 426:106] - wire _T_158 = debug_resume_req_f & _T_157; // @[dec_tlu_ctl.scala 426:104] - wire _T_159 = ~_T_158; // @[dec_tlu_ctl.scala 426:83] - wire _T_160 = debug_mode_status & _T_159; // @[dec_tlu_ctl.scala 426:81] - wire internal_dbg_halt_mode = debug_halt_req_ns | _T_160; // @[dec_tlu_ctl.scala 426:53] - wire _T_177 = debug_resume_req_f & dcsr[2]; // @[dec_tlu_ctl.scala 439:60] - reg dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 464:73] - wire _T_178 = ~dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 439:111] - wire _T_179 = dcsr_single_step_running_f & _T_178; // @[dec_tlu_ctl.scala 439:109] - wire dcsr_single_step_running = _T_177 | _T_179; // @[dec_tlu_ctl.scala 439:79] - wire _T_665 = ~dcsr_single_step_running; // @[dec_tlu_ctl.scala 740:55] - wire _T_666 = _T_665 | io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 740:81] - wire _T_667 = internal_dbg_halt_mode & _T_666; // @[dec_tlu_ctl.scala 740:52] - wire _T_346 = ~io_dec_tlu_debug_mode; // @[dec_tlu_ctl.scala 569:62] - wire _T_347 = i_cpu_halt_req_sync & _T_346; // @[dec_tlu_ctl.scala 569:60] - wire i_cpu_halt_req_sync_qual = _T_347 & _T_107; // @[dec_tlu_ctl.scala 569:85] - wire ext_halt_pulse = i_cpu_halt_req_sync_qual & _T_398; // @[dec_tlu_ctl.scala 585:50] - wire fw_halt_req = csr_io_fw_halt_req; // @[dec_tlu_ctl.scala 1005:31] - wire enter_pmu_fw_halt_req = ext_halt_pulse | fw_halt_req; // @[dec_tlu_ctl.scala 586:48] - reg pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 578:73] - wire _T_371 = pmu_fw_halt_req_f & core_empty; // @[dec_tlu_ctl.scala 591:45] - wire _T_372 = _T_371 & halt_taken; // @[dec_tlu_ctl.scala 591:58] - wire _T_373 = ~enter_debug_halt_req; // @[dec_tlu_ctl.scala 591:73] - wire _T_374 = _T_372 & _T_373; // @[dec_tlu_ctl.scala 591:71] - wire _T_375 = ~i_cpu_run_req_d1; // @[dec_tlu_ctl.scala 591:121] - wire _T_376 = pmu_fw_tlu_halted_f & _T_375; // @[dec_tlu_ctl.scala 591:119] - wire _T_377 = _T_374 | _T_376; // @[dec_tlu_ctl.scala 591:96] - wire _T_378 = ~debug_halt_req_f; // @[dec_tlu_ctl.scala 591:143] - wire pmu_fw_tlu_halted = _T_377 & _T_378; // @[dec_tlu_ctl.scala 591:141] - wire _T_361 = ~pmu_fw_tlu_halted; // @[dec_tlu_ctl.scala 587:72] - wire _T_362 = pmu_fw_halt_req_f & _T_361; // @[dec_tlu_ctl.scala 587:70] - wire _T_363 = enter_pmu_fw_halt_req | _T_362; // @[dec_tlu_ctl.scala 587:49] - wire pmu_fw_halt_req_ns = _T_363 & _T_378; // @[dec_tlu_ctl.scala 587:93] - reg internal_pmu_fw_halt_mode_f; // @[dec_tlu_ctl.scala 577:68] - wire _T_367 = internal_pmu_fw_halt_mode_f & _T_375; // @[dec_tlu_ctl.scala 588:83] - wire _T_369 = _T_367 & _T_378; // @[dec_tlu_ctl.scala 588:103] - wire internal_pmu_fw_halt_mode = pmu_fw_halt_req_ns | _T_369; // @[dec_tlu_ctl.scala 588:52] - wire _T_668 = _T_667 | internal_pmu_fw_halt_mode; // @[dec_tlu_ctl.scala 740:107] - wire _T_669 = _T_668 | i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 740:135] - wire _T_738 = ~internal_pmu_fw_halt_mode; // @[dec_tlu_ctl.scala 772:35] - wire _T_739 = nmi_int_detected & _T_738; // @[dec_tlu_ctl.scala 772:33] - wire _T_740 = ~internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 772:65] - wire _T_742 = dcsr_single_step_running_f & dcsr[11]; // @[dec_tlu_ctl.scala 772:119] - wire _T_743 = ~io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 772:141] - wire _T_744 = _T_742 & _T_743; // @[dec_tlu_ctl.scala 772:139] - wire _T_746 = _T_744 & _T_178; // @[dec_tlu_ctl.scala 772:164] - wire _T_747 = _T_740 | _T_746; // @[dec_tlu_ctl.scala 772:89] - wire _T_748 = _T_739 & _T_747; // @[dec_tlu_ctl.scala 772:62] - wire _T_463 = io_dec_tlu_packet_r_pmu_i0_itype == 4'h8; // @[dec_tlu_ctl.scala 658:51] - wire _T_464 = _T_463 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 658:64] - wire _T_297 = io_dec_tlu_flush_lower_wb | io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 520:58] + wire _T_685 = ~_T_43; // @[dec_tlu_ctl.scala 749:49] + wire take_ext_int = take_ext_int_start_d3 & _T_685; // @[dec_tlu_ctl.scala 749:47] + wire _T_698 = ~soft_int_ready; // @[dec_tlu_ctl.scala 766:40] + wire _T_699 = timer_int_ready & _T_698; // @[dec_tlu_ctl.scala 766:38] + wire _T_617 = ~io_lsu_fastint_stall_any; // @[dec_tlu_ctl.scala 718:104] + wire ext_int_ready = mhwakeup_ready & _T_617; // @[dec_tlu_ctl.scala 718:102] + wire _T_700 = ~ext_int_ready; // @[dec_tlu_ctl.scala 766:58] + wire _T_701 = _T_699 & _T_700; // @[dec_tlu_ctl.scala 766:56] + wire _T_622 = _T_632 & mip[5]; // @[dec_tlu_ctl.scala 719:65] + wire ce_int_ready = _T_622 & mie_ns[5]; // @[dec_tlu_ctl.scala 719:83] + wire _T_702 = ~ce_int_ready; // @[dec_tlu_ctl.scala 766:75] + wire _T_703 = _T_701 & _T_702; // @[dec_tlu_ctl.scala 766:73] + wire _T_152 = ~debug_mode_status; // @[dec_tlu_ctl.scala 421:37] + reg dbg_halt_req_held; // @[dec_tlu_ctl.scala 464:81] + wire _T_106 = io_dbg_halt_req | dbg_halt_req_held; // @[dec_tlu_ctl.scala 398:48] + reg ext_int_freeze_d1; // @[dec_tlu_ctl.scala 745:66] + wire _T_107 = ~ext_int_freeze_d1; // @[dec_tlu_ctl.scala 398:71] + wire dbg_halt_req_final = _T_106 & _T_107; // @[dec_tlu_ctl.scala 398:69] + wire mpc_debug_halt_req_sync = mpc_debug_halt_req_sync_raw & _T_107; // @[dec_tlu_ctl.scala 357:67] + wire _T_109 = dbg_halt_req_final | mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 401:50] + reg reset_detect; // @[dec_tlu_ctl.scala 334:88] + reg reset_detected; // @[dec_tlu_ctl.scala 335:88] + wire reset_delayed = reset_detect ^ reset_detected; // @[dec_tlu_ctl.scala 336:64] + wire _T_110 = ~io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 401:95] + wire _T_111 = reset_delayed & _T_110; // @[dec_tlu_ctl.scala 401:93] + wire _T_112 = _T_109 | _T_111; // @[dec_tlu_ctl.scala 401:76] + wire _T_114 = _T_112 & _T_152; // @[dec_tlu_ctl.scala 401:119] + wire debug_halt_req = _T_114 & _T_107; // @[dec_tlu_ctl.scala 401:147] + wire _T_153 = _T_152 & debug_halt_req; // @[dec_tlu_ctl.scala 421:63] + reg dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 456:81] + wire _T_154 = _T_153 | dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 421:81] + reg trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 455:81] + wire _T_155 = _T_154 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 421:107] + reg ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 670:64] + wire enter_debug_halt_req = _T_155 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 421:132] + reg debug_halt_req_f; // @[dec_tlu_ctl.scala 453:89] + wire force_halt = csr_io_force_halt; // @[dec_tlu_ctl.scala 999:31] + reg lsu_idle_any_f; // @[dec_tlu_ctl.scala 449:89] + wire _T_142 = io_lsu_idle_any & lsu_idle_any_f; // @[dec_tlu_ctl.scala 415:53] + wire _T_143 = _T_142 & io_tlu_mem_ifu_miss_state_idle; // @[dec_tlu_ctl.scala 415:70] + reg ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 450:81] + wire _T_144 = _T_143 & ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 415:103] + wire _T_145 = ~debug_halt_req; // @[dec_tlu_ctl.scala 415:129] + wire _T_146 = _T_144 & _T_145; // @[dec_tlu_ctl.scala 415:127] + reg debug_halt_req_d1; // @[dec_tlu_ctl.scala 457:89] + wire _T_147 = ~debug_halt_req_d1; // @[dec_tlu_ctl.scala 415:147] + wire _T_148 = _T_146 & _T_147; // @[dec_tlu_ctl.scala 415:145] + wire _T_149 = ~io_dec_div_active; // @[dec_tlu_ctl.scala 415:168] + wire _T_150 = _T_148 & _T_149; // @[dec_tlu_ctl.scala 415:166] + wire core_empty = force_halt | _T_150; // @[dec_tlu_ctl.scala 415:34] + wire _T_163 = debug_halt_req_f & core_empty; // @[dec_tlu_ctl.scala 431:48] + reg dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 447:81] + reg dec_tlu_flush_pause_r_d1; // @[dec_tlu_ctl.scala 463:73] + wire _T_132 = ~dec_tlu_flush_pause_r_d1; // @[dec_tlu_ctl.scala 411:56] + wire _T_133 = dec_tlu_flush_noredir_r_d1 & _T_132; // @[dec_tlu_ctl.scala 411:54] + reg take_ext_int_start_d1; // @[dec_tlu_ctl.scala 742:62] + wire _T_134 = ~take_ext_int_start_d1; // @[dec_tlu_ctl.scala 411:84] + wire _T_135 = _T_133 & _T_134; // @[dec_tlu_ctl.scala 411:82] + reg halt_taken_f; // @[dec_tlu_ctl.scala 448:89] + reg dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 451:89] + wire _T_136 = ~dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 411:126] + wire _T_137 = halt_taken_f & _T_136; // @[dec_tlu_ctl.scala 411:124] + reg pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 577:73] + wire _T_138 = ~pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 411:146] + wire _T_139 = _T_137 & _T_138; // @[dec_tlu_ctl.scala 411:144] + reg interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 807:90] + wire _T_140 = ~interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 411:169] + wire _T_141 = _T_139 & _T_140; // @[dec_tlu_ctl.scala 411:167] + wire halt_taken = _T_135 | _T_141; // @[dec_tlu_ctl.scala 411:108] + wire _T_164 = _T_163 & halt_taken; // @[dec_tlu_ctl.scala 431:61] + reg debug_resume_req_f; // @[dec_tlu_ctl.scala 454:89] + wire _T_165 = ~debug_resume_req_f; // @[dec_tlu_ctl.scala 431:97] + wire _T_166 = dbg_tlu_halted_f & _T_165; // @[dec_tlu_ctl.scala 431:95] + wire dbg_tlu_halted = _T_164 | _T_166; // @[dec_tlu_ctl.scala 431:75] + wire _T_167 = ~dbg_tlu_halted; // @[dec_tlu_ctl.scala 432:73] + wire _T_168 = debug_halt_req_f & _T_167; // @[dec_tlu_ctl.scala 432:71] + wire debug_halt_req_ns = enter_debug_halt_req | _T_168; // @[dec_tlu_ctl.scala 432:51] + wire [15:0] dcsr = csr_io_dcsr; // @[dec_tlu_ctl.scala 1005:31] + wire _T_157 = ~dcsr[2]; // @[dec_tlu_ctl.scala 424:106] + wire _T_158 = debug_resume_req_f & _T_157; // @[dec_tlu_ctl.scala 424:104] + wire _T_159 = ~_T_158; // @[dec_tlu_ctl.scala 424:83] + wire _T_160 = debug_mode_status & _T_159; // @[dec_tlu_ctl.scala 424:81] + wire internal_dbg_halt_mode = debug_halt_req_ns | _T_160; // @[dec_tlu_ctl.scala 424:53] + wire _T_177 = debug_resume_req_f & dcsr[2]; // @[dec_tlu_ctl.scala 437:60] + reg dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 462:73] + wire _T_178 = ~dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 437:111] + wire _T_179 = dcsr_single_step_running_f & _T_178; // @[dec_tlu_ctl.scala 437:109] + wire dcsr_single_step_running = _T_177 | _T_179; // @[dec_tlu_ctl.scala 437:79] + wire _T_665 = ~dcsr_single_step_running; // @[dec_tlu_ctl.scala 738:55] + wire _T_666 = _T_665 | io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 738:81] + wire _T_667 = internal_dbg_halt_mode & _T_666; // @[dec_tlu_ctl.scala 738:52] + wire _T_346 = ~io_dec_tlu_debug_mode; // @[dec_tlu_ctl.scala 567:62] + wire _T_347 = i_cpu_halt_req_sync & _T_346; // @[dec_tlu_ctl.scala 567:60] + wire i_cpu_halt_req_sync_qual = _T_347 & _T_107; // @[dec_tlu_ctl.scala 567:85] + wire ext_halt_pulse = i_cpu_halt_req_sync_qual & _T_398; // @[dec_tlu_ctl.scala 583:50] + wire fw_halt_req = csr_io_fw_halt_req; // @[dec_tlu_ctl.scala 1003:31] + wire enter_pmu_fw_halt_req = ext_halt_pulse | fw_halt_req; // @[dec_tlu_ctl.scala 584:48] + reg pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 576:73] + wire _T_371 = pmu_fw_halt_req_f & core_empty; // @[dec_tlu_ctl.scala 589:45] + wire _T_372 = _T_371 & halt_taken; // @[dec_tlu_ctl.scala 589:58] + wire _T_373 = ~enter_debug_halt_req; // @[dec_tlu_ctl.scala 589:73] + wire _T_374 = _T_372 & _T_373; // @[dec_tlu_ctl.scala 589:71] + wire _T_375 = ~i_cpu_run_req_d1; // @[dec_tlu_ctl.scala 589:121] + wire _T_376 = pmu_fw_tlu_halted_f & _T_375; // @[dec_tlu_ctl.scala 589:119] + wire _T_377 = _T_374 | _T_376; // @[dec_tlu_ctl.scala 589:96] + wire _T_378 = ~debug_halt_req_f; // @[dec_tlu_ctl.scala 589:143] + wire pmu_fw_tlu_halted = _T_377 & _T_378; // @[dec_tlu_ctl.scala 589:141] + wire _T_361 = ~pmu_fw_tlu_halted; // @[dec_tlu_ctl.scala 585:72] + wire _T_362 = pmu_fw_halt_req_f & _T_361; // @[dec_tlu_ctl.scala 585:70] + wire _T_363 = enter_pmu_fw_halt_req | _T_362; // @[dec_tlu_ctl.scala 585:49] + wire pmu_fw_halt_req_ns = _T_363 & _T_378; // @[dec_tlu_ctl.scala 585:93] + reg internal_pmu_fw_halt_mode_f; // @[dec_tlu_ctl.scala 575:68] + wire _T_367 = internal_pmu_fw_halt_mode_f & _T_375; // @[dec_tlu_ctl.scala 586:83] + wire _T_369 = _T_367 & _T_378; // @[dec_tlu_ctl.scala 586:103] + wire internal_pmu_fw_halt_mode = pmu_fw_halt_req_ns | _T_369; // @[dec_tlu_ctl.scala 586:52] + wire _T_668 = _T_667 | internal_pmu_fw_halt_mode; // @[dec_tlu_ctl.scala 738:107] + wire _T_669 = _T_668 | i_cpu_halt_req_d1; // @[dec_tlu_ctl.scala 738:135] + wire _T_738 = ~internal_pmu_fw_halt_mode; // @[dec_tlu_ctl.scala 770:35] + wire _T_739 = nmi_int_detected & _T_738; // @[dec_tlu_ctl.scala 770:33] + wire _T_740 = ~internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 770:65] + wire _T_742 = dcsr_single_step_running_f & dcsr[11]; // @[dec_tlu_ctl.scala 770:119] + wire _T_743 = ~io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 770:141] + wire _T_744 = _T_742 & _T_743; // @[dec_tlu_ctl.scala 770:139] + wire _T_746 = _T_744 & _T_178; // @[dec_tlu_ctl.scala 770:164] + wire _T_747 = _T_740 | _T_746; // @[dec_tlu_ctl.scala 770:89] + wire _T_748 = _T_739 & _T_747; // @[dec_tlu_ctl.scala 770:62] + wire _T_463 = io_dec_tlu_packet_r_pmu_i0_itype == 4'h8; // @[dec_tlu_ctl.scala 656:51] + wire _T_464 = _T_463 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 656:64] + wire _T_297 = io_dec_tlu_flush_lower_wb | io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 518:58] wire [3:0] _T_299 = _T_297 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_300 = ~_T_299; // @[dec_tlu_ctl.scala 520:23] + wire [3:0] _T_300 = ~_T_299; // @[dec_tlu_ctl.scala 518:23] wire [3:0] _T_292 = io_dec_tlu_i0_valid_r ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_294 = _T_292 & io_dec_tlu_packet_r_i0trigger; // @[dec_tlu_ctl.scala 518:53] - wire [9:0] mtdata1_t_3 = csr_io_mtdata1_t_3; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1010:33] - wire [9:0] mtdata1_t_2 = csr_io_mtdata1_t_2; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1010:33] - wire [9:0] mtdata1_t_1 = csr_io_mtdata1_t_1; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1010:33] - wire [9:0] mtdata1_t_0 = csr_io_mtdata1_t_0; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1010:33] + wire [3:0] _T_294 = _T_292 & io_dec_tlu_packet_r_i0trigger; // @[dec_tlu_ctl.scala 516:53] + wire [9:0] mtdata1_t_3 = csr_io_mtdata1_t_3; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1008:33] + wire [9:0] mtdata1_t_2 = csr_io_mtdata1_t_2; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1008:33] + wire [9:0] mtdata1_t_1 = csr_io_mtdata1_t_1; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1008:33] + wire [9:0] mtdata1_t_0 = csr_io_mtdata1_t_0; // @[dec_tlu_ctl.scala 156:67 dec_tlu_ctl.scala 1008:33] wire [3:0] trigger_execute = {mtdata1_t_3[2],mtdata1_t_2[2],mtdata1_t_1[2],mtdata1_t_0[2]}; // @[Cat.scala 29:58] wire [3:0] trigger_data = {mtdata1_t_3[7],mtdata1_t_2[7],mtdata1_t_1[7],mtdata1_t_0[7]}; // @[Cat.scala 29:58] - wire [3:0] _T_279 = trigger_execute & trigger_data; // @[dec_tlu_ctl.scala 510:57] - wire inst_acc_r_raw = io_dec_tlu_packet_r_icaf & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 666:49] + wire [3:0] _T_279 = trigger_execute & trigger_data; // @[dec_tlu_ctl.scala 508:57] + wire inst_acc_r_raw = io_dec_tlu_packet_r_icaf & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 664:49] wire [3:0] _T_281 = inst_acc_r_raw ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_282 = _T_279 & _T_281; // @[dec_tlu_ctl.scala 510:72] - wire _T_283 = io_tlu_exu_exu_i0_br_error_r | io_tlu_exu_exu_i0_br_start_error_r; // @[dec_tlu_ctl.scala 510:137] + wire [3:0] _T_282 = _T_279 & _T_281; // @[dec_tlu_ctl.scala 508:72] + wire _T_283 = io_tlu_exu_exu_i0_br_error_r | io_tlu_exu_exu_i0_br_start_error_r; // @[dec_tlu_ctl.scala 508:137] wire [3:0] _T_285 = _T_283 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_286 = _T_282 | _T_285; // @[dec_tlu_ctl.scala 510:98] - wire [3:0] i0_iside_trigger_has_pri_r = ~_T_286; // @[dec_tlu_ctl.scala 510:38] - wire [3:0] _T_295 = _T_294 & i0_iside_trigger_has_pri_r; // @[dec_tlu_ctl.scala 518:90] + wire [3:0] _T_286 = _T_282 | _T_285; // @[dec_tlu_ctl.scala 508:98] + wire [3:0] i0_iside_trigger_has_pri_r = ~_T_286; // @[dec_tlu_ctl.scala 508:38] + wire [3:0] _T_295 = _T_294 & i0_iside_trigger_has_pri_r; // @[dec_tlu_ctl.scala 516:90] wire [3:0] trigger_store = {mtdata1_t_3[1],mtdata1_t_2[1],mtdata1_t_1[1],mtdata1_t_0[1]}; // @[Cat.scala 29:58] - wire [3:0] _T_287 = trigger_store & trigger_data; // @[dec_tlu_ctl.scala 513:51] + wire [3:0] _T_287 = trigger_store & trigger_data; // @[dec_tlu_ctl.scala 511:51] wire [3:0] _T_289 = io_lsu_error_pkt_r_valid ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_290 = _T_287 & _T_289; // @[dec_tlu_ctl.scala 513:66] - wire [3:0] i0_lsu_trigger_has_pri_r = ~_T_290; // @[dec_tlu_ctl.scala 513:35] - wire [3:0] _T_296 = _T_295 & i0_lsu_trigger_has_pri_r; // @[dec_tlu_ctl.scala 518:119] - wire [1:0] mstatus = csr_io_mstatus; // @[dec_tlu_ctl.scala 1006:31] - wire _T_259 = mtdata1_t_3[6] | mstatus[0]; // @[dec_tlu_ctl.scala 507:62] - wire _T_261 = _T_259 & mtdata1_t_3[3]; // @[dec_tlu_ctl.scala 507:86] - wire _T_264 = mtdata1_t_2[6] | mstatus[0]; // @[dec_tlu_ctl.scala 507:150] - wire _T_266 = _T_264 & mtdata1_t_2[3]; // @[dec_tlu_ctl.scala 507:174] - wire _T_269 = mtdata1_t_1[6] | mstatus[0]; // @[dec_tlu_ctl.scala 507:239] - wire _T_271 = _T_269 & mtdata1_t_1[3]; // @[dec_tlu_ctl.scala 507:263] - wire _T_274 = mtdata1_t_0[6] | mstatus[0]; // @[dec_tlu_ctl.scala 507:328] - wire _T_276 = _T_274 & mtdata1_t_0[3]; // @[dec_tlu_ctl.scala 507:352] + wire [3:0] _T_290 = _T_287 & _T_289; // @[dec_tlu_ctl.scala 511:66] + wire [3:0] i0_lsu_trigger_has_pri_r = ~_T_290; // @[dec_tlu_ctl.scala 511:35] + wire [3:0] _T_296 = _T_295 & i0_lsu_trigger_has_pri_r; // @[dec_tlu_ctl.scala 516:119] + wire [1:0] mstatus = csr_io_mstatus; // @[dec_tlu_ctl.scala 1004:31] + wire _T_259 = mtdata1_t_3[6] | mstatus[0]; // @[dec_tlu_ctl.scala 505:62] + wire _T_261 = _T_259 & mtdata1_t_3[3]; // @[dec_tlu_ctl.scala 505:86] + wire _T_264 = mtdata1_t_2[6] | mstatus[0]; // @[dec_tlu_ctl.scala 505:150] + wire _T_266 = _T_264 & mtdata1_t_2[3]; // @[dec_tlu_ctl.scala 505:174] + wire _T_269 = mtdata1_t_1[6] | mstatus[0]; // @[dec_tlu_ctl.scala 505:239] + wire _T_271 = _T_269 & mtdata1_t_1[3]; // @[dec_tlu_ctl.scala 505:263] + wire _T_274 = mtdata1_t_0[6] | mstatus[0]; // @[dec_tlu_ctl.scala 505:328] + wire _T_276 = _T_274 & mtdata1_t_0[3]; // @[dec_tlu_ctl.scala 505:352] wire [3:0] trigger_enabled = {_T_261,_T_266,_T_271,_T_276}; // @[Cat.scala 29:58] - wire [3:0] i0trigger_qual_r = _T_296 & trigger_enabled; // @[dec_tlu_ctl.scala 518:146] - wire [3:0] i0_trigger_r = _T_300 & i0trigger_qual_r; // @[dec_tlu_ctl.scala 520:84] - wire _T_303 = ~mtdata1_t_2[5]; // @[dec_tlu_ctl.scala 523:60] - wire _T_305 = _T_303 | i0_trigger_r[2]; // @[dec_tlu_ctl.scala 523:89] - wire _T_306 = i0_trigger_r[3] & _T_305; // @[dec_tlu_ctl.scala 523:57] - wire _T_311 = _T_303 | i0_trigger_r[3]; // @[dec_tlu_ctl.scala 523:157] - wire _T_312 = i0_trigger_r[2] & _T_311; // @[dec_tlu_ctl.scala 523:125] - wire _T_315 = ~mtdata1_t_0[5]; // @[dec_tlu_ctl.scala 523:196] - wire _T_317 = _T_315 | i0_trigger_r[0]; // @[dec_tlu_ctl.scala 523:225] - wire _T_318 = i0_trigger_r[1] & _T_317; // @[dec_tlu_ctl.scala 523:193] - wire _T_323 = _T_315 | i0_trigger_r[1]; // @[dec_tlu_ctl.scala 523:293] - wire _T_324 = i0_trigger_r[0] & _T_323; // @[dec_tlu_ctl.scala 523:261] + wire [3:0] i0trigger_qual_r = _T_296 & trigger_enabled; // @[dec_tlu_ctl.scala 516:146] + wire [3:0] i0_trigger_r = _T_300 & i0trigger_qual_r; // @[dec_tlu_ctl.scala 518:84] + wire _T_303 = ~mtdata1_t_2[5]; // @[dec_tlu_ctl.scala 521:60] + wire _T_305 = _T_303 | i0_trigger_r[2]; // @[dec_tlu_ctl.scala 521:89] + wire _T_306 = i0_trigger_r[3] & _T_305; // @[dec_tlu_ctl.scala 521:57] + wire _T_311 = _T_303 | i0_trigger_r[3]; // @[dec_tlu_ctl.scala 521:157] + wire _T_312 = i0_trigger_r[2] & _T_311; // @[dec_tlu_ctl.scala 521:125] + wire _T_315 = ~mtdata1_t_0[5]; // @[dec_tlu_ctl.scala 521:196] + wire _T_317 = _T_315 | i0_trigger_r[0]; // @[dec_tlu_ctl.scala 521:225] + wire _T_318 = i0_trigger_r[1] & _T_317; // @[dec_tlu_ctl.scala 521:193] + wire _T_323 = _T_315 | i0_trigger_r[1]; // @[dec_tlu_ctl.scala 521:293] + wire _T_324 = i0_trigger_r[0] & _T_323; // @[dec_tlu_ctl.scala 521:261] wire [3:0] i0_trigger_chain_masked_r = {_T_306,_T_312,_T_318,_T_324}; // @[Cat.scala 29:58] - wire i0_trigger_hit_raw_r = |i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 526:57] - wire _T_465 = ~i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 658:90] - wire _T_466 = _T_464 & _T_465; // @[dec_tlu_ctl.scala 658:88] - wire _T_468 = ~dcsr[15]; // @[dec_tlu_ctl.scala 658:110] - wire _T_469 = _T_466 & _T_468; // @[dec_tlu_ctl.scala 658:108] + wire i0_trigger_hit_raw_r = |i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 524:57] + wire _T_465 = ~i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 656:90] + wire _T_466 = _T_464 & _T_465; // @[dec_tlu_ctl.scala 656:88] + wire _T_468 = ~dcsr[15]; // @[dec_tlu_ctl.scala 656:110] + wire _T_469 = _T_466 & _T_468; // @[dec_tlu_ctl.scala 656:108] reg tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 328:80] - wire _T_429 = ~tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 633:44] - wire _T_430 = io_dec_tlu_i0_valid_r & _T_429; // @[dec_tlu_ctl.scala 633:42] - wire _T_432 = _T_430 & _T_283; // @[dec_tlu_ctl.scala 633:66] + wire _T_429 = ~tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 631:44] + wire _T_430 = io_dec_tlu_i0_valid_r & _T_429; // @[dec_tlu_ctl.scala 631:42] + wire _T_432 = _T_430 & _T_283; // @[dec_tlu_ctl.scala 631:66] reg ic_perr_r_d1; // @[dec_tlu_ctl.scala 322:89] reg iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 323:89] - wire _T_433 = ic_perr_r_d1 | iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 633:154] - wire _T_435 = _T_433 & _T_107; // @[dec_tlu_ctl.scala 633:173] - wire _T_436 = _T_432 | _T_435; // @[dec_tlu_ctl.scala 633:137] - wire _T_438 = _T_436 & _T_465; // @[dec_tlu_ctl.scala 633:196] - wire _T_410 = io_dec_tlu_i0_valid_r & _T_465; // @[dec_tlu_ctl.scala 621:47] - wire _T_411 = ~io_lsu_error_pkt_r_bits_inst_type; // @[dec_tlu_ctl.scala 621:70] - wire _T_412 = _T_411 & io_lsu_error_pkt_r_bits_single_ecc_error; // @[dec_tlu_ctl.scala 621:105] - wire lsu_i0_rfnpc_r = _T_410 & _T_412; // @[dec_tlu_ctl.scala 621:67] - wire _T_439 = ~lsu_i0_rfnpc_r; // @[dec_tlu_ctl.scala 633:220] - wire rfpc_i0_r = _T_438 & _T_439; // @[dec_tlu_ctl.scala 633:217] - wire _T_470 = ~rfpc_i0_r; // @[dec_tlu_ctl.scala 658:132] - wire ebreak_r = _T_469 & _T_470; // @[dec_tlu_ctl.scala 658:130] - wire _T_472 = io_dec_tlu_packet_r_pmu_i0_itype == 4'h9; // @[dec_tlu_ctl.scala 659:51] - wire _T_473 = _T_472 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 659:64] - wire _T_475 = _T_473 & _T_465; // @[dec_tlu_ctl.scala 659:88] - wire ecall_r = _T_475 & _T_470; // @[dec_tlu_ctl.scala 659:108] - wire _T_523 = ebreak_r | ecall_r; // @[dec_tlu_ctl.scala 686:41] - wire _T_478 = ~io_dec_tlu_packet_r_legal; // @[dec_tlu_ctl.scala 660:17] - wire _T_479 = _T_478 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 660:46] - wire _T_481 = _T_479 & _T_465; // @[dec_tlu_ctl.scala 660:70] - wire illegal_r = _T_481 & _T_470; // @[dec_tlu_ctl.scala 660:90] - wire _T_524 = _T_523 | illegal_r; // @[dec_tlu_ctl.scala 686:51] - wire _T_511 = inst_acc_r_raw & _T_470; // @[dec_tlu_ctl.scala 667:33] - wire inst_acc_r = _T_511 & _T_465; // @[dec_tlu_ctl.scala 667:46] - wire _T_525 = _T_524 | inst_acc_r; // @[dec_tlu_ctl.scala 686:63] - wire _T_527 = _T_525 & _T_470; // @[dec_tlu_ctl.scala 686:77] - wire _T_528 = ~io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 686:92] - wire i0_exception_valid_r = _T_527 & _T_528; // @[dec_tlu_ctl.scala 686:90] - wire _T_789 = i0_exception_valid_r | rfpc_i0_r; // @[dec_tlu_ctl.scala 785:49] - wire _T_402 = ~io_dec_tlu_flush_lower_wb; // @[dec_tlu_ctl.scala 609:57] - wire lsu_exc_valid_r_raw = io_lsu_error_pkt_r_valid & _T_402; // @[dec_tlu_ctl.scala 609:55] - wire _T_403 = io_lsu_error_pkt_r_valid & lsu_exc_valid_r_raw; // @[dec_tlu_ctl.scala 611:40] - wire _T_405 = _T_403 & _T_465; // @[dec_tlu_ctl.scala 611:62] - wire lsu_exc_valid_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 611:82] - wire _T_790 = _T_789 | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 785:61] - wire _T_490 = io_dec_tlu_packet_r_fence_i & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 663:50] - wire _T_492 = _T_490 & _T_465; // @[dec_tlu_ctl.scala 663:74] - wire fence_i_r = _T_492 & _T_470; // @[dec_tlu_ctl.scala 663:95] - wire _T_791 = _T_790 | fence_i_r; // @[dec_tlu_ctl.scala 785:79] - wire _T_792 = _T_791 | lsu_i0_rfnpc_r; // @[dec_tlu_ctl.scala 785:91] - wire _T_414 = io_dec_tlu_i0_valid_r & _T_470; // @[dec_tlu_ctl.scala 624:50] - wire _T_415 = ~lsu_exc_valid_r; // @[dec_tlu_ctl.scala 624:65] - wire _T_416 = _T_414 & _T_415; // @[dec_tlu_ctl.scala 624:63] - wire _T_417 = ~inst_acc_r; // @[dec_tlu_ctl.scala 624:82] - wire _T_418 = _T_416 & _T_417; // @[dec_tlu_ctl.scala 624:79] - wire _T_420 = _T_418 & _T_528; // @[dec_tlu_ctl.scala 624:94] - reg request_debug_mode_r_d1; // @[dec_tlu_ctl.scala 462:81] - wire _T_421 = ~request_debug_mode_r_d1; // @[dec_tlu_ctl.scala 624:121] - wire _T_422 = _T_420 & _T_421; // @[dec_tlu_ctl.scala 624:119] - wire tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 624:146] + wire _T_433 = ic_perr_r_d1 | iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 631:154] + wire _T_435 = _T_433 & _T_107; // @[dec_tlu_ctl.scala 631:173] + wire _T_436 = _T_432 | _T_435; // @[dec_tlu_ctl.scala 631:137] + wire _T_438 = _T_436 & _T_465; // @[dec_tlu_ctl.scala 631:196] + wire _T_410 = io_dec_tlu_i0_valid_r & _T_465; // @[dec_tlu_ctl.scala 619:47] + wire _T_411 = ~io_lsu_error_pkt_r_bits_inst_type; // @[dec_tlu_ctl.scala 619:70] + wire _T_412 = _T_411 & io_lsu_error_pkt_r_bits_single_ecc_error; // @[dec_tlu_ctl.scala 619:105] + wire lsu_i0_rfnpc_r = _T_410 & _T_412; // @[dec_tlu_ctl.scala 619:67] + wire _T_439 = ~lsu_i0_rfnpc_r; // @[dec_tlu_ctl.scala 631:220] + wire rfpc_i0_r = _T_438 & _T_439; // @[dec_tlu_ctl.scala 631:217] + wire _T_470 = ~rfpc_i0_r; // @[dec_tlu_ctl.scala 656:132] + wire ebreak_r = _T_469 & _T_470; // @[dec_tlu_ctl.scala 656:130] + wire _T_472 = io_dec_tlu_packet_r_pmu_i0_itype == 4'h9; // @[dec_tlu_ctl.scala 657:51] + wire _T_473 = _T_472 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 657:64] + wire _T_475 = _T_473 & _T_465; // @[dec_tlu_ctl.scala 657:88] + wire ecall_r = _T_475 & _T_470; // @[dec_tlu_ctl.scala 657:108] + wire _T_523 = ebreak_r | ecall_r; // @[dec_tlu_ctl.scala 684:41] + wire _T_478 = ~io_dec_tlu_packet_r_legal; // @[dec_tlu_ctl.scala 658:17] + wire _T_479 = _T_478 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 658:46] + wire _T_481 = _T_479 & _T_465; // @[dec_tlu_ctl.scala 658:70] + wire illegal_r = _T_481 & _T_470; // @[dec_tlu_ctl.scala 658:90] + wire _T_524 = _T_523 | illegal_r; // @[dec_tlu_ctl.scala 684:51] + wire _T_511 = inst_acc_r_raw & _T_470; // @[dec_tlu_ctl.scala 665:33] + wire inst_acc_r = _T_511 & _T_465; // @[dec_tlu_ctl.scala 665:46] + wire _T_525 = _T_524 | inst_acc_r; // @[dec_tlu_ctl.scala 684:63] + wire _T_527 = _T_525 & _T_470; // @[dec_tlu_ctl.scala 684:77] + wire _T_528 = ~io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 684:92] + wire i0_exception_valid_r = _T_527 & _T_528; // @[dec_tlu_ctl.scala 684:90] + wire _T_789 = i0_exception_valid_r | rfpc_i0_r; // @[dec_tlu_ctl.scala 783:49] + wire _T_402 = ~io_dec_tlu_flush_lower_wb; // @[dec_tlu_ctl.scala 607:57] + wire lsu_exc_valid_r_raw = io_lsu_error_pkt_r_valid & _T_402; // @[dec_tlu_ctl.scala 607:55] + wire _T_403 = io_lsu_error_pkt_r_valid & lsu_exc_valid_r_raw; // @[dec_tlu_ctl.scala 609:40] + wire _T_405 = _T_403 & _T_465; // @[dec_tlu_ctl.scala 609:62] + wire lsu_exc_valid_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 609:82] + wire _T_790 = _T_789 | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 783:61] + wire _T_490 = io_dec_tlu_packet_r_fence_i & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 661:50] + wire _T_492 = _T_490 & _T_465; // @[dec_tlu_ctl.scala 661:74] + wire fence_i_r = _T_492 & _T_470; // @[dec_tlu_ctl.scala 661:95] + wire _T_791 = _T_790 | fence_i_r; // @[dec_tlu_ctl.scala 783:79] + wire _T_792 = _T_791 | lsu_i0_rfnpc_r; // @[dec_tlu_ctl.scala 783:91] + wire _T_414 = io_dec_tlu_i0_valid_r & _T_470; // @[dec_tlu_ctl.scala 622:50] + wire _T_415 = ~lsu_exc_valid_r; // @[dec_tlu_ctl.scala 622:65] + wire _T_416 = _T_414 & _T_415; // @[dec_tlu_ctl.scala 622:63] + wire _T_417 = ~inst_acc_r; // @[dec_tlu_ctl.scala 622:82] + wire _T_418 = _T_416 & _T_417; // @[dec_tlu_ctl.scala 622:79] + wire _T_420 = _T_418 & _T_528; // @[dec_tlu_ctl.scala 622:94] + reg request_debug_mode_r_d1; // @[dec_tlu_ctl.scala 460:81] + wire _T_421 = ~request_debug_mode_r_d1; // @[dec_tlu_ctl.scala 622:121] + wire _T_422 = _T_420 & _T_421; // @[dec_tlu_ctl.scala 622:119] + wire tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 622:146] reg iccm_repair_state_d1; // @[dec_tlu_ctl.scala 321:80] - wire _T_444 = tlu_i0_commit_cmt & iccm_repair_state_d1; // @[dec_tlu_ctl.scala 642:52] - wire _T_484 = io_dec_tlu_packet_r_pmu_i0_itype == 4'hc; // @[dec_tlu_ctl.scala 661:51] - wire _T_485 = _T_484 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 661:64] - wire _T_487 = _T_485 & _T_465; // @[dec_tlu_ctl.scala 661:88] - wire mret_r = _T_487 & _T_470; // @[dec_tlu_ctl.scala 661:108] - wire _T_446 = _T_523 | mret_r; // @[dec_tlu_ctl.scala 642:98] - wire take_reset = reset_delayed & io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 771:32] - wire _T_447 = _T_446 | take_reset; // @[dec_tlu_ctl.scala 642:107] - wire _T_448 = _T_447 | illegal_r; // @[dec_tlu_ctl.scala 642:120] - wire _T_449 = io_dec_csr_wraddr_r == 12'h7c2; // @[dec_tlu_ctl.scala 642:176] - wire _T_450 = dec_csr_wen_r_mod & _T_449; // @[dec_tlu_ctl.scala 642:153] - wire _T_451 = _T_448 | _T_450; // @[dec_tlu_ctl.scala 642:132] - wire _T_452 = ~_T_451; // @[dec_tlu_ctl.scala 642:77] - wire iccm_repair_state_rfnpc = _T_444 & _T_452; // @[dec_tlu_ctl.scala 642:75] - wire _T_793 = _T_792 | iccm_repair_state_rfnpc; // @[dec_tlu_ctl.scala 785:108] - wire _T_794 = _T_793 | debug_resume_req_f; // @[dec_tlu_ctl.scala 785:135] - wire _T_786 = i_cpu_run_req_d1 & pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 783:43] - wire _T_211 = ~io_dec_pause_state; // @[dec_tlu_ctl.scala 482:28] - reg dec_pause_state_f; // @[dec_tlu_ctl.scala 461:81] - wire _T_212 = _T_211 & dec_pause_state_f; // @[dec_tlu_ctl.scala 482:48] - wire _T_213 = ext_int_ready | ce_int_ready; // @[dec_tlu_ctl.scala 482:86] - wire _T_214 = _T_213 | timer_int_ready; // @[dec_tlu_ctl.scala 482:101] - wire _T_215 = _T_214 | soft_int_ready; // @[dec_tlu_ctl.scala 482:119] - wire _T_216 = _T_215 | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 482:136] - wire _T_217 = _T_216 | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 482:160] - wire _T_218 = _T_217 | nmi_int_detected; // @[dec_tlu_ctl.scala 482:184] - wire _T_219 = _T_218 | ext_int_freeze_d1; // @[dec_tlu_ctl.scala 482:203] - wire _T_220 = ~_T_219; // @[dec_tlu_ctl.scala 482:70] - wire _T_221 = _T_212 & _T_220; // @[dec_tlu_ctl.scala 482:68] - wire _T_223 = _T_221 & _T_140; // @[dec_tlu_ctl.scala 482:224] - wire _T_225 = _T_223 & _T_378; // @[dec_tlu_ctl.scala 482:248] - wire _T_226 = ~pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 482:270] - wire _T_227 = _T_225 & _T_226; // @[dec_tlu_ctl.scala 482:268] - wire _T_228 = ~halt_taken_f; // @[dec_tlu_ctl.scala 482:291] - wire pause_expired_r = _T_227 & _T_228; // @[dec_tlu_ctl.scala 482:289] - wire sel_npc_resume = _T_786 | pause_expired_r; // @[dec_tlu_ctl.scala 783:66] - wire _T_795 = _T_794 | sel_npc_resume; // @[dec_tlu_ctl.scala 785:157] - reg dec_tlu_wr_pause_r_d1; // @[dec_tlu_ctl.scala 460:81] - wire _T_796 = _T_795 | dec_tlu_wr_pause_r_d1; // @[dec_tlu_ctl.scala 785:175] - wire synchronous_flush_r = _T_796 | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 785:201] - wire _T_749 = ~synchronous_flush_r; // @[dec_tlu_ctl.scala 772:195] - wire _T_750 = _T_748 & _T_749; // @[dec_tlu_ctl.scala 772:193] - wire _T_751 = ~mret_r; // @[dec_tlu_ctl.scala 772:218] - wire _T_752 = _T_750 & _T_751; // @[dec_tlu_ctl.scala 772:216] - wire _T_753 = ~take_reset; // @[dec_tlu_ctl.scala 772:228] - wire _T_754 = _T_752 & _T_753; // @[dec_tlu_ctl.scala 772:226] - wire _T_519 = _T_466 & dcsr[15]; // @[dec_tlu_ctl.scala 670:121] - wire ebreak_to_debug_mode_r = _T_519 & _T_470; // @[dec_tlu_ctl.scala 670:142] - wire _T_755 = ~ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 772:242] - wire _T_756 = _T_754 & _T_755; // @[dec_tlu_ctl.scala 772:240] - wire _T_760 = _T_107 | _T_44; // @[dec_tlu_ctl.scala 772:288] - wire take_nmi = _T_756 & _T_760; // @[dec_tlu_ctl.scala 772:266] - wire _T_670 = _T_669 | take_nmi; // @[dec_tlu_ctl.scala 740:155] - wire _T_671 = _T_670 | ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 740:166] - wire _T_672 = _T_671 | synchronous_flush_r; // @[dec_tlu_ctl.scala 740:191] - reg exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 811:90] - wire _T_673 = _T_672 | exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 740:214] - wire _T_674 = _T_673 | mret_r; // @[dec_tlu_ctl.scala 740:238] - wire block_interrupts = _T_674 | ext_int_freeze_d1; // @[dec_tlu_ctl.scala 740:247] - wire _T_704 = ~block_interrupts; // @[dec_tlu_ctl.scala 768:91] - wire take_timer_int = _T_703 & _T_704; // @[dec_tlu_ctl.scala 768:89] - wire _T_762 = take_ext_int | take_timer_int; // @[dec_tlu_ctl.scala 775:38] - wire _T_693 = soft_int_ready & _T_700; // @[dec_tlu_ctl.scala 767:36] - wire _T_695 = _T_693 & _T_702; // @[dec_tlu_ctl.scala 767:53] - wire take_soft_int = _T_695 & _T_704; // @[dec_tlu_ctl.scala 767:69] - wire _T_763 = _T_762 | take_soft_int; // @[dec_tlu_ctl.scala 775:55] - wire _T_764 = _T_763 | take_nmi; // @[dec_tlu_ctl.scala 775:71] - wire _T_689 = ce_int_ready & _T_700; // @[dec_tlu_ctl.scala 766:33] - wire take_ce_int = _T_689 & _T_704; // @[dec_tlu_ctl.scala 766:50] - wire _T_765 = _T_764 | take_ce_int; // @[dec_tlu_ctl.scala 775:82] - wire int_timer0_int_possible = mstatus_mie_ns & mie_ns[4]; // @[dec_tlu_ctl.scala 726:49] - wire int_timer0_int_ready = mip[4] & int_timer0_int_possible; // @[dec_tlu_ctl.scala 727:47] - wire _T_706 = int_timer0_int_ready | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 769:49] - wire _T_707 = _T_706 & int_timer0_int_possible; // @[dec_tlu_ctl.scala 769:74] - wire _T_709 = _T_707 & _T_631; // @[dec_tlu_ctl.scala 769:100] - wire _T_710 = ~timer_int_ready; // @[dec_tlu_ctl.scala 769:129] - wire _T_711 = _T_709 & _T_710; // @[dec_tlu_ctl.scala 769:127] - wire _T_713 = _T_711 & _T_698; // @[dec_tlu_ctl.scala 769:146] - wire _T_715 = _T_713 & _T_700; // @[dec_tlu_ctl.scala 769:164] - wire _T_717 = _T_715 & _T_702; // @[dec_tlu_ctl.scala 769:181] - wire take_int_timer0_int = _T_717 & _T_704; // @[dec_tlu_ctl.scala 769:197] - wire _T_766 = _T_765 | take_int_timer0_int; // @[dec_tlu_ctl.scala 775:96] - wire int_timer1_int_possible = mstatus_mie_ns & mie_ns[3]; // @[dec_tlu_ctl.scala 728:49] - wire int_timer1_int_ready = mip[3] & int_timer1_int_possible; // @[dec_tlu_ctl.scala 729:47] - wire _T_720 = int_timer1_int_ready | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 770:49] - wire _T_721 = _T_720 & int_timer1_int_possible; // @[dec_tlu_ctl.scala 770:74] - wire _T_723 = _T_721 & _T_631; // @[dec_tlu_ctl.scala 770:100] - wire _T_725 = ~_T_706; // @[dec_tlu_ctl.scala 770:129] - wire _T_726 = _T_723 & _T_725; // @[dec_tlu_ctl.scala 770:127] - wire _T_728 = _T_726 & _T_710; // @[dec_tlu_ctl.scala 770:177] - wire _T_730 = _T_728 & _T_698; // @[dec_tlu_ctl.scala 770:196] - wire _T_732 = _T_730 & _T_700; // @[dec_tlu_ctl.scala 770:214] - wire _T_734 = _T_732 & _T_702; // @[dec_tlu_ctl.scala 770:231] - wire take_int_timer1_int = _T_734 & _T_704; // @[dec_tlu_ctl.scala 770:247] - wire interrupt_valid_r = _T_766 | take_int_timer1_int; // @[dec_tlu_ctl.scala 775:118] + wire _T_444 = tlu_i0_commit_cmt & iccm_repair_state_d1; // @[dec_tlu_ctl.scala 640:52] + wire _T_484 = io_dec_tlu_packet_r_pmu_i0_itype == 4'hc; // @[dec_tlu_ctl.scala 659:51] + wire _T_485 = _T_484 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 659:64] + wire _T_487 = _T_485 & _T_465; // @[dec_tlu_ctl.scala 659:88] + wire mret_r = _T_487 & _T_470; // @[dec_tlu_ctl.scala 659:108] + wire _T_446 = _T_523 | mret_r; // @[dec_tlu_ctl.scala 640:98] + wire take_reset = reset_delayed & io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 769:32] + wire _T_447 = _T_446 | take_reset; // @[dec_tlu_ctl.scala 640:107] + wire _T_448 = _T_447 | illegal_r; // @[dec_tlu_ctl.scala 640:120] + wire _T_449 = io_dec_csr_wraddr_r == 12'h7c2; // @[dec_tlu_ctl.scala 640:176] + wire _T_450 = dec_csr_wen_r_mod & _T_449; // @[dec_tlu_ctl.scala 640:153] + wire _T_451 = _T_448 | _T_450; // @[dec_tlu_ctl.scala 640:132] + wire _T_452 = ~_T_451; // @[dec_tlu_ctl.scala 640:77] + wire iccm_repair_state_rfnpc = _T_444 & _T_452; // @[dec_tlu_ctl.scala 640:75] + wire _T_793 = _T_792 | iccm_repair_state_rfnpc; // @[dec_tlu_ctl.scala 783:108] + wire _T_794 = _T_793 | debug_resume_req_f; // @[dec_tlu_ctl.scala 783:135] + wire _T_786 = i_cpu_run_req_d1 & pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 781:43] + wire _T_211 = ~io_dec_pause_state; // @[dec_tlu_ctl.scala 480:28] + reg dec_pause_state_f; // @[dec_tlu_ctl.scala 459:81] + wire _T_212 = _T_211 & dec_pause_state_f; // @[dec_tlu_ctl.scala 480:48] + wire _T_213 = ext_int_ready | ce_int_ready; // @[dec_tlu_ctl.scala 480:86] + wire _T_214 = _T_213 | timer_int_ready; // @[dec_tlu_ctl.scala 480:101] + wire _T_215 = _T_214 | soft_int_ready; // @[dec_tlu_ctl.scala 480:119] + wire _T_216 = _T_215 | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 480:136] + wire _T_217 = _T_216 | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 480:160] + wire _T_218 = _T_217 | nmi_int_detected; // @[dec_tlu_ctl.scala 480:184] + wire _T_219 = _T_218 | ext_int_freeze_d1; // @[dec_tlu_ctl.scala 480:203] + wire _T_220 = ~_T_219; // @[dec_tlu_ctl.scala 480:70] + wire _T_221 = _T_212 & _T_220; // @[dec_tlu_ctl.scala 480:68] + wire _T_223 = _T_221 & _T_140; // @[dec_tlu_ctl.scala 480:224] + wire _T_225 = _T_223 & _T_378; // @[dec_tlu_ctl.scala 480:248] + wire _T_226 = ~pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 480:270] + wire _T_227 = _T_225 & _T_226; // @[dec_tlu_ctl.scala 480:268] + wire _T_228 = ~halt_taken_f; // @[dec_tlu_ctl.scala 480:291] + wire pause_expired_r = _T_227 & _T_228; // @[dec_tlu_ctl.scala 480:289] + wire sel_npc_resume = _T_786 | pause_expired_r; // @[dec_tlu_ctl.scala 781:66] + wire _T_795 = _T_794 | sel_npc_resume; // @[dec_tlu_ctl.scala 783:157] + reg dec_tlu_wr_pause_r_d1; // @[dec_tlu_ctl.scala 458:81] + wire _T_796 = _T_795 | dec_tlu_wr_pause_r_d1; // @[dec_tlu_ctl.scala 783:175] + wire synchronous_flush_r = _T_796 | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 783:201] + wire _T_749 = ~synchronous_flush_r; // @[dec_tlu_ctl.scala 770:195] + wire _T_750 = _T_748 & _T_749; // @[dec_tlu_ctl.scala 770:193] + wire _T_751 = ~mret_r; // @[dec_tlu_ctl.scala 770:218] + wire _T_752 = _T_750 & _T_751; // @[dec_tlu_ctl.scala 770:216] + wire _T_753 = ~take_reset; // @[dec_tlu_ctl.scala 770:228] + wire _T_754 = _T_752 & _T_753; // @[dec_tlu_ctl.scala 770:226] + wire _T_519 = _T_466 & dcsr[15]; // @[dec_tlu_ctl.scala 668:121] + wire ebreak_to_debug_mode_r = _T_519 & _T_470; // @[dec_tlu_ctl.scala 668:142] + wire _T_755 = ~ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 770:242] + wire _T_756 = _T_754 & _T_755; // @[dec_tlu_ctl.scala 770:240] + wire _T_760 = _T_107 | _T_44; // @[dec_tlu_ctl.scala 770:288] + wire take_nmi = _T_756 & _T_760; // @[dec_tlu_ctl.scala 770:266] + wire _T_670 = _T_669 | take_nmi; // @[dec_tlu_ctl.scala 738:155] + wire _T_671 = _T_670 | ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 738:166] + wire _T_672 = _T_671 | synchronous_flush_r; // @[dec_tlu_ctl.scala 738:191] + reg exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 809:90] + wire _T_673 = _T_672 | exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 738:214] + wire _T_674 = _T_673 | mret_r; // @[dec_tlu_ctl.scala 738:238] + wire block_interrupts = _T_674 | ext_int_freeze_d1; // @[dec_tlu_ctl.scala 738:247] + wire _T_704 = ~block_interrupts; // @[dec_tlu_ctl.scala 766:91] + wire take_timer_int = _T_703 & _T_704; // @[dec_tlu_ctl.scala 766:89] + wire _T_762 = take_ext_int | take_timer_int; // @[dec_tlu_ctl.scala 773:38] + wire _T_693 = soft_int_ready & _T_700; // @[dec_tlu_ctl.scala 765:36] + wire _T_695 = _T_693 & _T_702; // @[dec_tlu_ctl.scala 765:53] + wire take_soft_int = _T_695 & _T_704; // @[dec_tlu_ctl.scala 765:69] + wire _T_763 = _T_762 | take_soft_int; // @[dec_tlu_ctl.scala 773:55] + wire _T_764 = _T_763 | take_nmi; // @[dec_tlu_ctl.scala 773:71] + wire _T_689 = ce_int_ready & _T_700; // @[dec_tlu_ctl.scala 764:33] + wire take_ce_int = _T_689 & _T_704; // @[dec_tlu_ctl.scala 764:50] + wire _T_765 = _T_764 | take_ce_int; // @[dec_tlu_ctl.scala 773:82] + wire int_timer0_int_possible = mstatus_mie_ns & mie_ns[4]; // @[dec_tlu_ctl.scala 724:49] + wire int_timer0_int_ready = mip[4] & int_timer0_int_possible; // @[dec_tlu_ctl.scala 725:47] + wire _T_706 = int_timer0_int_ready | int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 767:49] + wire _T_707 = _T_706 & int_timer0_int_possible; // @[dec_tlu_ctl.scala 767:74] + wire _T_709 = _T_707 & _T_631; // @[dec_tlu_ctl.scala 767:100] + wire _T_710 = ~timer_int_ready; // @[dec_tlu_ctl.scala 767:129] + wire _T_711 = _T_709 & _T_710; // @[dec_tlu_ctl.scala 767:127] + wire _T_713 = _T_711 & _T_698; // @[dec_tlu_ctl.scala 767:146] + wire _T_715 = _T_713 & _T_700; // @[dec_tlu_ctl.scala 767:164] + wire _T_717 = _T_715 & _T_702; // @[dec_tlu_ctl.scala 767:181] + wire take_int_timer0_int = _T_717 & _T_704; // @[dec_tlu_ctl.scala 767:197] + wire _T_766 = _T_765 | take_int_timer0_int; // @[dec_tlu_ctl.scala 773:96] + wire int_timer1_int_possible = mstatus_mie_ns & mie_ns[3]; // @[dec_tlu_ctl.scala 726:49] + wire int_timer1_int_ready = mip[3] & int_timer1_int_possible; // @[dec_tlu_ctl.scala 727:47] + wire _T_720 = int_timer1_int_ready | int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 768:49] + wire _T_721 = _T_720 & int_timer1_int_possible; // @[dec_tlu_ctl.scala 768:74] + wire _T_723 = _T_721 & _T_631; // @[dec_tlu_ctl.scala 768:100] + wire _T_725 = ~_T_706; // @[dec_tlu_ctl.scala 768:129] + wire _T_726 = _T_723 & _T_725; // @[dec_tlu_ctl.scala 768:127] + wire _T_728 = _T_726 & _T_710; // @[dec_tlu_ctl.scala 768:177] + wire _T_730 = _T_728 & _T_698; // @[dec_tlu_ctl.scala 768:196] + wire _T_732 = _T_730 & _T_700; // @[dec_tlu_ctl.scala 768:214] + wire _T_734 = _T_732 & _T_702; // @[dec_tlu_ctl.scala 768:231] + wire take_int_timer1_int = _T_734 & _T_704; // @[dec_tlu_ctl.scala 768:247] + wire interrupt_valid_r = _T_766 | take_int_timer1_int; // @[dec_tlu_ctl.scala 773:118] wire _T_15 = _T_14 | interrupt_valid_r; // @[dec_tlu_ctl.scala 316:69] wire _T_16 = _T_15 | interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 316:89] wire _T_17 = _T_16 | reset_delayed; // @[dec_tlu_ctl.scala 316:112] wire _T_18 = _T_17 | pause_expired_r; // @[dec_tlu_ctl.scala 316:128] - reg pause_expired_wb; // @[dec_tlu_ctl.scala 816:90] + reg pause_expired_wb; // @[dec_tlu_ctl.scala 814:90] wire _T_19 = _T_18 | pause_expired_wb; // @[dec_tlu_ctl.scala 316:146] - wire _T_496 = io_tlu_mem_ifu_ic_error_start & _T_107; // @[dec_tlu_ctl.scala 664:51] - wire _T_498 = _T_152 | dcsr_single_step_running; // @[dec_tlu_ctl.scala 664:101] - wire _T_499 = _T_496 & _T_498; // @[dec_tlu_ctl.scala 664:72] - wire _T_500 = ~internal_pmu_fw_halt_mode_f; // @[dec_tlu_ctl.scala 664:131] - wire ic_perr_r = _T_499 & _T_500; // @[dec_tlu_ctl.scala 664:129] + wire _T_496 = io_tlu_mem_ifu_ic_error_start & _T_107; // @[dec_tlu_ctl.scala 662:51] + wire _T_498 = _T_152 | dcsr_single_step_running; // @[dec_tlu_ctl.scala 662:101] + wire _T_499 = _T_496 & _T_498; // @[dec_tlu_ctl.scala 662:72] + wire _T_500 = ~internal_pmu_fw_halt_mode_f; // @[dec_tlu_ctl.scala 662:131] + wire ic_perr_r = _T_499 & _T_500; // @[dec_tlu_ctl.scala 662:129] wire _T_20 = _T_19 | ic_perr_r; // @[dec_tlu_ctl.scala 316:165] wire _T_21 = _T_20 | ic_perr_r_d1; // @[dec_tlu_ctl.scala 316:177] - wire _T_503 = io_tlu_mem_ifu_iccm_rd_ecc_single_err & _T_107; // @[dec_tlu_ctl.scala 665:59] - wire _T_506 = _T_503 & _T_498; // @[dec_tlu_ctl.scala 665:80] - wire iccm_sbecc_r = _T_506 & _T_500; // @[dec_tlu_ctl.scala 665:137] + wire _T_503 = io_tlu_mem_ifu_iccm_rd_ecc_single_err & _T_107; // @[dec_tlu_ctl.scala 663:59] + wire _T_506 = _T_503 & _T_498; // @[dec_tlu_ctl.scala 663:80] + wire iccm_sbecc_r = _T_506 & _T_500; // @[dec_tlu_ctl.scala 663:137] wire _T_22 = _T_21 | iccm_sbecc_r; // @[dec_tlu_ctl.scala 316:192] wire _T_23 = _T_22 | iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 316:207] wire flush_clkvalid = _T_23 | io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 316:225] @@ -55291,148 +55302,148 @@ module dec_tlu_ctl( reg _T_32; // @[dec_tlu_ctl.scala 329:73] reg internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 330:72] reg _T_33; // @[dec_tlu_ctl.scala 331:89] - reg nmi_lsu_load_type_f; // @[dec_tlu_ctl.scala 342:72] - reg nmi_lsu_store_type_f; // @[dec_tlu_ctl.scala 343:72] - wire _T_46 = nmi_lsu_detected & io_tlu_busbuff_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 351:48] - wire _T_49 = ~_T_41; // @[dec_tlu_ctl.scala 351:96] - wire _T_50 = _T_46 & _T_49; // @[dec_tlu_ctl.scala 351:94] - wire _T_52 = nmi_lsu_load_type_f & _T_40; // @[dec_tlu_ctl.scala 351:159] - wire _T_54 = nmi_lsu_detected & io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 352:49] - wire _T_58 = _T_54 & _T_49; // @[dec_tlu_ctl.scala 352:96] - wire _T_60 = nmi_lsu_store_type_f & _T_40; // @[dec_tlu_ctl.scala 352:162] - reg mpc_debug_halt_req_sync_f; // @[dec_tlu_ctl.scala 360:72] - reg mpc_debug_run_req_sync_f; // @[dec_tlu_ctl.scala 361:72] - reg mpc_run_state_f; // @[dec_tlu_ctl.scala 363:88] - reg debug_brkpt_status_f; // @[dec_tlu_ctl.scala 364:80] - reg mpc_debug_halt_ack_f; // @[dec_tlu_ctl.scala 365:80] - reg mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 366:80] - reg dbg_run_state_f; // @[dec_tlu_ctl.scala 368:88] - reg _T_65; // @[dec_tlu_ctl.scala 369:81] - wire _T_66 = ~mpc_debug_halt_req_sync_f; // @[dec_tlu_ctl.scala 373:71] - wire mpc_debug_halt_req_sync_pulse = mpc_debug_halt_req_sync & _T_66; // @[dec_tlu_ctl.scala 373:69] - wire _T_67 = ~mpc_debug_run_req_sync_f; // @[dec_tlu_ctl.scala 374:70] - wire mpc_debug_run_req_sync_pulse = mpc_debug_run_req_sync & _T_67; // @[dec_tlu_ctl.scala 374:68] - wire _T_68 = mpc_halt_state_f | mpc_debug_halt_req_sync_pulse; // @[dec_tlu_ctl.scala 376:48] - wire _T_71 = _T_68 | _T_111; // @[dec_tlu_ctl.scala 376:80] - wire _T_72 = ~mpc_debug_run_req_sync; // @[dec_tlu_ctl.scala 376:125] - wire mpc_halt_state_ns = _T_71 & _T_72; // @[dec_tlu_ctl.scala 376:123] - wire _T_74 = ~mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 377:80] - wire _T_75 = mpc_debug_run_req_sync_pulse & _T_74; // @[dec_tlu_ctl.scala 377:78] - wire _T_76 = mpc_run_state_f | _T_75; // @[dec_tlu_ctl.scala 377:46] - wire _T_77 = ~dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 377:133] - wire _T_78 = debug_mode_status & _T_77; // @[dec_tlu_ctl.scala 377:131] - wire mpc_run_state_ns = _T_76 & _T_78; // @[dec_tlu_ctl.scala 377:103] - wire _T_80 = dbg_halt_req_final | dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 379:70] - wire _T_81 = _T_80 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 379:96] - wire _T_82 = _T_81 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 379:121] - wire _T_83 = dbg_halt_state_f | _T_82; // @[dec_tlu_ctl.scala 379:48] - wire _T_84 = ~io_dbg_resume_req; // @[dec_tlu_ctl.scala 379:153] - wire dbg_halt_state_ns = _T_83 & _T_84; // @[dec_tlu_ctl.scala 379:151] - wire _T_86 = dbg_run_state_f | io_dbg_resume_req; // @[dec_tlu_ctl.scala 380:46] - wire dbg_run_state_ns = _T_86 & _T_78; // @[dec_tlu_ctl.scala 380:67] - wire debug_brkpt_valid = ebreak_to_debug_mode_r_d1 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 386:59] - wire _T_92 = debug_brkpt_valid | debug_brkpt_status_f; // @[dec_tlu_ctl.scala 387:53] - wire _T_94 = internal_dbg_halt_mode & _T_77; // @[dec_tlu_ctl.scala 387:103] - wire _T_96 = mpc_halt_state_f & debug_mode_status; // @[dec_tlu_ctl.scala 390:51] - wire _T_97 = _T_96 & mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 390:78] - wire _T_99 = ~dbg_halt_state_ns; // @[dec_tlu_ctl.scala 391:59] - wire _T_100 = mpc_debug_run_req_sync & _T_99; // @[dec_tlu_ctl.scala 391:57] - wire _T_101 = ~mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 391:80] - wire _T_102 = _T_100 & _T_101; // @[dec_tlu_ctl.scala 391:78] - wire _T_103 = mpc_debug_run_ack_f & mpc_debug_run_req_sync; // @[dec_tlu_ctl.scala 391:129] - wire _T_118 = mpc_run_state_ns & _T_99; // @[dec_tlu_ctl.scala 405:73] - wire _T_119 = ~mpc_halt_state_ns; // @[dec_tlu_ctl.scala 405:117] - wire _T_120 = dbg_run_state_ns & _T_119; // @[dec_tlu_ctl.scala 405:115] - wire _T_121 = _T_118 | _T_120; // @[dec_tlu_ctl.scala 405:95] - wire _T_122 = debug_halt_req_f | pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 410:43] - wire _T_124 = _T_122 & _T_749; // @[dec_tlu_ctl.scala 410:64] - wire _T_126 = _T_124 & _T_751; // @[dec_tlu_ctl.scala 410:87] - wire _T_128 = _T_126 & _T_228; // @[dec_tlu_ctl.scala 410:97] - wire _T_129 = ~dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 410:115] - wire _T_130 = _T_128 & _T_129; // @[dec_tlu_ctl.scala 410:113] - wire take_halt = _T_130 & _T_753; // @[dec_tlu_ctl.scala 410:143] - wire _T_170 = debug_resume_req_f & dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 435:49] - wire _T_172 = io_dec_tlu_i0_valid_r & _T_528; // @[dec_tlu_ctl.scala 437:59] - wire _T_174 = _T_172 & dcsr[2]; // @[dec_tlu_ctl.scala 437:84] - wire _T_329 = mtdata1_t_3[6] & mtdata1_t_3[9]; // @[dec_tlu_ctl.scala 532:61] - wire _T_332 = mtdata1_t_2[6] & mtdata1_t_2[9]; // @[dec_tlu_ctl.scala 532:121] - wire _T_335 = mtdata1_t_1[6] & mtdata1_t_1[9]; // @[dec_tlu_ctl.scala 532:181] - wire _T_338 = mtdata1_t_0[6] & mtdata1_t_0[9]; // @[dec_tlu_ctl.scala 532:241] + reg nmi_lsu_load_type_f; // @[dec_tlu_ctl.scala 340:72] + reg nmi_lsu_store_type_f; // @[dec_tlu_ctl.scala 341:72] + wire _T_46 = nmi_lsu_detected & io_tlu_busbuff_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 349:48] + wire _T_49 = ~_T_41; // @[dec_tlu_ctl.scala 349:96] + wire _T_50 = _T_46 & _T_49; // @[dec_tlu_ctl.scala 349:94] + wire _T_52 = nmi_lsu_load_type_f & _T_40; // @[dec_tlu_ctl.scala 349:159] + wire _T_54 = nmi_lsu_detected & io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 350:49] + wire _T_58 = _T_54 & _T_49; // @[dec_tlu_ctl.scala 350:96] + wire _T_60 = nmi_lsu_store_type_f & _T_40; // @[dec_tlu_ctl.scala 350:162] + reg mpc_debug_halt_req_sync_f; // @[dec_tlu_ctl.scala 358:72] + reg mpc_debug_run_req_sync_f; // @[dec_tlu_ctl.scala 359:72] + reg mpc_run_state_f; // @[dec_tlu_ctl.scala 361:88] + reg debug_brkpt_status_f; // @[dec_tlu_ctl.scala 362:80] + reg mpc_debug_halt_ack_f; // @[dec_tlu_ctl.scala 363:80] + reg mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 364:80] + reg dbg_run_state_f; // @[dec_tlu_ctl.scala 366:88] + reg _T_65; // @[dec_tlu_ctl.scala 367:81] + wire _T_66 = ~mpc_debug_halt_req_sync_f; // @[dec_tlu_ctl.scala 371:71] + wire mpc_debug_halt_req_sync_pulse = mpc_debug_halt_req_sync & _T_66; // @[dec_tlu_ctl.scala 371:69] + wire _T_67 = ~mpc_debug_run_req_sync_f; // @[dec_tlu_ctl.scala 372:70] + wire mpc_debug_run_req_sync_pulse = mpc_debug_run_req_sync & _T_67; // @[dec_tlu_ctl.scala 372:68] + wire _T_68 = mpc_halt_state_f | mpc_debug_halt_req_sync_pulse; // @[dec_tlu_ctl.scala 374:48] + wire _T_71 = _T_68 | _T_111; // @[dec_tlu_ctl.scala 374:80] + wire _T_72 = ~mpc_debug_run_req_sync; // @[dec_tlu_ctl.scala 374:125] + wire mpc_halt_state_ns = _T_71 & _T_72; // @[dec_tlu_ctl.scala 374:123] + wire _T_74 = ~mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 375:80] + wire _T_75 = mpc_debug_run_req_sync_pulse & _T_74; // @[dec_tlu_ctl.scala 375:78] + wire _T_76 = mpc_run_state_f | _T_75; // @[dec_tlu_ctl.scala 375:46] + wire _T_77 = ~dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 375:133] + wire _T_78 = debug_mode_status & _T_77; // @[dec_tlu_ctl.scala 375:131] + wire mpc_run_state_ns = _T_76 & _T_78; // @[dec_tlu_ctl.scala 375:103] + wire _T_80 = dbg_halt_req_final | dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 377:70] + wire _T_81 = _T_80 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 377:96] + wire _T_82 = _T_81 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 377:121] + wire _T_83 = dbg_halt_state_f | _T_82; // @[dec_tlu_ctl.scala 377:48] + wire _T_84 = ~io_dbg_resume_req; // @[dec_tlu_ctl.scala 377:153] + wire dbg_halt_state_ns = _T_83 & _T_84; // @[dec_tlu_ctl.scala 377:151] + wire _T_86 = dbg_run_state_f | io_dbg_resume_req; // @[dec_tlu_ctl.scala 378:46] + wire dbg_run_state_ns = _T_86 & _T_78; // @[dec_tlu_ctl.scala 378:67] + wire debug_brkpt_valid = ebreak_to_debug_mode_r_d1 | trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 384:59] + wire _T_92 = debug_brkpt_valid | debug_brkpt_status_f; // @[dec_tlu_ctl.scala 385:53] + wire _T_94 = internal_dbg_halt_mode & _T_77; // @[dec_tlu_ctl.scala 385:103] + wire _T_96 = mpc_halt_state_f & debug_mode_status; // @[dec_tlu_ctl.scala 388:51] + wire _T_97 = _T_96 & mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 388:78] + wire _T_99 = ~dbg_halt_state_ns; // @[dec_tlu_ctl.scala 389:59] + wire _T_100 = mpc_debug_run_req_sync & _T_99; // @[dec_tlu_ctl.scala 389:57] + wire _T_101 = ~mpc_debug_halt_req_sync; // @[dec_tlu_ctl.scala 389:80] + wire _T_102 = _T_100 & _T_101; // @[dec_tlu_ctl.scala 389:78] + wire _T_103 = mpc_debug_run_ack_f & mpc_debug_run_req_sync; // @[dec_tlu_ctl.scala 389:129] + wire _T_118 = mpc_run_state_ns & _T_99; // @[dec_tlu_ctl.scala 403:73] + wire _T_119 = ~mpc_halt_state_ns; // @[dec_tlu_ctl.scala 403:117] + wire _T_120 = dbg_run_state_ns & _T_119; // @[dec_tlu_ctl.scala 403:115] + wire _T_121 = _T_118 | _T_120; // @[dec_tlu_ctl.scala 403:95] + wire _T_122 = debug_halt_req_f | pmu_fw_halt_req_f; // @[dec_tlu_ctl.scala 408:43] + wire _T_124 = _T_122 & _T_749; // @[dec_tlu_ctl.scala 408:64] + wire _T_126 = _T_124 & _T_751; // @[dec_tlu_ctl.scala 408:87] + wire _T_128 = _T_126 & _T_228; // @[dec_tlu_ctl.scala 408:97] + wire _T_129 = ~dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 408:115] + wire _T_130 = _T_128 & _T_129; // @[dec_tlu_ctl.scala 408:113] + wire take_halt = _T_130 & _T_753; // @[dec_tlu_ctl.scala 408:143] + wire _T_170 = debug_resume_req_f & dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 433:49] + wire _T_172 = io_dec_tlu_i0_valid_r & _T_528; // @[dec_tlu_ctl.scala 435:59] + wire _T_174 = _T_172 & dcsr[2]; // @[dec_tlu_ctl.scala 435:84] + wire _T_329 = mtdata1_t_3[6] & mtdata1_t_3[9]; // @[dec_tlu_ctl.scala 530:61] + wire _T_332 = mtdata1_t_2[6] & mtdata1_t_2[9]; // @[dec_tlu_ctl.scala 530:121] + wire _T_335 = mtdata1_t_1[6] & mtdata1_t_1[9]; // @[dec_tlu_ctl.scala 530:181] + wire _T_338 = mtdata1_t_0[6] & mtdata1_t_0[9]; // @[dec_tlu_ctl.scala 530:241] wire [3:0] trigger_action = {_T_329,_T_332,_T_335,_T_338}; // @[Cat.scala 29:58] - wire [3:0] _T_343 = i0_trigger_chain_masked_r & trigger_action; // @[dec_tlu_ctl.scala 538:57] - wire i0_trigger_action_r = |_T_343; // @[dec_tlu_ctl.scala 538:75] - wire trigger_hit_dmode_r = i0_trigger_hit_raw_r & i0_trigger_action_r; // @[dec_tlu_ctl.scala 540:45] - wire _T_180 = trigger_hit_dmode_r | ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 444:57] - wire _T_182 = request_debug_mode_r_d1 & _T_402; // @[dec_tlu_ctl.scala 444:110] - reg request_debug_mode_done_f; // @[dec_tlu_ctl.scala 463:73] - wire _T_183 = request_debug_mode_r_d1 | request_debug_mode_done_f; // @[dec_tlu_ctl.scala 446:64] - reg _T_190; // @[dec_tlu_ctl.scala 454:81] - wire _T_201 = fence_i_r & internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 475:71] - wire _T_202 = take_halt | _T_201; // @[dec_tlu_ctl.scala 475:58] - wire _T_203 = _T_202 | io_dec_tlu_flush_pause_r; // @[dec_tlu_ctl.scala 475:97] - wire _T_204 = i0_trigger_hit_raw_r & trigger_hit_dmode_r; // @[dec_tlu_ctl.scala 475:144] - wire _T_205 = _T_203 | _T_204; // @[dec_tlu_ctl.scala 475:124] - wire take_ext_int_start = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 748:45] - wire _T_207 = ~interrupt_valid_r; // @[dec_tlu_ctl.scala 480:61] - wire _T_208 = dec_tlu_wr_pause_r_d1 & _T_207; // @[dec_tlu_ctl.scala 480:59] - wire _T_209 = ~take_ext_int_start; // @[dec_tlu_ctl.scala 480:82] - wire _T_231 = io_tlu_exu_dec_tlu_flush_lower_r & dcsr[2]; // @[dec_tlu_ctl.scala 484:82] - wire _T_232 = io_dec_tlu_resume_ack | dcsr_single_step_running; // @[dec_tlu_ctl.scala 484:125] - wire _T_233 = _T_231 & _T_232; // @[dec_tlu_ctl.scala 484:100] - wire _T_234 = ~io_tlu_ifc_dec_tlu_flush_noredir_wb; // @[dec_tlu_ctl.scala 484:155] + wire [3:0] _T_343 = i0_trigger_chain_masked_r & trigger_action; // @[dec_tlu_ctl.scala 536:57] + wire i0_trigger_action_r = |_T_343; // @[dec_tlu_ctl.scala 536:75] + wire trigger_hit_dmode_r = i0_trigger_hit_raw_r & i0_trigger_action_r; // @[dec_tlu_ctl.scala 538:45] + wire _T_180 = trigger_hit_dmode_r | ebreak_to_debug_mode_r; // @[dec_tlu_ctl.scala 442:57] + wire _T_182 = request_debug_mode_r_d1 & _T_402; // @[dec_tlu_ctl.scala 442:110] + reg request_debug_mode_done_f; // @[dec_tlu_ctl.scala 461:73] + wire _T_183 = request_debug_mode_r_d1 | request_debug_mode_done_f; // @[dec_tlu_ctl.scala 444:64] + reg _T_190; // @[dec_tlu_ctl.scala 452:81] + wire _T_201 = fence_i_r & internal_dbg_halt_mode; // @[dec_tlu_ctl.scala 473:71] + wire _T_202 = take_halt | _T_201; // @[dec_tlu_ctl.scala 473:58] + wire _T_203 = _T_202 | io_dec_tlu_flush_pause_r; // @[dec_tlu_ctl.scala 473:97] + wire _T_204 = i0_trigger_hit_raw_r & trigger_hit_dmode_r; // @[dec_tlu_ctl.scala 473:144] + wire _T_205 = _T_203 | _T_204; // @[dec_tlu_ctl.scala 473:124] + wire take_ext_int_start = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 746:45] + wire _T_207 = ~interrupt_valid_r; // @[dec_tlu_ctl.scala 478:61] + wire _T_208 = dec_tlu_wr_pause_r_d1 & _T_207; // @[dec_tlu_ctl.scala 478:59] + wire _T_209 = ~take_ext_int_start; // @[dec_tlu_ctl.scala 478:82] + wire _T_231 = io_tlu_exu_dec_tlu_flush_lower_r & dcsr[2]; // @[dec_tlu_ctl.scala 482:82] + wire _T_232 = io_dec_tlu_resume_ack | dcsr_single_step_running; // @[dec_tlu_ctl.scala 482:125] + wire _T_233 = _T_231 & _T_232; // @[dec_tlu_ctl.scala 482:100] + wire _T_234 = ~io_tlu_ifc_dec_tlu_flush_noredir_wb; // @[dec_tlu_ctl.scala 482:155] wire [3:0] _T_342 = i0_trigger_hit_raw_r ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire _T_345 = ~trigger_hit_dmode_r; // @[dec_tlu_ctl.scala 542:55] - wire mepc_trigger_hit_sel_pc_r = i0_trigger_hit_raw_r & _T_345; // @[dec_tlu_ctl.scala 542:53] - wire _T_350 = i_cpu_run_req_sync & _T_346; // @[dec_tlu_ctl.scala 570:58] - wire _T_351 = _T_350 & pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 570:83] - wire i_cpu_run_req_sync_qual = _T_351 & _T_107; // @[dec_tlu_ctl.scala 570:105] - reg _T_353; // @[dec_tlu_ctl.scala 574:81] - reg _T_354; // @[dec_tlu_ctl.scala 575:81] - reg _T_355; // @[dec_tlu_ctl.scala 576:81] - wire _T_384 = io_o_cpu_halt_status & _T_375; // @[dec_tlu_ctl.scala 594:89] - wire _T_386 = _T_384 & _T_152; // @[dec_tlu_ctl.scala 594:109] - wire _T_388 = io_o_cpu_halt_status & i_cpu_run_req_sync_qual; // @[dec_tlu_ctl.scala 595:41] - wire _T_389 = io_o_cpu_run_ack & i_cpu_run_req_sync_qual; // @[dec_tlu_ctl.scala 595:88] - reg lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 607:72] - reg lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 614:73] - wire _T_408 = ~io_lsu_error_pkt_r_bits_exc_type; // @[dec_tlu_ctl.scala 615:40] - wire lsu_exc_ma_r = lsu_exc_valid_r & _T_408; // @[dec_tlu_ctl.scala 615:38] - wire lsu_exc_acc_r = lsu_exc_valid_r & io_lsu_error_pkt_r_bits_exc_type; // @[dec_tlu_ctl.scala 616:38] - wire lsu_exc_st_r = lsu_exc_valid_r & io_lsu_error_pkt_r_bits_inst_type; // @[dec_tlu_ctl.scala 617:38] - wire _T_424 = rfpc_i0_r | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 627:38] - wire _T_425 = _T_424 | inst_acc_r; // @[dec_tlu_ctl.scala 627:53] - wire _T_426 = illegal_r & io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 627:79] - wire _T_427 = _T_425 | _T_426; // @[dec_tlu_ctl.scala 627:66] - wire _T_441 = ~io_tlu_exu_dec_tlu_flush_lower_r; // @[dec_tlu_ctl.scala 636:70] - wire _T_442 = iccm_repair_state_d1 & _T_441; // @[dec_tlu_ctl.scala 636:68] - wire _T_453 = io_tlu_exu_exu_i0_br_error_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 645:59] - wire _T_455 = io_tlu_exu_exu_i0_br_start_error_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 646:71] - wire _T_457 = io_tlu_exu_exu_i0_br_valid_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 647:55] - wire _T_459 = _T_457 & _T_429; // @[dec_tlu_ctl.scala 647:79] - wire _T_460 = ~io_tlu_exu_exu_i0_br_mp_r; // @[dec_tlu_ctl.scala 647:106] - wire _T_461 = ~io_tlu_exu_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 647:135] - wire _T_462 = _T_460 | _T_461; // @[dec_tlu_ctl.scala 647:133] - wire _T_529 = ~take_nmi; // @[dec_tlu_ctl.scala 695:33] - wire _T_530 = take_ext_int & _T_529; // @[dec_tlu_ctl.scala 695:31] - wire _T_533 = take_timer_int & _T_529; // @[dec_tlu_ctl.scala 696:25] - wire _T_536 = take_soft_int & _T_529; // @[dec_tlu_ctl.scala 697:24] - wire _T_539 = take_int_timer0_int & _T_529; // @[dec_tlu_ctl.scala 698:30] - wire _T_542 = take_int_timer1_int & _T_529; // @[dec_tlu_ctl.scala 699:30] - wire _T_545 = take_ce_int & _T_529; // @[dec_tlu_ctl.scala 700:22] - wire _T_548 = illegal_r & _T_529; // @[dec_tlu_ctl.scala 701:20] - wire _T_551 = ecall_r & _T_529; // @[dec_tlu_ctl.scala 702:19] - wire _T_554 = inst_acc_r & _T_529; // @[dec_tlu_ctl.scala 703:22] - wire _T_556 = ebreak_r | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 704:20] - wire _T_558 = _T_556 & _T_529; // @[dec_tlu_ctl.scala 704:40] - wire _T_560 = ~lsu_exc_st_r; // @[dec_tlu_ctl.scala 705:25] - wire _T_561 = lsu_exc_ma_r & _T_560; // @[dec_tlu_ctl.scala 705:23] - wire _T_563 = _T_561 & _T_529; // @[dec_tlu_ctl.scala 705:39] - wire _T_566 = lsu_exc_acc_r & _T_560; // @[dec_tlu_ctl.scala 706:24] - wire _T_568 = _T_566 & _T_529; // @[dec_tlu_ctl.scala 706:40] - wire _T_570 = lsu_exc_ma_r & lsu_exc_st_r; // @[dec_tlu_ctl.scala 707:23] - wire _T_572 = _T_570 & _T_529; // @[dec_tlu_ctl.scala 707:38] - wire _T_574 = lsu_exc_acc_r & lsu_exc_st_r; // @[dec_tlu_ctl.scala 708:24] - wire _T_576 = _T_574 & _T_529; // @[dec_tlu_ctl.scala 708:39] + wire _T_345 = ~trigger_hit_dmode_r; // @[dec_tlu_ctl.scala 540:55] + wire mepc_trigger_hit_sel_pc_r = i0_trigger_hit_raw_r & _T_345; // @[dec_tlu_ctl.scala 540:53] + wire _T_350 = i_cpu_run_req_sync & _T_346; // @[dec_tlu_ctl.scala 568:58] + wire _T_351 = _T_350 & pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 568:83] + wire i_cpu_run_req_sync_qual = _T_351 & _T_107; // @[dec_tlu_ctl.scala 568:105] + reg _T_353; // @[dec_tlu_ctl.scala 572:81] + reg _T_354; // @[dec_tlu_ctl.scala 573:81] + reg _T_355; // @[dec_tlu_ctl.scala 574:81] + wire _T_384 = io_o_cpu_halt_status & _T_375; // @[dec_tlu_ctl.scala 592:89] + wire _T_386 = _T_384 & _T_152; // @[dec_tlu_ctl.scala 592:109] + wire _T_388 = io_o_cpu_halt_status & i_cpu_run_req_sync_qual; // @[dec_tlu_ctl.scala 593:41] + wire _T_389 = io_o_cpu_run_ack & i_cpu_run_req_sync_qual; // @[dec_tlu_ctl.scala 593:88] + reg lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 605:72] + reg lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 612:73] + wire _T_408 = ~io_lsu_error_pkt_r_bits_exc_type; // @[dec_tlu_ctl.scala 613:40] + wire lsu_exc_ma_r = lsu_exc_valid_r & _T_408; // @[dec_tlu_ctl.scala 613:38] + wire lsu_exc_acc_r = lsu_exc_valid_r & io_lsu_error_pkt_r_bits_exc_type; // @[dec_tlu_ctl.scala 614:38] + wire lsu_exc_st_r = lsu_exc_valid_r & io_lsu_error_pkt_r_bits_inst_type; // @[dec_tlu_ctl.scala 615:38] + wire _T_424 = rfpc_i0_r | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 625:38] + wire _T_425 = _T_424 | inst_acc_r; // @[dec_tlu_ctl.scala 625:53] + wire _T_426 = illegal_r & io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 625:79] + wire _T_427 = _T_425 | _T_426; // @[dec_tlu_ctl.scala 625:66] + wire _T_441 = ~io_tlu_exu_dec_tlu_flush_lower_r; // @[dec_tlu_ctl.scala 634:70] + wire _T_442 = iccm_repair_state_d1 & _T_441; // @[dec_tlu_ctl.scala 634:68] + wire _T_453 = io_tlu_exu_exu_i0_br_error_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 643:59] + wire _T_455 = io_tlu_exu_exu_i0_br_start_error_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 644:71] + wire _T_457 = io_tlu_exu_exu_i0_br_valid_r & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 645:55] + wire _T_459 = _T_457 & _T_429; // @[dec_tlu_ctl.scala 645:79] + wire _T_460 = ~io_tlu_exu_exu_i0_br_mp_r; // @[dec_tlu_ctl.scala 645:106] + wire _T_461 = ~io_tlu_exu_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 645:135] + wire _T_462 = _T_460 | _T_461; // @[dec_tlu_ctl.scala 645:133] + wire _T_529 = ~take_nmi; // @[dec_tlu_ctl.scala 693:33] + wire _T_530 = take_ext_int & _T_529; // @[dec_tlu_ctl.scala 693:31] + wire _T_533 = take_timer_int & _T_529; // @[dec_tlu_ctl.scala 694:25] + wire _T_536 = take_soft_int & _T_529; // @[dec_tlu_ctl.scala 695:24] + wire _T_539 = take_int_timer0_int & _T_529; // @[dec_tlu_ctl.scala 696:30] + wire _T_542 = take_int_timer1_int & _T_529; // @[dec_tlu_ctl.scala 697:30] + wire _T_545 = take_ce_int & _T_529; // @[dec_tlu_ctl.scala 698:22] + wire _T_548 = illegal_r & _T_529; // @[dec_tlu_ctl.scala 699:20] + wire _T_551 = ecall_r & _T_529; // @[dec_tlu_ctl.scala 700:19] + wire _T_554 = inst_acc_r & _T_529; // @[dec_tlu_ctl.scala 701:22] + wire _T_556 = ebreak_r | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 702:20] + wire _T_558 = _T_556 & _T_529; // @[dec_tlu_ctl.scala 702:40] + wire _T_560 = ~lsu_exc_st_r; // @[dec_tlu_ctl.scala 703:25] + wire _T_561 = lsu_exc_ma_r & _T_560; // @[dec_tlu_ctl.scala 703:23] + wire _T_563 = _T_561 & _T_529; // @[dec_tlu_ctl.scala 703:39] + wire _T_566 = lsu_exc_acc_r & _T_560; // @[dec_tlu_ctl.scala 704:24] + wire _T_568 = _T_566 & _T_529; // @[dec_tlu_ctl.scala 704:40] + wire _T_570 = lsu_exc_ma_r & lsu_exc_st_r; // @[dec_tlu_ctl.scala 705:23] + wire _T_572 = _T_570 & _T_529; // @[dec_tlu_ctl.scala 705:38] + wire _T_574 = lsu_exc_acc_r & lsu_exc_st_r; // @[dec_tlu_ctl.scala 706:24] + wire _T_576 = _T_574 & _T_529; // @[dec_tlu_ctl.scala 706:39] wire [4:0] _T_578 = _T_530 ? 5'hb : 5'h0; // @[Mux.scala 27:72] wire [4:0] _T_579 = _T_533 ? 5'h7 : 5'h0; // @[Mux.scala 27:72] wire [4:0] _T_580 = _T_536 ? 5'h3 : 5'h0; // @[Mux.scala 27:72] @@ -55460,66 +55471,66 @@ module dec_tlu_ctl( wire [4:0] _T_602 = _T_601 | _T_589; // @[Mux.scala 27:72] wire [4:0] _T_603 = _T_602 | _T_590; // @[Mux.scala 27:72] wire [4:0] exc_cause_r = _T_603 | _T_591; // @[Mux.scala 27:72] - wire _T_641 = io_dec_csr_stall_int_ff | synchronous_flush_r; // @[dec_tlu_ctl.scala 733:52] - wire _T_642 = _T_641 | exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 733:74] - wire int_timer_stalled = _T_642 | mret_r; // @[dec_tlu_ctl.scala 733:98] - wire _T_643 = pmu_fw_tlu_halted_f | int_timer_stalled; // @[dec_tlu_ctl.scala 735:72] - wire _T_644 = int_timer0_int_ready & _T_643; // @[dec_tlu_ctl.scala 735:49] - wire _T_645 = int_timer0_int_possible & int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 735:121] - wire _T_647 = _T_645 & _T_207; // @[dec_tlu_ctl.scala 735:145] - wire _T_649 = _T_647 & _T_209; // @[dec_tlu_ctl.scala 735:166] - wire _T_651 = _T_649 & _T_152; // @[dec_tlu_ctl.scala 735:188] - wire _T_654 = int_timer1_int_ready & _T_643; // @[dec_tlu_ctl.scala 736:49] - wire _T_655 = int_timer1_int_possible & int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 736:121] - wire _T_657 = _T_655 & _T_207; // @[dec_tlu_ctl.scala 736:145] - wire _T_659 = _T_657 & _T_209; // @[dec_tlu_ctl.scala 736:166] - wire _T_661 = _T_659 & _T_152; // @[dec_tlu_ctl.scala 736:188] - reg take_ext_int_start_d2; // @[dec_tlu_ctl.scala 745:62] - wire _T_681 = take_ext_int_start | take_ext_int_start_d1; // @[dec_tlu_ctl.scala 750:46] - wire _T_682 = _T_681 | take_ext_int_start_d2; // @[dec_tlu_ctl.scala 750:70] - wire csr_pkt_csr_meicpct = csr_read_io_csr_pkt_csr_meicpct; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1013:16] - wire fast_int_meicpct = csr_pkt_csr_meicpct & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 752:49] - wire [30:0] mtvec = csr_io_mtvec; // @[dec_tlu_ctl.scala 1008:31] + wire _T_641 = io_dec_csr_stall_int_ff | synchronous_flush_r; // @[dec_tlu_ctl.scala 731:52] + wire _T_642 = _T_641 | exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 731:74] + wire int_timer_stalled = _T_642 | mret_r; // @[dec_tlu_ctl.scala 731:98] + wire _T_643 = pmu_fw_tlu_halted_f | int_timer_stalled; // @[dec_tlu_ctl.scala 733:72] + wire _T_644 = int_timer0_int_ready & _T_643; // @[dec_tlu_ctl.scala 733:49] + wire _T_645 = int_timer0_int_possible & int_timer0_int_hold_f; // @[dec_tlu_ctl.scala 733:121] + wire _T_647 = _T_645 & _T_207; // @[dec_tlu_ctl.scala 733:145] + wire _T_649 = _T_647 & _T_209; // @[dec_tlu_ctl.scala 733:166] + wire _T_651 = _T_649 & _T_152; // @[dec_tlu_ctl.scala 733:188] + wire _T_654 = int_timer1_int_ready & _T_643; // @[dec_tlu_ctl.scala 734:49] + wire _T_655 = int_timer1_int_possible & int_timer1_int_hold_f; // @[dec_tlu_ctl.scala 734:121] + wire _T_657 = _T_655 & _T_207; // @[dec_tlu_ctl.scala 734:145] + wire _T_659 = _T_657 & _T_209; // @[dec_tlu_ctl.scala 734:166] + wire _T_661 = _T_659 & _T_152; // @[dec_tlu_ctl.scala 734:188] + reg take_ext_int_start_d2; // @[dec_tlu_ctl.scala 743:62] + wire _T_681 = take_ext_int_start | take_ext_int_start_d1; // @[dec_tlu_ctl.scala 748:46] + wire _T_682 = _T_681 | take_ext_int_start_d2; // @[dec_tlu_ctl.scala 748:70] + wire csr_pkt_csr_meicpct = csr_read_io_csr_pkt_csr_meicpct; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire fast_int_meicpct = csr_pkt_csr_meicpct & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 750:49] + wire [30:0] mtvec = csr_io_mtvec; // @[dec_tlu_ctl.scala 1006:31] wire [30:0] _T_769 = {mtvec[30:1],1'h0}; // @[Cat.scala 29:58] wire [30:0] _T_771 = {25'h0,exc_cause_r,1'h0}; // @[Cat.scala 29:58] - wire [30:0] vectored_path = _T_769 + _T_771; // @[dec_tlu_ctl.scala 780:51] - wire [30:0] _T_778 = mtvec[0] ? vectored_path : _T_769; // @[dec_tlu_ctl.scala 781:61] - wire [30:0] interrupt_path = take_nmi ? io_nmi_vec : _T_778; // @[dec_tlu_ctl.scala 781:28] - wire _T_779 = lsu_i0_rfnpc_r | fence_i_r; // @[dec_tlu_ctl.scala 782:36] - wire _T_780 = _T_779 | iccm_repair_state_rfnpc; // @[dec_tlu_ctl.scala 782:48] - wire _T_782 = i_cpu_run_req_d1 & _T_207; // @[dec_tlu_ctl.scala 782:94] - wire _T_783 = _T_780 | _T_782; // @[dec_tlu_ctl.scala 782:74] - wire _T_785 = rfpc_i0_r & _T_743; // @[dec_tlu_ctl.scala 782:129] - wire sel_npc_r = _T_783 | _T_785; // @[dec_tlu_ctl.scala 782:116] - wire _T_798 = interrupt_valid_r | mret_r; // @[dec_tlu_ctl.scala 786:43] - wire _T_799 = _T_798 | synchronous_flush_r; // @[dec_tlu_ctl.scala 786:52] - wire _T_800 = _T_799 | take_halt; // @[dec_tlu_ctl.scala 786:74] - wire _T_801 = _T_800 | take_reset; // @[dec_tlu_ctl.scala 786:86] - wire _T_807 = _T_529 & sel_npc_r; // @[dec_tlu_ctl.scala 790:73] - wire _T_810 = _T_529 & rfpc_i0_r; // @[dec_tlu_ctl.scala 791:73] - wire _T_812 = _T_810 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 791:91] - wire _T_813 = ~sel_npc_r; // @[dec_tlu_ctl.scala 791:132] - wire _T_814 = _T_812 & _T_813; // @[dec_tlu_ctl.scala 791:121] - wire _T_816 = ~take_ext_int; // @[dec_tlu_ctl.scala 792:96] - wire _T_817 = interrupt_valid_r & _T_816; // @[dec_tlu_ctl.scala 792:82] - wire _T_818 = i0_exception_valid_r | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 793:80] - wire _T_821 = _T_818 | mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 793:98] - wire _T_823 = _T_821 & _T_207; // @[dec_tlu_ctl.scala 793:143] - wire _T_825 = _T_823 & _T_816; // @[dec_tlu_ctl.scala 793:164] - wire _T_830 = _T_529 & mret_r; // @[dec_tlu_ctl.scala 794:68] - wire _T_833 = _T_529 & debug_resume_req_f; // @[dec_tlu_ctl.scala 795:68] - wire _T_836 = _T_529 & sel_npc_resume; // @[dec_tlu_ctl.scala 796:68] + wire [30:0] vectored_path = _T_769 + _T_771; // @[dec_tlu_ctl.scala 778:51] + wire [30:0] _T_778 = mtvec[0] ? vectored_path : _T_769; // @[dec_tlu_ctl.scala 779:61] + wire [30:0] interrupt_path = take_nmi ? io_nmi_vec : _T_778; // @[dec_tlu_ctl.scala 779:28] + wire _T_779 = lsu_i0_rfnpc_r | fence_i_r; // @[dec_tlu_ctl.scala 780:36] + wire _T_780 = _T_779 | iccm_repair_state_rfnpc; // @[dec_tlu_ctl.scala 780:48] + wire _T_782 = i_cpu_run_req_d1 & _T_207; // @[dec_tlu_ctl.scala 780:94] + wire _T_783 = _T_780 | _T_782; // @[dec_tlu_ctl.scala 780:74] + wire _T_785 = rfpc_i0_r & _T_743; // @[dec_tlu_ctl.scala 780:129] + wire sel_npc_r = _T_783 | _T_785; // @[dec_tlu_ctl.scala 780:116] + wire _T_798 = interrupt_valid_r | mret_r; // @[dec_tlu_ctl.scala 784:43] + wire _T_799 = _T_798 | synchronous_flush_r; // @[dec_tlu_ctl.scala 784:52] + wire _T_800 = _T_799 | take_halt; // @[dec_tlu_ctl.scala 784:74] + wire _T_801 = _T_800 | take_reset; // @[dec_tlu_ctl.scala 784:86] + wire _T_807 = _T_529 & sel_npc_r; // @[dec_tlu_ctl.scala 788:73] + wire _T_810 = _T_529 & rfpc_i0_r; // @[dec_tlu_ctl.scala 789:73] + wire _T_812 = _T_810 & io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 789:91] + wire _T_813 = ~sel_npc_r; // @[dec_tlu_ctl.scala 789:132] + wire _T_814 = _T_812 & _T_813; // @[dec_tlu_ctl.scala 789:121] + wire _T_816 = ~take_ext_int; // @[dec_tlu_ctl.scala 790:96] + wire _T_817 = interrupt_valid_r & _T_816; // @[dec_tlu_ctl.scala 790:82] + wire _T_818 = i0_exception_valid_r | lsu_exc_valid_r; // @[dec_tlu_ctl.scala 791:80] + wire _T_821 = _T_818 | mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 791:98] + wire _T_823 = _T_821 & _T_207; // @[dec_tlu_ctl.scala 791:143] + wire _T_825 = _T_823 & _T_816; // @[dec_tlu_ctl.scala 791:164] + wire _T_830 = _T_529 & mret_r; // @[dec_tlu_ctl.scala 792:68] + wire _T_833 = _T_529 & debug_resume_req_f; // @[dec_tlu_ctl.scala 793:68] + wire _T_836 = _T_529 & sel_npc_resume; // @[dec_tlu_ctl.scala 794:68] wire [30:0] _T_838 = take_ext_int ? io_lsu_fir_addr : 31'h0; // @[Mux.scala 27:72] - wire [30:0] npc_r = csr_io_npc_r; // @[dec_tlu_ctl.scala 996:31] + wire [30:0] npc_r = csr_io_npc_r; // @[dec_tlu_ctl.scala 994:31] wire [30:0] _T_839 = _T_807 ? npc_r : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_840 = _T_814 ? io_dec_tlu_i0_pc_r : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_841 = _T_817 ? interrupt_path : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_842 = _T_825 ? _T_769 : 31'h0; // @[Mux.scala 27:72] - wire [30:0] mepc = csr_io_mepc; // @[dec_tlu_ctl.scala 999:31] + wire [30:0] mepc = csr_io_mepc; // @[dec_tlu_ctl.scala 997:31] wire [30:0] _T_843 = _T_830 ? mepc : 31'h0; // @[Mux.scala 27:72] - wire [30:0] dpc = csr_io_dpc; // @[dec_tlu_ctl.scala 1002:31] + wire [30:0] dpc = csr_io_dpc; // @[dec_tlu_ctl.scala 1000:31] wire [30:0] _T_844 = _T_833 ? dpc : 31'h0; // @[Mux.scala 27:72] - wire [30:0] npc_r_d1 = csr_io_npc_r_d1; // @[dec_tlu_ctl.scala 997:31] + wire [30:0] npc_r_d1 = csr_io_npc_r_d1; // @[dec_tlu_ctl.scala 995:31] wire [30:0] _T_845 = _T_836 ? npc_r_d1 : 31'h0; // @[Mux.scala 27:72] wire [30:0] _T_846 = _T_838 | _T_839; // @[Mux.scala 27:72] wire [30:0] _T_847 = _T_846 | _T_840; // @[Mux.scala 27:72] @@ -55528,53 +55539,53 @@ module dec_tlu_ctl( wire [30:0] _T_850 = _T_849 | _T_843; // @[Mux.scala 27:72] wire [30:0] _T_851 = _T_850 | _T_844; // @[Mux.scala 27:72] wire [30:0] _T_852 = _T_851 | _T_845; // @[Mux.scala 27:72] - reg [30:0] tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 799:64] - wire _T_854 = lsu_exc_valid_r | i0_exception_valid_r; // @[dec_tlu_ctl.scala 807:45] - wire _T_855 = _T_854 | interrupt_valid_r; // @[dec_tlu_ctl.scala 807:68] - reg i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 810:89] - reg [4:0] exc_cause_wb; // @[dec_tlu_ctl.scala 812:89] - wire _T_860 = ~illegal_r; // @[dec_tlu_ctl.scala 813:119] - reg i0_valid_wb; // @[dec_tlu_ctl.scala 813:97] - reg trigger_hit_r_d1; // @[dec_tlu_ctl.scala 814:89] - wire csr_pkt_presync = csr_read_io_csr_pkt_presync; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1013:16] - wire _T_864 = csr_pkt_presync & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 1015:42] - wire _T_865 = ~io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 1015:67] - wire csr_pkt_postsync = csr_read_io_csr_pkt_postsync; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1013:16] - wire csr_pkt_csr_dcsr = csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1013:16] - wire csr_pkt_csr_dpc = csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1013:16] - wire _T_874 = csr_pkt_csr_dcsr | csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 1020:55] - wire csr_pkt_csr_dmst = csr_read_io_csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1013:16] - wire _T_875 = _T_874 | csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 1020:73] - wire csr_pkt_csr_dicawics = csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1013:16] - wire _T_876 = _T_875 | csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 1020:92] - wire csr_pkt_csr_dicad0 = csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1013:16] - wire _T_877 = _T_876 | csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 1020:115] - wire csr_pkt_csr_dicad0h = csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1013:16] - wire _T_878 = _T_877 | csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 1020:136] - wire csr_pkt_csr_dicad1 = csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1013:16] - wire _T_879 = _T_878 | csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 1020:158] - wire csr_pkt_csr_dicago = csr_read_io_csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1013:16] - wire _T_880 = _T_879 | csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 1020:179] - wire _T_881 = ~_T_880; // @[dec_tlu_ctl.scala 1020:36] - wire _T_882 = _T_881 | dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 1020:201] - wire csr_pkt_legal = csr_read_io_csr_pkt_legal; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1013:16] - wire _T_883 = csr_pkt_legal & _T_882; // @[dec_tlu_ctl.scala 1020:33] - wire _T_884 = ~fast_int_meicpct; // @[dec_tlu_ctl.scala 1020:223] - wire valid_csr = _T_883 & _T_884; // @[dec_tlu_ctl.scala 1020:221] - wire _T_887 = io_dec_csr_any_unq_d & valid_csr; // @[dec_tlu_ctl.scala 1022:46] - wire csr_pkt_csr_mvendorid = csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1013:16] - wire csr_pkt_csr_marchid = csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1013:16] - wire _T_888 = csr_pkt_csr_mvendorid | csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 1022:107] - wire csr_pkt_csr_mimpid = csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1013:16] - wire _T_889 = _T_888 | csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 1022:129] - wire csr_pkt_csr_mhartid = csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1013:16] - wire _T_890 = _T_889 | csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 1022:150] - wire csr_pkt_csr_mdseac = csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1013:16] - wire _T_891 = _T_890 | csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 1022:172] - wire csr_pkt_csr_meihap = csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1013:16] - wire _T_892 = _T_891 | csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 1022:193] - wire _T_893 = io_dec_csr_wen_unq_d & _T_892; // @[dec_tlu_ctl.scala 1022:82] - wire _T_894 = ~_T_893; // @[dec_tlu_ctl.scala 1022:59] + reg [30:0] tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 797:64] + wire _T_854 = lsu_exc_valid_r | i0_exception_valid_r; // @[dec_tlu_ctl.scala 805:45] + wire _T_855 = _T_854 | interrupt_valid_r; // @[dec_tlu_ctl.scala 805:68] + reg i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 808:89] + reg [4:0] exc_cause_wb; // @[dec_tlu_ctl.scala 810:89] + wire _T_860 = ~illegal_r; // @[dec_tlu_ctl.scala 811:119] + reg i0_valid_wb; // @[dec_tlu_ctl.scala 811:97] + reg trigger_hit_r_d1; // @[dec_tlu_ctl.scala 812:89] + wire csr_pkt_presync = csr_read_io_csr_pkt_presync; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_864 = csr_pkt_presync & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 1013:42] + wire _T_865 = ~io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 1013:67] + wire csr_pkt_postsync = csr_read_io_csr_pkt_postsync; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire csr_pkt_csr_dcsr = csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire csr_pkt_csr_dpc = csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_874 = csr_pkt_csr_dcsr | csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 1018:55] + wire csr_pkt_csr_dmst = csr_read_io_csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_875 = _T_874 | csr_pkt_csr_dmst; // @[dec_tlu_ctl.scala 1018:73] + wire csr_pkt_csr_dicawics = csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_876 = _T_875 | csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 1018:92] + wire csr_pkt_csr_dicad0 = csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_877 = _T_876 | csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 1018:115] + wire csr_pkt_csr_dicad0h = csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_878 = _T_877 | csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 1018:136] + wire csr_pkt_csr_dicad1 = csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_879 = _T_878 | csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 1018:158] + wire csr_pkt_csr_dicago = csr_read_io_csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_880 = _T_879 | csr_pkt_csr_dicago; // @[dec_tlu_ctl.scala 1018:179] + wire _T_881 = ~_T_880; // @[dec_tlu_ctl.scala 1018:36] + wire _T_882 = _T_881 | dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 1018:201] + wire csr_pkt_legal = csr_read_io_csr_pkt_legal; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_883 = csr_pkt_legal & _T_882; // @[dec_tlu_ctl.scala 1018:33] + wire _T_884 = ~fast_int_meicpct; // @[dec_tlu_ctl.scala 1018:223] + wire valid_csr = _T_883 & _T_884; // @[dec_tlu_ctl.scala 1018:221] + wire _T_887 = io_dec_csr_any_unq_d & valid_csr; // @[dec_tlu_ctl.scala 1020:46] + wire csr_pkt_csr_mvendorid = csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire csr_pkt_csr_marchid = csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_888 = csr_pkt_csr_mvendorid | csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 1020:107] + wire csr_pkt_csr_mimpid = csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_889 = _T_888 | csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 1020:129] + wire csr_pkt_csr_mhartid = csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_890 = _T_889 | csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 1020:150] + wire csr_pkt_csr_mdseac = csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_891 = _T_890 | csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 1020:172] + wire csr_pkt_csr_meihap = csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 271:41 dec_tlu_ctl.scala 1011:16] + wire _T_892 = _T_891 | csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 1020:193] + wire _T_893 = io_dec_csr_wen_unq_d & _T_892; // @[dec_tlu_ctl.scala 1020:82] + wire _T_894 = ~_T_893; // @[dec_tlu_ctl.scala 1020:59] dec_timer_ctl int_timers ( // @[dec_tlu_ctl.scala 275:30] .clock(int_timers_clock), .reset(int_timers_reset), @@ -55621,7 +55632,7 @@ module dec_tlu_ctl( .io_en(rvclkhdr_3_io_en), .io_scan_mode(rvclkhdr_3_io_scan_mode) ); - csr_tlu csr ( // @[dec_tlu_ctl.scala 818:15] + csr_tlu csr ( // @[dec_tlu_ctl.scala 816:15] .clock(csr_clock), .reset(csr_reset), .io_free_clk(csr_io_free_clk), @@ -55707,7 +55718,6 @@ module dec_tlu_ctl( .io_dec_tlu_misc_clk_override(csr_io_dec_tlu_misc_clk_override), .io_dec_tlu_dec_clk_override(csr_io_dec_tlu_dec_clk_override), .io_dec_tlu_lsu_clk_override(csr_io_dec_tlu_lsu_clk_override), - .io_dec_tlu_bus_clk_override(csr_io_dec_tlu_bus_clk_override), .io_dec_tlu_pic_clk_override(csr_io_dec_tlu_pic_clk_override), .io_dec_tlu_dccm_clk_override(csr_io_dec_tlu_dccm_clk_override), .io_dec_tlu_icm_clk_override(csr_io_dec_tlu_icm_clk_override), @@ -55730,6 +55740,7 @@ module dec_tlu_ctl( .io_lsu_imprecise_error_load_any(csr_io_lsu_imprecise_error_load_any), .io_lsu_imprecise_error_store_any(csr_io_lsu_imprecise_error_store_any), .io_dec_tlu_mrac_ff(csr_io_dec_tlu_mrac_ff), + .io_dec_tlu_wb_coalescing_disable(csr_io_dec_tlu_wb_coalescing_disable), .io_dec_tlu_bpred_disable(csr_io_dec_tlu_bpred_disable), .io_dec_tlu_sideeffect_posted_disable(csr_io_dec_tlu_sideeffect_posted_disable), .io_dec_tlu_core_ecc_disable(csr_io_dec_tlu_core_ecc_disable), @@ -55893,7 +55904,7 @@ module dec_tlu_ctl( .io_mtdata1_t_2(csr_io_mtdata1_t_2), .io_mtdata1_t_3(csr_io_mtdata1_t_3) ); - dec_decode_csr_read csr_read ( // @[dec_tlu_ctl.scala 1011:22] + dec_decode_csr_read csr_read ( // @[dec_tlu_ctl.scala 1009:22] .io_dec_csr_rdaddr_d(csr_read_io_dec_csr_rdaddr_d), .io_csr_pkt_csr_misa(csr_read_io_csr_pkt_csr_misa), .io_csr_pkt_csr_mvendorid(csr_read_io_csr_pkt_csr_mvendorid), @@ -55963,102 +55974,102 @@ module dec_tlu_ctl( .io_csr_pkt_postsync(csr_read_io_csr_pkt_postsync), .io_csr_pkt_legal(csr_read_io_csr_pkt_legal) ); - assign io_tlu_exu_dec_tlu_meihap = csr_io_dec_tlu_meihap; // @[dec_tlu_ctl.scala 877:52] - assign io_tlu_exu_dec_tlu_flush_lower_r = _T_801 | take_ext_int_start; // @[dec_tlu_ctl.scala 803:49] - assign io_tlu_exu_dec_tlu_flush_path_r = take_reset ? io_rst_vec : _T_852; // @[dec_tlu_ctl.scala 804:49] - assign io_tlu_dma_dec_tlu_dma_qos_prty = csr_io_dec_tlu_dma_qos_prty; // @[dec_tlu_ctl.scala 907:48] - assign io_dec_dbg_cmd_done = io_dec_tlu_i0_valid_r & io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 488:29] - assign io_dec_dbg_cmd_fail = illegal_r & io_dec_dbg_cmd_done; // @[dec_tlu_ctl.scala 489:29] - assign io_dec_tlu_dbg_halted = dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 470:41] - assign io_dec_tlu_debug_mode = debug_mode_status; // @[dec_tlu_ctl.scala 471:41] - assign io_dec_tlu_resume_ack = _T_190; // @[dec_tlu_ctl.scala 454:49] - assign io_dec_tlu_debug_stall = debug_halt_req_f; // @[dec_tlu_ctl.scala 469:41] - assign io_dec_tlu_mpc_halted_only = _T_65; // @[dec_tlu_ctl.scala 369:49] - assign io_dec_tlu_flush_extint = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 477:33] - assign io_trigger_pkt_any_0_select = csr_io_trigger_pkt_any_0_select; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_0_match_pkt = csr_io_trigger_pkt_any_0_match_pkt; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_0_store = csr_io_trigger_pkt_any_0_store; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_0_load = csr_io_trigger_pkt_any_0_load; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_0_execute = csr_io_trigger_pkt_any_0_execute; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_0_m = csr_io_trigger_pkt_any_0_m; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_0_tdata2 = csr_io_trigger_pkt_any_0_tdata2; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_1_select = csr_io_trigger_pkt_any_1_select; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_1_match_pkt = csr_io_trigger_pkt_any_1_match_pkt; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_1_store = csr_io_trigger_pkt_any_1_store; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_1_load = csr_io_trigger_pkt_any_1_load; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_1_execute = csr_io_trigger_pkt_any_1_execute; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_1_m = csr_io_trigger_pkt_any_1_m; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_1_tdata2 = csr_io_trigger_pkt_any_1_tdata2; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_2_select = csr_io_trigger_pkt_any_2_select; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_2_match_pkt = csr_io_trigger_pkt_any_2_match_pkt; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_2_store = csr_io_trigger_pkt_any_2_store; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_2_load = csr_io_trigger_pkt_any_2_load; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_2_execute = csr_io_trigger_pkt_any_2_execute; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_2_m = csr_io_trigger_pkt_any_2_m; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_2_tdata2 = csr_io_trigger_pkt_any_2_tdata2; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_3_select = csr_io_trigger_pkt_any_3_select; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_3_match_pkt = csr_io_trigger_pkt_any_3_match_pkt; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_3_store = csr_io_trigger_pkt_any_3_store; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_3_load = csr_io_trigger_pkt_any_3_load; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_3_execute = csr_io_trigger_pkt_any_3_execute; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_3_m = csr_io_trigger_pkt_any_3_m; // @[dec_tlu_ctl.scala 883:40] - assign io_trigger_pkt_any_3_tdata2 = csr_io_trigger_pkt_any_3_tdata2; // @[dec_tlu_ctl.scala 883:40] - assign io_o_cpu_halt_status = _T_353; // @[dec_tlu_ctl.scala 574:49] - assign io_o_cpu_halt_ack = _T_354; // @[dec_tlu_ctl.scala 575:49] - assign io_o_cpu_run_ack = _T_355; // @[dec_tlu_ctl.scala 576:49] - assign io_o_debug_mode_status = debug_mode_status; // @[dec_tlu_ctl.scala 597:27] - assign io_mpc_debug_halt_ack = mpc_debug_halt_ack_f; // @[dec_tlu_ctl.scala 394:31] - assign io_mpc_debug_run_ack = mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 395:31] - assign io_debug_brkpt_status = debug_brkpt_status_f; // @[dec_tlu_ctl.scala 396:31] - assign io_dec_csr_rddata_d = csr_io_dec_csr_rddata_d; // @[dec_tlu_ctl.scala 898:40] - assign io_dec_csr_legal_d = _T_887 & _T_894; // @[dec_tlu_ctl.scala 1022:20] + assign io_tlu_exu_dec_tlu_meihap = csr_io_dec_tlu_meihap; // @[dec_tlu_ctl.scala 875:52] + assign io_tlu_exu_dec_tlu_flush_lower_r = _T_801 | take_ext_int_start; // @[dec_tlu_ctl.scala 801:49] + assign io_tlu_exu_dec_tlu_flush_path_r = take_reset ? io_rst_vec : _T_852; // @[dec_tlu_ctl.scala 802:49] + assign io_tlu_dma_dec_tlu_dma_qos_prty = csr_io_dec_tlu_dma_qos_prty; // @[dec_tlu_ctl.scala 905:48] + assign io_dec_dbg_cmd_done = io_dec_tlu_i0_valid_r & io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 486:29] + assign io_dec_dbg_cmd_fail = illegal_r & io_dec_dbg_cmd_done; // @[dec_tlu_ctl.scala 487:29] + assign io_dec_tlu_dbg_halted = dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 468:41] + assign io_dec_tlu_debug_mode = debug_mode_status; // @[dec_tlu_ctl.scala 469:41] + assign io_dec_tlu_resume_ack = _T_190; // @[dec_tlu_ctl.scala 452:49] + assign io_dec_tlu_debug_stall = debug_halt_req_f; // @[dec_tlu_ctl.scala 467:41] + assign io_dec_tlu_mpc_halted_only = _T_65; // @[dec_tlu_ctl.scala 367:49] + assign io_dec_tlu_flush_extint = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 475:33] + assign io_trigger_pkt_any_0_select = csr_io_trigger_pkt_any_0_select; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_0_match_pkt = csr_io_trigger_pkt_any_0_match_pkt; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_0_store = csr_io_trigger_pkt_any_0_store; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_0_load = csr_io_trigger_pkt_any_0_load; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_0_execute = csr_io_trigger_pkt_any_0_execute; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_0_m = csr_io_trigger_pkt_any_0_m; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_0_tdata2 = csr_io_trigger_pkt_any_0_tdata2; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_select = csr_io_trigger_pkt_any_1_select; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_match_pkt = csr_io_trigger_pkt_any_1_match_pkt; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_store = csr_io_trigger_pkt_any_1_store; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_load = csr_io_trigger_pkt_any_1_load; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_execute = csr_io_trigger_pkt_any_1_execute; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_m = csr_io_trigger_pkt_any_1_m; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_1_tdata2 = csr_io_trigger_pkt_any_1_tdata2; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_select = csr_io_trigger_pkt_any_2_select; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_match_pkt = csr_io_trigger_pkt_any_2_match_pkt; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_store = csr_io_trigger_pkt_any_2_store; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_load = csr_io_trigger_pkt_any_2_load; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_execute = csr_io_trigger_pkt_any_2_execute; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_m = csr_io_trigger_pkt_any_2_m; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_2_tdata2 = csr_io_trigger_pkt_any_2_tdata2; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_select = csr_io_trigger_pkt_any_3_select; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_match_pkt = csr_io_trigger_pkt_any_3_match_pkt; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_store = csr_io_trigger_pkt_any_3_store; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_load = csr_io_trigger_pkt_any_3_load; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_execute = csr_io_trigger_pkt_any_3_execute; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_m = csr_io_trigger_pkt_any_3_m; // @[dec_tlu_ctl.scala 881:40] + assign io_trigger_pkt_any_3_tdata2 = csr_io_trigger_pkt_any_3_tdata2; // @[dec_tlu_ctl.scala 881:40] + assign io_o_cpu_halt_status = _T_353; // @[dec_tlu_ctl.scala 572:49] + assign io_o_cpu_halt_ack = _T_354; // @[dec_tlu_ctl.scala 573:49] + assign io_o_cpu_run_ack = _T_355; // @[dec_tlu_ctl.scala 574:49] + assign io_o_debug_mode_status = debug_mode_status; // @[dec_tlu_ctl.scala 595:27] + assign io_mpc_debug_halt_ack = mpc_debug_halt_ack_f; // @[dec_tlu_ctl.scala 392:31] + assign io_mpc_debug_run_ack = mpc_debug_run_ack_f; // @[dec_tlu_ctl.scala 393:31] + assign io_debug_brkpt_status = debug_brkpt_status_f; // @[dec_tlu_ctl.scala 394:31] + assign io_dec_csr_rddata_d = csr_io_dec_csr_rddata_d; // @[dec_tlu_ctl.scala 896:40] + assign io_dec_csr_legal_d = _T_887 & _T_894; // @[dec_tlu_ctl.scala 1020:20] assign io_dec_tlu_i0_kill_writeb_wb = _T_32; // @[dec_tlu_ctl.scala 329:41] - assign io_dec_tlu_i0_kill_writeb_r = _T_427 | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 335:41] - assign io_dec_tlu_wr_pause_r = csr_io_dec_tlu_wr_pause_r; // @[dec_tlu_ctl.scala 900:40] - assign io_dec_tlu_flush_pause_r = _T_208 & _T_209; // @[dec_tlu_ctl.scala 480:34] - assign io_dec_tlu_presync_d = _T_864 & _T_865; // @[dec_tlu_ctl.scala 1015:23] - assign io_dec_tlu_postsync_d = csr_pkt_postsync & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 1016:23] - assign io_dec_tlu_perfcnt0 = csr_io_dec_tlu_perfcnt0; // @[dec_tlu_ctl.scala 886:40] - assign io_dec_tlu_perfcnt1 = csr_io_dec_tlu_perfcnt1; // @[dec_tlu_ctl.scala 887:40] - assign io_dec_tlu_perfcnt2 = csr_io_dec_tlu_perfcnt2; // @[dec_tlu_ctl.scala 888:40] - assign io_dec_tlu_perfcnt3 = csr_io_dec_tlu_perfcnt3; // @[dec_tlu_ctl.scala 889:40] - assign io_dec_tlu_i0_exc_valid_wb1 = csr_io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 880:44] - assign io_dec_tlu_i0_valid_wb1 = csr_io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 881:44] - assign io_dec_tlu_int_valid_wb1 = csr_io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 879:44] - assign io_dec_tlu_exc_cause_wb1 = csr_io_dec_tlu_exc_cause_wb1; // @[dec_tlu_ctl.scala 885:40] - assign io_dec_tlu_mtval_wb1 = csr_io_dec_tlu_mtval_wb1; // @[dec_tlu_ctl.scala 884:40] - assign io_dec_tlu_pipelining_disable = csr_io_dec_tlu_pipelining_disable; // @[dec_tlu_ctl.scala 899:40] - assign io_dec_tlu_misc_clk_override = csr_io_dec_tlu_misc_clk_override; // @[dec_tlu_ctl.scala 890:40] - assign io_dec_tlu_dec_clk_override = csr_io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 891:40] - assign io_dec_tlu_lsu_clk_override = csr_io_dec_tlu_lsu_clk_override; // @[dec_tlu_ctl.scala 893:40] - assign io_dec_tlu_bus_clk_override = csr_io_dec_tlu_bus_clk_override; // @[dec_tlu_ctl.scala 894:40] - assign io_dec_tlu_pic_clk_override = csr_io_dec_tlu_pic_clk_override; // @[dec_tlu_ctl.scala 895:40] - assign io_dec_tlu_dccm_clk_override = csr_io_dec_tlu_dccm_clk_override; // @[dec_tlu_ctl.scala 896:40] - assign io_dec_tlu_icm_clk_override = csr_io_dec_tlu_icm_clk_override; // @[dec_tlu_ctl.scala 897:40] - assign io_dec_tlu_flush_lower_wb = tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 801:41] - assign io_tlu_bp_dec_tlu_br0_r_pkt_valid = _T_459 & _T_462; // @[dec_tlu_ctl.scala 653:57] - assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist = io_tlu_exu_exu_i0_br_hist_r; // @[dec_tlu_ctl.scala 650:65] - assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_error = _T_453 & _T_429; // @[dec_tlu_ctl.scala 651:57] - assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error = _T_455 & _T_429; // @[dec_tlu_ctl.scala 652:57] - assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_way = io_exu_i0_br_way_r; // @[dec_tlu_ctl.scala 654:65] - assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle = io_tlu_exu_exu_i0_br_middle_r; // @[dec_tlu_ctl.scala 655:65] - assign io_tlu_bp_dec_tlu_flush_leak_one_wb = _T_233 & _T_234; // @[dec_tlu_ctl.scala 484:45] - assign io_tlu_bp_dec_tlu_bpred_disable = csr_io_dec_tlu_bpred_disable; // @[dec_tlu_ctl.scala 903:47] - assign io_tlu_ifc_dec_tlu_flush_noredir_wb = _T_205 | take_ext_int_start; // @[dec_tlu_ctl.scala 475:45] - assign io_tlu_ifc_dec_tlu_mrac_ff = csr_io_dec_tlu_mrac_ff; // @[dec_tlu_ctl.scala 901:48] - assign io_tlu_mem_dec_tlu_flush_err_wb = io_tlu_exu_dec_tlu_flush_lower_r & _T_433; // @[dec_tlu_ctl.scala 485:41] - assign io_tlu_mem_dec_tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 628:37] + assign io_dec_tlu_i0_kill_writeb_r = _T_427 | i0_trigger_hit_raw_r; // @[dec_tlu_ctl.scala 333:41] + assign io_dec_tlu_wr_pause_r = csr_io_dec_tlu_wr_pause_r; // @[dec_tlu_ctl.scala 898:40] + assign io_dec_tlu_flush_pause_r = _T_208 & _T_209; // @[dec_tlu_ctl.scala 478:34] + assign io_dec_tlu_presync_d = _T_864 & _T_865; // @[dec_tlu_ctl.scala 1013:23] + assign io_dec_tlu_postsync_d = csr_pkt_postsync & io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 1014:23] + assign io_dec_tlu_perfcnt0 = csr_io_dec_tlu_perfcnt0; // @[dec_tlu_ctl.scala 884:40] + assign io_dec_tlu_perfcnt1 = csr_io_dec_tlu_perfcnt1; // @[dec_tlu_ctl.scala 885:40] + assign io_dec_tlu_perfcnt2 = csr_io_dec_tlu_perfcnt2; // @[dec_tlu_ctl.scala 886:40] + assign io_dec_tlu_perfcnt3 = csr_io_dec_tlu_perfcnt3; // @[dec_tlu_ctl.scala 887:40] + assign io_dec_tlu_i0_exc_valid_wb1 = csr_io_dec_tlu_i0_exc_valid_wb1; // @[dec_tlu_ctl.scala 878:44] + assign io_dec_tlu_i0_valid_wb1 = csr_io_dec_tlu_i0_valid_wb1; // @[dec_tlu_ctl.scala 879:44] + assign io_dec_tlu_int_valid_wb1 = csr_io_dec_tlu_int_valid_wb1; // @[dec_tlu_ctl.scala 877:44] + assign io_dec_tlu_exc_cause_wb1 = csr_io_dec_tlu_exc_cause_wb1; // @[dec_tlu_ctl.scala 883:40] + assign io_dec_tlu_mtval_wb1 = csr_io_dec_tlu_mtval_wb1; // @[dec_tlu_ctl.scala 882:40] + assign io_dec_tlu_pipelining_disable = csr_io_dec_tlu_pipelining_disable; // @[dec_tlu_ctl.scala 897:40] + assign io_dec_tlu_misc_clk_override = csr_io_dec_tlu_misc_clk_override; // @[dec_tlu_ctl.scala 888:40] + assign io_dec_tlu_dec_clk_override = csr_io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 889:40] + assign io_dec_tlu_lsu_clk_override = csr_io_dec_tlu_lsu_clk_override; // @[dec_tlu_ctl.scala 891:40] + assign io_dec_tlu_pic_clk_override = csr_io_dec_tlu_pic_clk_override; // @[dec_tlu_ctl.scala 893:40] + assign io_dec_tlu_dccm_clk_override = csr_io_dec_tlu_dccm_clk_override; // @[dec_tlu_ctl.scala 894:40] + assign io_dec_tlu_icm_clk_override = csr_io_dec_tlu_icm_clk_override; // @[dec_tlu_ctl.scala 895:40] + assign io_dec_tlu_flush_lower_wb = tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 799:41] + assign io_tlu_bp_dec_tlu_br0_r_pkt_valid = _T_459 & _T_462; // @[dec_tlu_ctl.scala 651:57] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_hist = io_tlu_exu_exu_i0_br_hist_r; // @[dec_tlu_ctl.scala 648:65] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_error = _T_453 & _T_429; // @[dec_tlu_ctl.scala 649:57] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_br_start_error = _T_455 & _T_429; // @[dec_tlu_ctl.scala 650:57] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_way = io_exu_i0_br_way_r; // @[dec_tlu_ctl.scala 652:65] + assign io_tlu_bp_dec_tlu_br0_r_pkt_bits_middle = io_tlu_exu_exu_i0_br_middle_r; // @[dec_tlu_ctl.scala 653:65] + assign io_tlu_bp_dec_tlu_flush_leak_one_wb = _T_233 & _T_234; // @[dec_tlu_ctl.scala 482:45] + assign io_tlu_bp_dec_tlu_bpred_disable = csr_io_dec_tlu_bpred_disable; // @[dec_tlu_ctl.scala 901:47] + assign io_tlu_ifc_dec_tlu_flush_noredir_wb = _T_205 | take_ext_int_start; // @[dec_tlu_ctl.scala 473:45] + assign io_tlu_ifc_dec_tlu_mrac_ff = csr_io_dec_tlu_mrac_ff; // @[dec_tlu_ctl.scala 899:48] + assign io_tlu_mem_dec_tlu_flush_err_wb = io_tlu_exu_dec_tlu_flush_lower_r & _T_433; // @[dec_tlu_ctl.scala 483:41] + assign io_tlu_mem_dec_tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 626:37] assign io_tlu_mem_dec_tlu_force_halt = _T_33; // @[dec_tlu_ctl.scala 331:57] - assign io_tlu_mem_dec_tlu_fence_i_wb = _T_492 & _T_470; // @[dec_tlu_ctl.scala 673:39] - assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wrdata = csr_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[dec_tlu_ctl.scala 882:52] - assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_dicawics = csr_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[dec_tlu_ctl.scala 882:52] - assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_rd_valid = csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[dec_tlu_ctl.scala 882:52] - assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wr_valid = csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[dec_tlu_ctl.scala 882:52] - assign io_tlu_mem_dec_tlu_core_ecc_disable = csr_io_dec_tlu_core_ecc_disable; // @[dec_tlu_ctl.scala 905:48] - assign io_tlu_busbuff_dec_tlu_external_ldfwd_disable = csr_io_dec_tlu_external_ldfwd_disable; // @[dec_tlu_ctl.scala 906:52] - assign io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = csr_io_dec_tlu_sideeffect_posted_disable; // @[dec_tlu_ctl.scala 904:52] - assign io_dec_pic_dec_tlu_meicurpl = csr_io_dec_tlu_meicurpl; // @[dec_tlu_ctl.scala 876:52] - assign io_dec_pic_dec_tlu_meipt = csr_io_dec_tlu_meipt; // @[dec_tlu_ctl.scala 878:52] + assign io_tlu_mem_dec_tlu_fence_i_wb = _T_492 & _T_470; // @[dec_tlu_ctl.scala 671:39] + assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wrdata = csr_io_dec_tlu_ic_diag_pkt_icache_wrdata; // @[dec_tlu_ctl.scala 880:52] + assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_dicawics = csr_io_dec_tlu_ic_diag_pkt_icache_dicawics; // @[dec_tlu_ctl.scala 880:52] + assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_rd_valid = csr_io_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[dec_tlu_ctl.scala 880:52] + assign io_tlu_mem_dec_tlu_ic_diag_pkt_icache_wr_valid = csr_io_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[dec_tlu_ctl.scala 880:52] + assign io_tlu_mem_dec_tlu_core_ecc_disable = csr_io_dec_tlu_core_ecc_disable; // @[dec_tlu_ctl.scala 903:48] + assign io_tlu_busbuff_dec_tlu_external_ldfwd_disable = csr_io_dec_tlu_external_ldfwd_disable; // @[dec_tlu_ctl.scala 904:52] + assign io_tlu_busbuff_dec_tlu_wb_coalescing_disable = csr_io_dec_tlu_wb_coalescing_disable; // @[dec_tlu_ctl.scala 900:52] + assign io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = csr_io_dec_tlu_sideeffect_posted_disable; // @[dec_tlu_ctl.scala 902:52] + assign io_dec_pic_dec_tlu_meicurpl = csr_io_dec_tlu_meicurpl; // @[dec_tlu_ctl.scala 874:52] + assign io_dec_pic_dec_tlu_meipt = csr_io_dec_tlu_meipt; // @[dec_tlu_ctl.scala 876:52] assign int_timers_clock = clock; assign int_timers_reset = reset; assign int_timers_io_free_clk = io_free_clk; // @[dec_tlu_ctl.scala 276:57] @@ -56089,197 +56100,197 @@ module dec_tlu_ctl( assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] assign csr_clock = clock; assign csr_reset = reset; - assign csr_io_free_clk = io_free_clk; // @[dec_tlu_ctl.scala 819:44] - assign csr_io_active_clk = io_active_clk; // @[dec_tlu_ctl.scala 820:44] - assign csr_io_scan_mode = io_scan_mode; // @[dec_tlu_ctl.scala 821:44] - assign csr_io_dec_csr_wrdata_r = io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 822:44] - assign csr_io_dec_csr_wraddr_r = io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 823:44] - assign csr_io_dec_csr_rdaddr_d = io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 824:44] - assign csr_io_dec_csr_wen_unq_d = io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 825:44] - assign csr_io_dec_i0_decode_d = io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 826:44] - assign csr_io_ifu_ic_debug_rd_data_valid = io_tlu_mem_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 827:44] - assign csr_io_ifu_pmu_bus_trxn = io_tlu_mem_ifu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 828:44] - assign csr_io_dma_iccm_stall_any = io_tlu_dma_dma_iccm_stall_any; // @[dec_tlu_ctl.scala 829:44] - assign csr_io_dma_dccm_stall_any = io_tlu_dma_dma_dccm_stall_any; // @[dec_tlu_ctl.scala 830:44] - assign csr_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[dec_tlu_ctl.scala 831:44] - assign csr_io_dec_pmu_presync_stall = io_dec_pmu_presync_stall; // @[dec_tlu_ctl.scala 832:44] - assign csr_io_dec_pmu_postsync_stall = io_dec_pmu_postsync_stall; // @[dec_tlu_ctl.scala 833:44] - assign csr_io_dec_pmu_decode_stall = io_dec_pmu_decode_stall; // @[dec_tlu_ctl.scala 834:44] - assign csr_io_ifu_pmu_fetch_stall = io_tlu_ifc_ifu_pmu_fetch_stall; // @[dec_tlu_ctl.scala 835:44] - assign csr_io_dec_tlu_packet_r_icaf_type = io_dec_tlu_packet_r_icaf_type; // @[dec_tlu_ctl.scala 836:44] - assign csr_io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_packet_r_pmu_i0_itype; // @[dec_tlu_ctl.scala 836:44] - assign csr_io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[dec_tlu_ctl.scala 836:44] - assign csr_io_dec_tlu_packet_r_pmu_divide = io_dec_tlu_packet_r_pmu_divide; // @[dec_tlu_ctl.scala 836:44] - assign csr_io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 836:44] - assign csr_io_exu_pmu_i0_br_ataken = io_tlu_exu_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 837:44] - assign csr_io_exu_pmu_i0_br_misp = io_tlu_exu_exu_pmu_i0_br_misp; // @[dec_tlu_ctl.scala 838:44] - assign csr_io_dec_pmu_instr_decoded = io_dec_pmu_instr_decoded; // @[dec_tlu_ctl.scala 839:44] - assign csr_io_ifu_pmu_instr_aligned = io_ifu_pmu_instr_aligned; // @[dec_tlu_ctl.scala 840:44] - assign csr_io_exu_pmu_i0_pc4 = io_tlu_exu_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 841:44] - assign csr_io_ifu_pmu_ic_miss = io_tlu_mem_ifu_pmu_ic_miss; // @[dec_tlu_ctl.scala 842:44] - assign csr_io_ifu_pmu_ic_hit = io_tlu_mem_ifu_pmu_ic_hit; // @[dec_tlu_ctl.scala 843:44] - assign csr_io_dec_csr_wen_r = io_dec_csr_wen_r; // @[dec_tlu_ctl.scala 844:44] - assign csr_io_dec_tlu_dbg_halted = io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 845:44] - assign csr_io_dma_pmu_dccm_write = io_tlu_dma_dma_pmu_dccm_write; // @[dec_tlu_ctl.scala 846:44] - assign csr_io_dma_pmu_dccm_read = io_tlu_dma_dma_pmu_dccm_read; // @[dec_tlu_ctl.scala 847:44] - assign csr_io_dma_pmu_any_write = io_tlu_dma_dma_pmu_any_write; // @[dec_tlu_ctl.scala 848:44] - assign csr_io_dma_pmu_any_read = io_tlu_dma_dma_pmu_any_read; // @[dec_tlu_ctl.scala 849:44] - assign csr_io_lsu_pmu_bus_busy = io_tlu_busbuff_lsu_pmu_bus_busy; // @[dec_tlu_ctl.scala 850:44] - assign csr_io_dec_tlu_i0_pc_r = io_dec_tlu_i0_pc_r; // @[dec_tlu_ctl.scala 851:44] - assign csr_io_dec_tlu_i0_valid_r = io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 852:44] - assign csr_io_dec_csr_any_unq_d = io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 854:44] - assign csr_io_ifu_pmu_bus_busy = io_tlu_mem_ifu_pmu_bus_busy; // @[dec_tlu_ctl.scala 855:44] - assign csr_io_lsu_pmu_bus_error = io_tlu_busbuff_lsu_pmu_bus_error; // @[dec_tlu_ctl.scala 856:44] - assign csr_io_ifu_pmu_bus_error = io_tlu_mem_ifu_pmu_bus_error; // @[dec_tlu_ctl.scala 857:44] - assign csr_io_lsu_pmu_bus_misaligned = io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[dec_tlu_ctl.scala 858:44] - assign csr_io_lsu_pmu_bus_trxn = io_tlu_busbuff_lsu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 859:44] - assign csr_io_ifu_ic_debug_rd_data = io_tlu_mem_ifu_ic_debug_rd_data; // @[dec_tlu_ctl.scala 860:44] - assign csr_io_pic_pl = io_dec_pic_pic_pl; // @[dec_tlu_ctl.scala 861:44] - assign csr_io_pic_claimid = io_dec_pic_pic_claimid; // @[dec_tlu_ctl.scala 862:44] - assign csr_io_iccm_dma_sb_error = io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 863:44] - assign csr_io_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[dec_tlu_ctl.scala 864:44] - assign csr_io_lsu_imprecise_error_load_any = io_tlu_busbuff_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 865:44] - assign csr_io_lsu_imprecise_error_store_any = io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 866:44] - assign csr_io_dec_illegal_inst = io_dec_illegal_inst; // @[dec_tlu_ctl.scala 867:44 dec_tlu_ctl.scala 908:44] - assign csr_io_lsu_error_pkt_r_bits_mscause = io_lsu_error_pkt_r_bits_mscause; // @[dec_tlu_ctl.scala 868:44 dec_tlu_ctl.scala 909:44] - assign csr_io_mexintpend = io_dec_pic_mexintpend; // @[dec_tlu_ctl.scala 869:44 dec_tlu_ctl.scala 910:44] - assign csr_io_exu_npc_r = io_tlu_exu_exu_npc_r; // @[dec_tlu_ctl.scala 870:44 dec_tlu_ctl.scala 911:44] - assign csr_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 871:44 dec_tlu_ctl.scala 912:44] - assign csr_io_rst_vec = io_rst_vec; // @[dec_tlu_ctl.scala 872:44 dec_tlu_ctl.scala 913:44] - assign csr_io_core_id = io_core_id; // @[dec_tlu_ctl.scala 873:44 dec_tlu_ctl.scala 914:44] - assign csr_io_dec_timer_rddata_d = int_timers_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 874:44 dec_tlu_ctl.scala 915:44] - assign csr_io_dec_timer_read_d = int_timers_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 875:44 dec_tlu_ctl.scala 916:44] - assign csr_io_rfpc_i0_r = _T_438 & _T_439; // @[dec_tlu_ctl.scala 919:39] - assign csr_io_i0_trigger_hit_r = |i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 920:39] - assign csr_io_exc_or_int_valid_r = _T_855 | mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 921:39] - assign csr_io_mret_r = _T_487 & _T_470; // @[dec_tlu_ctl.scala 922:39] - assign csr_io_dcsr_single_step_running_f = dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 923:39] - assign csr_io_dec_timer_t0_pulse = int_timers_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 924:39] - assign csr_io_dec_timer_t1_pulse = int_timers_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 925:39] - assign csr_io_timer_int_sync = syncro_ff[5]; // @[dec_tlu_ctl.scala 926:39] - assign csr_io_soft_int_sync = syncro_ff[4]; // @[dec_tlu_ctl.scala 927:39] - assign csr_io_csr_wr_clk = rvclkhdr_io_l1clk; // @[dec_tlu_ctl.scala 928:39] - assign csr_io_ebreak_to_debug_mode_r = _T_519 & _T_470; // @[dec_tlu_ctl.scala 929:39] - assign csr_io_dec_tlu_pmu_fw_halted = pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 930:39] - assign csr_io_lsu_fir_error = io_lsu_fir_error; // @[dec_tlu_ctl.scala 931:39] - assign csr_io_tlu_flush_lower_r_d1 = tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 932:39] - assign csr_io_dec_tlu_flush_noredir_r_d1 = dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 933:39] - assign csr_io_tlu_flush_path_r_d1 = tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 934:39] - assign csr_io_reset_delayed = reset_detect ^ reset_detected; // @[dec_tlu_ctl.scala 935:39] - assign csr_io_interrupt_valid_r = _T_766 | take_int_timer1_int; // @[dec_tlu_ctl.scala 936:39] - assign csr_io_i0_exception_valid_r = _T_527 & _T_528; // @[dec_tlu_ctl.scala 937:39] - assign csr_io_lsu_exc_valid_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 938:39] - assign csr_io_mepc_trigger_hit_sel_pc_r = i0_trigger_hit_raw_r & _T_345; // @[dec_tlu_ctl.scala 939:39] - assign csr_io_e4e5_int_clk = rvclkhdr_3_io_l1clk; // @[dec_tlu_ctl.scala 940:39] - assign csr_io_lsu_i0_exc_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 941:39] - assign csr_io_inst_acc_r = _T_511 & _T_465; // @[dec_tlu_ctl.scala 942:39] - assign csr_io_inst_acc_second_r = io_dec_tlu_packet_r_icaf_f1; // @[dec_tlu_ctl.scala 943:39] - assign csr_io_take_nmi = _T_756 & _T_760; // @[dec_tlu_ctl.scala 944:39] - assign csr_io_lsu_error_pkt_addr_r = io_lsu_error_pkt_r_bits_addr; // @[dec_tlu_ctl.scala 945:39] - assign csr_io_exc_cause_r = _T_603 | _T_591; // @[dec_tlu_ctl.scala 946:39] - assign csr_io_i0_valid_wb = i0_valid_wb; // @[dec_tlu_ctl.scala 947:39] - assign csr_io_exc_or_int_valid_r_d1 = exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 948:39] - assign csr_io_interrupt_valid_r_d1 = interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 949:39] - assign csr_io_clk_override = io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 950:39] - assign csr_io_i0_exception_valid_r_d1 = i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 951:39] - assign csr_io_lsu_i0_exc_r_d1 = lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 952:39] - assign csr_io_exc_cause_wb = exc_cause_wb; // @[dec_tlu_ctl.scala 953:39] - assign csr_io_nmi_lsu_store_type = _T_58 | _T_60; // @[dec_tlu_ctl.scala 954:39] - assign csr_io_nmi_lsu_load_type = _T_50 | _T_52; // @[dec_tlu_ctl.scala 955:39] - assign csr_io_tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 956:39] - assign csr_io_ebreak_r = _T_469 & _T_470; // @[dec_tlu_ctl.scala 957:39] - assign csr_io_ecall_r = _T_475 & _T_470; // @[dec_tlu_ctl.scala 958:39] - assign csr_io_illegal_r = _T_481 & _T_470; // @[dec_tlu_ctl.scala 959:39] - assign csr_io_mdseac_locked_f = mdseac_locked_f; // @[dec_tlu_ctl.scala 960:39] - assign csr_io_nmi_int_detected_f = nmi_int_detected_f; // @[dec_tlu_ctl.scala 961:39] - assign csr_io_internal_dbg_halt_mode_f2 = internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 962:39] - assign csr_io_ext_int_freeze_d1 = ext_int_freeze_d1; // @[dec_tlu_ctl.scala 963:39] - assign csr_io_ic_perr_r_d1 = ic_perr_r_d1; // @[dec_tlu_ctl.scala 964:39] - assign csr_io_iccm_sbecc_r_d1 = iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 965:39] - assign csr_io_lsu_single_ecc_error_r_d1 = lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 966:39] - assign csr_io_ifu_miss_state_idle_f = ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 967:39] - assign csr_io_lsu_idle_any_f = lsu_idle_any_f; // @[dec_tlu_ctl.scala 968:39] - assign csr_io_dbg_tlu_halted_f = dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 969:39] - assign csr_io_dbg_tlu_halted = _T_164 | _T_166; // @[dec_tlu_ctl.scala 970:39] - assign csr_io_debug_halt_req_f = debug_halt_req_f; // @[dec_tlu_ctl.scala 971:51] - assign csr_io_take_ext_int_start = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 972:47] - assign csr_io_trigger_hit_dmode_r_d1 = trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 973:43] - assign csr_io_trigger_hit_r_d1 = trigger_hit_r_d1; // @[dec_tlu_ctl.scala 974:43] - assign csr_io_dcsr_single_step_done_f = dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 975:43] - assign csr_io_ebreak_to_debug_mode_r_d1 = ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 976:39] - assign csr_io_debug_halt_req = _T_114 & _T_107; // @[dec_tlu_ctl.scala 977:51] - assign csr_io_allow_dbg_halt_csr_write = debug_mode_status & _T_77; // @[dec_tlu_ctl.scala 978:39] - assign csr_io_internal_dbg_halt_mode_f = debug_mode_status; // @[dec_tlu_ctl.scala 979:39] - assign csr_io_enter_debug_halt_req = _T_155 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 980:39] - assign csr_io_internal_dbg_halt_mode = debug_halt_req_ns | _T_160; // @[dec_tlu_ctl.scala 981:39] - assign csr_io_request_debug_mode_done = _T_183 & _T_136; // @[dec_tlu_ctl.scala 982:39] - assign csr_io_request_debug_mode_r = _T_180 | _T_182; // @[dec_tlu_ctl.scala 983:39] - assign csr_io_update_hit_bit_r = _T_342 & i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 984:39] - assign csr_io_take_timer_int = _T_703 & _T_704; // @[dec_tlu_ctl.scala 985:39] - assign csr_io_take_int_timer0_int = _T_717 & _T_704; // @[dec_tlu_ctl.scala 986:39] - assign csr_io_take_int_timer1_int = _T_734 & _T_704; // @[dec_tlu_ctl.scala 987:39] - assign csr_io_take_ext_int = take_ext_int_start_d3 & _T_685; // @[dec_tlu_ctl.scala 988:39] - assign csr_io_tlu_flush_lower_r = _T_801 | take_ext_int_start; // @[dec_tlu_ctl.scala 989:39] - assign csr_io_dec_tlu_br0_error_r = _T_453 & _T_429; // @[dec_tlu_ctl.scala 990:39] - assign csr_io_dec_tlu_br0_start_error_r = _T_455 & _T_429; // @[dec_tlu_ctl.scala 991:39] - assign csr_io_lsu_pmu_load_external_r = lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 992:39] - assign csr_io_lsu_pmu_store_external_r = lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 993:39] - assign csr_io_csr_pkt_csr_misa = csr_read_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mvendorid = csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_marchid = csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mimpid = csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mhartid = csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mstatus = csr_read_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mtvec = csr_read_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mip = csr_read_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mie = csr_read_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mcyclel = csr_read_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mcycleh = csr_read_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_minstretl = csr_read_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_minstreth = csr_read_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mscratch = csr_read_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mepc = csr_read_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mcause = csr_read_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mscause = csr_read_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mtval = csr_read_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mrac = csr_read_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mdseac = csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_meihap = csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_meivt = csr_read_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_meipt = csr_read_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_meicurpl = csr_read_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_meicidpl = csr_read_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_dcsr = csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mcgc = csr_read_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mfdc = csr_read_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_dpc = csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mtsel = csr_read_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mtdata1 = csr_read_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mtdata2 = csr_read_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mhpmc3 = csr_read_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mhpmc4 = csr_read_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mhpmc5 = csr_read_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mhpmc6 = csr_read_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mhpmc3h = csr_read_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mhpmc4h = csr_read_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mhpmc5h = csr_read_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mhpmc6h = csr_read_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mhpme3 = csr_read_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mhpme4 = csr_read_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mhpme5 = csr_read_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mhpme6 = csr_read_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mcountinhibit = csr_read_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mpmc = csr_read_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_micect = csr_read_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_miccmect = csr_read_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mdccmect = csr_read_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mfdht = csr_read_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_mfdhs = csr_read_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_dicawics = csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_dicad0h = csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_dicad0 = csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 994:39] - assign csr_io_csr_pkt_csr_dicad1 = csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 994:39] - assign csr_read_io_dec_csr_rdaddr_d = io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 1012:37] + assign csr_io_free_clk = io_free_clk; // @[dec_tlu_ctl.scala 817:44] + assign csr_io_active_clk = io_active_clk; // @[dec_tlu_ctl.scala 818:44] + assign csr_io_scan_mode = io_scan_mode; // @[dec_tlu_ctl.scala 819:44] + assign csr_io_dec_csr_wrdata_r = io_dec_csr_wrdata_r; // @[dec_tlu_ctl.scala 820:44] + assign csr_io_dec_csr_wraddr_r = io_dec_csr_wraddr_r; // @[dec_tlu_ctl.scala 821:44] + assign csr_io_dec_csr_rdaddr_d = io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 822:44] + assign csr_io_dec_csr_wen_unq_d = io_dec_csr_wen_unq_d; // @[dec_tlu_ctl.scala 823:44] + assign csr_io_dec_i0_decode_d = io_dec_i0_decode_d; // @[dec_tlu_ctl.scala 824:44] + assign csr_io_ifu_ic_debug_rd_data_valid = io_tlu_mem_ifu_ic_debug_rd_data_valid; // @[dec_tlu_ctl.scala 825:44] + assign csr_io_ifu_pmu_bus_trxn = io_tlu_mem_ifu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 826:44] + assign csr_io_dma_iccm_stall_any = io_tlu_dma_dma_iccm_stall_any; // @[dec_tlu_ctl.scala 827:44] + assign csr_io_dma_dccm_stall_any = io_tlu_dma_dma_dccm_stall_any; // @[dec_tlu_ctl.scala 828:44] + assign csr_io_lsu_store_stall_any = io_lsu_store_stall_any; // @[dec_tlu_ctl.scala 829:44] + assign csr_io_dec_pmu_presync_stall = io_dec_pmu_presync_stall; // @[dec_tlu_ctl.scala 830:44] + assign csr_io_dec_pmu_postsync_stall = io_dec_pmu_postsync_stall; // @[dec_tlu_ctl.scala 831:44] + assign csr_io_dec_pmu_decode_stall = io_dec_pmu_decode_stall; // @[dec_tlu_ctl.scala 832:44] + assign csr_io_ifu_pmu_fetch_stall = io_tlu_ifc_ifu_pmu_fetch_stall; // @[dec_tlu_ctl.scala 833:44] + assign csr_io_dec_tlu_packet_r_icaf_type = io_dec_tlu_packet_r_icaf_type; // @[dec_tlu_ctl.scala 834:44] + assign csr_io_dec_tlu_packet_r_pmu_i0_itype = io_dec_tlu_packet_r_pmu_i0_itype; // @[dec_tlu_ctl.scala 834:44] + assign csr_io_dec_tlu_packet_r_pmu_i0_br_unpred = io_dec_tlu_packet_r_pmu_i0_br_unpred; // @[dec_tlu_ctl.scala 834:44] + assign csr_io_dec_tlu_packet_r_pmu_divide = io_dec_tlu_packet_r_pmu_divide; // @[dec_tlu_ctl.scala 834:44] + assign csr_io_dec_tlu_packet_r_pmu_lsu_misaligned = io_dec_tlu_packet_r_pmu_lsu_misaligned; // @[dec_tlu_ctl.scala 834:44] + assign csr_io_exu_pmu_i0_br_ataken = io_tlu_exu_exu_pmu_i0_br_ataken; // @[dec_tlu_ctl.scala 835:44] + assign csr_io_exu_pmu_i0_br_misp = io_tlu_exu_exu_pmu_i0_br_misp; // @[dec_tlu_ctl.scala 836:44] + assign csr_io_dec_pmu_instr_decoded = io_dec_pmu_instr_decoded; // @[dec_tlu_ctl.scala 837:44] + assign csr_io_ifu_pmu_instr_aligned = io_ifu_pmu_instr_aligned; // @[dec_tlu_ctl.scala 838:44] + assign csr_io_exu_pmu_i0_pc4 = io_tlu_exu_exu_pmu_i0_pc4; // @[dec_tlu_ctl.scala 839:44] + assign csr_io_ifu_pmu_ic_miss = io_tlu_mem_ifu_pmu_ic_miss; // @[dec_tlu_ctl.scala 840:44] + assign csr_io_ifu_pmu_ic_hit = io_tlu_mem_ifu_pmu_ic_hit; // @[dec_tlu_ctl.scala 841:44] + assign csr_io_dec_csr_wen_r = io_dec_csr_wen_r; // @[dec_tlu_ctl.scala 842:44] + assign csr_io_dec_tlu_dbg_halted = io_dec_tlu_dbg_halted; // @[dec_tlu_ctl.scala 843:44] + assign csr_io_dma_pmu_dccm_write = io_tlu_dma_dma_pmu_dccm_write; // @[dec_tlu_ctl.scala 844:44] + assign csr_io_dma_pmu_dccm_read = io_tlu_dma_dma_pmu_dccm_read; // @[dec_tlu_ctl.scala 845:44] + assign csr_io_dma_pmu_any_write = io_tlu_dma_dma_pmu_any_write; // @[dec_tlu_ctl.scala 846:44] + assign csr_io_dma_pmu_any_read = io_tlu_dma_dma_pmu_any_read; // @[dec_tlu_ctl.scala 847:44] + assign csr_io_lsu_pmu_bus_busy = io_tlu_busbuff_lsu_pmu_bus_busy; // @[dec_tlu_ctl.scala 848:44] + assign csr_io_dec_tlu_i0_pc_r = io_dec_tlu_i0_pc_r; // @[dec_tlu_ctl.scala 849:44] + assign csr_io_dec_tlu_i0_valid_r = io_dec_tlu_i0_valid_r; // @[dec_tlu_ctl.scala 850:44] + assign csr_io_dec_csr_any_unq_d = io_dec_csr_any_unq_d; // @[dec_tlu_ctl.scala 852:44] + assign csr_io_ifu_pmu_bus_busy = io_tlu_mem_ifu_pmu_bus_busy; // @[dec_tlu_ctl.scala 853:44] + assign csr_io_lsu_pmu_bus_error = io_tlu_busbuff_lsu_pmu_bus_error; // @[dec_tlu_ctl.scala 854:44] + assign csr_io_ifu_pmu_bus_error = io_tlu_mem_ifu_pmu_bus_error; // @[dec_tlu_ctl.scala 855:44] + assign csr_io_lsu_pmu_bus_misaligned = io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[dec_tlu_ctl.scala 856:44] + assign csr_io_lsu_pmu_bus_trxn = io_tlu_busbuff_lsu_pmu_bus_trxn; // @[dec_tlu_ctl.scala 857:44] + assign csr_io_ifu_ic_debug_rd_data = io_tlu_mem_ifu_ic_debug_rd_data; // @[dec_tlu_ctl.scala 858:44] + assign csr_io_pic_pl = io_dec_pic_pic_pl; // @[dec_tlu_ctl.scala 859:44] + assign csr_io_pic_claimid = io_dec_pic_pic_claimid; // @[dec_tlu_ctl.scala 860:44] + assign csr_io_iccm_dma_sb_error = io_iccm_dma_sb_error; // @[dec_tlu_ctl.scala 861:44] + assign csr_io_lsu_imprecise_error_addr_any = io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[dec_tlu_ctl.scala 862:44] + assign csr_io_lsu_imprecise_error_load_any = io_tlu_busbuff_lsu_imprecise_error_load_any; // @[dec_tlu_ctl.scala 863:44] + assign csr_io_lsu_imprecise_error_store_any = io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec_tlu_ctl.scala 864:44] + assign csr_io_dec_illegal_inst = io_dec_illegal_inst; // @[dec_tlu_ctl.scala 865:44 dec_tlu_ctl.scala 906:44] + assign csr_io_lsu_error_pkt_r_bits_mscause = io_lsu_error_pkt_r_bits_mscause; // @[dec_tlu_ctl.scala 866:44 dec_tlu_ctl.scala 907:44] + assign csr_io_mexintpend = io_dec_pic_mexintpend; // @[dec_tlu_ctl.scala 867:44 dec_tlu_ctl.scala 908:44] + assign csr_io_exu_npc_r = io_tlu_exu_exu_npc_r; // @[dec_tlu_ctl.scala 868:44 dec_tlu_ctl.scala 909:44] + assign csr_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[dec_tlu_ctl.scala 869:44 dec_tlu_ctl.scala 910:44] + assign csr_io_rst_vec = io_rst_vec; // @[dec_tlu_ctl.scala 870:44 dec_tlu_ctl.scala 911:44] + assign csr_io_core_id = io_core_id; // @[dec_tlu_ctl.scala 871:44 dec_tlu_ctl.scala 912:44] + assign csr_io_dec_timer_rddata_d = int_timers_io_dec_timer_rddata_d; // @[dec_tlu_ctl.scala 872:44 dec_tlu_ctl.scala 913:44] + assign csr_io_dec_timer_read_d = int_timers_io_dec_timer_read_d; // @[dec_tlu_ctl.scala 873:44 dec_tlu_ctl.scala 914:44] + assign csr_io_rfpc_i0_r = _T_438 & _T_439; // @[dec_tlu_ctl.scala 917:39] + assign csr_io_i0_trigger_hit_r = |i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 918:39] + assign csr_io_exc_or_int_valid_r = _T_855 | mepc_trigger_hit_sel_pc_r; // @[dec_tlu_ctl.scala 919:39] + assign csr_io_mret_r = _T_487 & _T_470; // @[dec_tlu_ctl.scala 920:39] + assign csr_io_dcsr_single_step_running_f = dcsr_single_step_running_f; // @[dec_tlu_ctl.scala 921:39] + assign csr_io_dec_timer_t0_pulse = int_timers_io_dec_timer_t0_pulse; // @[dec_tlu_ctl.scala 922:39] + assign csr_io_dec_timer_t1_pulse = int_timers_io_dec_timer_t1_pulse; // @[dec_tlu_ctl.scala 923:39] + assign csr_io_timer_int_sync = syncro_ff[5]; // @[dec_tlu_ctl.scala 924:39] + assign csr_io_soft_int_sync = syncro_ff[4]; // @[dec_tlu_ctl.scala 925:39] + assign csr_io_csr_wr_clk = rvclkhdr_io_l1clk; // @[dec_tlu_ctl.scala 926:39] + assign csr_io_ebreak_to_debug_mode_r = _T_519 & _T_470; // @[dec_tlu_ctl.scala 927:39] + assign csr_io_dec_tlu_pmu_fw_halted = pmu_fw_tlu_halted_f; // @[dec_tlu_ctl.scala 928:39] + assign csr_io_lsu_fir_error = io_lsu_fir_error; // @[dec_tlu_ctl.scala 929:39] + assign csr_io_tlu_flush_lower_r_d1 = tlu_flush_lower_r_d1; // @[dec_tlu_ctl.scala 930:39] + assign csr_io_dec_tlu_flush_noredir_r_d1 = dec_tlu_flush_noredir_r_d1; // @[dec_tlu_ctl.scala 931:39] + assign csr_io_tlu_flush_path_r_d1 = tlu_flush_path_r_d1; // @[dec_tlu_ctl.scala 932:39] + assign csr_io_reset_delayed = reset_detect ^ reset_detected; // @[dec_tlu_ctl.scala 933:39] + assign csr_io_interrupt_valid_r = _T_766 | take_int_timer1_int; // @[dec_tlu_ctl.scala 934:39] + assign csr_io_i0_exception_valid_r = _T_527 & _T_528; // @[dec_tlu_ctl.scala 935:39] + assign csr_io_lsu_exc_valid_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 936:39] + assign csr_io_mepc_trigger_hit_sel_pc_r = i0_trigger_hit_raw_r & _T_345; // @[dec_tlu_ctl.scala 937:39] + assign csr_io_e4e5_int_clk = rvclkhdr_3_io_l1clk; // @[dec_tlu_ctl.scala 938:39] + assign csr_io_lsu_i0_exc_r = _T_405 & _T_470; // @[dec_tlu_ctl.scala 939:39] + assign csr_io_inst_acc_r = _T_511 & _T_465; // @[dec_tlu_ctl.scala 940:39] + assign csr_io_inst_acc_second_r = io_dec_tlu_packet_r_icaf_f1; // @[dec_tlu_ctl.scala 941:39] + assign csr_io_take_nmi = _T_756 & _T_760; // @[dec_tlu_ctl.scala 942:39] + assign csr_io_lsu_error_pkt_addr_r = io_lsu_error_pkt_r_bits_addr; // @[dec_tlu_ctl.scala 943:39] + assign csr_io_exc_cause_r = _T_603 | _T_591; // @[dec_tlu_ctl.scala 944:39] + assign csr_io_i0_valid_wb = i0_valid_wb; // @[dec_tlu_ctl.scala 945:39] + assign csr_io_exc_or_int_valid_r_d1 = exc_or_int_valid_r_d1; // @[dec_tlu_ctl.scala 946:39] + assign csr_io_interrupt_valid_r_d1 = interrupt_valid_r_d1; // @[dec_tlu_ctl.scala 947:39] + assign csr_io_clk_override = io_dec_tlu_dec_clk_override; // @[dec_tlu_ctl.scala 948:39] + assign csr_io_i0_exception_valid_r_d1 = i0_exception_valid_r_d1; // @[dec_tlu_ctl.scala 949:39] + assign csr_io_lsu_i0_exc_r_d1 = lsu_i0_exc_r_d1; // @[dec_tlu_ctl.scala 950:39] + assign csr_io_exc_cause_wb = exc_cause_wb; // @[dec_tlu_ctl.scala 951:39] + assign csr_io_nmi_lsu_store_type = _T_58 | _T_60; // @[dec_tlu_ctl.scala 952:39] + assign csr_io_nmi_lsu_load_type = _T_50 | _T_52; // @[dec_tlu_ctl.scala 953:39] + assign csr_io_tlu_i0_commit_cmt = _T_422 & _T_465; // @[dec_tlu_ctl.scala 954:39] + assign csr_io_ebreak_r = _T_469 & _T_470; // @[dec_tlu_ctl.scala 955:39] + assign csr_io_ecall_r = _T_475 & _T_470; // @[dec_tlu_ctl.scala 956:39] + assign csr_io_illegal_r = _T_481 & _T_470; // @[dec_tlu_ctl.scala 957:39] + assign csr_io_mdseac_locked_f = mdseac_locked_f; // @[dec_tlu_ctl.scala 958:39] + assign csr_io_nmi_int_detected_f = nmi_int_detected_f; // @[dec_tlu_ctl.scala 959:39] + assign csr_io_internal_dbg_halt_mode_f2 = internal_dbg_halt_mode_f2; // @[dec_tlu_ctl.scala 960:39] + assign csr_io_ext_int_freeze_d1 = ext_int_freeze_d1; // @[dec_tlu_ctl.scala 961:39] + assign csr_io_ic_perr_r_d1 = ic_perr_r_d1; // @[dec_tlu_ctl.scala 962:39] + assign csr_io_iccm_sbecc_r_d1 = iccm_sbecc_r_d1; // @[dec_tlu_ctl.scala 963:39] + assign csr_io_lsu_single_ecc_error_r_d1 = lsu_single_ecc_error_r_d1; // @[dec_tlu_ctl.scala 964:39] + assign csr_io_ifu_miss_state_idle_f = ifu_miss_state_idle_f; // @[dec_tlu_ctl.scala 965:39] + assign csr_io_lsu_idle_any_f = lsu_idle_any_f; // @[dec_tlu_ctl.scala 966:39] + assign csr_io_dbg_tlu_halted_f = dbg_tlu_halted_f; // @[dec_tlu_ctl.scala 967:39] + assign csr_io_dbg_tlu_halted = _T_164 | _T_166; // @[dec_tlu_ctl.scala 968:39] + assign csr_io_debug_halt_req_f = debug_halt_req_f; // @[dec_tlu_ctl.scala 969:51] + assign csr_io_take_ext_int_start = ext_int_ready & _T_704; // @[dec_tlu_ctl.scala 970:47] + assign csr_io_trigger_hit_dmode_r_d1 = trigger_hit_dmode_r_d1; // @[dec_tlu_ctl.scala 971:43] + assign csr_io_trigger_hit_r_d1 = trigger_hit_r_d1; // @[dec_tlu_ctl.scala 972:43] + assign csr_io_dcsr_single_step_done_f = dcsr_single_step_done_f; // @[dec_tlu_ctl.scala 973:43] + assign csr_io_ebreak_to_debug_mode_r_d1 = ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 974:39] + assign csr_io_debug_halt_req = _T_114 & _T_107; // @[dec_tlu_ctl.scala 975:51] + assign csr_io_allow_dbg_halt_csr_write = debug_mode_status & _T_77; // @[dec_tlu_ctl.scala 976:39] + assign csr_io_internal_dbg_halt_mode_f = debug_mode_status; // @[dec_tlu_ctl.scala 977:39] + assign csr_io_enter_debug_halt_req = _T_155 | ebreak_to_debug_mode_r_d1; // @[dec_tlu_ctl.scala 978:39] + assign csr_io_internal_dbg_halt_mode = debug_halt_req_ns | _T_160; // @[dec_tlu_ctl.scala 979:39] + assign csr_io_request_debug_mode_done = _T_183 & _T_136; // @[dec_tlu_ctl.scala 980:39] + assign csr_io_request_debug_mode_r = _T_180 | _T_182; // @[dec_tlu_ctl.scala 981:39] + assign csr_io_update_hit_bit_r = _T_342 & i0_trigger_chain_masked_r; // @[dec_tlu_ctl.scala 982:39] + assign csr_io_take_timer_int = _T_703 & _T_704; // @[dec_tlu_ctl.scala 983:39] + assign csr_io_take_int_timer0_int = _T_717 & _T_704; // @[dec_tlu_ctl.scala 984:39] + assign csr_io_take_int_timer1_int = _T_734 & _T_704; // @[dec_tlu_ctl.scala 985:39] + assign csr_io_take_ext_int = take_ext_int_start_d3 & _T_685; // @[dec_tlu_ctl.scala 986:39] + assign csr_io_tlu_flush_lower_r = _T_801 | take_ext_int_start; // @[dec_tlu_ctl.scala 987:39] + assign csr_io_dec_tlu_br0_error_r = _T_453 & _T_429; // @[dec_tlu_ctl.scala 988:39] + assign csr_io_dec_tlu_br0_start_error_r = _T_455 & _T_429; // @[dec_tlu_ctl.scala 989:39] + assign csr_io_lsu_pmu_load_external_r = lsu_pmu_load_external_r; // @[dec_tlu_ctl.scala 990:39] + assign csr_io_lsu_pmu_store_external_r = lsu_pmu_store_external_r; // @[dec_tlu_ctl.scala 991:39] + assign csr_io_csr_pkt_csr_misa = csr_read_io_csr_pkt_csr_misa; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mvendorid = csr_read_io_csr_pkt_csr_mvendorid; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_marchid = csr_read_io_csr_pkt_csr_marchid; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mimpid = csr_read_io_csr_pkt_csr_mimpid; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhartid = csr_read_io_csr_pkt_csr_mhartid; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mstatus = csr_read_io_csr_pkt_csr_mstatus; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mtvec = csr_read_io_csr_pkt_csr_mtvec; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mip = csr_read_io_csr_pkt_csr_mip; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mie = csr_read_io_csr_pkt_csr_mie; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mcyclel = csr_read_io_csr_pkt_csr_mcyclel; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mcycleh = csr_read_io_csr_pkt_csr_mcycleh; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_minstretl = csr_read_io_csr_pkt_csr_minstretl; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_minstreth = csr_read_io_csr_pkt_csr_minstreth; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mscratch = csr_read_io_csr_pkt_csr_mscratch; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mepc = csr_read_io_csr_pkt_csr_mepc; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mcause = csr_read_io_csr_pkt_csr_mcause; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mscause = csr_read_io_csr_pkt_csr_mscause; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mtval = csr_read_io_csr_pkt_csr_mtval; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mrac = csr_read_io_csr_pkt_csr_mrac; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mdseac = csr_read_io_csr_pkt_csr_mdseac; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_meihap = csr_read_io_csr_pkt_csr_meihap; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_meivt = csr_read_io_csr_pkt_csr_meivt; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_meipt = csr_read_io_csr_pkt_csr_meipt; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_meicurpl = csr_read_io_csr_pkt_csr_meicurpl; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_meicidpl = csr_read_io_csr_pkt_csr_meicidpl; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_dcsr = csr_read_io_csr_pkt_csr_dcsr; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mcgc = csr_read_io_csr_pkt_csr_mcgc; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mfdc = csr_read_io_csr_pkt_csr_mfdc; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_dpc = csr_read_io_csr_pkt_csr_dpc; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mtsel = csr_read_io_csr_pkt_csr_mtsel; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mtdata1 = csr_read_io_csr_pkt_csr_mtdata1; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mtdata2 = csr_read_io_csr_pkt_csr_mtdata2; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc3 = csr_read_io_csr_pkt_csr_mhpmc3; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc4 = csr_read_io_csr_pkt_csr_mhpmc4; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc5 = csr_read_io_csr_pkt_csr_mhpmc5; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc6 = csr_read_io_csr_pkt_csr_mhpmc6; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc3h = csr_read_io_csr_pkt_csr_mhpmc3h; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc4h = csr_read_io_csr_pkt_csr_mhpmc4h; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc5h = csr_read_io_csr_pkt_csr_mhpmc5h; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpmc6h = csr_read_io_csr_pkt_csr_mhpmc6h; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpme3 = csr_read_io_csr_pkt_csr_mhpme3; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpme4 = csr_read_io_csr_pkt_csr_mhpme4; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpme5 = csr_read_io_csr_pkt_csr_mhpme5; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mhpme6 = csr_read_io_csr_pkt_csr_mhpme6; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mcountinhibit = csr_read_io_csr_pkt_csr_mcountinhibit; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mpmc = csr_read_io_csr_pkt_csr_mpmc; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_micect = csr_read_io_csr_pkt_csr_micect; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_miccmect = csr_read_io_csr_pkt_csr_miccmect; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mdccmect = csr_read_io_csr_pkt_csr_mdccmect; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mfdht = csr_read_io_csr_pkt_csr_mfdht; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_mfdhs = csr_read_io_csr_pkt_csr_mfdhs; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_dicawics = csr_read_io_csr_pkt_csr_dicawics; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_dicad0h = csr_read_io_csr_pkt_csr_dicad0h; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_dicad0 = csr_read_io_csr_pkt_csr_dicad0; // @[dec_tlu_ctl.scala 992:39] + assign csr_io_csr_pkt_csr_dicad1 = csr_read_io_csr_pkt_csr_dicad1; // @[dec_tlu_ctl.scala 992:39] + assign csr_read_io_dec_csr_rdaddr_d = io_dec_csr_rdaddr_d; // @[dec_tlu_ctl.scala 1010:37] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -57929,7 +57940,6 @@ module dec( output [31:0] io_rv_trace_pkt_rv_i_tval_ip, output io_dec_tlu_misc_clk_override, output io_dec_tlu_lsu_clk_override, - output io_dec_tlu_bus_clk_override, output io_dec_tlu_pic_clk_override, output io_dec_tlu_dccm_clk_override, output io_dec_tlu_icm_clk_override, @@ -58067,6 +58077,7 @@ module dec( input io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error, input io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy, output io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable, + output io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable, output io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable, input io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any, input io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any, @@ -58474,7 +58485,6 @@ module dec( wire tlu_io_dec_tlu_misc_clk_override; // @[dec.scala 120:19] wire tlu_io_dec_tlu_dec_clk_override; // @[dec.scala 120:19] wire tlu_io_dec_tlu_lsu_clk_override; // @[dec.scala 120:19] - wire tlu_io_dec_tlu_bus_clk_override; // @[dec.scala 120:19] wire tlu_io_dec_tlu_pic_clk_override; // @[dec.scala 120:19] wire tlu_io_dec_tlu_dccm_clk_override; // @[dec.scala 120:19] wire tlu_io_dec_tlu_icm_clk_override; // @[dec.scala 120:19] @@ -58515,6 +58525,7 @@ module dec( wire tlu_io_tlu_busbuff_lsu_pmu_bus_error; // @[dec.scala 120:19] wire tlu_io_tlu_busbuff_lsu_pmu_bus_busy; // @[dec.scala 120:19] wire tlu_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[dec.scala 120:19] + wire tlu_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[dec.scala 120:19] wire tlu_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[dec.scala 120:19] wire tlu_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[dec.scala 120:19] wire tlu_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[dec.scala 120:19] @@ -58930,7 +58941,6 @@ module dec( .io_dec_tlu_misc_clk_override(tlu_io_dec_tlu_misc_clk_override), .io_dec_tlu_dec_clk_override(tlu_io_dec_tlu_dec_clk_override), .io_dec_tlu_lsu_clk_override(tlu_io_dec_tlu_lsu_clk_override), - .io_dec_tlu_bus_clk_override(tlu_io_dec_tlu_bus_clk_override), .io_dec_tlu_pic_clk_override(tlu_io_dec_tlu_pic_clk_override), .io_dec_tlu_dccm_clk_override(tlu_io_dec_tlu_dccm_clk_override), .io_dec_tlu_icm_clk_override(tlu_io_dec_tlu_icm_clk_override), @@ -58971,6 +58981,7 @@ module dec( .io_tlu_busbuff_lsu_pmu_bus_error(tlu_io_tlu_busbuff_lsu_pmu_bus_error), .io_tlu_busbuff_lsu_pmu_bus_busy(tlu_io_tlu_busbuff_lsu_pmu_bus_busy), .io_tlu_busbuff_dec_tlu_external_ldfwd_disable(tlu_io_tlu_busbuff_dec_tlu_external_ldfwd_disable), + .io_tlu_busbuff_dec_tlu_wb_coalescing_disable(tlu_io_tlu_busbuff_dec_tlu_wb_coalescing_disable), .io_tlu_busbuff_dec_tlu_sideeffect_posted_disable(tlu_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable), .io_tlu_busbuff_lsu_imprecise_error_load_any(tlu_io_tlu_busbuff_lsu_imprecise_error_load_any), .io_tlu_busbuff_lsu_imprecise_error_store_any(tlu_io_tlu_busbuff_lsu_imprecise_error_store_any), @@ -59069,7 +59080,6 @@ module dec( assign io_rv_trace_pkt_rv_i_tval_ip = tlu_io_dec_tlu_mtval_wb1; // @[dec.scala 300:32] assign io_dec_tlu_misc_clk_override = tlu_io_dec_tlu_misc_clk_override; // @[dec.scala 284:35] assign io_dec_tlu_lsu_clk_override = tlu_io_dec_tlu_lsu_clk_override; // @[dec.scala 286:36] - assign io_dec_tlu_bus_clk_override = tlu_io_dec_tlu_bus_clk_override; // @[dec.scala 287:36] assign io_dec_tlu_pic_clk_override = tlu_io_dec_tlu_pic_clk_override; // @[dec.scala 288:36] assign io_dec_tlu_dccm_clk_override = tlu_io_dec_tlu_dccm_clk_override; // @[dec.scala 289:36] assign io_dec_tlu_icm_clk_override = tlu_io_dec_tlu_icm_clk_override; // @[dec.scala 290:36] @@ -59157,6 +59167,7 @@ module dec( assign io_dec_exu_gpr_exu_gpr_i0_rs1_d = gpr_io_gpr_exu_gpr_i0_rs1_d; // @[dec.scala 201:22] assign io_dec_exu_gpr_exu_gpr_i0_rs2_d = gpr_io_gpr_exu_gpr_i0_rs2_d; // @[dec.scala 201:22] assign io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable = tlu_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[dec.scala 222:26] + assign io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable = tlu_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[dec.scala 222:26] assign io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable = tlu_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[dec.scala 222:26] assign io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty = tlu_io_tlu_dma_dec_tlu_dma_qos_prty; // @[dec.scala 206:18] assign io_dec_pic_dec_tlu_meicurpl = tlu_io_dec_pic_dec_tlu_meicurpl; // @[dec.scala 224:14] @@ -59406,6 +59417,7 @@ module dbg( input io_sb_axi_aw_ready, output io_sb_axi_aw_valid, output [31:0] io_sb_axi_aw_bits_addr, + output [3:0] io_sb_axi_aw_bits_region, output [2:0] io_sb_axi_aw_bits_size, input io_sb_axi_w_ready, output io_sb_axi_w_valid, @@ -59417,6 +59429,7 @@ module dbg( input io_sb_axi_ar_ready, output io_sb_axi_ar_valid, output [31:0] io_sb_axi_ar_bits_addr, + output [3:0] io_sb_axi_ar_bits_region, output [2:0] io_sb_axi_ar_bits_size, output io_sb_axi_r_ready, input io_sb_axi_r_valid, @@ -59508,67 +59521,66 @@ module dbg( wire dbg_dm_rst_l = io_dbg_rst_l & _T_9; // @[dbg.scala 100:94] wire _T_11 = io_dbg_rst_l & _T_9; // @[dbg.scala 102:38] wire rst_temp = _T_11 & reset; // @[dbg.scala 102:71] - wire rst_not = ~_T_11; // @[dbg.scala 104:52] - wire _T_17 = ~dmcontrol_reg[1]; // @[dbg.scala 107:25] - wire _T_19 = io_dmi_reg_addr == 7'h38; // @[dbg.scala 108:36] - wire _T_20 = _T_19 & io_dmi_reg_en; // @[dbg.scala 108:49] - wire _T_21 = _T_20 & io_dmi_reg_wr_en; // @[dbg.scala 108:65] - wire _T_22 = sb_state == 4'h0; // @[dbg.scala 108:96] - wire sbcs_wren = _T_21 & _T_22; // @[dbg.scala 108:84] - wire _T_24 = sbcs_wren & io_dmi_reg_wdata[22]; // @[dbg.scala 109:42] - wire _T_26 = _T_5 & io_dmi_reg_en; // @[dbg.scala 109:102] - wire _T_27 = io_dmi_reg_addr == 7'h39; // @[dbg.scala 110:23] - wire _T_28 = io_dmi_reg_addr == 7'h3c; // @[dbg.scala 110:55] - wire _T_29 = _T_27 | _T_28; // @[dbg.scala 110:36] - wire _T_30 = io_dmi_reg_addr == 7'h3d; // @[dbg.scala 110:87] - wire _T_31 = _T_29 | _T_30; // @[dbg.scala 110:68] - wire _T_32 = _T_26 & _T_31; // @[dbg.scala 109:118] - wire sbcs_sbbusyerror_wren = _T_24 | _T_32; // @[dbg.scala 109:66] - wire sbcs_sbbusyerror_din = ~_T_24; // @[dbg.scala 112:31] + wire _T_15 = ~dmcontrol_reg[1]; // @[dbg.scala 105:25] + wire _T_17 = io_dmi_reg_addr == 7'h38; // @[dbg.scala 106:36] + wire _T_18 = _T_17 & io_dmi_reg_en; // @[dbg.scala 106:49] + wire _T_19 = _T_18 & io_dmi_reg_wr_en; // @[dbg.scala 106:65] + wire _T_20 = sb_state == 4'h0; // @[dbg.scala 106:96] + wire sbcs_wren = _T_19 & _T_20; // @[dbg.scala 106:84] + wire _T_22 = sbcs_wren & io_dmi_reg_wdata[22]; // @[dbg.scala 107:42] + wire _T_24 = _T_5 & io_dmi_reg_en; // @[dbg.scala 107:102] + wire _T_25 = io_dmi_reg_addr == 7'h39; // @[dbg.scala 108:23] + wire _T_26 = io_dmi_reg_addr == 7'h3c; // @[dbg.scala 108:55] + wire _T_27 = _T_25 | _T_26; // @[dbg.scala 108:36] + wire _T_28 = io_dmi_reg_addr == 7'h3d; // @[dbg.scala 108:87] + wire _T_29 = _T_27 | _T_28; // @[dbg.scala 108:68] + wire _T_30 = _T_24 & _T_29; // @[dbg.scala 107:118] + wire sbcs_sbbusyerror_wren = _T_22 | _T_30; // @[dbg.scala 107:66] + wire sbcs_sbbusyerror_din = ~_T_22; // @[dbg.scala 110:31] reg temp_sbcs_22; // @[Reg.scala 27:20] reg temp_sbcs_21; // @[Reg.scala 27:20] reg temp_sbcs_20; // @[Reg.scala 27:20] reg [4:0] temp_sbcs_19_15; // @[Reg.scala 27:20] reg [2:0] temp_sbcs_14_12; // @[Reg.scala 27:20] - wire [19:0] _T_40 = {temp_sbcs_19_15,temp_sbcs_14_12,12'h40f}; // @[Cat.scala 29:58] - wire [11:0] _T_44 = {9'h40,temp_sbcs_22,temp_sbcs_21,temp_sbcs_20}; // @[Cat.scala 29:58] - wire _T_47 = sbcs_reg[19:17] == 3'h1; // @[dbg.scala 134:42] - wire _T_49 = _T_47 & sbaddress0_reg[0]; // @[dbg.scala 134:61] - wire _T_51 = sbcs_reg[19:17] == 3'h2; // @[dbg.scala 135:23] - wire _T_53 = |sbaddress0_reg[1:0]; // @[dbg.scala 135:65] - wire _T_54 = _T_51 & _T_53; // @[dbg.scala 135:42] - wire _T_55 = _T_49 | _T_54; // @[dbg.scala 134:81] - wire _T_57 = sbcs_reg[19:17] == 3'h3; // @[dbg.scala 136:23] - wire _T_59 = |sbaddress0_reg[2:0]; // @[dbg.scala 136:65] - wire _T_60 = _T_57 & _T_59; // @[dbg.scala 136:42] - wire sbcs_unaligned = _T_55 | _T_60; // @[dbg.scala 135:69] - wire sbcs_illegal_size = sbcs_reg[19]; // @[dbg.scala 138:35] - wire _T_62 = sbcs_reg[19:17] == 3'h0; // @[dbg.scala 139:51] - wire [3:0] _T_64 = _T_62 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_65 = _T_64 & 4'h1; // @[dbg.scala 139:64] - wire [3:0] _T_69 = _T_47 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_70 = _T_69 & 4'h2; // @[dbg.scala 139:122] - wire [3:0] _T_71 = _T_65 | _T_70; // @[dbg.scala 139:81] - wire [3:0] _T_75 = _T_51 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_76 = _T_75 & 4'h4; // @[dbg.scala 140:44] - wire [3:0] _T_77 = _T_71 | _T_76; // @[dbg.scala 139:139] - wire [3:0] _T_81 = _T_57 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_82 = _T_81 & 4'h8; // @[dbg.scala 140:102] - wire [3:0] sbaddress0_incr = _T_77 | _T_82; // @[dbg.scala 140:61] - wire _T_83 = io_dmi_reg_en & io_dmi_reg_wr_en; // @[dbg.scala 142:41] - wire sbdata0_reg_wren0 = _T_83 & _T_28; // @[dbg.scala 142:60] - wire _T_85 = sb_state == 4'h7; // @[dbg.scala 143:37] - wire _T_86 = _T_85 & sb_state_en; // @[dbg.scala 143:60] - wire _T_87 = ~sbcs_sberror_wren; // @[dbg.scala 143:76] - wire sbdata0_reg_wren1 = _T_86 & _T_87; // @[dbg.scala 143:74] - wire sbdata1_reg_wren0 = _T_83 & _T_30; // @[dbg.scala 145:60] - wire [31:0] _T_94 = sbdata0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_95 = _T_94 & io_dmi_reg_wdata; // @[dbg.scala 148:49] - wire [31:0] _T_97 = sbdata0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_99 = _T_97 & sb_bus_rdata[31:0]; // @[dbg.scala 149:33] - wire [31:0] _T_101 = sbdata1_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_102 = _T_101 & io_dmi_reg_wdata; // @[dbg.scala 151:49] - wire [31:0] _T_106 = _T_97 & sb_bus_rdata[63:32]; // @[dbg.scala 152:33] + wire [19:0] _T_38 = {temp_sbcs_19_15,temp_sbcs_14_12,12'h40f}; // @[Cat.scala 29:58] + wire [11:0] _T_42 = {9'h40,temp_sbcs_22,temp_sbcs_21,temp_sbcs_20}; // @[Cat.scala 29:58] + wire _T_45 = sbcs_reg[19:17] == 3'h1; // @[dbg.scala 132:42] + wire _T_47 = _T_45 & sbaddress0_reg[0]; // @[dbg.scala 132:61] + wire _T_49 = sbcs_reg[19:17] == 3'h2; // @[dbg.scala 133:23] + wire _T_51 = |sbaddress0_reg[1:0]; // @[dbg.scala 133:65] + wire _T_52 = _T_49 & _T_51; // @[dbg.scala 133:42] + wire _T_53 = _T_47 | _T_52; // @[dbg.scala 132:81] + wire _T_55 = sbcs_reg[19:17] == 3'h3; // @[dbg.scala 134:23] + wire _T_57 = |sbaddress0_reg[2:0]; // @[dbg.scala 134:65] + wire _T_58 = _T_55 & _T_57; // @[dbg.scala 134:42] + wire sbcs_unaligned = _T_53 | _T_58; // @[dbg.scala 133:69] + wire sbcs_illegal_size = sbcs_reg[19]; // @[dbg.scala 136:35] + wire _T_60 = sbcs_reg[19:17] == 3'h0; // @[dbg.scala 137:51] + wire [3:0] _T_62 = _T_60 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_63 = _T_62 & 4'h1; // @[dbg.scala 137:64] + wire [3:0] _T_67 = _T_45 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_68 = _T_67 & 4'h2; // @[dbg.scala 137:122] + wire [3:0] _T_69 = _T_63 | _T_68; // @[dbg.scala 137:81] + wire [3:0] _T_73 = _T_49 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_74 = _T_73 & 4'h4; // @[dbg.scala 138:44] + wire [3:0] _T_75 = _T_69 | _T_74; // @[dbg.scala 137:139] + wire [3:0] _T_79 = _T_55 ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] + wire [3:0] _T_80 = _T_79 & 4'h8; // @[dbg.scala 138:102] + wire [3:0] sbaddress0_incr = _T_75 | _T_80; // @[dbg.scala 138:61] + wire _T_81 = io_dmi_reg_en & io_dmi_reg_wr_en; // @[dbg.scala 140:41] + wire sbdata0_reg_wren0 = _T_81 & _T_26; // @[dbg.scala 140:60] + wire _T_83 = sb_state == 4'h7; // @[dbg.scala 141:37] + wire _T_84 = _T_83 & sb_state_en; // @[dbg.scala 141:60] + wire _T_85 = ~sbcs_sberror_wren; // @[dbg.scala 141:76] + wire sbdata0_reg_wren1 = _T_84 & _T_85; // @[dbg.scala 141:74] + wire sbdata1_reg_wren0 = _T_81 & _T_28; // @[dbg.scala 143:60] + wire [31:0] _T_92 = sbdata0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_93 = _T_92 & io_dmi_reg_wdata; // @[dbg.scala 146:49] + wire [31:0] _T_95 = sbdata0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_97 = _T_95 & sb_bus_rdata[31:0]; // @[dbg.scala 147:33] + wire [31:0] _T_99 = sbdata1_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_100 = _T_99 & io_dmi_reg_wdata; // @[dbg.scala 149:49] + wire [31:0] _T_104 = _T_95 & sb_bus_rdata[63:32]; // @[dbg.scala 150:33] wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] wire rvclkhdr_2_io_en; // @[lib.scala 368:23] @@ -59579,382 +59591,382 @@ module dbg( wire rvclkhdr_3_io_en; // @[lib.scala 368:23] wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] reg [31:0] sbdata1_reg; // @[lib.scala 374:16] - wire sbaddress0_reg_wren0 = _T_83 & _T_27; // @[dbg.scala 162:63] - wire [31:0] _T_110 = sbaddress0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_111 = _T_110 & io_dmi_reg_wdata; // @[dbg.scala 164:59] - wire [31:0] _T_113 = sbaddress0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_114 = {28'h0,sbaddress0_incr}; // @[Cat.scala 29:58] - wire [31:0] _T_116 = sbaddress0_reg + _T_114; // @[dbg.scala 165:54] - wire [31:0] _T_117 = _T_113 & _T_116; // @[dbg.scala 165:36] + wire sbaddress0_reg_wren0 = _T_81 & _T_25; // @[dbg.scala 160:63] + wire [31:0] _T_108 = sbaddress0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_109 = _T_108 & io_dmi_reg_wdata; // @[dbg.scala 162:59] + wire [31:0] _T_111 = sbaddress0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_112 = {28'h0,sbaddress0_incr}; // @[Cat.scala 29:58] + wire [31:0] _T_114 = sbaddress0_reg + _T_112; // @[dbg.scala 163:54] + wire [31:0] _T_115 = _T_111 & _T_114; // @[dbg.scala 163:36] wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] wire rvclkhdr_4_io_en; // @[lib.scala 368:23] wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] - reg [31:0] _T_118; // @[lib.scala 374:16] - wire sbreadonaddr_access = sbaddress0_reg_wren0 & sbcs_reg[20]; // @[dbg.scala 170:94] - wire _T_123 = ~io_dmi_reg_wr_en; // @[dbg.scala 171:45] - wire _T_124 = io_dmi_reg_en & _T_123; // @[dbg.scala 171:43] - wire _T_126 = _T_124 & _T_28; // @[dbg.scala 171:63] - wire sbreadondata_access = _T_126 & sbcs_reg[15]; // @[dbg.scala 171:95] - wire _T_130 = io_dmi_reg_addr == 7'h10; // @[dbg.scala 173:41] - wire _T_131 = _T_130 & io_dmi_reg_en; // @[dbg.scala 173:54] - wire dmcontrol_wren = _T_131 & io_dmi_reg_wr_en; // @[dbg.scala 173:70] - wire [3:0] _T_136 = {io_dmi_reg_wdata[31:30],io_dmi_reg_wdata[28],io_dmi_reg_wdata[1]}; // @[Cat.scala 29:58] + reg [31:0] _T_116; // @[lib.scala 374:16] + wire sbreadonaddr_access = sbaddress0_reg_wren0 & sbcs_reg[20]; // @[dbg.scala 168:94] + wire _T_121 = ~io_dmi_reg_wr_en; // @[dbg.scala 169:45] + wire _T_122 = io_dmi_reg_en & _T_121; // @[dbg.scala 169:43] + wire _T_124 = _T_122 & _T_26; // @[dbg.scala 169:63] + wire sbreadondata_access = _T_124 & sbcs_reg[15]; // @[dbg.scala 169:95] + wire _T_128 = io_dmi_reg_addr == 7'h10; // @[dbg.scala 171:41] + wire _T_129 = _T_128 & io_dmi_reg_en; // @[dbg.scala 171:54] + wire dmcontrol_wren = _T_129 & io_dmi_reg_wr_en; // @[dbg.scala 171:70] + wire [3:0] _T_134 = {io_dmi_reg_wdata[31:30],io_dmi_reg_wdata[28],io_dmi_reg_wdata[1]}; // @[Cat.scala 29:58] reg [3:0] dm_temp; // @[Reg.scala 27:20] reg dm_temp_0; // @[Reg.scala 27:20] - wire [27:0] _T_143 = {26'h0,dm_temp[0],dm_temp_0}; // @[Cat.scala 29:58] - wire [3:0] _T_145 = {dm_temp[3:2],1'h0,dm_temp[1]}; // @[Cat.scala 29:58] - reg dmcontrol_wren_Q; // @[dbg.scala 188:12] - wire [1:0] _T_147 = dmstatus_havereset ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_149 = dmstatus_resumeack ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_151 = dmstatus_unavail ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_153 = dmstatus_running ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_155 = dmstatus_halted ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [11:0] _T_159 = {_T_153,_T_155,1'h1,7'h2}; // @[Cat.scala 29:58] - wire [19:0] _T_163 = {12'h0,_T_147,_T_149,2'h0,_T_151}; // @[Cat.scala 29:58] - wire _T_165 = dbg_state == 3'h6; // @[dbg.scala 193:44] - wire _T_166 = _T_165 & io_dec_tlu_resume_ack; // @[dbg.scala 193:66] - wire _T_168 = ~dmcontrol_reg[30]; // @[dbg.scala 193:113] - wire _T_169 = dmstatus_resumeack & _T_168; // @[dbg.scala 193:111] - wire dmstatus_resumeack_wren = _T_166 | _T_169; // @[dbg.scala 193:90] - wire _T_173 = _T_130 & io_dmi_reg_wdata[1]; // @[dbg.scala 195:63] - wire _T_174 = _T_173 & io_dmi_reg_en; // @[dbg.scala 195:85] - wire dmstatus_havereset_wren = _T_174 & io_dmi_reg_wr_en; // @[dbg.scala 195:101] - wire _T_177 = _T_130 & io_dmi_reg_wdata[28]; // @[dbg.scala 196:62] - wire _T_178 = _T_177 & io_dmi_reg_en; // @[dbg.scala 196:85] - wire dmstatus_havereset_rst = _T_178 & io_dmi_reg_wr_en; // @[dbg.scala 196:101] - wire _T_180 = ~reset; // @[dbg.scala 198:43] - wire _T_183 = dmstatus_unavail | dmstatus_halted; // @[dbg.scala 199:42] - reg _T_185; // @[Reg.scala 27:20] - wire _T_186 = ~io_dec_tlu_mpc_halted_only; // @[dbg.scala 205:37] - reg _T_188; // @[dbg.scala 205:12] - wire _T_189 = dmstatus_havereset_wren | dmstatus_havereset; // @[dbg.scala 209:16] - wire _T_190 = ~dmstatus_havereset_rst; // @[dbg.scala 209:72] - reg _T_192; // @[dbg.scala 209:12] + wire [27:0] _T_141 = {26'h0,dm_temp[0],dm_temp_0}; // @[Cat.scala 29:58] + wire [3:0] _T_143 = {dm_temp[3:2],1'h0,dm_temp[1]}; // @[Cat.scala 29:58] + reg dmcontrol_wren_Q; // @[dbg.scala 186:12] + wire [1:0] _T_145 = dmstatus_havereset ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_147 = dmstatus_resumeack ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_149 = dmstatus_unavail ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_151 = dmstatus_running ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [1:0] _T_153 = dmstatus_halted ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] + wire [11:0] _T_157 = {_T_151,_T_153,1'h1,7'h2}; // @[Cat.scala 29:58] + wire [19:0] _T_161 = {12'h0,_T_145,_T_147,2'h0,_T_149}; // @[Cat.scala 29:58] + wire _T_163 = dbg_state == 3'h6; // @[dbg.scala 191:44] + wire _T_164 = _T_163 & io_dec_tlu_resume_ack; // @[dbg.scala 191:66] + wire _T_166 = ~dmcontrol_reg[30]; // @[dbg.scala 191:113] + wire _T_167 = dmstatus_resumeack & _T_166; // @[dbg.scala 191:111] + wire dmstatus_resumeack_wren = _T_164 | _T_167; // @[dbg.scala 191:90] + wire _T_171 = _T_128 & io_dmi_reg_wdata[1]; // @[dbg.scala 193:63] + wire _T_172 = _T_171 & io_dmi_reg_en; // @[dbg.scala 193:85] + wire dmstatus_havereset_wren = _T_172 & io_dmi_reg_wr_en; // @[dbg.scala 193:101] + wire _T_175 = _T_128 & io_dmi_reg_wdata[28]; // @[dbg.scala 194:62] + wire _T_176 = _T_175 & io_dmi_reg_en; // @[dbg.scala 194:85] + wire dmstatus_havereset_rst = _T_176 & io_dmi_reg_wr_en; // @[dbg.scala 194:101] + wire _T_178 = ~reset; // @[dbg.scala 196:43] + wire _T_181 = dmstatus_unavail | dmstatus_halted; // @[dbg.scala 197:42] + reg _T_183; // @[Reg.scala 27:20] + wire _T_184 = ~io_dec_tlu_mpc_halted_only; // @[dbg.scala 203:37] + reg _T_186; // @[dbg.scala 203:12] + wire _T_187 = dmstatus_havereset_wren | dmstatus_havereset; // @[dbg.scala 207:16] + wire _T_188 = ~dmstatus_havereset_rst; // @[dbg.scala 207:72] + reg _T_190; // @[dbg.scala 207:12] wire [31:0] haltsum0_reg = {31'h0,dmstatus_halted}; // @[Cat.scala 29:58] wire [31:0] abstractcs_reg; - wire _T_194 = abstractcs_reg[12] & io_dmi_reg_en; // @[dbg.scala 215:50] - wire _T_195 = io_dmi_reg_addr == 7'h16; // @[dbg.scala 215:106] - wire _T_196 = io_dmi_reg_addr == 7'h17; // @[dbg.scala 215:138] - wire _T_197 = _T_195 | _T_196; // @[dbg.scala 215:119] - wire _T_198 = io_dmi_reg_wr_en & _T_197; // @[dbg.scala 215:86] - wire _T_199 = io_dmi_reg_addr == 7'h4; // @[dbg.scala 215:171] - wire _T_200 = _T_198 | _T_199; // @[dbg.scala 215:152] - wire abstractcs_error_sel0 = _T_194 & _T_200; // @[dbg.scala 215:66] - wire _T_203 = _T_83 & _T_196; // @[dbg.scala 216:64] - wire _T_205 = io_dmi_reg_wdata[31:24] == 8'h0; // @[dbg.scala 216:126] - wire _T_207 = io_dmi_reg_wdata[31:24] == 8'h2; // @[dbg.scala 216:163] - wire _T_208 = _T_205 | _T_207; // @[dbg.scala 216:135] - wire _T_209 = ~_T_208; // @[dbg.scala 216:98] - wire abstractcs_error_sel1 = _T_203 & _T_209; // @[dbg.scala 216:96] - wire abstractcs_error_sel2 = io_core_dbg_cmd_done & io_core_dbg_cmd_fail; // @[dbg.scala 217:52] - wire _T_214 = ~dmstatus_reg[9]; // @[dbg.scala 218:98] - wire abstractcs_error_sel3 = _T_203 & _T_214; // @[dbg.scala 218:96] - wire _T_216 = _T_196 & io_dmi_reg_en; // @[dbg.scala 219:61] - wire _T_217 = _T_216 & io_dmi_reg_wr_en; // @[dbg.scala 219:77] - wire _T_219 = io_dmi_reg_wdata[22:20] != 3'h2; // @[dbg.scala 220:32] - wire _T_223 = |data1_reg[1:0]; // @[dbg.scala 220:111] - wire _T_224 = _T_207 & _T_223; // @[dbg.scala 220:92] - wire _T_225 = _T_219 | _T_224; // @[dbg.scala 220:51] - wire abstractcs_error_sel4 = _T_217 & _T_225; // @[dbg.scala 219:96] - wire _T_227 = _T_195 & io_dmi_reg_en; // @[dbg.scala 222:61] - wire abstractcs_error_sel5 = _T_227 & io_dmi_reg_wr_en; // @[dbg.scala 222:77] - wire _T_228 = abstractcs_error_sel0 | abstractcs_error_sel1; // @[dbg.scala 223:54] - wire _T_229 = _T_228 | abstractcs_error_sel2; // @[dbg.scala 223:78] - wire _T_230 = _T_229 | abstractcs_error_sel3; // @[dbg.scala 223:102] - wire _T_231 = _T_230 | abstractcs_error_sel4; // @[dbg.scala 223:126] - wire abstractcs_error_selor = _T_231 | abstractcs_error_sel5; // @[dbg.scala 223:150] - wire [2:0] _T_233 = abstractcs_error_sel0 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_234 = _T_233 & 3'h1; // @[dbg.scala 224:62] - wire [2:0] _T_236 = abstractcs_error_sel1 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_237 = _T_236 & 3'h2; // @[dbg.scala 225:37] - wire [2:0] _T_238 = _T_234 | _T_237; // @[dbg.scala 224:79] - wire [2:0] _T_240 = abstractcs_error_sel2 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_241 = _T_240 & 3'h3; // @[dbg.scala 226:37] - wire [2:0] _T_242 = _T_238 | _T_241; // @[dbg.scala 225:54] - wire [2:0] _T_244 = abstractcs_error_sel3 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_245 = _T_244 & 3'h4; // @[dbg.scala 227:37] - wire [2:0] _T_246 = _T_242 | _T_245; // @[dbg.scala 226:54] - wire [2:0] _T_248 = abstractcs_error_sel4 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_250 = _T_246 | _T_248; // @[dbg.scala 227:54] - wire [2:0] _T_252 = abstractcs_error_sel5 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_254 = ~io_dmi_reg_wdata[10:8]; // @[dbg.scala 229:40] - wire [2:0] _T_255 = _T_252 & _T_254; // @[dbg.scala 229:37] - wire [2:0] _T_257 = _T_255 & abstractcs_reg[10:8]; // @[dbg.scala 229:75] - wire [2:0] _T_258 = _T_250 | _T_257; // @[dbg.scala 228:54] - wire _T_259 = ~abstractcs_error_selor; // @[dbg.scala 230:15] - wire [2:0] _T_261 = _T_259 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_263 = _T_261 & abstractcs_reg[10:8]; // @[dbg.scala 230:50] + wire _T_192 = abstractcs_reg[12] & io_dmi_reg_en; // @[dbg.scala 213:50] + wire _T_193 = io_dmi_reg_addr == 7'h16; // @[dbg.scala 213:106] + wire _T_194 = io_dmi_reg_addr == 7'h17; // @[dbg.scala 213:138] + wire _T_195 = _T_193 | _T_194; // @[dbg.scala 213:119] + wire _T_196 = io_dmi_reg_wr_en & _T_195; // @[dbg.scala 213:86] + wire _T_197 = io_dmi_reg_addr == 7'h4; // @[dbg.scala 213:171] + wire _T_198 = _T_196 | _T_197; // @[dbg.scala 213:152] + wire abstractcs_error_sel0 = _T_192 & _T_198; // @[dbg.scala 213:66] + wire _T_201 = _T_81 & _T_194; // @[dbg.scala 214:64] + wire _T_203 = io_dmi_reg_wdata[31:24] == 8'h0; // @[dbg.scala 214:126] + wire _T_205 = io_dmi_reg_wdata[31:24] == 8'h2; // @[dbg.scala 214:163] + wire _T_206 = _T_203 | _T_205; // @[dbg.scala 214:135] + wire _T_207 = ~_T_206; // @[dbg.scala 214:98] + wire abstractcs_error_sel1 = _T_201 & _T_207; // @[dbg.scala 214:96] + wire abstractcs_error_sel2 = io_core_dbg_cmd_done & io_core_dbg_cmd_fail; // @[dbg.scala 215:52] + wire _T_212 = ~dmstatus_reg[9]; // @[dbg.scala 216:98] + wire abstractcs_error_sel3 = _T_201 & _T_212; // @[dbg.scala 216:96] + wire _T_214 = _T_194 & io_dmi_reg_en; // @[dbg.scala 217:61] + wire _T_215 = _T_214 & io_dmi_reg_wr_en; // @[dbg.scala 217:77] + wire _T_217 = io_dmi_reg_wdata[22:20] != 3'h2; // @[dbg.scala 218:32] + wire _T_221 = |data1_reg[1:0]; // @[dbg.scala 218:111] + wire _T_222 = _T_205 & _T_221; // @[dbg.scala 218:92] + wire _T_223 = _T_217 | _T_222; // @[dbg.scala 218:51] + wire abstractcs_error_sel4 = _T_215 & _T_223; // @[dbg.scala 217:96] + wire _T_225 = _T_193 & io_dmi_reg_en; // @[dbg.scala 220:61] + wire abstractcs_error_sel5 = _T_225 & io_dmi_reg_wr_en; // @[dbg.scala 220:77] + wire _T_226 = abstractcs_error_sel0 | abstractcs_error_sel1; // @[dbg.scala 221:54] + wire _T_227 = _T_226 | abstractcs_error_sel2; // @[dbg.scala 221:78] + wire _T_228 = _T_227 | abstractcs_error_sel3; // @[dbg.scala 221:102] + wire _T_229 = _T_228 | abstractcs_error_sel4; // @[dbg.scala 221:126] + wire abstractcs_error_selor = _T_229 | abstractcs_error_sel5; // @[dbg.scala 221:150] + wire [2:0] _T_231 = abstractcs_error_sel0 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_232 = _T_231 & 3'h1; // @[dbg.scala 222:62] + wire [2:0] _T_234 = abstractcs_error_sel1 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_235 = _T_234 & 3'h2; // @[dbg.scala 223:37] + wire [2:0] _T_236 = _T_232 | _T_235; // @[dbg.scala 222:79] + wire [2:0] _T_238 = abstractcs_error_sel2 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_239 = _T_238 & 3'h3; // @[dbg.scala 224:37] + wire [2:0] _T_240 = _T_236 | _T_239; // @[dbg.scala 223:54] + wire [2:0] _T_242 = abstractcs_error_sel3 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_243 = _T_242 & 3'h4; // @[dbg.scala 225:37] + wire [2:0] _T_244 = _T_240 | _T_243; // @[dbg.scala 224:54] + wire [2:0] _T_246 = abstractcs_error_sel4 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_248 = _T_244 | _T_246; // @[dbg.scala 225:54] + wire [2:0] _T_250 = abstractcs_error_sel5 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_252 = ~io_dmi_reg_wdata[10:8]; // @[dbg.scala 227:40] + wire [2:0] _T_253 = _T_250 & _T_252; // @[dbg.scala 227:37] + wire [2:0] _T_255 = _T_253 & abstractcs_reg[10:8]; // @[dbg.scala 227:75] + wire [2:0] _T_256 = _T_248 | _T_255; // @[dbg.scala 226:54] + wire _T_257 = ~abstractcs_error_selor; // @[dbg.scala 228:15] + wire [2:0] _T_259 = _T_257 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_261 = _T_259 & abstractcs_reg[10:8]; // @[dbg.scala 228:50] reg abs_temp_12; // @[Reg.scala 27:20] - reg [2:0] abs_temp_10_8; // @[dbg.scala 237:12] - wire [10:0] _T_265 = {abs_temp_10_8,8'h2}; // @[Cat.scala 29:58] - wire [20:0] _T_267 = {19'h0,abs_temp_12,1'h0}; // @[Cat.scala 29:58] - wire _T_272 = dbg_state == 3'h2; // @[dbg.scala 242:100] - wire command_wren = _T_217 & _T_272; // @[dbg.scala 242:87] - wire [19:0] _T_276 = {3'h0,io_dmi_reg_wdata[16:0]}; // @[Cat.scala 29:58] - wire [11:0] _T_278 = {io_dmi_reg_wdata[31:24],1'h0,io_dmi_reg_wdata[22:20]}; // @[Cat.scala 29:58] + reg [2:0] abs_temp_10_8; // @[dbg.scala 235:12] + wire [10:0] _T_263 = {abs_temp_10_8,8'h2}; // @[Cat.scala 29:58] + wire [20:0] _T_265 = {19'h0,abs_temp_12,1'h0}; // @[Cat.scala 29:58] + wire _T_270 = dbg_state == 3'h2; // @[dbg.scala 240:100] + wire command_wren = _T_215 & _T_270; // @[dbg.scala 240:87] + wire [19:0] _T_274 = {3'h0,io_dmi_reg_wdata[16:0]}; // @[Cat.scala 29:58] + wire [11:0] _T_276 = {io_dmi_reg_wdata[31:24],1'h0,io_dmi_reg_wdata[22:20]}; // @[Cat.scala 29:58] wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] wire rvclkhdr_5_io_en; // @[lib.scala 368:23] wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] reg [31:0] command_reg; // @[lib.scala 374:16] - wire _T_281 = _T_83 & _T_199; // @[dbg.scala 248:58] - wire data0_reg_wren0 = _T_281 & _T_272; // @[dbg.scala 248:89] - wire _T_283 = dbg_state == 3'h4; // @[dbg.scala 249:59] - wire _T_284 = io_core_dbg_cmd_done & _T_283; // @[dbg.scala 249:46] - wire _T_286 = ~command_reg[16]; // @[dbg.scala 249:83] - wire data0_reg_wren1 = _T_284 & _T_286; // @[dbg.scala 249:81] - wire [31:0] _T_288 = data0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_289 = _T_288 & io_dmi_reg_wdata; // @[dbg.scala 252:45] - wire [31:0] _T_291 = data0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_292 = _T_291 & io_core_dbg_rddata; // @[dbg.scala 252:92] + wire _T_279 = _T_81 & _T_197; // @[dbg.scala 246:58] + wire data0_reg_wren0 = _T_279 & _T_270; // @[dbg.scala 246:89] + wire _T_281 = dbg_state == 3'h4; // @[dbg.scala 247:59] + wire _T_282 = io_core_dbg_cmd_done & _T_281; // @[dbg.scala 247:46] + wire _T_284 = ~command_reg[16]; // @[dbg.scala 247:83] + wire data0_reg_wren1 = _T_282 & _T_284; // @[dbg.scala 247:81] + wire [31:0] _T_286 = data0_reg_wren0 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_287 = _T_286 & io_dmi_reg_wdata; // @[dbg.scala 250:45] + wire [31:0] _T_289 = data0_reg_wren1 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_290 = _T_289 & io_core_dbg_rddata; // @[dbg.scala 250:92] wire rvclkhdr_6_io_l1clk; // @[lib.scala 368:23] wire rvclkhdr_6_io_clk; // @[lib.scala 368:23] wire rvclkhdr_6_io_en; // @[lib.scala 368:23] wire rvclkhdr_6_io_scan_mode; // @[lib.scala 368:23] reg [31:0] data0_reg; // @[lib.scala 374:16] - wire _T_294 = io_dmi_reg_addr == 7'h5; // @[dbg.scala 257:77] - wire _T_295 = _T_83 & _T_294; // @[dbg.scala 257:58] - wire data1_reg_wren = _T_295 & _T_272; // @[dbg.scala 257:89] - wire [31:0] _T_298 = data1_reg_wren ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire _T_292 = io_dmi_reg_addr == 7'h5; // @[dbg.scala 255:77] + wire _T_293 = _T_81 & _T_292; // @[dbg.scala 255:58] + wire data1_reg_wren = _T_293 & _T_270; // @[dbg.scala 255:89] + wire [31:0] _T_296 = data1_reg_wren ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] wire rvclkhdr_7_io_l1clk; // @[lib.scala 368:23] wire rvclkhdr_7_io_clk; // @[lib.scala 368:23] wire rvclkhdr_7_io_en; // @[lib.scala 368:23] wire rvclkhdr_7_io_scan_mode; // @[lib.scala 368:23] - reg [31:0] _T_299; // @[lib.scala 374:16] + reg [31:0] _T_297; // @[lib.scala 374:16] wire [2:0] dbg_nxtstate; - wire _T_300 = 3'h0 == dbg_state; // @[Conditional.scala 37:30] - wire _T_302 = dmstatus_reg[9] | io_dec_tlu_mpc_halted_only; // @[dbg.scala 272:43] - wire [2:0] _T_303 = _T_302 ? 3'h2 : 3'h1; // @[dbg.scala 272:26] - wire _T_305 = ~io_dec_tlu_debug_mode; // @[dbg.scala 273:45] - wire _T_306 = dmcontrol_reg[31] & _T_305; // @[dbg.scala 273:43] - wire _T_308 = _T_306 | dmstatus_reg[9]; // @[dbg.scala 273:69] - wire _T_309 = _T_308 | io_dec_tlu_mpc_halted_only; // @[dbg.scala 273:87] - wire _T_312 = _T_309 & _T_17; // @[dbg.scala 273:117] - wire _T_316 = dmcontrol_reg[31] & _T_17; // @[dbg.scala 274:45] - wire _T_318 = 3'h1 == dbg_state; // @[Conditional.scala 37:30] - wire [2:0] _T_320 = dmcontrol_reg[1] ? 3'h0 : 3'h2; // @[dbg.scala 277:26] - wire _T_323 = dmstatus_reg[9] | dmcontrol_reg[1]; // @[dbg.scala 278:39] - wire _T_325 = dmcontrol_wren_Q & dmcontrol_reg[31]; // @[dbg.scala 279:44] - wire _T_328 = _T_325 & _T_17; // @[dbg.scala 279:64] - wire _T_330 = 3'h2 == dbg_state; // @[Conditional.scala 37:30] - wire _T_334 = dmstatus_reg[9] & _T_17; // @[dbg.scala 282:43] - wire _T_337 = ~dmcontrol_reg[31]; // @[dbg.scala 283:33] - wire _T_338 = dmcontrol_reg[30] & _T_337; // @[dbg.scala 283:31] - wire [2:0] _T_339 = _T_338 ? 3'h6 : 3'h3; // @[dbg.scala 283:12] - wire [2:0] _T_341 = dmcontrol_reg[31] ? 3'h1 : 3'h0; // @[dbg.scala 284:12] - wire [2:0] _T_342 = _T_334 ? _T_339 : _T_341; // @[dbg.scala 282:26] - wire _T_345 = dmstatus_reg[9] & dmcontrol_reg[30]; // @[dbg.scala 285:39] - wire _T_348 = _T_345 & _T_337; // @[dbg.scala 285:59] - wire _T_349 = _T_348 & dmcontrol_wren_Q; // @[dbg.scala 285:80] - wire _T_350 = _T_349 | command_wren; // @[dbg.scala 285:99] - wire _T_352 = _T_350 | dmcontrol_reg[1]; // @[dbg.scala 285:114] - wire _T_355 = ~_T_302; // @[dbg.scala 286:28] - wire _T_356 = _T_352 | _T_355; // @[dbg.scala 286:26] - wire _T_357 = dbg_nxtstate == 3'h3; // @[dbg.scala 287:60] - wire _T_358 = dbg_state_en & _T_357; // @[dbg.scala 287:44] - wire _T_359 = dbg_nxtstate == 3'h6; // @[dbg.scala 289:58] - wire _T_360 = dbg_state_en & _T_359; // @[dbg.scala 289:42] - wire _T_368 = 3'h3 == dbg_state; // @[Conditional.scala 37:30] - wire _T_371 = |abstractcs_reg[10:8]; // @[dbg.scala 293:85] - wire [2:0] _T_372 = _T_371 ? 3'h5 : 3'h4; // @[dbg.scala 293:62] - wire [2:0] _T_373 = dmcontrol_reg[1] ? 3'h0 : _T_372; // @[dbg.scala 293:26] - wire _T_376 = io_dbg_dec_dbg_ib_dbg_cmd_valid | _T_371; // @[dbg.scala 294:55] - wire _T_378 = _T_376 | dmcontrol_reg[1]; // @[dbg.scala 294:83] - wire _T_385 = 3'h4 == dbg_state; // @[Conditional.scala 37:30] - wire [2:0] _T_387 = dmcontrol_reg[1] ? 3'h0 : 3'h5; // @[dbg.scala 298:26] - wire _T_389 = io_core_dbg_cmd_done | dmcontrol_reg[1]; // @[dbg.scala 299:44] - wire _T_396 = 3'h5 == dbg_state; // @[Conditional.scala 37:30] - wire _T_405 = 3'h6 == dbg_state; // @[Conditional.scala 37:30] - wire _T_408 = dmstatus_reg[17] | dmcontrol_reg[1]; // @[dbg.scala 311:40] - wire _GEN_10 = _T_405 & _T_408; // @[Conditional.scala 39:67] - wire _GEN_11 = _T_405 & _T_328; // @[Conditional.scala 39:67] - wire [2:0] _GEN_12 = _T_396 ? _T_320 : 3'h0; // @[Conditional.scala 39:67] - wire _GEN_13 = _T_396 | _GEN_10; // @[Conditional.scala 39:67] - wire _GEN_14 = _T_396 & dbg_state_en; // @[Conditional.scala 39:67] - wire _GEN_16 = _T_396 ? _T_328 : _GEN_11; // @[Conditional.scala 39:67] - wire [2:0] _GEN_17 = _T_385 ? _T_387 : _GEN_12; // @[Conditional.scala 39:67] - wire _GEN_18 = _T_385 ? _T_389 : _GEN_13; // @[Conditional.scala 39:67] - wire _GEN_19 = _T_385 ? _T_328 : _GEN_16; // @[Conditional.scala 39:67] - wire _GEN_20 = _T_385 ? 1'h0 : _GEN_14; // @[Conditional.scala 39:67] - wire [2:0] _GEN_22 = _T_368 ? _T_373 : _GEN_17; // @[Conditional.scala 39:67] - wire _GEN_23 = _T_368 ? _T_378 : _GEN_18; // @[Conditional.scala 39:67] - wire _GEN_24 = _T_368 ? _T_328 : _GEN_19; // @[Conditional.scala 39:67] - wire _GEN_25 = _T_368 ? 1'h0 : _GEN_20; // @[Conditional.scala 39:67] - wire [2:0] _GEN_27 = _T_330 ? _T_342 : _GEN_22; // @[Conditional.scala 39:67] - wire _GEN_28 = _T_330 ? _T_356 : _GEN_23; // @[Conditional.scala 39:67] - wire _GEN_29 = _T_330 ? _T_358 : _GEN_25; // @[Conditional.scala 39:67] - wire _GEN_31 = _T_330 & _T_360; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_330 ? _T_328 : _GEN_24; // @[Conditional.scala 39:67] - wire [2:0] _GEN_33 = _T_318 ? _T_320 : _GEN_27; // @[Conditional.scala 39:67] - wire _GEN_34 = _T_318 ? _T_323 : _GEN_28; // @[Conditional.scala 39:67] - wire _GEN_35 = _T_318 ? _T_328 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_36 = _T_318 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_318 ? 1'h0 : _GEN_31; // @[Conditional.scala 39:67] - wire [31:0] _T_417 = _T_199 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_418 = _T_417 & data0_reg; // @[dbg.scala 315:71] - wire [31:0] _T_421 = _T_294 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_422 = _T_421 & data1_reg; // @[dbg.scala 315:122] - wire [31:0] _T_423 = _T_418 | _T_422; // @[dbg.scala 315:83] - wire [31:0] _T_426 = _T_130 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_427 = _T_426 & dmcontrol_reg; // @[dbg.scala 316:43] - wire [31:0] _T_428 = _T_423 | _T_427; // @[dbg.scala 315:134] - wire _T_429 = io_dmi_reg_addr == 7'h11; // @[dbg.scala 316:86] - wire [31:0] _T_431 = _T_429 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_432 = _T_431 & dmstatus_reg; // @[dbg.scala 316:99] - wire [31:0] _T_433 = _T_428 | _T_432; // @[dbg.scala 316:59] - wire [31:0] _T_436 = _T_195 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_437 = _T_436 & abstractcs_reg; // @[dbg.scala 317:43] - wire [31:0] _T_438 = _T_433 | _T_437; // @[dbg.scala 316:114] - wire [31:0] _T_441 = _T_196 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_442 = _T_441 & command_reg; // @[dbg.scala 317:100] - wire [31:0] _T_443 = _T_438 | _T_442; // @[dbg.scala 317:60] - wire _T_444 = io_dmi_reg_addr == 7'h40; // @[dbg.scala 318:30] - wire [31:0] _T_446 = _T_444 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_447 = _T_446 & haltsum0_reg; // @[dbg.scala 318:43] - wire [31:0] _T_448 = _T_443 | _T_447; // @[dbg.scala 317:114] - wire [31:0] _T_451 = _T_19 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_452 = _T_451 & sbcs_reg; // @[dbg.scala 318:98] - wire [31:0] _T_453 = _T_448 | _T_452; // @[dbg.scala 318:58] - wire [31:0] _T_456 = _T_27 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_457 = _T_456 & sbaddress0_reg; // @[dbg.scala 319:43] - wire [31:0] _T_458 = _T_453 | _T_457; // @[dbg.scala 318:109] - wire [31:0] _T_461 = _T_28 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_462 = _T_461 & sbdata0_reg; // @[dbg.scala 319:100] - wire [31:0] _T_463 = _T_458 | _T_462; // @[dbg.scala 319:60] - wire [31:0] _T_466 = _T_30 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] - wire [31:0] _T_467 = _T_466 & sbdata1_reg; // @[dbg.scala 320:43] - wire [31:0] dmi_reg_rdata_din = _T_463 | _T_467; // @[dbg.scala 319:114] - reg [2:0] _T_468; // @[Reg.scala 27:20] - reg [31:0] _T_469; // @[Reg.scala 27:20] - wire _T_471 = command_reg[31:24] == 8'h2; // @[dbg.scala 331:62] - wire [31:0] _T_473 = {data1_reg[31:2],2'h0}; // @[Cat.scala 29:58] - wire [31:0] _T_475 = {20'h0,command_reg[11:0]}; // @[Cat.scala 29:58] - wire _T_478 = dbg_state == 3'h3; // @[dbg.scala 333:50] - wire _T_481 = ~_T_371; // @[dbg.scala 333:75] - wire _T_482 = _T_478 & _T_481; // @[dbg.scala 333:73] - wire _T_490 = command_reg[15:12] == 4'h0; // @[dbg.scala 335:122] - wire [1:0] _T_491 = {1'h0,_T_490}; // @[Cat.scala 29:58] - wire _T_502 = 4'h0 == sb_state; // @[Conditional.scala 37:30] - wire _T_504 = sbdata0_reg_wren0 | sbreadondata_access; // @[dbg.scala 350:39] - wire _T_505 = _T_504 | sbreadonaddr_access; // @[dbg.scala 350:61] - wire _T_507 = |io_dmi_reg_wdata[14:12]; // @[dbg.scala 353:65] - wire _T_508 = sbcs_wren & _T_507; // @[dbg.scala 353:38] - wire [2:0] _T_510 = ~io_dmi_reg_wdata[14:12]; // @[dbg.scala 354:27] - wire [2:0] _T_512 = _T_510 & sbcs_reg[14:12]; // @[dbg.scala 354:53] - wire _T_513 = 4'h1 == sb_state; // @[Conditional.scala 37:30] - wire _T_514 = sbcs_unaligned | sbcs_illegal_size; // @[dbg.scala 357:41] - wire _T_516 = io_dbg_bus_clk_en | sbcs_unaligned; // @[dbg.scala 358:40] - wire _T_517 = _T_516 | sbcs_illegal_size; // @[dbg.scala 358:57] - wire _T_520 = 4'h2 == sb_state; // @[Conditional.scala 37:30] - wire _T_527 = 4'h3 == sb_state; // @[Conditional.scala 37:30] - wire _T_528 = sb_bus_cmd_read & io_dbg_bus_clk_en; // @[dbg.scala 370:38] - wire _T_529 = 4'h4 == sb_state; // @[Conditional.scala 37:30] - wire _T_530 = sb_bus_cmd_write_addr & sb_bus_cmd_write_data; // @[dbg.scala 373:48] - wire _T_533 = sb_bus_cmd_write_addr | sb_bus_cmd_write_data; // @[dbg.scala 374:45] - wire _T_534 = _T_533 & io_dbg_bus_clk_en; // @[dbg.scala 374:70] - wire _T_535 = 4'h5 == sb_state; // @[Conditional.scala 37:30] - wire _T_536 = sb_bus_cmd_write_addr & io_dbg_bus_clk_en; // @[dbg.scala 378:44] - wire _T_537 = 4'h6 == sb_state; // @[Conditional.scala 37:30] - wire _T_538 = sb_bus_cmd_write_data & io_dbg_bus_clk_en; // @[dbg.scala 382:44] - wire _T_539 = 4'h7 == sb_state; // @[Conditional.scala 37:30] - wire _T_540 = sb_bus_rsp_read & io_dbg_bus_clk_en; // @[dbg.scala 386:38] - wire _T_541 = sb_state_en & sb_bus_rsp_error; // @[dbg.scala 387:40] - wire _T_542 = 4'h8 == sb_state; // @[Conditional.scala 37:30] - wire _T_543 = sb_bus_rsp_write & io_dbg_bus_clk_en; // @[dbg.scala 392:39] - wire _T_545 = 4'h9 == sb_state; // @[Conditional.scala 37:30] - wire _GEN_50 = _T_545 & sbcs_reg[16]; // @[Conditional.scala 39:67] - wire _GEN_52 = _T_542 ? _T_543 : _T_545; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_542 & _T_541; // @[Conditional.scala 39:67] - wire _GEN_55 = _T_542 ? 1'h0 : _T_545; // @[Conditional.scala 39:67] - wire _GEN_57 = _T_542 ? 1'h0 : _GEN_50; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_539 ? _T_540 : _GEN_52; // @[Conditional.scala 39:67] - wire _GEN_60 = _T_539 ? _T_541 : _GEN_53; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_539 ? 1'h0 : _GEN_55; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_539 ? 1'h0 : _GEN_57; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_537 ? _T_538 : _GEN_59; // @[Conditional.scala 39:67] - wire _GEN_67 = _T_537 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] - wire _GEN_69 = _T_537 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] - wire _GEN_71 = _T_537 ? 1'h0 : _GEN_64; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_535 ? _T_536 : _GEN_66; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_535 ? 1'h0 : _GEN_67; // @[Conditional.scala 39:67] - wire _GEN_76 = _T_535 ? 1'h0 : _GEN_69; // @[Conditional.scala 39:67] - wire _GEN_78 = _T_535 ? 1'h0 : _GEN_71; // @[Conditional.scala 39:67] - wire _GEN_80 = _T_529 ? _T_534 : _GEN_73; // @[Conditional.scala 39:67] - wire _GEN_81 = _T_529 ? 1'h0 : _GEN_74; // @[Conditional.scala 39:67] - wire _GEN_83 = _T_529 ? 1'h0 : _GEN_76; // @[Conditional.scala 39:67] - wire _GEN_85 = _T_529 ? 1'h0 : _GEN_78; // @[Conditional.scala 39:67] - wire _GEN_87 = _T_527 ? _T_528 : _GEN_80; // @[Conditional.scala 39:67] - wire _GEN_88 = _T_527 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] - wire _GEN_90 = _T_527 ? 1'h0 : _GEN_83; // @[Conditional.scala 39:67] - wire _GEN_92 = _T_527 ? 1'h0 : _GEN_85; // @[Conditional.scala 39:67] - wire _GEN_94 = _T_520 ? _T_517 : _GEN_87; // @[Conditional.scala 39:67] - wire _GEN_95 = _T_520 ? _T_514 : _GEN_88; // @[Conditional.scala 39:67] - wire _GEN_97 = _T_520 ? 1'h0 : _GEN_90; // @[Conditional.scala 39:67] - wire _GEN_99 = _T_520 ? 1'h0 : _GEN_92; // @[Conditional.scala 39:67] - wire _GEN_101 = _T_513 ? _T_517 : _GEN_94; // @[Conditional.scala 39:67] - wire _GEN_102 = _T_513 ? _T_514 : _GEN_95; // @[Conditional.scala 39:67] - wire _GEN_104 = _T_513 ? 1'h0 : _GEN_97; // @[Conditional.scala 39:67] - wire _GEN_106 = _T_513 ? 1'h0 : _GEN_99; // @[Conditional.scala 39:67] - reg [3:0] _T_547; // @[Reg.scala 27:20] - wire _T_554 = |io_sb_axi_r_bits_resp; // @[dbg.scala 413:69] - wire _T_555 = sb_bus_rsp_read & _T_554; // @[dbg.scala 413:39] - wire _T_557 = |io_sb_axi_b_bits_resp; // @[dbg.scala 413:122] - wire _T_558 = sb_bus_rsp_write & _T_557; // @[dbg.scala 413:92] - wire _T_560 = sb_state == 4'h4; // @[dbg.scala 414:36] - wire _T_561 = sb_state == 4'h5; // @[dbg.scala 414:71] - wire _T_567 = sb_state == 4'h6; // @[dbg.scala 425:70] - wire [63:0] _T_573 = _T_62 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_577 = {sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0]}; // @[Cat.scala 29:58] - wire [63:0] _T_578 = _T_573 & _T_577; // @[dbg.scala 426:65] - wire [63:0] _T_582 = _T_47 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_585 = {sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0]}; // @[Cat.scala 29:58] - wire [63:0] _T_586 = _T_582 & _T_585; // @[dbg.scala 426:138] - wire [63:0] _T_587 = _T_578 | _T_586; // @[dbg.scala 426:96] - wire [63:0] _T_591 = _T_51 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_593 = {sbdata0_reg,sbdata0_reg}; // @[Cat.scala 29:58] - wire [63:0] _T_594 = _T_591 & _T_593; // @[dbg.scala 427:45] - wire [63:0] _T_595 = _T_587 | _T_594; // @[dbg.scala 426:168] - wire [63:0] _T_599 = _T_57 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] - wire [63:0] _T_602 = {sbdata1_reg,sbdata0_reg}; // @[Cat.scala 29:58] - wire [63:0] _T_603 = _T_599 & _T_602; // @[dbg.scala 427:119] - wire [7:0] _T_608 = _T_62 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [14:0] _T_610 = 15'h1 << sbaddress0_reg[2:0]; // @[dbg.scala 429:82] - wire [14:0] _GEN_115 = {{7'd0}, _T_608}; // @[dbg.scala 429:67] - wire [14:0] _T_611 = _GEN_115 & _T_610; // @[dbg.scala 429:67] - wire [7:0] _T_615 = _T_47 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_617 = {sbaddress0_reg[2:1],1'h0}; // @[Cat.scala 29:58] - wire [14:0] _T_618 = 15'h3 << _T_617; // @[dbg.scala 430:59] - wire [14:0] _GEN_116 = {{7'd0}, _T_615}; // @[dbg.scala 430:44] - wire [14:0] _T_619 = _GEN_116 & _T_618; // @[dbg.scala 430:44] - wire [14:0] _T_620 = _T_611 | _T_619; // @[dbg.scala 429:107] - wire [7:0] _T_624 = _T_51 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_626 = {sbaddress0_reg[2],2'h0}; // @[Cat.scala 29:58] - wire [14:0] _T_627 = 15'hf << _T_626; // @[dbg.scala 431:59] - wire [14:0] _GEN_117 = {{7'd0}, _T_624}; // @[dbg.scala 431:44] - wire [14:0] _T_628 = _GEN_117 & _T_627; // @[dbg.scala 431:44] - wire [14:0] _T_629 = _T_620 | _T_628; // @[dbg.scala 430:97] - wire [7:0] _T_633 = _T_57 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [14:0] _GEN_118 = {{7'd0}, _T_633}; // @[dbg.scala 431:100] - wire [14:0] _T_635 = _T_629 | _GEN_118; // @[dbg.scala 431:100] - wire [3:0] _GEN_119 = {{1'd0}, sbaddress0_reg[2:0]}; // @[dbg.scala 448:99] - wire [6:0] _T_646 = 4'h8 * _GEN_119; // @[dbg.scala 448:99] - wire [63:0] _T_647 = io_sb_axi_r_bits_data >> _T_646; // @[dbg.scala 448:92] - wire [63:0] _T_648 = _T_647 & 64'hff; // @[dbg.scala 448:123] - wire [63:0] _T_649 = _T_573 & _T_648; // @[dbg.scala 448:59] - wire [4:0] _GEN_120 = {{3'd0}, sbaddress0_reg[2:1]}; // @[dbg.scala 449:86] - wire [6:0] _T_656 = 5'h10 * _GEN_120; // @[dbg.scala 449:86] - wire [63:0] _T_657 = io_sb_axi_r_bits_data >> _T_656; // @[dbg.scala 449:78] - wire [63:0] _T_658 = _T_657 & 64'hffff; // @[dbg.scala 449:110] - wire [63:0] _T_659 = _T_582 & _T_658; // @[dbg.scala 449:45] - wire [63:0] _T_660 = _T_649 | _T_659; // @[dbg.scala 448:140] - wire [5:0] _GEN_121 = {{5'd0}, sbaddress0_reg[2]}; // @[dbg.scala 450:86] - wire [6:0] _T_667 = 6'h20 * _GEN_121; // @[dbg.scala 450:86] - wire [63:0] _T_668 = io_sb_axi_r_bits_data >> _T_667; // @[dbg.scala 450:78] - wire [63:0] _T_669 = _T_668 & 64'hffffffff; // @[dbg.scala 450:107] - wire [63:0] _T_670 = _T_591 & _T_669; // @[dbg.scala 450:45] - wire [63:0] _T_671 = _T_660 | _T_670; // @[dbg.scala 449:129] - wire [63:0] _T_677 = _T_599 & io_sb_axi_r_bits_data; // @[dbg.scala 451:45] + wire _T_298 = 3'h0 == dbg_state; // @[Conditional.scala 37:30] + wire _T_300 = dmstatus_reg[9] | io_dec_tlu_mpc_halted_only; // @[dbg.scala 270:43] + wire [2:0] _T_301 = _T_300 ? 3'h2 : 3'h1; // @[dbg.scala 270:26] + wire _T_303 = ~io_dec_tlu_debug_mode; // @[dbg.scala 271:45] + wire _T_304 = dmcontrol_reg[31] & _T_303; // @[dbg.scala 271:43] + wire _T_306 = _T_304 | dmstatus_reg[9]; // @[dbg.scala 271:69] + wire _T_307 = _T_306 | io_dec_tlu_mpc_halted_only; // @[dbg.scala 271:87] + wire _T_310 = _T_307 & _T_15; // @[dbg.scala 271:117] + wire _T_314 = dmcontrol_reg[31] & _T_15; // @[dbg.scala 272:45] + wire _T_316 = 3'h1 == dbg_state; // @[Conditional.scala 37:30] + wire [2:0] _T_318 = dmcontrol_reg[1] ? 3'h0 : 3'h2; // @[dbg.scala 275:26] + wire _T_321 = dmstatus_reg[9] | dmcontrol_reg[1]; // @[dbg.scala 276:39] + wire _T_323 = dmcontrol_wren_Q & dmcontrol_reg[31]; // @[dbg.scala 277:44] + wire _T_326 = _T_323 & _T_15; // @[dbg.scala 277:64] + wire _T_328 = 3'h2 == dbg_state; // @[Conditional.scala 37:30] + wire _T_332 = dmstatus_reg[9] & _T_15; // @[dbg.scala 280:43] + wire _T_335 = ~dmcontrol_reg[31]; // @[dbg.scala 281:33] + wire _T_336 = dmcontrol_reg[30] & _T_335; // @[dbg.scala 281:31] + wire [2:0] _T_337 = _T_336 ? 3'h6 : 3'h3; // @[dbg.scala 281:12] + wire [2:0] _T_339 = dmcontrol_reg[31] ? 3'h1 : 3'h0; // @[dbg.scala 282:12] + wire [2:0] _T_340 = _T_332 ? _T_337 : _T_339; // @[dbg.scala 280:26] + wire _T_343 = dmstatus_reg[9] & dmcontrol_reg[30]; // @[dbg.scala 283:39] + wire _T_346 = _T_343 & _T_335; // @[dbg.scala 283:59] + wire _T_347 = _T_346 & dmcontrol_wren_Q; // @[dbg.scala 283:80] + wire _T_348 = _T_347 | command_wren; // @[dbg.scala 283:99] + wire _T_350 = _T_348 | dmcontrol_reg[1]; // @[dbg.scala 283:114] + wire _T_353 = ~_T_300; // @[dbg.scala 284:28] + wire _T_354 = _T_350 | _T_353; // @[dbg.scala 284:26] + wire _T_355 = dbg_nxtstate == 3'h3; // @[dbg.scala 285:60] + wire _T_356 = dbg_state_en & _T_355; // @[dbg.scala 285:44] + wire _T_357 = dbg_nxtstate == 3'h6; // @[dbg.scala 287:58] + wire _T_358 = dbg_state_en & _T_357; // @[dbg.scala 287:42] + wire _T_366 = 3'h3 == dbg_state; // @[Conditional.scala 37:30] + wire _T_369 = |abstractcs_reg[10:8]; // @[dbg.scala 291:85] + wire [2:0] _T_370 = _T_369 ? 3'h5 : 3'h4; // @[dbg.scala 291:62] + wire [2:0] _T_371 = dmcontrol_reg[1] ? 3'h0 : _T_370; // @[dbg.scala 291:26] + wire _T_374 = io_dbg_dec_dbg_ib_dbg_cmd_valid | _T_369; // @[dbg.scala 292:55] + wire _T_376 = _T_374 | dmcontrol_reg[1]; // @[dbg.scala 292:83] + wire _T_383 = 3'h4 == dbg_state; // @[Conditional.scala 37:30] + wire [2:0] _T_385 = dmcontrol_reg[1] ? 3'h0 : 3'h5; // @[dbg.scala 296:26] + wire _T_387 = io_core_dbg_cmd_done | dmcontrol_reg[1]; // @[dbg.scala 297:44] + wire _T_394 = 3'h5 == dbg_state; // @[Conditional.scala 37:30] + wire _T_403 = 3'h6 == dbg_state; // @[Conditional.scala 37:30] + wire _T_406 = dmstatus_reg[17] | dmcontrol_reg[1]; // @[dbg.scala 309:40] + wire _GEN_10 = _T_403 & _T_406; // @[Conditional.scala 39:67] + wire _GEN_11 = _T_403 & _T_326; // @[Conditional.scala 39:67] + wire [2:0] _GEN_12 = _T_394 ? _T_318 : 3'h0; // @[Conditional.scala 39:67] + wire _GEN_13 = _T_394 | _GEN_10; // @[Conditional.scala 39:67] + wire _GEN_14 = _T_394 & dbg_state_en; // @[Conditional.scala 39:67] + wire _GEN_16 = _T_394 ? _T_326 : _GEN_11; // @[Conditional.scala 39:67] + wire [2:0] _GEN_17 = _T_383 ? _T_385 : _GEN_12; // @[Conditional.scala 39:67] + wire _GEN_18 = _T_383 ? _T_387 : _GEN_13; // @[Conditional.scala 39:67] + wire _GEN_19 = _T_383 ? _T_326 : _GEN_16; // @[Conditional.scala 39:67] + wire _GEN_20 = _T_383 ? 1'h0 : _GEN_14; // @[Conditional.scala 39:67] + wire [2:0] _GEN_22 = _T_366 ? _T_371 : _GEN_17; // @[Conditional.scala 39:67] + wire _GEN_23 = _T_366 ? _T_376 : _GEN_18; // @[Conditional.scala 39:67] + wire _GEN_24 = _T_366 ? _T_326 : _GEN_19; // @[Conditional.scala 39:67] + wire _GEN_25 = _T_366 ? 1'h0 : _GEN_20; // @[Conditional.scala 39:67] + wire [2:0] _GEN_27 = _T_328 ? _T_340 : _GEN_22; // @[Conditional.scala 39:67] + wire _GEN_28 = _T_328 ? _T_354 : _GEN_23; // @[Conditional.scala 39:67] + wire _GEN_29 = _T_328 ? _T_356 : _GEN_25; // @[Conditional.scala 39:67] + wire _GEN_31 = _T_328 & _T_358; // @[Conditional.scala 39:67] + wire _GEN_32 = _T_328 ? _T_326 : _GEN_24; // @[Conditional.scala 39:67] + wire [2:0] _GEN_33 = _T_316 ? _T_318 : _GEN_27; // @[Conditional.scala 39:67] + wire _GEN_34 = _T_316 ? _T_321 : _GEN_28; // @[Conditional.scala 39:67] + wire _GEN_35 = _T_316 ? _T_326 : _GEN_32; // @[Conditional.scala 39:67] + wire _GEN_36 = _T_316 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] + wire _GEN_38 = _T_316 ? 1'h0 : _GEN_31; // @[Conditional.scala 39:67] + wire [31:0] _T_415 = _T_197 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_416 = _T_415 & data0_reg; // @[dbg.scala 313:71] + wire [31:0] _T_419 = _T_292 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_420 = _T_419 & data1_reg; // @[dbg.scala 313:122] + wire [31:0] _T_421 = _T_416 | _T_420; // @[dbg.scala 313:83] + wire [31:0] _T_424 = _T_128 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_425 = _T_424 & dmcontrol_reg; // @[dbg.scala 314:43] + wire [31:0] _T_426 = _T_421 | _T_425; // @[dbg.scala 313:134] + wire _T_427 = io_dmi_reg_addr == 7'h11; // @[dbg.scala 314:86] + wire [31:0] _T_429 = _T_427 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_430 = _T_429 & dmstatus_reg; // @[dbg.scala 314:99] + wire [31:0] _T_431 = _T_426 | _T_430; // @[dbg.scala 314:59] + wire [31:0] _T_434 = _T_193 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_435 = _T_434 & abstractcs_reg; // @[dbg.scala 315:43] + wire [31:0] _T_436 = _T_431 | _T_435; // @[dbg.scala 314:114] + wire [31:0] _T_439 = _T_194 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_440 = _T_439 & command_reg; // @[dbg.scala 315:100] + wire [31:0] _T_441 = _T_436 | _T_440; // @[dbg.scala 315:60] + wire _T_442 = io_dmi_reg_addr == 7'h40; // @[dbg.scala 316:30] + wire [31:0] _T_444 = _T_442 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_445 = _T_444 & haltsum0_reg; // @[dbg.scala 316:43] + wire [31:0] _T_446 = _T_441 | _T_445; // @[dbg.scala 315:114] + wire [31:0] _T_449 = _T_17 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_450 = _T_449 & sbcs_reg; // @[dbg.scala 316:98] + wire [31:0] _T_451 = _T_446 | _T_450; // @[dbg.scala 316:58] + wire [31:0] _T_454 = _T_25 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_455 = _T_454 & sbaddress0_reg; // @[dbg.scala 317:43] + wire [31:0] _T_456 = _T_451 | _T_455; // @[dbg.scala 316:109] + wire [31:0] _T_459 = _T_26 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_460 = _T_459 & sbdata0_reg; // @[dbg.scala 317:100] + wire [31:0] _T_461 = _T_456 | _T_460; // @[dbg.scala 317:60] + wire [31:0] _T_464 = _T_28 ? 32'hffffffff : 32'h0; // @[Bitwise.scala 72:12] + wire [31:0] _T_465 = _T_464 & sbdata1_reg; // @[dbg.scala 318:43] + wire [31:0] dmi_reg_rdata_din = _T_461 | _T_465; // @[dbg.scala 317:114] + reg [2:0] _T_466; // @[Reg.scala 27:20] + reg [31:0] _T_467; // @[Reg.scala 27:20] + wire _T_469 = command_reg[31:24] == 8'h2; // @[dbg.scala 329:62] + wire [31:0] _T_471 = {data1_reg[31:2],2'h0}; // @[Cat.scala 29:58] + wire [31:0] _T_473 = {20'h0,command_reg[11:0]}; // @[Cat.scala 29:58] + wire _T_476 = dbg_state == 3'h3; // @[dbg.scala 331:50] + wire _T_479 = ~_T_369; // @[dbg.scala 331:75] + wire _T_480 = _T_476 & _T_479; // @[dbg.scala 331:73] + wire _T_488 = command_reg[15:12] == 4'h0; // @[dbg.scala 333:122] + wire [1:0] _T_489 = {1'h0,_T_488}; // @[Cat.scala 29:58] + wire _T_500 = 4'h0 == sb_state; // @[Conditional.scala 37:30] + wire _T_502 = sbdata0_reg_wren0 | sbreadondata_access; // @[dbg.scala 348:39] + wire _T_503 = _T_502 | sbreadonaddr_access; // @[dbg.scala 348:61] + wire _T_505 = |io_dmi_reg_wdata[14:12]; // @[dbg.scala 351:65] + wire _T_506 = sbcs_wren & _T_505; // @[dbg.scala 351:38] + wire [2:0] _T_508 = ~io_dmi_reg_wdata[14:12]; // @[dbg.scala 352:27] + wire [2:0] _T_510 = _T_508 & sbcs_reg[14:12]; // @[dbg.scala 352:53] + wire _T_511 = 4'h1 == sb_state; // @[Conditional.scala 37:30] + wire _T_512 = sbcs_unaligned | sbcs_illegal_size; // @[dbg.scala 355:41] + wire _T_514 = io_dbg_bus_clk_en | sbcs_unaligned; // @[dbg.scala 356:40] + wire _T_515 = _T_514 | sbcs_illegal_size; // @[dbg.scala 356:57] + wire _T_518 = 4'h2 == sb_state; // @[Conditional.scala 37:30] + wire _T_525 = 4'h3 == sb_state; // @[Conditional.scala 37:30] + wire _T_526 = sb_bus_cmd_read & io_dbg_bus_clk_en; // @[dbg.scala 368:38] + wire _T_527 = 4'h4 == sb_state; // @[Conditional.scala 37:30] + wire _T_528 = sb_bus_cmd_write_addr & sb_bus_cmd_write_data; // @[dbg.scala 371:48] + wire _T_531 = sb_bus_cmd_write_addr | sb_bus_cmd_write_data; // @[dbg.scala 372:45] + wire _T_532 = _T_531 & io_dbg_bus_clk_en; // @[dbg.scala 372:70] + wire _T_533 = 4'h5 == sb_state; // @[Conditional.scala 37:30] + wire _T_534 = sb_bus_cmd_write_addr & io_dbg_bus_clk_en; // @[dbg.scala 376:44] + wire _T_535 = 4'h6 == sb_state; // @[Conditional.scala 37:30] + wire _T_536 = sb_bus_cmd_write_data & io_dbg_bus_clk_en; // @[dbg.scala 380:44] + wire _T_537 = 4'h7 == sb_state; // @[Conditional.scala 37:30] + wire _T_538 = sb_bus_rsp_read & io_dbg_bus_clk_en; // @[dbg.scala 384:38] + wire _T_539 = sb_state_en & sb_bus_rsp_error; // @[dbg.scala 385:40] + wire _T_540 = 4'h8 == sb_state; // @[Conditional.scala 37:30] + wire _T_541 = sb_bus_rsp_write & io_dbg_bus_clk_en; // @[dbg.scala 390:39] + wire _T_543 = 4'h9 == sb_state; // @[Conditional.scala 37:30] + wire _GEN_50 = _T_543 & sbcs_reg[16]; // @[Conditional.scala 39:67] + wire _GEN_52 = _T_540 ? _T_541 : _T_543; // @[Conditional.scala 39:67] + wire _GEN_53 = _T_540 & _T_539; // @[Conditional.scala 39:67] + wire _GEN_55 = _T_540 ? 1'h0 : _T_543; // @[Conditional.scala 39:67] + wire _GEN_57 = _T_540 ? 1'h0 : _GEN_50; // @[Conditional.scala 39:67] + wire _GEN_59 = _T_537 ? _T_538 : _GEN_52; // @[Conditional.scala 39:67] + wire _GEN_60 = _T_537 ? _T_539 : _GEN_53; // @[Conditional.scala 39:67] + wire _GEN_62 = _T_537 ? 1'h0 : _GEN_55; // @[Conditional.scala 39:67] + wire _GEN_64 = _T_537 ? 1'h0 : _GEN_57; // @[Conditional.scala 39:67] + wire _GEN_66 = _T_535 ? _T_536 : _GEN_59; // @[Conditional.scala 39:67] + wire _GEN_67 = _T_535 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] + wire _GEN_69 = _T_535 ? 1'h0 : _GEN_62; // @[Conditional.scala 39:67] + wire _GEN_71 = _T_535 ? 1'h0 : _GEN_64; // @[Conditional.scala 39:67] + wire _GEN_73 = _T_533 ? _T_534 : _GEN_66; // @[Conditional.scala 39:67] + wire _GEN_74 = _T_533 ? 1'h0 : _GEN_67; // @[Conditional.scala 39:67] + wire _GEN_76 = _T_533 ? 1'h0 : _GEN_69; // @[Conditional.scala 39:67] + wire _GEN_78 = _T_533 ? 1'h0 : _GEN_71; // @[Conditional.scala 39:67] + wire _GEN_80 = _T_527 ? _T_532 : _GEN_73; // @[Conditional.scala 39:67] + wire _GEN_81 = _T_527 ? 1'h0 : _GEN_74; // @[Conditional.scala 39:67] + wire _GEN_83 = _T_527 ? 1'h0 : _GEN_76; // @[Conditional.scala 39:67] + wire _GEN_85 = _T_527 ? 1'h0 : _GEN_78; // @[Conditional.scala 39:67] + wire _GEN_87 = _T_525 ? _T_526 : _GEN_80; // @[Conditional.scala 39:67] + wire _GEN_88 = _T_525 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] + wire _GEN_90 = _T_525 ? 1'h0 : _GEN_83; // @[Conditional.scala 39:67] + wire _GEN_92 = _T_525 ? 1'h0 : _GEN_85; // @[Conditional.scala 39:67] + wire _GEN_94 = _T_518 ? _T_515 : _GEN_87; // @[Conditional.scala 39:67] + wire _GEN_95 = _T_518 ? _T_512 : _GEN_88; // @[Conditional.scala 39:67] + wire _GEN_97 = _T_518 ? 1'h0 : _GEN_90; // @[Conditional.scala 39:67] + wire _GEN_99 = _T_518 ? 1'h0 : _GEN_92; // @[Conditional.scala 39:67] + wire _GEN_101 = _T_511 ? _T_515 : _GEN_94; // @[Conditional.scala 39:67] + wire _GEN_102 = _T_511 ? _T_512 : _GEN_95; // @[Conditional.scala 39:67] + wire _GEN_104 = _T_511 ? 1'h0 : _GEN_97; // @[Conditional.scala 39:67] + wire _GEN_106 = _T_511 ? 1'h0 : _GEN_99; // @[Conditional.scala 39:67] + reg [3:0] _T_545; // @[Reg.scala 27:20] + wire _T_552 = |io_sb_axi_r_bits_resp; // @[dbg.scala 411:69] + wire _T_553 = sb_bus_rsp_read & _T_552; // @[dbg.scala 411:39] + wire _T_555 = |io_sb_axi_b_bits_resp; // @[dbg.scala 411:122] + wire _T_556 = sb_bus_rsp_write & _T_555; // @[dbg.scala 411:92] + wire _T_558 = sb_state == 4'h4; // @[dbg.scala 412:36] + wire _T_559 = sb_state == 4'h5; // @[dbg.scala 412:71] + wire _T_565 = sb_state == 4'h6; // @[dbg.scala 423:70] + wire [63:0] _T_571 = _T_60 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_575 = {sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0],sbdata0_reg[7:0]}; // @[Cat.scala 29:58] + wire [63:0] _T_576 = _T_571 & _T_575; // @[dbg.scala 424:65] + wire [63:0] _T_580 = _T_45 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_583 = {sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0],sbdata0_reg[15:0]}; // @[Cat.scala 29:58] + wire [63:0] _T_584 = _T_580 & _T_583; // @[dbg.scala 424:138] + wire [63:0] _T_585 = _T_576 | _T_584; // @[dbg.scala 424:96] + wire [63:0] _T_589 = _T_49 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_591 = {sbdata0_reg,sbdata0_reg}; // @[Cat.scala 29:58] + wire [63:0] _T_592 = _T_589 & _T_591; // @[dbg.scala 425:45] + wire [63:0] _T_593 = _T_585 | _T_592; // @[dbg.scala 424:168] + wire [63:0] _T_597 = _T_55 ? 64'hffffffffffffffff : 64'h0; // @[Bitwise.scala 72:12] + wire [63:0] _T_600 = {sbdata1_reg,sbdata0_reg}; // @[Cat.scala 29:58] + wire [63:0] _T_601 = _T_597 & _T_600; // @[dbg.scala 425:119] + wire [7:0] _T_606 = _T_60 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [14:0] _T_608 = 15'h1 << sbaddress0_reg[2:0]; // @[dbg.scala 427:82] + wire [14:0] _GEN_115 = {{7'd0}, _T_606}; // @[dbg.scala 427:67] + wire [14:0] _T_609 = _GEN_115 & _T_608; // @[dbg.scala 427:67] + wire [7:0] _T_613 = _T_45 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_615 = {sbaddress0_reg[2:1],1'h0}; // @[Cat.scala 29:58] + wire [14:0] _T_616 = 15'h3 << _T_615; // @[dbg.scala 428:59] + wire [14:0] _GEN_116 = {{7'd0}, _T_613}; // @[dbg.scala 428:44] + wire [14:0] _T_617 = _GEN_116 & _T_616; // @[dbg.scala 428:44] + wire [14:0] _T_618 = _T_609 | _T_617; // @[dbg.scala 427:107] + wire [7:0] _T_622 = _T_49 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [2:0] _T_624 = {sbaddress0_reg[2],2'h0}; // @[Cat.scala 29:58] + wire [14:0] _T_625 = 15'hf << _T_624; // @[dbg.scala 429:59] + wire [14:0] _GEN_117 = {{7'd0}, _T_622}; // @[dbg.scala 429:44] + wire [14:0] _T_626 = _GEN_117 & _T_625; // @[dbg.scala 429:44] + wire [14:0] _T_627 = _T_618 | _T_626; // @[dbg.scala 428:97] + wire [7:0] _T_631 = _T_55 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] + wire [14:0] _GEN_118 = {{7'd0}, _T_631}; // @[dbg.scala 429:100] + wire [14:0] _T_633 = _T_627 | _GEN_118; // @[dbg.scala 429:100] + wire [3:0] _GEN_119 = {{1'd0}, sbaddress0_reg[2:0]}; // @[dbg.scala 446:99] + wire [6:0] _T_644 = 4'h8 * _GEN_119; // @[dbg.scala 446:99] + wire [63:0] _T_645 = io_sb_axi_r_bits_data >> _T_644; // @[dbg.scala 446:92] + wire [63:0] _T_646 = _T_645 & 64'hff; // @[dbg.scala 446:123] + wire [63:0] _T_647 = _T_571 & _T_646; // @[dbg.scala 446:59] + wire [4:0] _GEN_120 = {{3'd0}, sbaddress0_reg[2:1]}; // @[dbg.scala 447:86] + wire [6:0] _T_654 = 5'h10 * _GEN_120; // @[dbg.scala 447:86] + wire [63:0] _T_655 = io_sb_axi_r_bits_data >> _T_654; // @[dbg.scala 447:78] + wire [63:0] _T_656 = _T_655 & 64'hffff; // @[dbg.scala 447:110] + wire [63:0] _T_657 = _T_580 & _T_656; // @[dbg.scala 447:45] + wire [63:0] _T_658 = _T_647 | _T_657; // @[dbg.scala 446:140] + wire [5:0] _GEN_121 = {{5'd0}, sbaddress0_reg[2]}; // @[dbg.scala 448:86] + wire [6:0] _T_665 = 6'h20 * _GEN_121; // @[dbg.scala 448:86] + wire [63:0] _T_666 = io_sb_axi_r_bits_data >> _T_665; // @[dbg.scala 448:78] + wire [63:0] _T_667 = _T_666 & 64'hffffffff; // @[dbg.scala 448:107] + wire [63:0] _T_668 = _T_589 & _T_667; // @[dbg.scala 448:45] + wire [63:0] _T_669 = _T_658 | _T_668; // @[dbg.scala 447:129] + wire [63:0] _T_675 = _T_597 & io_sb_axi_r_bits_data; // @[dbg.scala 449:45] rvclkhdr rvclkhdr ( // @[lib.scala 343:22] .io_l1clk(rvclkhdr_io_l1clk), .io_clk(rvclkhdr_io_clk), @@ -60003,59 +60015,61 @@ module dbg( .io_en(rvclkhdr_7_io_en), .io_scan_mode(rvclkhdr_7_io_scan_mode) ); - assign io_dbg_cmd_size = command_reg[21:20]; // @[dbg.scala 336:19] - assign io_dbg_core_rst_l = ~dmcontrol_reg[1]; // @[dbg.scala 107:21] - assign io_dbg_halt_req = _T_300 ? _T_316 : _GEN_35; // @[dbg.scala 268:19 dbg.scala 274:23 dbg.scala 279:23 dbg.scala 290:23 dbg.scala 295:23 dbg.scala 300:23 dbg.scala 307:23 dbg.scala 312:23] - assign io_dbg_resume_req = _T_300 ? 1'h0 : _GEN_38; // @[dbg.scala 269:21 dbg.scala 289:25] - assign io_dmi_reg_rdata = _T_469; // @[dbg.scala 327:20] - assign io_sb_axi_aw_valid = _T_560 | _T_561; // @[dbg.scala 414:22] - assign io_sb_axi_aw_bits_addr = sbaddress0_reg; // @[dbg.scala 415:26] - assign io_sb_axi_aw_bits_size = sbcs_reg[19:17]; // @[dbg.scala 417:26] - assign io_sb_axi_w_valid = _T_560 | _T_567; // @[dbg.scala 425:21] - assign io_sb_axi_w_bits_data = _T_595 | _T_603; // @[dbg.scala 426:25] - assign io_sb_axi_w_bits_strb = _T_635[7:0]; // @[dbg.scala 429:25] - assign io_sb_axi_b_ready = 1'h1; // @[dbg.scala 446:21] - assign io_sb_axi_ar_valid = sb_state == 4'h3; // @[dbg.scala 435:22] - assign io_sb_axi_ar_bits_addr = sbaddress0_reg; // @[dbg.scala 436:26] - assign io_sb_axi_ar_bits_size = sbcs_reg[19:17]; // @[dbg.scala 438:26] - assign io_sb_axi_r_ready = 1'h1; // @[dbg.scala 447:21] - assign io_dbg_dec_dbg_ib_dbg_cmd_valid = _T_482 & io_dbg_dma_io_dma_dbg_ready; // @[dbg.scala 333:35] - assign io_dbg_dec_dbg_ib_dbg_cmd_write = command_reg[16]; // @[dbg.scala 334:35] - assign io_dbg_dec_dbg_ib_dbg_cmd_type = _T_471 ? 2'h2 : _T_491; // @[dbg.scala 335:34] - assign io_dbg_dec_dbg_ib_dbg_cmd_addr = _T_471 ? _T_473 : _T_475; // @[dbg.scala 331:34] - assign io_dbg_dec_dbg_dctl_dbg_cmd_wrdata = data0_reg; // @[dbg.scala 332:38] - assign io_dbg_dma_dbg_ib_dbg_cmd_valid = io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[dbg.scala 456:39] - assign io_dbg_dma_dbg_ib_dbg_cmd_write = io_dbg_dec_dbg_ib_dbg_cmd_write; // @[dbg.scala 457:39] - assign io_dbg_dma_dbg_ib_dbg_cmd_type = io_dbg_dec_dbg_ib_dbg_cmd_type; // @[dbg.scala 458:39] - assign io_dbg_dma_dbg_ib_dbg_cmd_addr = io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[dbg.scala 454:39] - assign io_dbg_dma_dbg_dctl_dbg_cmd_wrdata = io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[dbg.scala 455:39] - assign io_dbg_dma_io_dbg_dma_bubble = _T_482 | _T_283; // @[dbg.scala 337:32] - assign dbg_state = _T_468; // @[dbg.scala 322:13] - assign dbg_state_en = _T_300 ? _T_312 : _GEN_34; // @[dbg.scala 265:16 dbg.scala 273:20 dbg.scala 278:20 dbg.scala 285:20 dbg.scala 294:20 dbg.scala 299:20 dbg.scala 304:20 dbg.scala 311:20] - assign sb_state = _T_547; // @[dbg.scala 404:12] - assign sb_state_en = _T_502 ? _T_505 : _GEN_101; // @[dbg.scala 350:19 dbg.scala 358:19 dbg.scala 364:19 dbg.scala 370:19 dbg.scala 374:19 dbg.scala 378:19 dbg.scala 382:19 dbg.scala 386:19 dbg.scala 392:19 dbg.scala 398:19] - assign dmcontrol_reg = {_T_145,_T_143}; // @[dbg.scala 185:17] - assign sbaddress0_reg = _T_118; // @[dbg.scala 166:18] - assign sbcs_sbbusy_wren = _T_502 ? sb_state_en : _GEN_104; // @[dbg.scala 342:20 dbg.scala 351:24 dbg.scala 399:24] - assign sbcs_sberror_wren = _T_502 ? _T_508 : _GEN_102; // @[dbg.scala 344:21 dbg.scala 353:25 dbg.scala 359:25 dbg.scala 365:25 dbg.scala 387:25 dbg.scala 393:25] - assign sb_bus_rdata = _T_671 | _T_677; // @[dbg.scala 448:16] - assign sbaddress0_reg_wren1 = _T_502 ? 1'h0 : _GEN_106; // @[dbg.scala 346:24 dbg.scala 401:28] - assign dmstatus_reg = {_T_163,_T_159}; // @[dbg.scala 191:16] - assign dmstatus_havereset = _T_192; // @[dbg.scala 208:22] - assign dmstatus_resumeack = _T_185; // @[dbg.scala 200:22] - assign dmstatus_unavail = dmcontrol_reg[1] | _T_180; // @[dbg.scala 198:20] - assign dmstatus_running = ~_T_183; // @[dbg.scala 199:20] - assign dmstatus_halted = _T_188; // @[dbg.scala 204:19] - assign abstractcs_busy_wren = _T_300 ? 1'h0 : _GEN_36; // @[dbg.scala 266:24 dbg.scala 287:28 dbg.scala 305:28] - assign sb_bus_cmd_read = io_sb_axi_ar_valid & io_sb_axi_ar_ready; // @[dbg.scala 408:19] - assign sb_bus_cmd_write_addr = io_sb_axi_aw_valid & io_sb_axi_aw_ready; // @[dbg.scala 409:25] - assign sb_bus_cmd_write_data = io_sb_axi_w_valid & io_sb_axi_w_ready; // @[dbg.scala 410:25] - assign sb_bus_rsp_read = io_sb_axi_r_valid & io_sb_axi_r_ready; // @[dbg.scala 411:19] - assign sb_bus_rsp_error = _T_555 | _T_558; // @[dbg.scala 413:20] - assign sb_bus_rsp_write = io_sb_axi_b_valid & io_sb_axi_b_ready; // @[dbg.scala 412:20] - assign sbcs_sbbusy_din = 4'h0 == sb_state; // @[dbg.scala 343:19 dbg.scala 352:23 dbg.scala 400:23] - assign data1_reg = _T_299; // @[dbg.scala 259:13] - assign sbcs_reg = {_T_44,_T_40}; // @[dbg.scala 132:12] + assign io_dbg_cmd_size = command_reg[21:20]; // @[dbg.scala 334:19] + assign io_dbg_core_rst_l = ~dmcontrol_reg[1]; // @[dbg.scala 105:21] + assign io_dbg_halt_req = _T_298 ? _T_314 : _GEN_35; // @[dbg.scala 266:19 dbg.scala 272:23 dbg.scala 277:23 dbg.scala 288:23 dbg.scala 293:23 dbg.scala 298:23 dbg.scala 305:23 dbg.scala 310:23] + assign io_dbg_resume_req = _T_298 ? 1'h0 : _GEN_38; // @[dbg.scala 267:21 dbg.scala 287:25] + assign io_dmi_reg_rdata = _T_467; // @[dbg.scala 325:20] + assign io_sb_axi_aw_valid = _T_558 | _T_559; // @[dbg.scala 412:22] + assign io_sb_axi_aw_bits_addr = sbaddress0_reg; // @[dbg.scala 413:26] + assign io_sb_axi_aw_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 418:28] + assign io_sb_axi_aw_bits_size = sbcs_reg[19:17]; // @[dbg.scala 415:26] + assign io_sb_axi_w_valid = _T_558 | _T_565; // @[dbg.scala 423:21] + assign io_sb_axi_w_bits_data = _T_593 | _T_601; // @[dbg.scala 424:25] + assign io_sb_axi_w_bits_strb = _T_633[7:0]; // @[dbg.scala 427:25] + assign io_sb_axi_b_ready = 1'h1; // @[dbg.scala 444:21] + assign io_sb_axi_ar_valid = sb_state == 4'h3; // @[dbg.scala 433:22] + assign io_sb_axi_ar_bits_addr = sbaddress0_reg; // @[dbg.scala 434:26] + assign io_sb_axi_ar_bits_region = sbaddress0_reg[31:28]; // @[dbg.scala 439:28] + assign io_sb_axi_ar_bits_size = sbcs_reg[19:17]; // @[dbg.scala 436:26] + assign io_sb_axi_r_ready = 1'h1; // @[dbg.scala 445:21] + assign io_dbg_dec_dbg_ib_dbg_cmd_valid = _T_480 & io_dbg_dma_io_dma_dbg_ready; // @[dbg.scala 331:35] + assign io_dbg_dec_dbg_ib_dbg_cmd_write = command_reg[16]; // @[dbg.scala 332:35] + assign io_dbg_dec_dbg_ib_dbg_cmd_type = _T_469 ? 2'h2 : _T_489; // @[dbg.scala 333:34] + assign io_dbg_dec_dbg_ib_dbg_cmd_addr = _T_469 ? _T_471 : _T_473; // @[dbg.scala 329:34] + assign io_dbg_dec_dbg_dctl_dbg_cmd_wrdata = data0_reg; // @[dbg.scala 330:38] + assign io_dbg_dma_dbg_ib_dbg_cmd_valid = io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[dbg.scala 454:39] + assign io_dbg_dma_dbg_ib_dbg_cmd_write = io_dbg_dec_dbg_ib_dbg_cmd_write; // @[dbg.scala 455:39] + assign io_dbg_dma_dbg_ib_dbg_cmd_type = io_dbg_dec_dbg_ib_dbg_cmd_type; // @[dbg.scala 456:39] + assign io_dbg_dma_dbg_ib_dbg_cmd_addr = io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[dbg.scala 452:39] + assign io_dbg_dma_dbg_dctl_dbg_cmd_wrdata = io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[dbg.scala 453:39] + assign io_dbg_dma_io_dbg_dma_bubble = _T_480 | _T_281; // @[dbg.scala 335:32] + assign dbg_state = _T_466; // @[dbg.scala 320:13] + assign dbg_state_en = _T_298 ? _T_310 : _GEN_34; // @[dbg.scala 263:16 dbg.scala 271:20 dbg.scala 276:20 dbg.scala 283:20 dbg.scala 292:20 dbg.scala 297:20 dbg.scala 302:20 dbg.scala 309:20] + assign sb_state = _T_545; // @[dbg.scala 402:12] + assign sb_state_en = _T_500 ? _T_503 : _GEN_101; // @[dbg.scala 348:19 dbg.scala 356:19 dbg.scala 362:19 dbg.scala 368:19 dbg.scala 372:19 dbg.scala 376:19 dbg.scala 380:19 dbg.scala 384:19 dbg.scala 390:19 dbg.scala 396:19] + assign dmcontrol_reg = {_T_143,_T_141}; // @[dbg.scala 183:17] + assign sbaddress0_reg = _T_116; // @[dbg.scala 164:18] + assign sbcs_sbbusy_wren = _T_500 ? sb_state_en : _GEN_104; // @[dbg.scala 340:20 dbg.scala 349:24 dbg.scala 397:24] + assign sbcs_sberror_wren = _T_500 ? _T_506 : _GEN_102; // @[dbg.scala 342:21 dbg.scala 351:25 dbg.scala 357:25 dbg.scala 363:25 dbg.scala 385:25 dbg.scala 391:25] + assign sb_bus_rdata = _T_669 | _T_675; // @[dbg.scala 446:16] + assign sbaddress0_reg_wren1 = _T_500 ? 1'h0 : _GEN_106; // @[dbg.scala 344:24 dbg.scala 399:28] + assign dmstatus_reg = {_T_161,_T_157}; // @[dbg.scala 189:16] + assign dmstatus_havereset = _T_190; // @[dbg.scala 206:22] + assign dmstatus_resumeack = _T_183; // @[dbg.scala 198:22] + assign dmstatus_unavail = dmcontrol_reg[1] | _T_178; // @[dbg.scala 196:20] + assign dmstatus_running = ~_T_181; // @[dbg.scala 197:20] + assign dmstatus_halted = _T_186; // @[dbg.scala 202:19] + assign abstractcs_busy_wren = _T_298 ? 1'h0 : _GEN_36; // @[dbg.scala 264:24 dbg.scala 285:28 dbg.scala 303:28] + assign sb_bus_cmd_read = io_sb_axi_ar_valid & io_sb_axi_ar_ready; // @[dbg.scala 406:19] + assign sb_bus_cmd_write_addr = io_sb_axi_aw_valid & io_sb_axi_aw_ready; // @[dbg.scala 407:25] + assign sb_bus_cmd_write_data = io_sb_axi_w_valid & io_sb_axi_w_ready; // @[dbg.scala 408:25] + assign sb_bus_rsp_read = io_sb_axi_r_valid & io_sb_axi_r_ready; // @[dbg.scala 409:19] + assign sb_bus_rsp_error = _T_553 | _T_556; // @[dbg.scala 411:20] + assign sb_bus_rsp_write = io_sb_axi_b_valid & io_sb_axi_b_ready; // @[dbg.scala 410:20] + assign sbcs_sbbusy_din = 4'h0 == sb_state; // @[dbg.scala 341:19 dbg.scala 350:23 dbg.scala 398:23] + assign data1_reg = _T_297; // @[dbg.scala 257:13] + assign sbcs_reg = {_T_42,_T_38}; // @[dbg.scala 130:12] assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] assign rvclkhdr_io_en = _T_3 | io_clk_override; // @[lib.scala 345:16] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] @@ -60071,17 +60085,17 @@ module dbg( assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_4_io_en = sbaddress0_reg_wren0 | sbaddress0_reg_wren1; // @[lib.scala 371:17] assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign abstractcs_reg = {_T_267,_T_265}; // @[dbg.scala 240:18] + assign abstractcs_reg = {_T_265,_T_263}; // @[dbg.scala 238:18] assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_5_io_en = _T_217 & _T_272; // @[lib.scala 371:17] + assign rvclkhdr_5_io_en = _T_215 & _T_270; // @[lib.scala 371:17] assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_6_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_6_io_en = data0_reg_wren0 | data0_reg_wren1; // @[lib.scala 371:17] assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_7_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_7_io_en = _T_295 & _T_272; // @[lib.scala 371:17] + assign rvclkhdr_7_io_en = _T_293 & _T_270; // @[lib.scala 371:17] assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign dbg_nxtstate = _T_300 ? _T_303 : _GEN_33; // @[dbg.scala 264:16 dbg.scala 272:20 dbg.scala 277:20 dbg.scala 282:20 dbg.scala 293:20 dbg.scala 298:20 dbg.scala 303:20 dbg.scala 310:20] + assign dbg_nxtstate = _T_298 ? _T_301 : _GEN_33; // @[dbg.scala 262:16 dbg.scala 270:20 dbg.scala 275:20 dbg.scala 280:20 dbg.scala 291:20 dbg.scala 296:20 dbg.scala 301:20 dbg.scala 308:20] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -60132,7 +60146,7 @@ initial begin _RAND_6 = {1{`RANDOM}}; sbdata1_reg = _RAND_6[31:0]; _RAND_7 = {1{`RANDOM}}; - _T_118 = _RAND_7[31:0]; + _T_116 = _RAND_7[31:0]; _RAND_8 = {1{`RANDOM}}; dm_temp = _RAND_8[3:0]; _RAND_9 = {1{`RANDOM}}; @@ -60140,11 +60154,11 @@ initial begin _RAND_10 = {1{`RANDOM}}; dmcontrol_wren_Q = _RAND_10[0:0]; _RAND_11 = {1{`RANDOM}}; - _T_185 = _RAND_11[0:0]; + _T_183 = _RAND_11[0:0]; _RAND_12 = {1{`RANDOM}}; - _T_188 = _RAND_12[0:0]; + _T_186 = _RAND_12[0:0]; _RAND_13 = {1{`RANDOM}}; - _T_192 = _RAND_13[0:0]; + _T_190 = _RAND_13[0:0]; _RAND_14 = {1{`RANDOM}}; abs_temp_12 = _RAND_14[0:0]; _RAND_15 = {1{`RANDOM}}; @@ -60154,13 +60168,13 @@ initial begin _RAND_17 = {1{`RANDOM}}; data0_reg = _RAND_17[31:0]; _RAND_18 = {1{`RANDOM}}; - _T_299 = _RAND_18[31:0]; + _T_297 = _RAND_18[31:0]; _RAND_19 = {1{`RANDOM}}; - _T_468 = _RAND_19[2:0]; + _T_466 = _RAND_19[2:0]; _RAND_20 = {1{`RANDOM}}; - _T_469 = _RAND_20[31:0]; + _T_467 = _RAND_20[31:0]; _RAND_21 = {1{`RANDOM}}; - _T_547 = _RAND_21[3:0]; + _T_545 = _RAND_21[3:0]; `endif // RANDOMIZE_REG_INIT if (dbg_dm_rst_l) begin temp_sbcs_22 = 1'h0; @@ -60174,7 +60188,7 @@ initial begin if (dbg_dm_rst_l) begin temp_sbcs_19_15 = 5'h0; end - if (rst_not) begin + if (dbg_dm_rst_l) begin temp_sbcs_14_12 = 3'h0; end if (dbg_dm_rst_l) begin @@ -60184,7 +60198,7 @@ initial begin sbdata1_reg = 32'h0; end if (dbg_dm_rst_l) begin - _T_118 = 32'h0; + _T_116 = 32'h0; end if (dbg_dm_rst_l) begin dm_temp = 4'h0; @@ -60196,13 +60210,13 @@ initial begin dmcontrol_wren_Q = 1'h0; end if (dbg_dm_rst_l) begin - _T_185 = 1'h0; + _T_183 = 1'h0; end if (dbg_dm_rst_l) begin - _T_188 = 1'h0; + _T_186 = 1'h0; end if (dbg_dm_rst_l) begin - _T_192 = 1'h0; + _T_190 = 1'h0; end if (dbg_dm_rst_l) begin abs_temp_12 = 1'h0; @@ -60217,16 +60231,16 @@ initial begin data0_reg = 32'h0; end if (dbg_dm_rst_l) begin - _T_299 = 32'h0; + _T_297 = 32'h0; end if (rst_temp) begin - _T_468 = 3'h0; + _T_466 = 3'h0; end if (dbg_dm_rst_l) begin - _T_469 = 32'h0; + _T_467 = 32'h0; end if (dbg_dm_rst_l) begin - _T_547 = 4'h0; + _T_545 = 4'h0; end `endif // RANDOMIZE end // initial @@ -60262,35 +60276,35 @@ end // initial temp_sbcs_19_15 <= io_dmi_reg_wdata[19:15]; end end - always @(posedge rvclkhdr_1_io_l1clk or posedge rst_not) begin - if (rst_not) begin + always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin + if (dbg_dm_rst_l) begin temp_sbcs_14_12 <= 3'h0; end else if (sbcs_sberror_wren) begin - if (_T_502) begin - temp_sbcs_14_12 <= _T_512; - end else if (_T_513) begin + if (_T_500) begin + temp_sbcs_14_12 <= _T_510; + end else if (_T_511) begin if (sbcs_unaligned) begin temp_sbcs_14_12 <= 3'h3; end else begin temp_sbcs_14_12 <= 3'h4; end - end else if (_T_520) begin + end else if (_T_518) begin if (sbcs_unaligned) begin temp_sbcs_14_12 <= 3'h3; end else begin temp_sbcs_14_12 <= 3'h4; end + end else if (_T_525) begin + temp_sbcs_14_12 <= 3'h0; end else if (_T_527) begin temp_sbcs_14_12 <= 3'h0; - end else if (_T_529) begin + end else if (_T_533) begin temp_sbcs_14_12 <= 3'h0; end else if (_T_535) begin temp_sbcs_14_12 <= 3'h0; end else if (_T_537) begin - temp_sbcs_14_12 <= 3'h0; - end else if (_T_539) begin temp_sbcs_14_12 <= 3'h2; - end else if (_T_542) begin + end else if (_T_540) begin temp_sbcs_14_12 <= 3'h2; end else begin temp_sbcs_14_12 <= 3'h0; @@ -60301,28 +60315,28 @@ end // initial if (dbg_dm_rst_l) begin sbdata0_reg <= 32'h0; end else begin - sbdata0_reg <= _T_95 | _T_99; + sbdata0_reg <= _T_93 | _T_97; end end always @(posedge rvclkhdr_3_io_l1clk or posedge dbg_dm_rst_l) begin if (dbg_dm_rst_l) begin sbdata1_reg <= 32'h0; end else begin - sbdata1_reg <= _T_102 | _T_106; + sbdata1_reg <= _T_100 | _T_104; end end always @(posedge rvclkhdr_4_io_l1clk or posedge dbg_dm_rst_l) begin if (dbg_dm_rst_l) begin - _T_118 <= 32'h0; + _T_116 <= 32'h0; end else begin - _T_118 <= _T_111 | _T_117; + _T_116 <= _T_109 | _T_115; end end always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin if (dbg_dm_rst_l) begin dm_temp <= 4'h0; end else if (dmcontrol_wren) begin - dm_temp <= _T_136; + dm_temp <= _T_134; end end always @(posedge rvclkhdr_io_l1clk or posedge io_dbg_rst_l) begin @@ -60336,40 +60350,40 @@ end // initial if (dbg_dm_rst_l) begin dmcontrol_wren_Q <= 1'h0; end else begin - dmcontrol_wren_Q <= _T_131 & io_dmi_reg_wr_en; + dmcontrol_wren_Q <= _T_129 & io_dmi_reg_wr_en; end end always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin if (dbg_dm_rst_l) begin - _T_185 <= 1'h0; + _T_183 <= 1'h0; end else if (dmstatus_resumeack_wren) begin - _T_185 <= _T_166; + _T_183 <= _T_164; end end always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin if (dbg_dm_rst_l) begin - _T_188 <= 1'h0; + _T_186 <= 1'h0; end else begin - _T_188 <= io_dec_tlu_dbg_halted & _T_186; + _T_186 <= io_dec_tlu_dbg_halted & _T_184; end end always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin if (dbg_dm_rst_l) begin - _T_192 <= 1'h0; + _T_190 <= 1'h0; end else begin - _T_192 <= _T_189 & _T_190; + _T_190 <= _T_187 & _T_188; end end always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin if (dbg_dm_rst_l) begin abs_temp_12 <= 1'h0; end else if (abstractcs_busy_wren) begin - if (_T_300) begin + if (_T_298) begin abs_temp_12 <= 1'h0; - end else if (_T_318) begin + end else if (_T_316) begin abs_temp_12 <= 1'h0; end else begin - abs_temp_12 <= _T_330; + abs_temp_12 <= _T_328; end end end @@ -60377,132 +60391,132 @@ end // initial if (dbg_dm_rst_l) begin abs_temp_10_8 <= 3'h0; end else begin - abs_temp_10_8 <= _T_258 | _T_263; + abs_temp_10_8 <= _T_256 | _T_261; end end always @(posedge rvclkhdr_5_io_l1clk or posedge dbg_dm_rst_l) begin if (dbg_dm_rst_l) begin command_reg <= 32'h0; end else begin - command_reg <= {_T_278,_T_276}; + command_reg <= {_T_276,_T_274}; end end always @(posedge rvclkhdr_6_io_l1clk or posedge dbg_dm_rst_l) begin if (dbg_dm_rst_l) begin data0_reg <= 32'h0; end else begin - data0_reg <= _T_289 | _T_292; + data0_reg <= _T_287 | _T_290; end end always @(posedge rvclkhdr_7_io_l1clk or posedge dbg_dm_rst_l) begin if (dbg_dm_rst_l) begin - _T_299 <= 32'h0; + _T_297 <= 32'h0; end else begin - _T_299 <= _T_298 & io_dmi_reg_wdata; + _T_297 <= _T_296 & io_dmi_reg_wdata; end end always @(posedge rvclkhdr_io_l1clk or posedge rst_temp) begin if (rst_temp) begin - _T_468 <= 3'h0; + _T_466 <= 3'h0; end else if (dbg_state_en) begin - if (_T_300) begin - if (_T_302) begin - _T_468 <= 3'h2; + if (_T_298) begin + if (_T_300) begin + _T_466 <= 3'h2; end else begin - _T_468 <= 3'h1; + _T_466 <= 3'h1; end - end else if (_T_318) begin + end else if (_T_316) begin if (dmcontrol_reg[1]) begin - _T_468 <= 3'h0; + _T_466 <= 3'h0; end else begin - _T_468 <= 3'h2; + _T_466 <= 3'h2; end - end else if (_T_330) begin - if (_T_334) begin - if (_T_338) begin - _T_468 <= 3'h6; + end else if (_T_328) begin + if (_T_332) begin + if (_T_336) begin + _T_466 <= 3'h6; end else begin - _T_468 <= 3'h3; + _T_466 <= 3'h3; end end else if (dmcontrol_reg[31]) begin - _T_468 <= 3'h1; + _T_466 <= 3'h1; end else begin - _T_468 <= 3'h0; + _T_466 <= 3'h0; end - end else if (_T_368) begin + end else if (_T_366) begin if (dmcontrol_reg[1]) begin - _T_468 <= 3'h0; - end else if (_T_371) begin - _T_468 <= 3'h5; + _T_466 <= 3'h0; + end else if (_T_369) begin + _T_466 <= 3'h5; end else begin - _T_468 <= 3'h4; + _T_466 <= 3'h4; end - end else if (_T_385) begin + end else if (_T_383) begin if (dmcontrol_reg[1]) begin - _T_468 <= 3'h0; + _T_466 <= 3'h0; end else begin - _T_468 <= 3'h5; + _T_466 <= 3'h5; end - end else if (_T_396) begin + end else if (_T_394) begin if (dmcontrol_reg[1]) begin - _T_468 <= 3'h0; + _T_466 <= 3'h0; end else begin - _T_468 <= 3'h2; + _T_466 <= 3'h2; end end else begin - _T_468 <= 3'h0; + _T_466 <= 3'h0; end end end always @(posedge rvclkhdr_io_l1clk or posedge dbg_dm_rst_l) begin if (dbg_dm_rst_l) begin - _T_469 <= 32'h0; + _T_467 <= 32'h0; end else if (io_dmi_reg_en) begin - _T_469 <= dmi_reg_rdata_din; + _T_467 <= dmi_reg_rdata_din; end end always @(posedge rvclkhdr_1_io_l1clk or posedge dbg_dm_rst_l) begin if (dbg_dm_rst_l) begin - _T_547 <= 4'h0; + _T_545 <= 4'h0; end else if (sb_state_en) begin - if (_T_502) begin + if (_T_500) begin if (sbdata0_reg_wren0) begin - _T_547 <= 4'h2; + _T_545 <= 4'h2; end else begin - _T_547 <= 4'h1; + _T_545 <= 4'h1; end - end else if (_T_513) begin - if (_T_514) begin - _T_547 <= 4'h9; + end else if (_T_511) begin + if (_T_512) begin + _T_545 <= 4'h9; end else begin - _T_547 <= 4'h3; + _T_545 <= 4'h3; end - end else if (_T_520) begin - if (_T_514) begin - _T_547 <= 4'h9; + end else if (_T_518) begin + if (_T_512) begin + _T_545 <= 4'h9; end else begin - _T_547 <= 4'h4; + _T_545 <= 4'h4; end + end else if (_T_525) begin + _T_545 <= 4'h7; end else if (_T_527) begin - _T_547 <= 4'h7; - end else if (_T_529) begin - if (_T_530) begin - _T_547 <= 4'h8; + if (_T_528) begin + _T_545 <= 4'h8; end else if (sb_bus_cmd_write_data) begin - _T_547 <= 4'h5; + _T_545 <= 4'h5; end else begin - _T_547 <= 4'h6; + _T_545 <= 4'h6; end + end else if (_T_533) begin + _T_545 <= 4'h8; end else if (_T_535) begin - _T_547 <= 4'h8; + _T_545 <= 4'h8; end else if (_T_537) begin - _T_547 <= 4'h8; - end else if (_T_539) begin - _T_547 <= 4'h9; - end else if (_T_542) begin - _T_547 <= 4'h9; + _T_545 <= 4'h9; + end else if (_T_540) begin + _T_545 <= 4'h9; end else begin - _T_547 <= 4'h0; + _T_545 <= 4'h0; end end end @@ -68176,6 +68190,7 @@ module lsu_bus_buffer( output io_tlu_busbuff_lsu_pmu_bus_error, output io_tlu_busbuff_lsu_pmu_bus_busy, input io_tlu_busbuff_dec_tlu_external_ldfwd_disable, + input io_tlu_busbuff_dec_tlu_wb_coalescing_disable, input io_tlu_busbuff_dec_tlu_sideeffect_posted_disable, output io_tlu_busbuff_lsu_imprecise_error_load_any, output io_tlu_busbuff_lsu_imprecise_error_store_any, @@ -68225,7 +68240,9 @@ module lsu_bus_buffer( output io_lsu_axi_aw_valid, output [2:0] io_lsu_axi_aw_bits_id, output [31:0] io_lsu_axi_aw_bits_addr, + output [3:0] io_lsu_axi_aw_bits_region, output [2:0] io_lsu_axi_aw_bits_size, + output [3:0] io_lsu_axi_aw_bits_cache, input io_lsu_axi_w_ready, output io_lsu_axi_w_valid, output [63:0] io_lsu_axi_w_bits_data, @@ -68237,7 +68254,9 @@ module lsu_bus_buffer( output io_lsu_axi_ar_valid, output [2:0] io_lsu_axi_ar_bits_id, output [31:0] io_lsu_axi_ar_bits_addr, + output [3:0] io_lsu_axi_ar_bits_region, output [2:0] io_lsu_axi_ar_bits_size, + output [3:0] io_lsu_axi_ar_bits_cache, output io_lsu_axi_r_ready, input io_lsu_axi_r_valid, input [2:0] io_lsu_axi_r_bits_id, @@ -68333,9 +68352,9 @@ module lsu_bus_buffer( reg [31:0] _RAND_75; reg [31:0] _RAND_76; reg [31:0] _RAND_77; - reg [63:0] _RAND_78; + reg [31:0] _RAND_78; reg [31:0] _RAND_79; - reg [31:0] _RAND_80; + reg [63:0] _RAND_80; reg [31:0] _RAND_81; reg [31:0] _RAND_82; reg [31:0] _RAND_83; @@ -68360,6 +68379,8 @@ module lsu_bus_buffer( reg [31:0] _RAND_102; reg [31:0] _RAND_103; reg [31:0] _RAND_104; + reg [31:0] _RAND_105; + reg [31:0] _RAND_106; `endif // RANDOMIZE_REG_INIT wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] wire rvclkhdr_io_clk; // @[lib.scala 368:23] @@ -68409,69 +68430,69 @@ module lsu_bus_buffer( wire rvclkhdr_11_io_clk; // @[lib.scala 368:23] wire rvclkhdr_11_io_en; // @[lib.scala 368:23] wire rvclkhdr_11_io_scan_mode; // @[lib.scala 368:23] - wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[lsu_bus_buffer.scala 72:46] - wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[lsu_bus_buffer.scala 73:46] + wire [3:0] ldst_byteen_hi_m = io_ldst_byteen_ext_m[7:4]; // @[lsu_bus_buffer.scala 73:46] + wire [3:0] ldst_byteen_lo_m = io_ldst_byteen_ext_m[3:0]; // @[lsu_bus_buffer.scala 74:46] reg [31:0] buf_addr_0; // @[lib.scala 374:16] - wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 75:74] + wire _T_2 = io_lsu_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 76:74] reg _T_4360; // @[Reg.scala 27:20] reg _T_4357; // @[Reg.scala 27:20] reg _T_4354; // @[Reg.scala 27:20] reg _T_4351; // @[Reg.scala 27:20] wire [3:0] buf_write = {_T_4360,_T_4357,_T_4354,_T_4351}; // @[Cat.scala 29:58] - wire _T_4 = _T_2 & buf_write[0]; // @[lsu_bus_buffer.scala 75:98] + wire _T_4 = _T_2 & buf_write[0]; // @[lsu_bus_buffer.scala 76:98] reg [2:0] buf_state_0; // @[Reg.scala 27:20] - wire _T_5 = buf_state_0 != 3'h0; // @[lsu_bus_buffer.scala 75:129] - wire _T_6 = _T_4 & _T_5; // @[lsu_bus_buffer.scala 75:113] - wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 75:141] + wire _T_5 = buf_state_0 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_6 = _T_4 & _T_5; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_0 = _T_6 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] reg [31:0] buf_addr_1; // @[lib.scala 374:16] - wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 75:74] - wire _T_11 = _T_9 & buf_write[1]; // @[lsu_bus_buffer.scala 75:98] + wire _T_9 = io_lsu_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_11 = _T_9 & buf_write[1]; // @[lsu_bus_buffer.scala 76:98] reg [2:0] buf_state_1; // @[Reg.scala 27:20] - wire _T_12 = buf_state_1 != 3'h0; // @[lsu_bus_buffer.scala 75:129] - wire _T_13 = _T_11 & _T_12; // @[lsu_bus_buffer.scala 75:113] - wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 75:141] + wire _T_12 = buf_state_1 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_13 = _T_11 & _T_12; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_1 = _T_13 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] reg [31:0] buf_addr_2; // @[lib.scala 374:16] - wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 75:74] - wire _T_18 = _T_16 & buf_write[2]; // @[lsu_bus_buffer.scala 75:98] + wire _T_16 = io_lsu_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_18 = _T_16 & buf_write[2]; // @[lsu_bus_buffer.scala 76:98] reg [2:0] buf_state_2; // @[Reg.scala 27:20] - wire _T_19 = buf_state_2 != 3'h0; // @[lsu_bus_buffer.scala 75:129] - wire _T_20 = _T_18 & _T_19; // @[lsu_bus_buffer.scala 75:113] - wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 75:141] + wire _T_19 = buf_state_2 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_20 = _T_18 & _T_19; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_2 = _T_20 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] reg [31:0] buf_addr_3; // @[lib.scala 374:16] - wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 75:74] - wire _T_25 = _T_23 & buf_write[3]; // @[lsu_bus_buffer.scala 75:98] + wire _T_23 = io_lsu_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 76:74] + wire _T_25 = _T_23 & buf_write[3]; // @[lsu_bus_buffer.scala 76:98] reg [2:0] buf_state_3; // @[Reg.scala 27:20] - wire _T_26 = buf_state_3 != 3'h0; // @[lsu_bus_buffer.scala 75:129] - wire _T_27 = _T_25 & _T_26; // @[lsu_bus_buffer.scala 75:113] - wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 75:141] - wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 76:74] - wire _T_32 = _T_30 & buf_write[0]; // @[lsu_bus_buffer.scala 76:98] - wire _T_34 = _T_32 & _T_5; // @[lsu_bus_buffer.scala 76:113] - wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] - wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 76:74] - wire _T_39 = _T_37 & buf_write[1]; // @[lsu_bus_buffer.scala 76:98] - wire _T_41 = _T_39 & _T_12; // @[lsu_bus_buffer.scala 76:113] - wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] - wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 76:74] - wire _T_46 = _T_44 & buf_write[2]; // @[lsu_bus_buffer.scala 76:98] - wire _T_48 = _T_46 & _T_19; // @[lsu_bus_buffer.scala 76:113] - wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] - wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 76:74] - wire _T_53 = _T_51 & buf_write[3]; // @[lsu_bus_buffer.scala 76:98] - wire _T_55 = _T_53 & _T_26; // @[lsu_bus_buffer.scala 76:113] - wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + wire _T_26 = buf_state_3 != 3'h0; // @[lsu_bus_buffer.scala 76:129] + wire _T_27 = _T_25 & _T_26; // @[lsu_bus_buffer.scala 76:113] + wire ld_addr_hitvec_lo_3 = _T_27 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 76:141] + wire _T_30 = io_end_addr_m[31:2] == buf_addr_0[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_32 = _T_30 & buf_write[0]; // @[lsu_bus_buffer.scala 77:98] + wire _T_34 = _T_32 & _T_5; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_0 = _T_34 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + wire _T_37 = io_end_addr_m[31:2] == buf_addr_1[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_39 = _T_37 & buf_write[1]; // @[lsu_bus_buffer.scala 77:98] + wire _T_41 = _T_39 & _T_12; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_1 = _T_41 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + wire _T_44 = io_end_addr_m[31:2] == buf_addr_2[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_46 = _T_44 & buf_write[2]; // @[lsu_bus_buffer.scala 77:98] + wire _T_48 = _T_46 & _T_19; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_2 = _T_48 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] + wire _T_51 = io_end_addr_m[31:2] == buf_addr_3[31:2]; // @[lsu_bus_buffer.scala 77:74] + wire _T_53 = _T_51 & buf_write[3]; // @[lsu_bus_buffer.scala 77:98] + wire _T_55 = _T_53 & _T_26; // @[lsu_bus_buffer.scala 77:113] + wire ld_addr_hitvec_hi_3 = _T_55 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 77:141] reg [3:0] buf_byteen_3; // @[Reg.scala 27:20] - wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 140:95] - wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 140:114] + wire _T_99 = ld_addr_hitvec_lo_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_101 = _T_99 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] reg [3:0] buf_byteen_2; // @[Reg.scala 27:20] - wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 140:95] - wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 140:114] + wire _T_95 = ld_addr_hitvec_lo_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_97 = _T_95 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] reg [3:0] buf_byteen_1; // @[Reg.scala 27:20] - wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 140:95] - wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 140:114] + wire _T_91 = ld_addr_hitvec_lo_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_93 = _T_91 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] reg [3:0] buf_byteen_0; // @[Reg.scala 27:20] - wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 140:95] - wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 140:114] + wire _T_87 = ld_addr_hitvec_lo_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 141:95] + wire _T_89 = _T_87 & ldst_byteen_lo_m[0]; // @[lsu_bus_buffer.scala 141:114] wire [3:0] ld_byte_hitvec_lo_0 = {_T_101,_T_97,_T_93,_T_89}; // @[Cat.scala 29:58] reg [3:0] buf_ageQ_3; // @[lsu_bus_buffer.scala 499:60] wire _T_2621 = buf_state_3 == 3'h2; // @[lsu_bus_buffer.scala 411:93] @@ -68553,23 +68574,23 @@ module lsu_bus_buffer( wire _T_2711 = ~buf_age_3[0]; // @[lsu_bus_buffer.scala 412:89] wire _T_2713 = _T_2711 & _T_5; // @[lsu_bus_buffer.scala 412:104] wire [3:0] buf_age_younger_3 = {1'h0,_T_2725,_T_2719,_T_2713}; // @[Cat.scala 29:58] - wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 145:122] - wire _T_256 = |_T_255; // @[lsu_bus_buffer.scala 145:144] - wire _T_257 = ~_T_256; // @[lsu_bus_buffer.scala 145:99] - wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[lsu_bus_buffer.scala 145:97] + wire [3:0] _T_255 = ld_byte_hitvec_lo_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_256 = |_T_255; // @[lsu_bus_buffer.scala 146:144] + wire _T_257 = ~_T_256; // @[lsu_bus_buffer.scala 146:99] + wire _T_258 = ld_byte_hitvec_lo_0[3] & _T_257; // @[lsu_bus_buffer.scala 146:97] reg [31:0] ibuf_addr; // @[lib.scala 374:16] - wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 151:51] + wire _T_512 = io_lsu_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 152:51] reg ibuf_write; // @[Reg.scala 27:20] - wire _T_513 = _T_512 & ibuf_write; // @[lsu_bus_buffer.scala 151:73] - reg ibuf_valid; // @[lsu_bus_buffer.scala 238:54] - wire _T_514 = _T_513 & ibuf_valid; // @[lsu_bus_buffer.scala 151:86] - wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 151:99] + wire _T_513 = _T_512 & ibuf_write; // @[lsu_bus_buffer.scala 152:73] + reg ibuf_valid; // @[lsu_bus_buffer.scala 239:54] + wire _T_514 = _T_513 & ibuf_valid; // @[lsu_bus_buffer.scala 152:86] + wire ld_addr_ibuf_hit_lo = _T_514 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 152:99] wire [3:0] _T_521 = ld_addr_ibuf_hit_lo ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] reg [3:0] ibuf_byteen; // @[Reg.scala 27:20] - wire [3:0] _T_522 = _T_521 & ibuf_byteen; // @[lsu_bus_buffer.scala 156:55] - wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[lsu_bus_buffer.scala 156:69] - wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 145:150] - wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 145:148] + wire [3:0] _T_522 = _T_521 & ibuf_byteen; // @[lsu_bus_buffer.scala 157:55] + wire [3:0] ld_byte_ibuf_hit_lo = _T_522 & ldst_byteen_lo_m; // @[lsu_bus_buffer.scala 157:69] + wire _T_260 = ~ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 146:150] + wire _T_261 = _T_258 & _T_260; // @[lsu_bus_buffer.scala 146:148] reg [3:0] buf_ageQ_2; // @[lsu_bus_buffer.scala 499:60] wire _T_2601 = buf_ageQ_2[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] wire _T_2596 = buf_ageQ_2[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] @@ -68583,11 +68604,11 @@ module lsu_bus_buffer( wire _T_2684 = ~buf_age_2[0]; // @[lsu_bus_buffer.scala 412:89] wire _T_2686 = _T_2684 & _T_5; // @[lsu_bus_buffer.scala 412:104] wire [3:0] buf_age_younger_2 = {_T_2704,1'h0,_T_2692,_T_2686}; // @[Cat.scala 29:58] - wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 145:122] - wire _T_248 = |_T_247; // @[lsu_bus_buffer.scala 145:144] - wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 145:99] - wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 145:97] - wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 145:148] + wire [3:0] _T_247 = ld_byte_hitvec_lo_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_248 = |_T_247; // @[lsu_bus_buffer.scala 146:144] + wire _T_249 = ~_T_248; // @[lsu_bus_buffer.scala 146:99] + wire _T_250 = ld_byte_hitvec_lo_0[2] & _T_249; // @[lsu_bus_buffer.scala 146:97] + wire _T_253 = _T_250 & _T_260; // @[lsu_bus_buffer.scala 146:148] reg [3:0] buf_ageQ_1; // @[lsu_bus_buffer.scala 499:60] wire _T_2578 = buf_ageQ_1[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] wire _T_2573 = buf_ageQ_1[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] @@ -68601,11 +68622,11 @@ module lsu_bus_buffer( wire _T_2657 = ~buf_age_1[0]; // @[lsu_bus_buffer.scala 412:89] wire _T_2659 = _T_2657 & _T_5; // @[lsu_bus_buffer.scala 412:104] wire [3:0] buf_age_younger_1 = {_T_2677,_T_2671,1'h0,_T_2659}; // @[Cat.scala 29:58] - wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 145:122] - wire _T_240 = |_T_239; // @[lsu_bus_buffer.scala 145:144] - wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 145:99] - wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 145:97] - wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 145:148] + wire [3:0] _T_239 = ld_byte_hitvec_lo_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_240 = |_T_239; // @[lsu_bus_buffer.scala 146:144] + wire _T_241 = ~_T_240; // @[lsu_bus_buffer.scala 146:99] + wire _T_242 = ld_byte_hitvec_lo_0[1] & _T_241; // @[lsu_bus_buffer.scala 146:97] + wire _T_245 = _T_242 & _T_260; // @[lsu_bus_buffer.scala 146:148] reg [3:0] buf_ageQ_0; // @[lsu_bus_buffer.scala 499:60] wire _T_2555 = buf_ageQ_0[3] & _T_2623; // @[lsu_bus_buffer.scala 411:76] wire _T_2550 = buf_ageQ_0[2] & _T_2618; // @[lsu_bus_buffer.scala 411:76] @@ -68619,253 +68640,253 @@ module lsu_bus_buffer( wire _T_2636 = ~buf_age_0[1]; // @[lsu_bus_buffer.scala 412:89] wire _T_2638 = _T_2636 & _T_12; // @[lsu_bus_buffer.scala 412:104] wire [3:0] buf_age_younger_0 = {_T_2650,_T_2644,_T_2638,1'h0}; // @[Cat.scala 29:58] - wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 145:122] - wire _T_232 = |_T_231; // @[lsu_bus_buffer.scala 145:144] - wire _T_233 = ~_T_232; // @[lsu_bus_buffer.scala 145:99] - wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[lsu_bus_buffer.scala 145:97] - wire _T_237 = _T_234 & _T_260; // @[lsu_bus_buffer.scala 145:148] + wire [3:0] _T_231 = ld_byte_hitvec_lo_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_232 = |_T_231; // @[lsu_bus_buffer.scala 146:144] + wire _T_233 = ~_T_232; // @[lsu_bus_buffer.scala 146:99] + wire _T_234 = ld_byte_hitvec_lo_0[0] & _T_233; // @[lsu_bus_buffer.scala 146:97] + wire _T_237 = _T_234 & _T_260; // @[lsu_bus_buffer.scala 146:148] wire [3:0] ld_byte_hitvecfn_lo_0 = {_T_261,_T_253,_T_245,_T_237}; // @[Cat.scala 29:58] - wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[lsu_bus_buffer.scala 137:73] - wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 137:77] - wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 140:95] - wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 140:114] - wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 140:95] - wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 140:114] - wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 140:95] - wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 140:114] - wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 140:95] - wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 140:114] + wire _T_56 = |ld_byte_hitvecfn_lo_0; // @[lsu_bus_buffer.scala 138:73] + wire _T_58 = _T_56 | ld_byte_ibuf_hit_lo[0]; // @[lsu_bus_buffer.scala 138:77] + wire _T_117 = ld_addr_hitvec_lo_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_119 = _T_117 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_113 = ld_addr_hitvec_lo_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_115 = _T_113 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_109 = ld_addr_hitvec_lo_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_111 = _T_109 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_105 = ld_addr_hitvec_lo_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 141:95] + wire _T_107 = _T_105 & ldst_byteen_lo_m[1]; // @[lsu_bus_buffer.scala 141:114] wire [3:0] ld_byte_hitvec_lo_1 = {_T_119,_T_115,_T_111,_T_107}; // @[Cat.scala 29:58] - wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 145:122] - wire _T_291 = |_T_290; // @[lsu_bus_buffer.scala 145:144] - wire _T_292 = ~_T_291; // @[lsu_bus_buffer.scala 145:99] - wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[lsu_bus_buffer.scala 145:97] - wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 145:150] - wire _T_296 = _T_293 & _T_295; // @[lsu_bus_buffer.scala 145:148] - wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 145:122] - wire _T_283 = |_T_282; // @[lsu_bus_buffer.scala 145:144] - wire _T_284 = ~_T_283; // @[lsu_bus_buffer.scala 145:99] - wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[lsu_bus_buffer.scala 145:97] - wire _T_288 = _T_285 & _T_295; // @[lsu_bus_buffer.scala 145:148] - wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 145:122] - wire _T_275 = |_T_274; // @[lsu_bus_buffer.scala 145:144] - wire _T_276 = ~_T_275; // @[lsu_bus_buffer.scala 145:99] - wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[lsu_bus_buffer.scala 145:97] - wire _T_280 = _T_277 & _T_295; // @[lsu_bus_buffer.scala 145:148] - wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 145:122] - wire _T_267 = |_T_266; // @[lsu_bus_buffer.scala 145:144] - wire _T_268 = ~_T_267; // @[lsu_bus_buffer.scala 145:99] - wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[lsu_bus_buffer.scala 145:97] - wire _T_272 = _T_269 & _T_295; // @[lsu_bus_buffer.scala 145:148] + wire [3:0] _T_290 = ld_byte_hitvec_lo_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_291 = |_T_290; // @[lsu_bus_buffer.scala 146:144] + wire _T_292 = ~_T_291; // @[lsu_bus_buffer.scala 146:99] + wire _T_293 = ld_byte_hitvec_lo_1[3] & _T_292; // @[lsu_bus_buffer.scala 146:97] + wire _T_295 = ~ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 146:150] + wire _T_296 = _T_293 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_282 = ld_byte_hitvec_lo_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_283 = |_T_282; // @[lsu_bus_buffer.scala 146:144] + wire _T_284 = ~_T_283; // @[lsu_bus_buffer.scala 146:99] + wire _T_285 = ld_byte_hitvec_lo_1[2] & _T_284; // @[lsu_bus_buffer.scala 146:97] + wire _T_288 = _T_285 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_274 = ld_byte_hitvec_lo_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_275 = |_T_274; // @[lsu_bus_buffer.scala 146:144] + wire _T_276 = ~_T_275; // @[lsu_bus_buffer.scala 146:99] + wire _T_277 = ld_byte_hitvec_lo_1[1] & _T_276; // @[lsu_bus_buffer.scala 146:97] + wire _T_280 = _T_277 & _T_295; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_266 = ld_byte_hitvec_lo_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_267 = |_T_266; // @[lsu_bus_buffer.scala 146:144] + wire _T_268 = ~_T_267; // @[lsu_bus_buffer.scala 146:99] + wire _T_269 = ld_byte_hitvec_lo_1[0] & _T_268; // @[lsu_bus_buffer.scala 146:97] + wire _T_272 = _T_269 & _T_295; // @[lsu_bus_buffer.scala 146:148] wire [3:0] ld_byte_hitvecfn_lo_1 = {_T_296,_T_288,_T_280,_T_272}; // @[Cat.scala 29:58] - wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[lsu_bus_buffer.scala 137:73] - wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 137:77] - wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 140:95] - wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 140:114] - wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 140:95] - wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 140:114] - wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 140:95] - wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 140:114] - wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 140:95] - wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 140:114] + wire _T_59 = |ld_byte_hitvecfn_lo_1; // @[lsu_bus_buffer.scala 138:73] + wire _T_61 = _T_59 | ld_byte_ibuf_hit_lo[1]; // @[lsu_bus_buffer.scala 138:77] + wire _T_135 = ld_addr_hitvec_lo_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_137 = _T_135 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_131 = ld_addr_hitvec_lo_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_133 = _T_131 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_127 = ld_addr_hitvec_lo_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_129 = _T_127 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_123 = ld_addr_hitvec_lo_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 141:95] + wire _T_125 = _T_123 & ldst_byteen_lo_m[2]; // @[lsu_bus_buffer.scala 141:114] wire [3:0] ld_byte_hitvec_lo_2 = {_T_137,_T_133,_T_129,_T_125}; // @[Cat.scala 29:58] - wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 145:122] - wire _T_326 = |_T_325; // @[lsu_bus_buffer.scala 145:144] - wire _T_327 = ~_T_326; // @[lsu_bus_buffer.scala 145:99] - wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[lsu_bus_buffer.scala 145:97] - wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 145:150] - wire _T_331 = _T_328 & _T_330; // @[lsu_bus_buffer.scala 145:148] - wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 145:122] - wire _T_318 = |_T_317; // @[lsu_bus_buffer.scala 145:144] - wire _T_319 = ~_T_318; // @[lsu_bus_buffer.scala 145:99] - wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[lsu_bus_buffer.scala 145:97] - wire _T_323 = _T_320 & _T_330; // @[lsu_bus_buffer.scala 145:148] - wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 145:122] - wire _T_310 = |_T_309; // @[lsu_bus_buffer.scala 145:144] - wire _T_311 = ~_T_310; // @[lsu_bus_buffer.scala 145:99] - wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[lsu_bus_buffer.scala 145:97] - wire _T_315 = _T_312 & _T_330; // @[lsu_bus_buffer.scala 145:148] - wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 145:122] - wire _T_302 = |_T_301; // @[lsu_bus_buffer.scala 145:144] - wire _T_303 = ~_T_302; // @[lsu_bus_buffer.scala 145:99] - wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[lsu_bus_buffer.scala 145:97] - wire _T_307 = _T_304 & _T_330; // @[lsu_bus_buffer.scala 145:148] + wire [3:0] _T_325 = ld_byte_hitvec_lo_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_326 = |_T_325; // @[lsu_bus_buffer.scala 146:144] + wire _T_327 = ~_T_326; // @[lsu_bus_buffer.scala 146:99] + wire _T_328 = ld_byte_hitvec_lo_2[3] & _T_327; // @[lsu_bus_buffer.scala 146:97] + wire _T_330 = ~ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 146:150] + wire _T_331 = _T_328 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_317 = ld_byte_hitvec_lo_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_318 = |_T_317; // @[lsu_bus_buffer.scala 146:144] + wire _T_319 = ~_T_318; // @[lsu_bus_buffer.scala 146:99] + wire _T_320 = ld_byte_hitvec_lo_2[2] & _T_319; // @[lsu_bus_buffer.scala 146:97] + wire _T_323 = _T_320 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_309 = ld_byte_hitvec_lo_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_310 = |_T_309; // @[lsu_bus_buffer.scala 146:144] + wire _T_311 = ~_T_310; // @[lsu_bus_buffer.scala 146:99] + wire _T_312 = ld_byte_hitvec_lo_2[1] & _T_311; // @[lsu_bus_buffer.scala 146:97] + wire _T_315 = _T_312 & _T_330; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_301 = ld_byte_hitvec_lo_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_302 = |_T_301; // @[lsu_bus_buffer.scala 146:144] + wire _T_303 = ~_T_302; // @[lsu_bus_buffer.scala 146:99] + wire _T_304 = ld_byte_hitvec_lo_2[0] & _T_303; // @[lsu_bus_buffer.scala 146:97] + wire _T_307 = _T_304 & _T_330; // @[lsu_bus_buffer.scala 146:148] wire [3:0] ld_byte_hitvecfn_lo_2 = {_T_331,_T_323,_T_315,_T_307}; // @[Cat.scala 29:58] - wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[lsu_bus_buffer.scala 137:73] - wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 137:77] - wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 140:95] - wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 140:114] - wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 140:95] - wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 140:114] - wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 140:95] - wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 140:114] - wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 140:95] - wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 140:114] + wire _T_62 = |ld_byte_hitvecfn_lo_2; // @[lsu_bus_buffer.scala 138:73] + wire _T_64 = _T_62 | ld_byte_ibuf_hit_lo[2]; // @[lsu_bus_buffer.scala 138:77] + wire _T_153 = ld_addr_hitvec_lo_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_155 = _T_153 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_149 = ld_addr_hitvec_lo_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_151 = _T_149 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_145 = ld_addr_hitvec_lo_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_147 = _T_145 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_141 = ld_addr_hitvec_lo_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 141:95] + wire _T_143 = _T_141 & ldst_byteen_lo_m[3]; // @[lsu_bus_buffer.scala 141:114] wire [3:0] ld_byte_hitvec_lo_3 = {_T_155,_T_151,_T_147,_T_143}; // @[Cat.scala 29:58] - wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 145:122] - wire _T_361 = |_T_360; // @[lsu_bus_buffer.scala 145:144] - wire _T_362 = ~_T_361; // @[lsu_bus_buffer.scala 145:99] - wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[lsu_bus_buffer.scala 145:97] - wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 145:150] - wire _T_366 = _T_363 & _T_365; // @[lsu_bus_buffer.scala 145:148] - wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 145:122] - wire _T_353 = |_T_352; // @[lsu_bus_buffer.scala 145:144] - wire _T_354 = ~_T_353; // @[lsu_bus_buffer.scala 145:99] - wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[lsu_bus_buffer.scala 145:97] - wire _T_358 = _T_355 & _T_365; // @[lsu_bus_buffer.scala 145:148] - wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 145:122] - wire _T_345 = |_T_344; // @[lsu_bus_buffer.scala 145:144] - wire _T_346 = ~_T_345; // @[lsu_bus_buffer.scala 145:99] - wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[lsu_bus_buffer.scala 145:97] - wire _T_350 = _T_347 & _T_365; // @[lsu_bus_buffer.scala 145:148] - wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 145:122] - wire _T_337 = |_T_336; // @[lsu_bus_buffer.scala 145:144] - wire _T_338 = ~_T_337; // @[lsu_bus_buffer.scala 145:99] - wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[lsu_bus_buffer.scala 145:97] - wire _T_342 = _T_339 & _T_365; // @[lsu_bus_buffer.scala 145:148] + wire [3:0] _T_360 = ld_byte_hitvec_lo_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] + wire _T_361 = |_T_360; // @[lsu_bus_buffer.scala 146:144] + wire _T_362 = ~_T_361; // @[lsu_bus_buffer.scala 146:99] + wire _T_363 = ld_byte_hitvec_lo_3[3] & _T_362; // @[lsu_bus_buffer.scala 146:97] + wire _T_365 = ~ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 146:150] + wire _T_366 = _T_363 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_352 = ld_byte_hitvec_lo_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] + wire _T_353 = |_T_352; // @[lsu_bus_buffer.scala 146:144] + wire _T_354 = ~_T_353; // @[lsu_bus_buffer.scala 146:99] + wire _T_355 = ld_byte_hitvec_lo_3[2] & _T_354; // @[lsu_bus_buffer.scala 146:97] + wire _T_358 = _T_355 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_344 = ld_byte_hitvec_lo_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] + wire _T_345 = |_T_344; // @[lsu_bus_buffer.scala 146:144] + wire _T_346 = ~_T_345; // @[lsu_bus_buffer.scala 146:99] + wire _T_347 = ld_byte_hitvec_lo_3[1] & _T_346; // @[lsu_bus_buffer.scala 146:97] + wire _T_350 = _T_347 & _T_365; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_336 = ld_byte_hitvec_lo_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] + wire _T_337 = |_T_336; // @[lsu_bus_buffer.scala 146:144] + wire _T_338 = ~_T_337; // @[lsu_bus_buffer.scala 146:99] + wire _T_339 = ld_byte_hitvec_lo_3[0] & _T_338; // @[lsu_bus_buffer.scala 146:97] + wire _T_342 = _T_339 & _T_365; // @[lsu_bus_buffer.scala 146:148] wire [3:0] ld_byte_hitvecfn_lo_3 = {_T_366,_T_358,_T_350,_T_342}; // @[Cat.scala 29:58] - wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[lsu_bus_buffer.scala 137:73] - wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 137:77] + wire _T_65 = |ld_byte_hitvecfn_lo_3; // @[lsu_bus_buffer.scala 138:73] + wire _T_67 = _T_65 | ld_byte_ibuf_hit_lo[3]; // @[lsu_bus_buffer.scala 138:77] wire [2:0] _T_69 = {_T_67,_T_64,_T_61}; // @[Cat.scala 29:58] - wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 141:95] - wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 141:114] - wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 141:95] - wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 141:114] - wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 141:95] - wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 141:114] - wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 141:95] - wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 141:114] + wire _T_171 = ld_addr_hitvec_hi_3 & buf_byteen_3[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_173 = _T_171 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire _T_167 = ld_addr_hitvec_hi_2 & buf_byteen_2[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_169 = _T_167 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire _T_163 = ld_addr_hitvec_hi_1 & buf_byteen_1[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_165 = _T_163 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] + wire _T_159 = ld_addr_hitvec_hi_0 & buf_byteen_0[0]; // @[lsu_bus_buffer.scala 142:95] + wire _T_161 = _T_159 & ldst_byteen_hi_m[0]; // @[lsu_bus_buffer.scala 142:114] wire [3:0] ld_byte_hitvec_hi_0 = {_T_173,_T_169,_T_165,_T_161}; // @[Cat.scala 29:58] - wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] - wire _T_396 = |_T_395; // @[lsu_bus_buffer.scala 146:144] - wire _T_397 = ~_T_396; // @[lsu_bus_buffer.scala 146:99] - wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[lsu_bus_buffer.scala 146:97] - wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 152:51] - wire _T_518 = _T_517 & ibuf_write; // @[lsu_bus_buffer.scala 152:73] - wire _T_519 = _T_518 & ibuf_valid; // @[lsu_bus_buffer.scala 152:86] - wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 152:99] + wire [3:0] _T_395 = ld_byte_hitvec_hi_0 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_396 = |_T_395; // @[lsu_bus_buffer.scala 147:144] + wire _T_397 = ~_T_396; // @[lsu_bus_buffer.scala 147:99] + wire _T_398 = ld_byte_hitvec_hi_0[3] & _T_397; // @[lsu_bus_buffer.scala 147:97] + wire _T_517 = io_end_addr_m[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 153:51] + wire _T_518 = _T_517 & ibuf_write; // @[lsu_bus_buffer.scala 153:73] + wire _T_519 = _T_518 & ibuf_valid; // @[lsu_bus_buffer.scala 153:86] + wire ld_addr_ibuf_hit_hi = _T_519 & io_lsu_busreq_m; // @[lsu_bus_buffer.scala 153:99] wire [3:0] _T_525 = ld_addr_ibuf_hit_hi ? 4'hf : 4'h0; // @[Bitwise.scala 72:12] - wire [3:0] _T_526 = _T_525 & ibuf_byteen; // @[lsu_bus_buffer.scala 157:55] - wire [3:0] ld_byte_ibuf_hit_hi = _T_526 & ldst_byteen_hi_m; // @[lsu_bus_buffer.scala 157:69] - wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 146:150] - wire _T_401 = _T_398 & _T_400; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] - wire _T_388 = |_T_387; // @[lsu_bus_buffer.scala 146:144] - wire _T_389 = ~_T_388; // @[lsu_bus_buffer.scala 146:99] - wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[lsu_bus_buffer.scala 146:97] - wire _T_393 = _T_390 & _T_400; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] - wire _T_380 = |_T_379; // @[lsu_bus_buffer.scala 146:144] - wire _T_381 = ~_T_380; // @[lsu_bus_buffer.scala 146:99] - wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[lsu_bus_buffer.scala 146:97] - wire _T_385 = _T_382 & _T_400; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] - wire _T_372 = |_T_371; // @[lsu_bus_buffer.scala 146:144] - wire _T_373 = ~_T_372; // @[lsu_bus_buffer.scala 146:99] - wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[lsu_bus_buffer.scala 146:97] - wire _T_377 = _T_374 & _T_400; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_526 = _T_525 & ibuf_byteen; // @[lsu_bus_buffer.scala 158:55] + wire [3:0] ld_byte_ibuf_hit_hi = _T_526 & ldst_byteen_hi_m; // @[lsu_bus_buffer.scala 158:69] + wire _T_400 = ~ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 147:150] + wire _T_401 = _T_398 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_387 = ld_byte_hitvec_hi_0 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_388 = |_T_387; // @[lsu_bus_buffer.scala 147:144] + wire _T_389 = ~_T_388; // @[lsu_bus_buffer.scala 147:99] + wire _T_390 = ld_byte_hitvec_hi_0[2] & _T_389; // @[lsu_bus_buffer.scala 147:97] + wire _T_393 = _T_390 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_379 = ld_byte_hitvec_hi_0 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_380 = |_T_379; // @[lsu_bus_buffer.scala 147:144] + wire _T_381 = ~_T_380; // @[lsu_bus_buffer.scala 147:99] + wire _T_382 = ld_byte_hitvec_hi_0[1] & _T_381; // @[lsu_bus_buffer.scala 147:97] + wire _T_385 = _T_382 & _T_400; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_371 = ld_byte_hitvec_hi_0 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_372 = |_T_371; // @[lsu_bus_buffer.scala 147:144] + wire _T_373 = ~_T_372; // @[lsu_bus_buffer.scala 147:99] + wire _T_374 = ld_byte_hitvec_hi_0[0] & _T_373; // @[lsu_bus_buffer.scala 147:97] + wire _T_377 = _T_374 & _T_400; // @[lsu_bus_buffer.scala 147:148] wire [3:0] ld_byte_hitvecfn_hi_0 = {_T_401,_T_393,_T_385,_T_377}; // @[Cat.scala 29:58] - wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[lsu_bus_buffer.scala 138:73] - wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 138:77] - wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 141:95] - wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 141:114] - wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 141:95] - wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 141:114] - wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 141:95] - wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 141:114] - wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 141:95] - wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 141:114] + wire _T_71 = |ld_byte_hitvecfn_hi_0; // @[lsu_bus_buffer.scala 139:73] + wire _T_73 = _T_71 | ld_byte_ibuf_hit_hi[0]; // @[lsu_bus_buffer.scala 139:77] + wire _T_189 = ld_addr_hitvec_hi_3 & buf_byteen_3[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_191 = _T_189 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire _T_185 = ld_addr_hitvec_hi_2 & buf_byteen_2[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_187 = _T_185 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire _T_181 = ld_addr_hitvec_hi_1 & buf_byteen_1[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_183 = _T_181 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] + wire _T_177 = ld_addr_hitvec_hi_0 & buf_byteen_0[1]; // @[lsu_bus_buffer.scala 142:95] + wire _T_179 = _T_177 & ldst_byteen_hi_m[1]; // @[lsu_bus_buffer.scala 142:114] wire [3:0] ld_byte_hitvec_hi_1 = {_T_191,_T_187,_T_183,_T_179}; // @[Cat.scala 29:58] - wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] - wire _T_431 = |_T_430; // @[lsu_bus_buffer.scala 146:144] - wire _T_432 = ~_T_431; // @[lsu_bus_buffer.scala 146:99] - wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[lsu_bus_buffer.scala 146:97] - wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 146:150] - wire _T_436 = _T_433 & _T_435; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] - wire _T_423 = |_T_422; // @[lsu_bus_buffer.scala 146:144] - wire _T_424 = ~_T_423; // @[lsu_bus_buffer.scala 146:99] - wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[lsu_bus_buffer.scala 146:97] - wire _T_428 = _T_425 & _T_435; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] - wire _T_415 = |_T_414; // @[lsu_bus_buffer.scala 146:144] - wire _T_416 = ~_T_415; // @[lsu_bus_buffer.scala 146:99] - wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[lsu_bus_buffer.scala 146:97] - wire _T_420 = _T_417 & _T_435; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] - wire _T_407 = |_T_406; // @[lsu_bus_buffer.scala 146:144] - wire _T_408 = ~_T_407; // @[lsu_bus_buffer.scala 146:99] - wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[lsu_bus_buffer.scala 146:97] - wire _T_412 = _T_409 & _T_435; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_430 = ld_byte_hitvec_hi_1 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_431 = |_T_430; // @[lsu_bus_buffer.scala 147:144] + wire _T_432 = ~_T_431; // @[lsu_bus_buffer.scala 147:99] + wire _T_433 = ld_byte_hitvec_hi_1[3] & _T_432; // @[lsu_bus_buffer.scala 147:97] + wire _T_435 = ~ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 147:150] + wire _T_436 = _T_433 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_422 = ld_byte_hitvec_hi_1 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_423 = |_T_422; // @[lsu_bus_buffer.scala 147:144] + wire _T_424 = ~_T_423; // @[lsu_bus_buffer.scala 147:99] + wire _T_425 = ld_byte_hitvec_hi_1[2] & _T_424; // @[lsu_bus_buffer.scala 147:97] + wire _T_428 = _T_425 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_414 = ld_byte_hitvec_hi_1 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_415 = |_T_414; // @[lsu_bus_buffer.scala 147:144] + wire _T_416 = ~_T_415; // @[lsu_bus_buffer.scala 147:99] + wire _T_417 = ld_byte_hitvec_hi_1[1] & _T_416; // @[lsu_bus_buffer.scala 147:97] + wire _T_420 = _T_417 & _T_435; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_406 = ld_byte_hitvec_hi_1 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_407 = |_T_406; // @[lsu_bus_buffer.scala 147:144] + wire _T_408 = ~_T_407; // @[lsu_bus_buffer.scala 147:99] + wire _T_409 = ld_byte_hitvec_hi_1[0] & _T_408; // @[lsu_bus_buffer.scala 147:97] + wire _T_412 = _T_409 & _T_435; // @[lsu_bus_buffer.scala 147:148] wire [3:0] ld_byte_hitvecfn_hi_1 = {_T_436,_T_428,_T_420,_T_412}; // @[Cat.scala 29:58] - wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[lsu_bus_buffer.scala 138:73] - wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 138:77] - wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 141:95] - wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 141:114] - wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 141:95] - wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 141:114] - wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 141:95] - wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 141:114] - wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 141:95] - wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 141:114] + wire _T_74 = |ld_byte_hitvecfn_hi_1; // @[lsu_bus_buffer.scala 139:73] + wire _T_76 = _T_74 | ld_byte_ibuf_hit_hi[1]; // @[lsu_bus_buffer.scala 139:77] + wire _T_207 = ld_addr_hitvec_hi_3 & buf_byteen_3[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_209 = _T_207 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire _T_203 = ld_addr_hitvec_hi_2 & buf_byteen_2[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_205 = _T_203 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire _T_199 = ld_addr_hitvec_hi_1 & buf_byteen_1[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_201 = _T_199 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] + wire _T_195 = ld_addr_hitvec_hi_0 & buf_byteen_0[2]; // @[lsu_bus_buffer.scala 142:95] + wire _T_197 = _T_195 & ldst_byteen_hi_m[2]; // @[lsu_bus_buffer.scala 142:114] wire [3:0] ld_byte_hitvec_hi_2 = {_T_209,_T_205,_T_201,_T_197}; // @[Cat.scala 29:58] - wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] - wire _T_466 = |_T_465; // @[lsu_bus_buffer.scala 146:144] - wire _T_467 = ~_T_466; // @[lsu_bus_buffer.scala 146:99] - wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[lsu_bus_buffer.scala 146:97] - wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 146:150] - wire _T_471 = _T_468 & _T_470; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] - wire _T_458 = |_T_457; // @[lsu_bus_buffer.scala 146:144] - wire _T_459 = ~_T_458; // @[lsu_bus_buffer.scala 146:99] - wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[lsu_bus_buffer.scala 146:97] - wire _T_463 = _T_460 & _T_470; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] - wire _T_450 = |_T_449; // @[lsu_bus_buffer.scala 146:144] - wire _T_451 = ~_T_450; // @[lsu_bus_buffer.scala 146:99] - wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[lsu_bus_buffer.scala 146:97] - wire _T_455 = _T_452 & _T_470; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] - wire _T_442 = |_T_441; // @[lsu_bus_buffer.scala 146:144] - wire _T_443 = ~_T_442; // @[lsu_bus_buffer.scala 146:99] - wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[lsu_bus_buffer.scala 146:97] - wire _T_447 = _T_444 & _T_470; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_465 = ld_byte_hitvec_hi_2 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_466 = |_T_465; // @[lsu_bus_buffer.scala 147:144] + wire _T_467 = ~_T_466; // @[lsu_bus_buffer.scala 147:99] + wire _T_468 = ld_byte_hitvec_hi_2[3] & _T_467; // @[lsu_bus_buffer.scala 147:97] + wire _T_470 = ~ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 147:150] + wire _T_471 = _T_468 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_457 = ld_byte_hitvec_hi_2 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_458 = |_T_457; // @[lsu_bus_buffer.scala 147:144] + wire _T_459 = ~_T_458; // @[lsu_bus_buffer.scala 147:99] + wire _T_460 = ld_byte_hitvec_hi_2[2] & _T_459; // @[lsu_bus_buffer.scala 147:97] + wire _T_463 = _T_460 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_449 = ld_byte_hitvec_hi_2 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_450 = |_T_449; // @[lsu_bus_buffer.scala 147:144] + wire _T_451 = ~_T_450; // @[lsu_bus_buffer.scala 147:99] + wire _T_452 = ld_byte_hitvec_hi_2[1] & _T_451; // @[lsu_bus_buffer.scala 147:97] + wire _T_455 = _T_452 & _T_470; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_441 = ld_byte_hitvec_hi_2 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_442 = |_T_441; // @[lsu_bus_buffer.scala 147:144] + wire _T_443 = ~_T_442; // @[lsu_bus_buffer.scala 147:99] + wire _T_444 = ld_byte_hitvec_hi_2[0] & _T_443; // @[lsu_bus_buffer.scala 147:97] + wire _T_447 = _T_444 & _T_470; // @[lsu_bus_buffer.scala 147:148] wire [3:0] ld_byte_hitvecfn_hi_2 = {_T_471,_T_463,_T_455,_T_447}; // @[Cat.scala 29:58] - wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[lsu_bus_buffer.scala 138:73] - wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 138:77] - wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 141:95] - wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 141:114] - wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 141:95] - wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 141:114] - wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 141:95] - wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 141:114] - wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 141:95] - wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 141:114] + wire _T_77 = |ld_byte_hitvecfn_hi_2; // @[lsu_bus_buffer.scala 139:73] + wire _T_79 = _T_77 | ld_byte_ibuf_hit_hi[2]; // @[lsu_bus_buffer.scala 139:77] + wire _T_225 = ld_addr_hitvec_hi_3 & buf_byteen_3[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_227 = _T_225 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire _T_221 = ld_addr_hitvec_hi_2 & buf_byteen_2[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_223 = _T_221 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire _T_217 = ld_addr_hitvec_hi_1 & buf_byteen_1[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_219 = _T_217 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] + wire _T_213 = ld_addr_hitvec_hi_0 & buf_byteen_0[3]; // @[lsu_bus_buffer.scala 142:95] + wire _T_215 = _T_213 & ldst_byteen_hi_m[3]; // @[lsu_bus_buffer.scala 142:114] wire [3:0] ld_byte_hitvec_hi_3 = {_T_227,_T_223,_T_219,_T_215}; // @[Cat.scala 29:58] - wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 146:122] - wire _T_501 = |_T_500; // @[lsu_bus_buffer.scala 146:144] - wire _T_502 = ~_T_501; // @[lsu_bus_buffer.scala 146:99] - wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[lsu_bus_buffer.scala 146:97] - wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 146:150] - wire _T_506 = _T_503 & _T_505; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 146:122] - wire _T_493 = |_T_492; // @[lsu_bus_buffer.scala 146:144] - wire _T_494 = ~_T_493; // @[lsu_bus_buffer.scala 146:99] - wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[lsu_bus_buffer.scala 146:97] - wire _T_498 = _T_495 & _T_505; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 146:122] - wire _T_485 = |_T_484; // @[lsu_bus_buffer.scala 146:144] - wire _T_486 = ~_T_485; // @[lsu_bus_buffer.scala 146:99] - wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[lsu_bus_buffer.scala 146:97] - wire _T_490 = _T_487 & _T_505; // @[lsu_bus_buffer.scala 146:148] - wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 146:122] - wire _T_477 = |_T_476; // @[lsu_bus_buffer.scala 146:144] - wire _T_478 = ~_T_477; // @[lsu_bus_buffer.scala 146:99] - wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[lsu_bus_buffer.scala 146:97] - wire _T_482 = _T_479 & _T_505; // @[lsu_bus_buffer.scala 146:148] + wire [3:0] _T_500 = ld_byte_hitvec_hi_3 & buf_age_younger_3; // @[lsu_bus_buffer.scala 147:122] + wire _T_501 = |_T_500; // @[lsu_bus_buffer.scala 147:144] + wire _T_502 = ~_T_501; // @[lsu_bus_buffer.scala 147:99] + wire _T_503 = ld_byte_hitvec_hi_3[3] & _T_502; // @[lsu_bus_buffer.scala 147:97] + wire _T_505 = ~ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 147:150] + wire _T_506 = _T_503 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_492 = ld_byte_hitvec_hi_3 & buf_age_younger_2; // @[lsu_bus_buffer.scala 147:122] + wire _T_493 = |_T_492; // @[lsu_bus_buffer.scala 147:144] + wire _T_494 = ~_T_493; // @[lsu_bus_buffer.scala 147:99] + wire _T_495 = ld_byte_hitvec_hi_3[2] & _T_494; // @[lsu_bus_buffer.scala 147:97] + wire _T_498 = _T_495 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_484 = ld_byte_hitvec_hi_3 & buf_age_younger_1; // @[lsu_bus_buffer.scala 147:122] + wire _T_485 = |_T_484; // @[lsu_bus_buffer.scala 147:144] + wire _T_486 = ~_T_485; // @[lsu_bus_buffer.scala 147:99] + wire _T_487 = ld_byte_hitvec_hi_3[1] & _T_486; // @[lsu_bus_buffer.scala 147:97] + wire _T_490 = _T_487 & _T_505; // @[lsu_bus_buffer.scala 147:148] + wire [3:0] _T_476 = ld_byte_hitvec_hi_3 & buf_age_younger_0; // @[lsu_bus_buffer.scala 147:122] + wire _T_477 = |_T_476; // @[lsu_bus_buffer.scala 147:144] + wire _T_478 = ~_T_477; // @[lsu_bus_buffer.scala 147:99] + wire _T_479 = ld_byte_hitvec_hi_3[0] & _T_478; // @[lsu_bus_buffer.scala 147:97] + wire _T_482 = _T_479 & _T_505; // @[lsu_bus_buffer.scala 147:148] wire [3:0] ld_byte_hitvecfn_hi_3 = {_T_506,_T_498,_T_490,_T_482}; // @[Cat.scala 29:58] - wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[lsu_bus_buffer.scala 138:73] - wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 138:77] + wire _T_80 = |ld_byte_hitvecfn_hi_3; // @[lsu_bus_buffer.scala 139:73] + wire _T_82 = _T_80 | ld_byte_ibuf_hit_hi[3]; // @[lsu_bus_buffer.scala 139:77] wire [2:0] _T_84 = {_T_82,_T_79,_T_76}; // @[Cat.scala 29:58] wire [7:0] _T_530 = ld_byte_ibuf_hit_lo[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] wire [7:0] _T_533 = ld_byte_ibuf_hit_lo[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] @@ -68879,112 +68900,112 @@ module lsu_bus_buffer( wire [31:0] ld_fwddata_buf_hi_initial = {_T_553,_T_550,_T_547,_T_544}; // @[Cat.scala 29:58] wire [7:0] _T_558 = ld_byte_hitvecfn_lo_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_0; // @[lib.scala 374:16] - wire [7:0] _T_560 = _T_558 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 164:91] + wire [7:0] _T_560 = _T_558 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 165:91] wire [7:0] _T_563 = ld_byte_hitvecfn_lo_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_1; // @[lib.scala 374:16] - wire [7:0] _T_565 = _T_563 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 164:91] + wire [7:0] _T_565 = _T_563 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 165:91] wire [7:0] _T_568 = ld_byte_hitvecfn_lo_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_2; // @[lib.scala 374:16] - wire [7:0] _T_570 = _T_568 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 164:91] + wire [7:0] _T_570 = _T_568 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 165:91] wire [7:0] _T_573 = ld_byte_hitvecfn_lo_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] reg [31:0] buf_data_3; // @[lib.scala 374:16] - wire [7:0] _T_575 = _T_573 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 164:91] - wire [7:0] _T_576 = _T_560 | _T_565; // @[lsu_bus_buffer.scala 164:123] - wire [7:0] _T_577 = _T_576 | _T_570; // @[lsu_bus_buffer.scala 164:123] - wire [7:0] _T_578 = _T_577 | _T_575; // @[lsu_bus_buffer.scala 164:123] + wire [7:0] _T_575 = _T_573 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 165:91] + wire [7:0] _T_576 = _T_560 | _T_565; // @[lsu_bus_buffer.scala 165:123] + wire [7:0] _T_577 = _T_576 | _T_570; // @[lsu_bus_buffer.scala 165:123] + wire [7:0] _T_578 = _T_577 | _T_575; // @[lsu_bus_buffer.scala 165:123] wire [7:0] _T_581 = ld_byte_hitvecfn_lo_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_583 = _T_581 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 165:65] + wire [7:0] _T_583 = _T_581 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 166:65] wire [7:0] _T_586 = ld_byte_hitvecfn_lo_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_588 = _T_586 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 165:65] + wire [7:0] _T_588 = _T_586 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 166:65] wire [7:0] _T_591 = ld_byte_hitvecfn_lo_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_593 = _T_591 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 165:65] + wire [7:0] _T_593 = _T_591 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 166:65] wire [7:0] _T_596 = ld_byte_hitvecfn_lo_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_598 = _T_596 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 165:65] - wire [7:0] _T_599 = _T_583 | _T_588; // @[lsu_bus_buffer.scala 165:97] - wire [7:0] _T_600 = _T_599 | _T_593; // @[lsu_bus_buffer.scala 165:97] - wire [7:0] _T_601 = _T_600 | _T_598; // @[lsu_bus_buffer.scala 165:97] + wire [7:0] _T_598 = _T_596 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_599 = _T_583 | _T_588; // @[lsu_bus_buffer.scala 166:97] + wire [7:0] _T_600 = _T_599 | _T_593; // @[lsu_bus_buffer.scala 166:97] + wire [7:0] _T_601 = _T_600 | _T_598; // @[lsu_bus_buffer.scala 166:97] wire [7:0] _T_604 = ld_byte_hitvecfn_lo_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_606 = _T_604 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_606 = _T_604 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 167:65] wire [7:0] _T_609 = ld_byte_hitvecfn_lo_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_611 = _T_609 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_611 = _T_609 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 167:65] wire [7:0] _T_614 = ld_byte_hitvecfn_lo_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_616 = _T_614 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 166:65] + wire [7:0] _T_616 = _T_614 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 167:65] wire [7:0] _T_619 = ld_byte_hitvecfn_lo_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_621 = _T_619 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 166:65] - wire [7:0] _T_622 = _T_606 | _T_611; // @[lsu_bus_buffer.scala 166:97] - wire [7:0] _T_623 = _T_622 | _T_616; // @[lsu_bus_buffer.scala 166:97] - wire [7:0] _T_624 = _T_623 | _T_621; // @[lsu_bus_buffer.scala 166:97] + wire [7:0] _T_621 = _T_619 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_622 = _T_606 | _T_611; // @[lsu_bus_buffer.scala 167:97] + wire [7:0] _T_623 = _T_622 | _T_616; // @[lsu_bus_buffer.scala 167:97] + wire [7:0] _T_624 = _T_623 | _T_621; // @[lsu_bus_buffer.scala 167:97] wire [7:0] _T_627 = ld_byte_hitvecfn_lo_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_629 = _T_627 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_629 = _T_627 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 168:65] wire [7:0] _T_632 = ld_byte_hitvecfn_lo_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_634 = _T_632 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_634 = _T_632 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 168:65] wire [7:0] _T_637 = ld_byte_hitvecfn_lo_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_639 = _T_637 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 167:65] + wire [7:0] _T_639 = _T_637 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 168:65] wire [7:0] _T_642 = ld_byte_hitvecfn_lo_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_644 = _T_642 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 167:65] - wire [7:0] _T_645 = _T_629 | _T_634; // @[lsu_bus_buffer.scala 167:97] - wire [7:0] _T_646 = _T_645 | _T_639; // @[lsu_bus_buffer.scala 167:97] - wire [7:0] _T_647 = _T_646 | _T_644; // @[lsu_bus_buffer.scala 167:97] + wire [7:0] _T_644 = _T_642 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 168:65] + wire [7:0] _T_645 = _T_629 | _T_634; // @[lsu_bus_buffer.scala 168:97] + wire [7:0] _T_646 = _T_645 | _T_639; // @[lsu_bus_buffer.scala 168:97] + wire [7:0] _T_647 = _T_646 | _T_644; // @[lsu_bus_buffer.scala 168:97] wire [31:0] _T_650 = {_T_578,_T_601,_T_624,_T_647}; // @[Cat.scala 29:58] reg [31:0] ibuf_data; // @[lib.scala 374:16] - wire [31:0] _T_651 = ld_fwddata_buf_lo_initial & ibuf_data; // @[lsu_bus_buffer.scala 168:32] + wire [31:0] _T_651 = ld_fwddata_buf_lo_initial & ibuf_data; // @[lsu_bus_buffer.scala 169:32] wire [7:0] _T_655 = ld_byte_hitvecfn_hi_3[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_657 = _T_655 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 170:91] + wire [7:0] _T_657 = _T_655 & buf_data_0[31:24]; // @[lsu_bus_buffer.scala 171:91] wire [7:0] _T_660 = ld_byte_hitvecfn_hi_3[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_662 = _T_660 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 170:91] + wire [7:0] _T_662 = _T_660 & buf_data_1[31:24]; // @[lsu_bus_buffer.scala 171:91] wire [7:0] _T_665 = ld_byte_hitvecfn_hi_3[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_667 = _T_665 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 170:91] + wire [7:0] _T_667 = _T_665 & buf_data_2[31:24]; // @[lsu_bus_buffer.scala 171:91] wire [7:0] _T_670 = ld_byte_hitvecfn_hi_3[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_672 = _T_670 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 170:91] - wire [7:0] _T_673 = _T_657 | _T_662; // @[lsu_bus_buffer.scala 170:123] - wire [7:0] _T_674 = _T_673 | _T_667; // @[lsu_bus_buffer.scala 170:123] - wire [7:0] _T_675 = _T_674 | _T_672; // @[lsu_bus_buffer.scala 170:123] + wire [7:0] _T_672 = _T_670 & buf_data_3[31:24]; // @[lsu_bus_buffer.scala 171:91] + wire [7:0] _T_673 = _T_657 | _T_662; // @[lsu_bus_buffer.scala 171:123] + wire [7:0] _T_674 = _T_673 | _T_667; // @[lsu_bus_buffer.scala 171:123] + wire [7:0] _T_675 = _T_674 | _T_672; // @[lsu_bus_buffer.scala 171:123] wire [7:0] _T_678 = ld_byte_hitvecfn_hi_2[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_680 = _T_678 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_680 = _T_678 & buf_data_0[23:16]; // @[lsu_bus_buffer.scala 172:65] wire [7:0] _T_683 = ld_byte_hitvecfn_hi_2[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_685 = _T_683 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_685 = _T_683 & buf_data_1[23:16]; // @[lsu_bus_buffer.scala 172:65] wire [7:0] _T_688 = ld_byte_hitvecfn_hi_2[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_690 = _T_688 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 171:65] + wire [7:0] _T_690 = _T_688 & buf_data_2[23:16]; // @[lsu_bus_buffer.scala 172:65] wire [7:0] _T_693 = ld_byte_hitvecfn_hi_2[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_695 = _T_693 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 171:65] - wire [7:0] _T_696 = _T_680 | _T_685; // @[lsu_bus_buffer.scala 171:97] - wire [7:0] _T_697 = _T_696 | _T_690; // @[lsu_bus_buffer.scala 171:97] - wire [7:0] _T_698 = _T_697 | _T_695; // @[lsu_bus_buffer.scala 171:97] + wire [7:0] _T_695 = _T_693 & buf_data_3[23:16]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_696 = _T_680 | _T_685; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_697 = _T_696 | _T_690; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_698 = _T_697 | _T_695; // @[lsu_bus_buffer.scala 172:97] wire [7:0] _T_701 = ld_byte_hitvecfn_hi_1[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_703 = _T_701 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_703 = _T_701 & buf_data_0[15:8]; // @[lsu_bus_buffer.scala 173:65] wire [7:0] _T_706 = ld_byte_hitvecfn_hi_1[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_708 = _T_706 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_708 = _T_706 & buf_data_1[15:8]; // @[lsu_bus_buffer.scala 173:65] wire [7:0] _T_711 = ld_byte_hitvecfn_hi_1[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_713 = _T_711 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 172:65] + wire [7:0] _T_713 = _T_711 & buf_data_2[15:8]; // @[lsu_bus_buffer.scala 173:65] wire [7:0] _T_716 = ld_byte_hitvecfn_hi_1[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_718 = _T_716 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 172:65] - wire [7:0] _T_719 = _T_703 | _T_708; // @[lsu_bus_buffer.scala 172:97] - wire [7:0] _T_720 = _T_719 | _T_713; // @[lsu_bus_buffer.scala 172:97] - wire [7:0] _T_721 = _T_720 | _T_718; // @[lsu_bus_buffer.scala 172:97] + wire [7:0] _T_718 = _T_716 & buf_data_3[15:8]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_719 = _T_703 | _T_708; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_720 = _T_719 | _T_713; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_721 = _T_720 | _T_718; // @[lsu_bus_buffer.scala 173:97] wire [7:0] _T_724 = ld_byte_hitvecfn_hi_0[0] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_726 = _T_724 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_726 = _T_724 & buf_data_0[7:0]; // @[lsu_bus_buffer.scala 174:65] wire [7:0] _T_729 = ld_byte_hitvecfn_hi_0[1] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_731 = _T_729 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_731 = _T_729 & buf_data_1[7:0]; // @[lsu_bus_buffer.scala 174:65] wire [7:0] _T_734 = ld_byte_hitvecfn_hi_0[2] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_736 = _T_734 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 173:65] + wire [7:0] _T_736 = _T_734 & buf_data_2[7:0]; // @[lsu_bus_buffer.scala 174:65] wire [7:0] _T_739 = ld_byte_hitvecfn_hi_0[3] ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_741 = _T_739 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 173:65] - wire [7:0] _T_742 = _T_726 | _T_731; // @[lsu_bus_buffer.scala 173:97] - wire [7:0] _T_743 = _T_742 | _T_736; // @[lsu_bus_buffer.scala 173:97] - wire [7:0] _T_744 = _T_743 | _T_741; // @[lsu_bus_buffer.scala 173:97] + wire [7:0] _T_741 = _T_739 & buf_data_3[7:0]; // @[lsu_bus_buffer.scala 174:65] + wire [7:0] _T_742 = _T_726 | _T_731; // @[lsu_bus_buffer.scala 174:97] + wire [7:0] _T_743 = _T_742 | _T_736; // @[lsu_bus_buffer.scala 174:97] + wire [7:0] _T_744 = _T_743 | _T_741; // @[lsu_bus_buffer.scala 174:97] wire [31:0] _T_747 = {_T_675,_T_698,_T_721,_T_744}; // @[Cat.scala 29:58] - wire [31:0] _T_748 = ld_fwddata_buf_hi_initial & ibuf_data; // @[lsu_bus_buffer.scala 174:32] + wire [31:0] _T_748 = ld_fwddata_buf_hi_initial & ibuf_data; // @[lsu_bus_buffer.scala 175:32] wire [3:0] _T_750 = io_lsu_pkt_r_bits_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_751 = io_lsu_pkt_r_bits_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_752 = io_lsu_pkt_r_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_753 = _T_750 | _T_751; // @[Mux.scala 27:72] wire [3:0] ldst_byteen_r = _T_753 | _T_752; // @[Mux.scala 27:72] - wire _T_756 = io_lsu_addr_r[1:0] == 2'h0; // @[lsu_bus_buffer.scala 181:55] - wire _T_758 = io_lsu_addr_r[1:0] == 2'h1; // @[lsu_bus_buffer.scala 182:24] + wire _T_756 = io_lsu_addr_r[1:0] == 2'h0; // @[lsu_bus_buffer.scala 182:55] + wire _T_758 = io_lsu_addr_r[1:0] == 2'h1; // @[lsu_bus_buffer.scala 183:24] wire [3:0] _T_760 = {3'h0,ldst_byteen_r[3]}; // @[Cat.scala 29:58] - wire _T_762 = io_lsu_addr_r[1:0] == 2'h2; // @[lsu_bus_buffer.scala 183:24] + wire _T_762 = io_lsu_addr_r[1:0] == 2'h2; // @[lsu_bus_buffer.scala 184:24] wire [3:0] _T_764 = {2'h0,ldst_byteen_r[3:2]}; // @[Cat.scala 29:58] - wire _T_766 = io_lsu_addr_r[1:0] == 2'h3; // @[lsu_bus_buffer.scala 184:24] + wire _T_766 = io_lsu_addr_r[1:0] == 2'h3; // @[lsu_bus_buffer.scala 185:24] wire [3:0] _T_768 = {1'h0,ldst_byteen_r[3:1]}; // @[Cat.scala 29:58] wire [3:0] _T_770 = _T_758 ? _T_760 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_771 = _T_762 ? _T_764 : 4'h0; // @[Mux.scala 27:72] @@ -69019,52 +69040,114 @@ module lsu_bus_buffer( wire [31:0] _T_836 = _T_832 | _T_833; // @[Mux.scala 27:72] wire [31:0] _T_837 = _T_836 | _T_834; // @[Mux.scala 27:72] wire [31:0] store_data_lo_r = _T_837 | _T_835; // @[Mux.scala 27:72] - wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[lsu_bus_buffer.scala 201:40] - wire _T_844 = ~io_lsu_addr_r[0]; // @[lsu_bus_buffer.scala 203:31] + wire ldst_samedw_r = io_lsu_addr_r[3] == io_end_addr_r[3]; // @[lsu_bus_buffer.scala 202:40] + wire _T_844 = ~io_lsu_addr_r[0]; // @[lsu_bus_buffer.scala 204:31] wire _T_845 = io_lsu_pkt_r_bits_word & _T_756; // @[Mux.scala 27:72] wire _T_846 = io_lsu_pkt_r_bits_half & _T_844; // @[Mux.scala 27:72] wire _T_848 = _T_845 | _T_846; // @[Mux.scala 27:72] wire is_aligned_r = _T_848 | io_lsu_pkt_r_bits_by; // @[Mux.scala 27:72] - wire _T_850 = io_lsu_pkt_r_bits_load | io_no_word_merge_r; // @[lsu_bus_buffer.scala 205:60] - wire _T_851 = io_lsu_busreq_r & _T_850; // @[lsu_bus_buffer.scala 205:34] - wire _T_852 = ~ibuf_valid; // @[lsu_bus_buffer.scala 205:84] - wire ibuf_byp = _T_851 & _T_852; // @[lsu_bus_buffer.scala 205:82] - wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[lsu_bus_buffer.scala 206:36] - wire _T_854 = ~ibuf_byp; // @[lsu_bus_buffer.scala 206:56] - wire ibuf_wr_en = _T_853 & _T_854; // @[lsu_bus_buffer.scala 206:54] - wire _T_855 = ~ibuf_wr_en; // @[lsu_bus_buffer.scala 208:36] - wire _T_857 = ~io_lsu_busreq_r; // @[lsu_bus_buffer.scala 209:44] - wire _T_858 = io_lsu_busreq_m & _T_857; // @[lsu_bus_buffer.scala 209:42] - wire _T_859 = _T_858 & ibuf_valid; // @[lsu_bus_buffer.scala 209:61] - wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[lsu_bus_buffer.scala 209:120] - wire _T_863 = io_lsu_pkt_m_bits_load | _T_862; // @[lsu_bus_buffer.scala 209:100] - wire ibuf_force_drain = _T_859 & _T_863; // @[lsu_bus_buffer.scala 209:74] + wire _T_850 = io_lsu_pkt_r_bits_load | io_no_word_merge_r; // @[lsu_bus_buffer.scala 206:60] + wire _T_851 = io_lsu_busreq_r & _T_850; // @[lsu_bus_buffer.scala 206:34] + wire _T_852 = ~ibuf_valid; // @[lsu_bus_buffer.scala 206:84] + wire ibuf_byp = _T_851 & _T_852; // @[lsu_bus_buffer.scala 206:82] + wire _T_853 = io_lsu_busreq_r & io_lsu_commit_r; // @[lsu_bus_buffer.scala 207:36] + wire _T_854 = ~ibuf_byp; // @[lsu_bus_buffer.scala 207:56] + wire ibuf_wr_en = _T_853 & _T_854; // @[lsu_bus_buffer.scala 207:54] + wire _T_855 = ~ibuf_wr_en; // @[lsu_bus_buffer.scala 209:36] + reg [2:0] ibuf_timer; // @[lsu_bus_buffer.scala 252:55] + wire _T_864 = ibuf_timer == 3'h7; // @[lsu_bus_buffer.scala 215:62] + wire _T_865 = ibuf_wr_en | _T_864; // @[lsu_bus_buffer.scala 215:48] + wire _T_929 = _T_853 & io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 234:54] + wire _T_930 = _T_929 & ibuf_valid; // @[lsu_bus_buffer.scala 234:80] + wire _T_931 = _T_930 & ibuf_write; // @[lsu_bus_buffer.scala 234:93] + wire _T_934 = io_lsu_addr_r[31:2] == ibuf_addr[31:2]; // @[lsu_bus_buffer.scala 234:129] + wire _T_935 = _T_931 & _T_934; // @[lsu_bus_buffer.scala 234:106] + wire _T_936 = ~io_is_sideeffects_r; // @[lsu_bus_buffer.scala 234:152] + wire _T_937 = _T_935 & _T_936; // @[lsu_bus_buffer.scala 234:150] + wire _T_938 = ~io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 234:175] + wire ibuf_merge_en = _T_937 & _T_938; // @[lsu_bus_buffer.scala 234:173] + wire ibuf_merge_in = ~io_ldst_dual_r; // @[lsu_bus_buffer.scala 235:20] + wire _T_866 = ibuf_merge_en & ibuf_merge_in; // @[lsu_bus_buffer.scala 215:98] + wire _T_867 = ~_T_866; // @[lsu_bus_buffer.scala 215:82] + wire _T_868 = _T_865 & _T_867; // @[lsu_bus_buffer.scala 215:80] + wire _T_869 = _T_868 | ibuf_byp; // @[lsu_bus_buffer.scala 216:5] + wire _T_857 = ~io_lsu_busreq_r; // @[lsu_bus_buffer.scala 210:44] + wire _T_858 = io_lsu_busreq_m & _T_857; // @[lsu_bus_buffer.scala 210:42] + wire _T_859 = _T_858 & ibuf_valid; // @[lsu_bus_buffer.scala 210:61] + wire _T_862 = ibuf_addr[31:2] != io_lsu_addr_m[31:2]; // @[lsu_bus_buffer.scala 210:120] + wire _T_863 = io_lsu_pkt_m_bits_load | _T_862; // @[lsu_bus_buffer.scala 210:100] + wire ibuf_force_drain = _T_859 & _T_863; // @[lsu_bus_buffer.scala 210:74] + wire _T_870 = _T_869 | ibuf_force_drain; // @[lsu_bus_buffer.scala 216:16] reg ibuf_sideeffect; // @[Reg.scala 27:20] - wire _T_856 = ibuf_valid & _T_855; // @[lsu_bus_buffer.scala 208:34] - wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 208:49] + wire _T_871 = _T_870 | ibuf_sideeffect; // @[lsu_bus_buffer.scala 216:35] + wire _T_872 = ~ibuf_write; // @[lsu_bus_buffer.scala 216:55] + wire _T_873 = _T_871 | _T_872; // @[lsu_bus_buffer.scala 216:53] + wire _T_874 = _T_873 | io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_buffer.scala 216:67] + wire ibuf_drain_vld = ibuf_valid & _T_874; // @[lsu_bus_buffer.scala 215:32] + wire _T_856 = ibuf_drain_vld & _T_855; // @[lsu_bus_buffer.scala 209:34] + wire ibuf_rst = _T_856 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 209:49] reg [1:0] WrPtr1_r; // @[lsu_bus_buffer.scala 615:49] reg [1:0] WrPtr0_r; // @[lsu_bus_buffer.scala 614:49] reg [1:0] ibuf_tag; // @[Reg.scala 27:20] wire [1:0] ibuf_sz_in = {io_lsu_pkt_r_bits_word,io_lsu_pkt_r_bits_half}; // @[Cat.scala 29:58] - wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[lsu_bus_buffer.scala 230:8] - wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[lsu_bus_buffer.scala 230:8] - wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[lsu_bus_buffer.scala 230:8] - wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[lsu_bus_buffer.scala 230:8] - wire [23:0] _T_922 = {_T_919,_T_910,_T_901}; // @[Cat.scala 29:58] - wire [3:0] ibuf_byteen_out = {ibuf_byteen[3],ibuf_byteen[2],ibuf_byteen[1],ibuf_byteen[0]}; // @[Cat.scala 29:58] - wire [31:0] ibuf_data_out = {ibuf_data[31:24],ibuf_data[23:16],ibuf_data[15:8],ibuf_data[7:0]}; // @[Cat.scala 29:58] - wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[lsu_bus_buffer.scala 238:58] - wire _T_1006 = ~ibuf_rst; // @[lsu_bus_buffer.scala 238:93] + wire [3:0] _T_881 = ibuf_byteen | ldst_byteen_lo_r; // @[lsu_bus_buffer.scala 225:77] + wire [7:0] _T_889 = ldst_byteen_lo_r[0] ? store_data_lo_r[7:0] : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 230:8] + wire [7:0] _T_892 = io_ldst_dual_r ? store_data_hi_r[7:0] : store_data_lo_r[7:0]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_893 = _T_866 ? _T_889 : _T_892; // @[lsu_bus_buffer.scala 229:46] + wire [7:0] _T_898 = ldst_byteen_lo_r[1] ? store_data_lo_r[15:8] : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 230:8] + wire [7:0] _T_901 = io_ldst_dual_r ? store_data_hi_r[15:8] : store_data_lo_r[15:8]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_902 = _T_866 ? _T_898 : _T_901; // @[lsu_bus_buffer.scala 229:46] + wire [7:0] _T_907 = ldst_byteen_lo_r[2] ? store_data_lo_r[23:16] : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 230:8] + wire [7:0] _T_910 = io_ldst_dual_r ? store_data_hi_r[23:16] : store_data_lo_r[23:16]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_911 = _T_866 ? _T_907 : _T_910; // @[lsu_bus_buffer.scala 229:46] + wire [7:0] _T_916 = ldst_byteen_lo_r[3] ? store_data_lo_r[31:24] : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 230:8] + wire [7:0] _T_919 = io_ldst_dual_r ? store_data_hi_r[31:24] : store_data_lo_r[31:24]; // @[lsu_bus_buffer.scala 231:8] + wire [7:0] _T_920 = _T_866 ? _T_916 : _T_919; // @[lsu_bus_buffer.scala 229:46] + wire [23:0] _T_922 = {_T_920,_T_911,_T_902}; // @[Cat.scala 29:58] + wire _T_923 = ibuf_timer < 3'h7; // @[lsu_bus_buffer.scala 232:59] + wire [2:0] _T_926 = ibuf_timer + 3'h1; // @[lsu_bus_buffer.scala 232:93] + wire _T_941 = ~ibuf_merge_in; // @[lsu_bus_buffer.scala 236:65] + wire _T_942 = ibuf_merge_en & _T_941; // @[lsu_bus_buffer.scala 236:63] + wire _T_945 = ibuf_byteen[0] | ldst_byteen_lo_r[0]; // @[lsu_bus_buffer.scala 236:96] + wire _T_947 = _T_942 ? _T_945 : ibuf_byteen[0]; // @[lsu_bus_buffer.scala 236:48] + wire _T_952 = ibuf_byteen[1] | ldst_byteen_lo_r[1]; // @[lsu_bus_buffer.scala 236:96] + wire _T_954 = _T_942 ? _T_952 : ibuf_byteen[1]; // @[lsu_bus_buffer.scala 236:48] + wire _T_959 = ibuf_byteen[2] | ldst_byteen_lo_r[2]; // @[lsu_bus_buffer.scala 236:96] + wire _T_961 = _T_942 ? _T_959 : ibuf_byteen[2]; // @[lsu_bus_buffer.scala 236:48] + wire _T_966 = ibuf_byteen[3] | ldst_byteen_lo_r[3]; // @[lsu_bus_buffer.scala 236:96] + wire _T_968 = _T_942 ? _T_966 : ibuf_byteen[3]; // @[lsu_bus_buffer.scala 236:48] + wire [3:0] ibuf_byteen_out = {_T_968,_T_961,_T_954,_T_947}; // @[Cat.scala 29:58] + wire [7:0] _T_978 = _T_942 ? _T_889 : ibuf_data[7:0]; // @[lsu_bus_buffer.scala 237:45] + wire [7:0] _T_986 = _T_942 ? _T_898 : ibuf_data[15:8]; // @[lsu_bus_buffer.scala 237:45] + wire [7:0] _T_994 = _T_942 ? _T_907 : ibuf_data[23:16]; // @[lsu_bus_buffer.scala 237:45] + wire [7:0] _T_1002 = _T_942 ? _T_916 : ibuf_data[31:24]; // @[lsu_bus_buffer.scala 237:45] + wire [31:0] ibuf_data_out = {_T_1002,_T_994,_T_986,_T_978}; // @[Cat.scala 29:58] + wire _T_1005 = ibuf_wr_en | ibuf_valid; // @[lsu_bus_buffer.scala 239:58] + wire _T_1006 = ~ibuf_rst; // @[lsu_bus_buffer.scala 239:93] reg [1:0] ibuf_dualtag; // @[Reg.scala 27:20] reg ibuf_dual; // @[Reg.scala 27:20] reg ibuf_samedw; // @[Reg.scala 27:20] reg ibuf_nomerge; // @[Reg.scala 27:20] reg ibuf_unsign; // @[Reg.scala 27:20] reg [1:0] ibuf_sz; // @[Reg.scala 27:20] + wire _T_4446 = buf_write[3] & _T_2621; // @[lsu_bus_buffer.scala 521:64] wire _T_4447 = ~buf_cmd_state_bus_en_3; // @[lsu_bus_buffer.scala 521:91] + wire _T_4448 = _T_4446 & _T_4447; // @[lsu_bus_buffer.scala 521:89] + wire _T_4441 = buf_write[2] & _T_2616; // @[lsu_bus_buffer.scala 521:64] wire _T_4442 = ~buf_cmd_state_bus_en_2; // @[lsu_bus_buffer.scala 521:91] + wire _T_4443 = _T_4441 & _T_4442; // @[lsu_bus_buffer.scala 521:89] + wire [1:0] _T_4449 = _T_4448 + _T_4443; // @[lsu_bus_buffer.scala 521:142] + wire _T_4436 = buf_write[1] & _T_2611; // @[lsu_bus_buffer.scala 521:64] wire _T_4437 = ~buf_cmd_state_bus_en_1; // @[lsu_bus_buffer.scala 521:91] + wire _T_4438 = _T_4436 & _T_4437; // @[lsu_bus_buffer.scala 521:89] + wire [1:0] _GEN_362 = {{1'd0}, _T_4438}; // @[lsu_bus_buffer.scala 521:142] + wire [2:0] _T_4450 = _T_4449 + _GEN_362; // @[lsu_bus_buffer.scala 521:142] + wire _T_4431 = buf_write[0] & _T_2606; // @[lsu_bus_buffer.scala 521:64] wire _T_4432 = ~buf_cmd_state_bus_en_0; // @[lsu_bus_buffer.scala 521:91] + wire _T_4433 = _T_4431 & _T_4432; // @[lsu_bus_buffer.scala 521:89] + wire [2:0] _GEN_363 = {{2'd0}, _T_4433}; // @[lsu_bus_buffer.scala 521:142] + wire [3:0] buf_numvld_wrcmd_any = _T_4450 + _GEN_363; // @[lsu_bus_buffer.scala 521:142] + wire _T_1016 = buf_numvld_wrcmd_any == 4'h1; // @[lsu_bus_buffer.scala 262:43] wire _T_4463 = _T_2621 & _T_4447; // @[lsu_bus_buffer.scala 522:73] wire _T_4460 = _T_2616 & _T_4442; // @[lsu_bus_buffer.scala 522:73] wire [1:0] _T_4464 = _T_4463 + _T_4460; // @[lsu_bus_buffer.scala 522:126] @@ -69074,7 +69157,12 @@ module lsu_bus_buffer( wire _T_4454 = _T_2606 & _T_4432; // @[lsu_bus_buffer.scala 522:73] wire [2:0] _GEN_365 = {{2'd0}, _T_4454}; // @[lsu_bus_buffer.scala 522:126] wire [3:0] buf_numvld_cmd_any = _T_4465 + _GEN_365; // @[lsu_bus_buffer.scala 522:126] - wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 261:72] + wire _T_1017 = buf_numvld_cmd_any == 4'h1; // @[lsu_bus_buffer.scala 262:72] + wire _T_1018 = _T_1016 & _T_1017; // @[lsu_bus_buffer.scala 262:51] + reg [2:0] obuf_wr_timer; // @[lsu_bus_buffer.scala 360:54] + wire _T_1019 = obuf_wr_timer != 3'h7; // @[lsu_bus_buffer.scala 262:97] + wire _T_1020 = _T_1018 & _T_1019; // @[lsu_bus_buffer.scala 262:80] + wire _T_1022 = _T_1020 & _T_938; // @[lsu_bus_buffer.scala 262:114] wire _T_1979 = |buf_age_3; // @[lsu_bus_buffer.scala 377:58] wire _T_1980 = ~_T_1979; // @[lsu_bus_buffer.scala 377:45] wire _T_1982 = _T_1980 & _T_2621; // @[lsu_bus_buffer.scala 377:63] @@ -69104,14 +69192,23 @@ module lsu_bus_buffer( wire _T_2075 = _T_2073 | _T_2054[7]; // @[lsu_bus_buffer.scala 385:104] wire [2:0] _T_2077 = {_T_2061,_T_2068,_T_2075}; // @[Cat.scala 29:58] wire [1:0] CmdPtr0 = _T_2077[1:0]; // @[lsu_bus_buffer.scala 390:11] - wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 262:114] - wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 262:114] - wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 262:114] - wire _T_1026 = CmdPtr0 == 2'h3; // @[lsu_bus_buffer.scala 262:114] + wire _T_1023 = CmdPtr0 == 2'h0; // @[lsu_bus_buffer.scala 263:114] + wire _T_1024 = CmdPtr0 == 2'h1; // @[lsu_bus_buffer.scala 263:114] + wire _T_1025 = CmdPtr0 == 2'h2; // @[lsu_bus_buffer.scala 263:114] + wire _T_1026 = CmdPtr0 == 2'h3; // @[lsu_bus_buffer.scala 263:114] reg buf_nomerge_0; // @[Reg.scala 27:20] + wire _T_1027 = _T_1023 & buf_nomerge_0; // @[Mux.scala 27:72] reg buf_nomerge_1; // @[Reg.scala 27:20] + wire _T_1028 = _T_1024 & buf_nomerge_1; // @[Mux.scala 27:72] reg buf_nomerge_2; // @[Reg.scala 27:20] + wire _T_1029 = _T_1025 & buf_nomerge_2; // @[Mux.scala 27:72] reg buf_nomerge_3; // @[Reg.scala 27:20] + wire _T_1030 = _T_1026 & buf_nomerge_3; // @[Mux.scala 27:72] + wire _T_1031 = _T_1027 | _T_1028; // @[Mux.scala 27:72] + wire _T_1032 = _T_1031 | _T_1029; // @[Mux.scala 27:72] + wire _T_1033 = _T_1032 | _T_1030; // @[Mux.scala 27:72] + wire _T_1035 = ~_T_1033; // @[lsu_bus_buffer.scala 263:31] + wire _T_1036 = _T_1022 & _T_1035; // @[lsu_bus_buffer.scala 263:29] reg _T_4330; // @[Reg.scala 27:20] reg _T_4327; // @[Reg.scala 27:20] reg _T_4324; // @[Reg.scala 27:20] @@ -69124,9 +69221,10 @@ module lsu_bus_buffer( wire _T_1049 = _T_1045 | _T_1046; // @[Mux.scala 27:72] wire _T_1050 = _T_1049 | _T_1047; // @[Mux.scala 27:72] wire _T_1051 = _T_1050 | _T_1048; // @[Mux.scala 27:72] - wire _T_1053 = ~_T_1051; // @[lsu_bus_buffer.scala 263:5] - wire _T_1065 = _T_858 & _T_852; // @[lsu_bus_buffer.scala 265:58] - wire _T_1067 = _T_1065 & _T_1017; // @[lsu_bus_buffer.scala 265:72] + wire _T_1053 = ~_T_1051; // @[lsu_bus_buffer.scala 264:5] + wire _T_1054 = _T_1036 & _T_1053; // @[lsu_bus_buffer.scala 263:140] + wire _T_1065 = _T_858 & _T_852; // @[lsu_bus_buffer.scala 266:58] + wire _T_1067 = _T_1065 & _T_1017; // @[lsu_bus_buffer.scala 266:72] wire [29:0] _T_1077 = _T_1023 ? buf_addr_0[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1078 = _T_1024 ? buf_addr_1[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1081 = _T_1077 | _T_1078; // @[Mux.scala 27:72] @@ -69134,8 +69232,14 @@ module lsu_bus_buffer( wire [29:0] _T_1082 = _T_1081 | _T_1079; // @[Mux.scala 27:72] wire [29:0] _T_1080 = _T_1026 ? buf_addr_3[31:2] : 30'h0; // @[Mux.scala 27:72] wire [29:0] _T_1083 = _T_1082 | _T_1080; // @[Mux.scala 27:72] - wire _T_1085 = io_lsu_addr_m[31:2] != _T_1083; // @[lsu_bus_buffer.scala 265:123] - wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 265:101] + wire _T_1085 = io_lsu_addr_m[31:2] != _T_1083; // @[lsu_bus_buffer.scala 266:123] + wire obuf_force_wr_en = _T_1067 & _T_1085; // @[lsu_bus_buffer.scala 266:101] + wire _T_1055 = ~obuf_force_wr_en; // @[lsu_bus_buffer.scala 264:119] + wire obuf_wr_wait = _T_1054 & _T_1055; // @[lsu_bus_buffer.scala 264:117] + wire _T_1056 = |buf_numvld_cmd_any; // @[lsu_bus_buffer.scala 265:75] + wire _T_1057 = obuf_wr_timer < 3'h7; // @[lsu_bus_buffer.scala 265:95] + wire _T_1058 = _T_1056 & _T_1057; // @[lsu_bus_buffer.scala 265:79] + wire [2:0] _T_1060 = obuf_wr_timer + 3'h1; // @[lsu_bus_buffer.scala 265:123] wire _T_4482 = buf_state_3 == 3'h1; // @[lsu_bus_buffer.scala 523:63] wire _T_4486 = _T_4482 | _T_4463; // @[lsu_bus_buffer.scala 523:74] wire _T_4477 = buf_state_2 == 3'h1; // @[lsu_bus_buffer.scala 523:63] @@ -69149,12 +69253,12 @@ module lsu_bus_buffer( wire _T_4471 = _T_4467 | _T_4454; // @[lsu_bus_buffer.scala 523:74] wire [2:0] _GEN_367 = {{2'd0}, _T_4471}; // @[lsu_bus_buffer.scala 523:154] wire [3:0] buf_numvld_pend_any = _T_4488 + _GEN_367; // @[lsu_bus_buffer.scala 523:154] - wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 267:53] - wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 267:31] - wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 267:64] - wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 267:89] - wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 267:61] - wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 282:32] + wire _T_1087 = buf_numvld_pend_any == 4'h0; // @[lsu_bus_buffer.scala 268:53] + wire _T_1088 = ibuf_byp & _T_1087; // @[lsu_bus_buffer.scala 268:31] + wire _T_1089 = ~io_lsu_pkt_r_bits_store; // @[lsu_bus_buffer.scala 268:64] + wire _T_1090 = _T_1089 | io_no_dword_merge_r; // @[lsu_bus_buffer.scala 268:89] + wire ibuf_buf_byp = _T_1088 & _T_1090; // @[lsu_bus_buffer.scala 268:61] + wire _T_1091 = ibuf_buf_byp & io_lsu_commit_r; // @[lsu_bus_buffer.scala 283:32] wire _T_4778 = buf_state_0 == 3'h3; // @[lsu_bus_buffer.scala 551:62] wire _T_4780 = _T_4778 & buf_sideeffect[0]; // @[lsu_bus_buffer.scala 551:73] wire _T_4781 = _T_4780 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:93] @@ -69174,9 +69278,9 @@ module lsu_bus_buffer( wire _T_4797 = obuf_valid & obuf_sideeffect; // @[lsu_bus_buffer.scala 551:171] wire _T_4798 = _T_4797 & io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_buffer.scala 551:189] wire bus_sideeffect_pend = _T_4796 | _T_4798; // @[lsu_bus_buffer.scala 551:157] - wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 282:74] - wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 282:52] - wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 282:50] + wire _T_1092 = io_is_sideeffects_r & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 283:74] + wire _T_1093 = ~_T_1092; // @[lsu_bus_buffer.scala 283:52] + wire _T_1094 = _T_1091 & _T_1093; // @[lsu_bus_buffer.scala 283:50] wire [2:0] _T_1099 = _T_1023 ? buf_state_0 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1100 = _T_1024 ? buf_state_1 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1103 = _T_1099 | _T_1100; // @[Mux.scala 27:72] @@ -69184,9 +69288,9 @@ module lsu_bus_buffer( wire [2:0] _T_1104 = _T_1103 | _T_1101; // @[Mux.scala 27:72] wire [2:0] _T_1102 = _T_1026 ? buf_state_3 : 3'h0; // @[Mux.scala 27:72] wire [2:0] _T_1105 = _T_1104 | _T_1102; // @[Mux.scala 27:72] - wire _T_1107 = _T_1105 == 3'h2; // @[lsu_bus_buffer.scala 283:36] + wire _T_1107 = _T_1105 == 3'h2; // @[lsu_bus_buffer.scala 284:36] wire found_cmdptr0 = |CmdPtr0Dec; // @[lsu_bus_buffer.scala 382:31] - wire _T_1108 = _T_1107 & found_cmdptr0; // @[lsu_bus_buffer.scala 283:47] + wire _T_1108 = _T_1107 & found_cmdptr0; // @[lsu_bus_buffer.scala 284:47] wire [3:0] _T_1111 = {buf_cmd_state_bus_en_3,buf_cmd_state_bus_en_2,buf_cmd_state_bus_en_1,buf_cmd_state_bus_en_0}; // @[Cat.scala 29:58] wire _T_1120 = _T_1023 & _T_1111[0]; // @[Mux.scala 27:72] wire _T_1121 = _T_1024 & _T_1111[1]; // @[Mux.scala 27:72] @@ -69195,11 +69299,11 @@ module lsu_bus_buffer( wire _T_1125 = _T_1124 | _T_1122; // @[Mux.scala 27:72] wire _T_1123 = _T_1026 & _T_1111[3]; // @[Mux.scala 27:72] wire _T_1126 = _T_1125 | _T_1123; // @[Mux.scala 27:72] - wire _T_1128 = ~_T_1126; // @[lsu_bus_buffer.scala 284:23] - wire _T_1129 = _T_1108 & _T_1128; // @[lsu_bus_buffer.scala 284:21] - wire _T_1146 = _T_1051 & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 284:141] - wire _T_1147 = ~_T_1146; // @[lsu_bus_buffer.scala 284:105] - wire _T_1148 = _T_1129 & _T_1147; // @[lsu_bus_buffer.scala 284:103] + wire _T_1128 = ~_T_1126; // @[lsu_bus_buffer.scala 285:23] + wire _T_1129 = _T_1108 & _T_1128; // @[lsu_bus_buffer.scala 285:21] + wire _T_1146 = _T_1051 & bus_sideeffect_pend; // @[lsu_bus_buffer.scala 285:141] + wire _T_1147 = ~_T_1146; // @[lsu_bus_buffer.scala 285:105] + wire _T_1148 = _T_1129 & _T_1147; // @[lsu_bus_buffer.scala 285:103] reg buf_dual_3; // @[Reg.scala 27:20] reg buf_dual_2; // @[Reg.scala 27:20] reg buf_dual_1; // @[Reg.scala 27:20] @@ -69224,7 +69328,7 @@ module lsu_bus_buffer( wire _T_1184 = _T_1183 | _T_1181; // @[Mux.scala 27:72] wire _T_1182 = _T_1026 & _T_1170[3]; // @[Mux.scala 27:72] wire _T_1185 = _T_1184 | _T_1182; // @[Mux.scala 27:72] - wire _T_1187 = _T_1166 & _T_1185; // @[lsu_bus_buffer.scala 285:77] + wire _T_1187 = _T_1166 & _T_1185; // @[lsu_bus_buffer.scala 286:77] wire _T_1196 = _T_1023 & buf_write[0]; // @[Mux.scala 27:72] wire _T_1197 = _T_1024 & buf_write[1]; // @[Mux.scala 27:72] wire _T_1200 = _T_1196 | _T_1197; // @[Mux.scala 27:72] @@ -69232,9 +69336,9 @@ module lsu_bus_buffer( wire _T_1201 = _T_1200 | _T_1198; // @[Mux.scala 27:72] wire _T_1199 = _T_1026 & buf_write[3]; // @[Mux.scala 27:72] wire _T_1202 = _T_1201 | _T_1199; // @[Mux.scala 27:72] - wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 285:150] - wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 285:148] - wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 285:8] + wire _T_1204 = ~_T_1202; // @[lsu_bus_buffer.scala 286:150] + wire _T_1205 = _T_1187 & _T_1204; // @[lsu_bus_buffer.scala 286:148] + wire _T_1206 = ~_T_1205; // @[lsu_bus_buffer.scala 286:8] wire [3:0] _T_2020 = ~CmdPtr0Dec; // @[lsu_bus_buffer.scala 378:62] wire [3:0] _T_2021 = buf_age_3 & _T_2020; // @[lsu_bus_buffer.scala 378:59] wire _T_2022 = |_T_2021; // @[lsu_bus_buffer.scala 378:76] @@ -69266,7 +69370,7 @@ module lsu_bus_buffer( wire _T_1997 = _T_1995 & _T_4432; // @[lsu_bus_buffer.scala 378:123] wire [3:0] CmdPtr1Dec = {_T_2030,_T_2019,_T_2008,_T_1997}; // @[Cat.scala 29:58] wire found_cmdptr1 = |CmdPtr1Dec; // @[lsu_bus_buffer.scala 383:31] - wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 285:181] + wire _T_1207 = _T_1206 | found_cmdptr1; // @[lsu_bus_buffer.scala 286:181] wire [3:0] _T_1210 = {buf_nomerge_3,buf_nomerge_2,buf_nomerge_1,buf_nomerge_0}; // @[Cat.scala 29:58] wire _T_1219 = _T_1023 & _T_1210[0]; // @[Mux.scala 27:72] wire _T_1220 = _T_1024 & _T_1210[1]; // @[Mux.scala 27:72] @@ -69275,10 +69379,10 @@ module lsu_bus_buffer( wire _T_1224 = _T_1223 | _T_1221; // @[Mux.scala 27:72] wire _T_1222 = _T_1026 & _T_1210[3]; // @[Mux.scala 27:72] wire _T_1225 = _T_1224 | _T_1222; // @[Mux.scala 27:72] - wire _T_1227 = _T_1207 | _T_1225; // @[lsu_bus_buffer.scala 285:197] - wire _T_1228 = _T_1227 | obuf_force_wr_en; // @[lsu_bus_buffer.scala 285:269] - wire _T_1229 = _T_1148 & _T_1228; // @[lsu_bus_buffer.scala 284:164] - wire _T_1230 = _T_1094 | _T_1229; // @[lsu_bus_buffer.scala 282:98] + wire _T_1227 = _T_1207 | _T_1225; // @[lsu_bus_buffer.scala 286:197] + wire _T_1228 = _T_1227 | obuf_force_wr_en; // @[lsu_bus_buffer.scala 286:269] + wire _T_1229 = _T_1148 & _T_1228; // @[lsu_bus_buffer.scala 285:164] + wire _T_1230 = _T_1094 | _T_1229; // @[lsu_bus_buffer.scala 283:98] reg obuf_write; // @[Reg.scala 27:20] reg obuf_cmd_done; // @[lsu_bus_buffer.scala 347:54] reg obuf_data_done; // @[lsu_bus_buffer.scala 348:55] @@ -69287,14 +69391,16 @@ module lsu_bus_buffer( wire _T_4858 = io_lsu_axi_aw_ready & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 555:153] wire _T_4859 = _T_4856 ? _T_4857 : _T_4858; // @[lsu_bus_buffer.scala 555:39] wire bus_cmd_ready = obuf_write ? _T_4859 : io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 555:23] - wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 286:48] - wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 286:46] + wire _T_1231 = ~obuf_valid; // @[lsu_bus_buffer.scala 287:48] + wire _T_1232 = bus_cmd_ready | _T_1231; // @[lsu_bus_buffer.scala 287:46] reg obuf_nosend; // @[Reg.scala 27:20] - wire _T_1233 = _T_1232 | obuf_nosend; // @[lsu_bus_buffer.scala 286:60] - wire _T_1234 = _T_1230 & _T_1233; // @[lsu_bus_buffer.scala 286:29] + wire _T_1233 = _T_1232 | obuf_nosend; // @[lsu_bus_buffer.scala 287:60] + wire _T_1234 = _T_1230 & _T_1233; // @[lsu_bus_buffer.scala 287:29] + wire _T_1235 = ~obuf_wr_wait; // @[lsu_bus_buffer.scala 287:77] + wire _T_1236 = _T_1234 & _T_1235; // @[lsu_bus_buffer.scala 287:75] reg [31:0] obuf_addr; // @[lib.scala 374:16] - wire obuf_wr_en = _T_1234 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 286:142] - wire _T_1242 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 288:47] + wire obuf_wr_en = _T_1236 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 287:142] + wire _T_1242 = obuf_valid & obuf_nosend; // @[lsu_bus_buffer.scala 289:47] wire bus_wcmd_sent = io_lsu_axi_aw_valid & io_lsu_axi_aw_ready; // @[lsu_bus_buffer.scala 556:40] wire _T_4863 = obuf_cmd_done | bus_wcmd_sent; // @[lsu_bus_buffer.scala 558:35] wire bus_wdata_sent = io_lsu_axi_w_valid & io_lsu_axi_w_ready; // @[lsu_bus_buffer.scala 557:40] @@ -69302,12 +69408,12 @@ module lsu_bus_buffer( wire _T_4865 = _T_4863 & _T_4864; // @[lsu_bus_buffer.scala 558:52] wire _T_4866 = io_lsu_axi_ar_valid & io_lsu_axi_ar_ready; // @[lsu_bus_buffer.scala 558:112] wire bus_cmd_sent = _T_4865 | _T_4866; // @[lsu_bus_buffer.scala 558:89] - wire _T_1243 = bus_cmd_sent | _T_1242; // @[lsu_bus_buffer.scala 288:33] - wire _T_1244 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 288:65] - wire _T_1245 = _T_1243 & _T_1244; // @[lsu_bus_buffer.scala 288:63] - wire _T_1246 = _T_1245 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 288:77] - wire obuf_rst = _T_1246 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 288:98] - wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_bits_store : _T_1202; // @[lsu_bus_buffer.scala 289:26] + wire _T_1243 = bus_cmd_sent | _T_1242; // @[lsu_bus_buffer.scala 289:33] + wire _T_1244 = ~obuf_wr_en; // @[lsu_bus_buffer.scala 289:65] + wire _T_1245 = _T_1243 & _T_1244; // @[lsu_bus_buffer.scala 289:63] + wire _T_1246 = _T_1245 & io_lsu_bus_clk_en; // @[lsu_bus_buffer.scala 289:77] + wire obuf_rst = _T_1246 | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 289:98] + wire obuf_write_in = ibuf_buf_byp ? io_lsu_pkt_r_bits_store : _T_1202; // @[lsu_bus_buffer.scala 290:26] wire [31:0] _T_1283 = _T_1023 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1284 = _T_1024 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1285 = _T_1025 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] @@ -69315,7 +69421,7 @@ module lsu_bus_buffer( wire [31:0] _T_1287 = _T_1283 | _T_1284; // @[Mux.scala 27:72] wire [31:0] _T_1288 = _T_1287 | _T_1285; // @[Mux.scala 27:72] wire [31:0] _T_1289 = _T_1288 | _T_1286; // @[Mux.scala 27:72] - wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1289; // @[lsu_bus_buffer.scala 291:25] + wire [31:0] obuf_addr_in = ibuf_buf_byp ? io_lsu_addr_r : _T_1289; // @[lsu_bus_buffer.scala 292:25] reg [1:0] buf_sz_0; // @[Reg.scala 27:20] wire [1:0] _T_1296 = _T_1023 ? buf_sz_0 : 2'h0; // @[Mux.scala 27:72] reg [1:0] buf_sz_1; // @[Reg.scala 27:20] @@ -69327,7 +69433,7 @@ module lsu_bus_buffer( wire [1:0] _T_1300 = _T_1296 | _T_1297; // @[Mux.scala 27:72] wire [1:0] _T_1301 = _T_1300 | _T_1298; // @[Mux.scala 27:72] wire [1:0] _T_1302 = _T_1301 | _T_1299; // @[Mux.scala 27:72] - wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1302; // @[lsu_bus_buffer.scala 294:23] + wire [1:0] obuf_sz_in = ibuf_buf_byp ? ibuf_sz_in : _T_1302; // @[lsu_bus_buffer.scala 295:23] wire [7:0] _T_2079 = {4'h0,_T_2030,_T_2019,_T_2008,_T_1997}; // @[Cat.scala 29:58] wire _T_2082 = _T_2079[4] | _T_2079[5]; // @[lsu_bus_buffer.scala 385:42] wire _T_2084 = _T_2082 | _T_2079[6]; // @[lsu_bus_buffer.scala 385:48] @@ -69397,10 +69503,10 @@ module lsu_bus_buffer( wire [7:0] _T_1403 = {ldst_byteen_hi_r,4'h0}; // @[Cat.scala 29:58] wire [7:0] _T_1404 = {4'h0,ldst_byteen_hi_r}; // @[Cat.scala 29:58] wire [7:0] _T_1405 = io_end_addr_r[2] ? _T_1403 : _T_1404; // @[lsu_bus_buffer.scala 325:46] - wire _T_1406 = CmdPtr1 == 2'h0; // @[lsu_bus_buffer.scala 57:123] - wire _T_1407 = CmdPtr1 == 2'h1; // @[lsu_bus_buffer.scala 57:123] - wire _T_1408 = CmdPtr1 == 2'h2; // @[lsu_bus_buffer.scala 57:123] - wire _T_1409 = CmdPtr1 == 2'h3; // @[lsu_bus_buffer.scala 57:123] + wire _T_1406 = CmdPtr1 == 2'h0; // @[lsu_bus_buffer.scala 58:123] + wire _T_1407 = CmdPtr1 == 2'h1; // @[lsu_bus_buffer.scala 58:123] + wire _T_1408 = CmdPtr1 == 2'h2; // @[lsu_bus_buffer.scala 58:123] + wire _T_1409 = CmdPtr1 == 2'h3; // @[lsu_bus_buffer.scala 58:123] wire [31:0] _T_1410 = _T_1406 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1411 = _T_1407 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_1412 = _T_1408 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] @@ -69462,6 +69568,17 @@ module lsu_bus_buffer( wire _T_1651 = _T_1637 & _T_1650; // @[lsu_bus_buffer.scala 337:118] wire _T_1672 = _T_1651 & _T_1128; // @[lsu_bus_buffer.scala 337:161] wire _T_1690 = _T_1672 & _T_1053; // @[lsu_bus_buffer.scala 338:85] + wire _T_1715 = _T_1406 & buf_write[0]; // @[Mux.scala 27:72] + wire _T_1716 = _T_1407 & buf_write[1]; // @[Mux.scala 27:72] + wire _T_1719 = _T_1715 | _T_1716; // @[Mux.scala 27:72] + wire _T_1717 = _T_1408 & buf_write[2]; // @[Mux.scala 27:72] + wire _T_1720 = _T_1719 | _T_1717; // @[Mux.scala 27:72] + wire _T_1718 = _T_1409 & buf_write[3]; // @[Mux.scala 27:72] + wire _T_1721 = _T_1720 | _T_1718; // @[Mux.scala 27:72] + wire _T_1723 = _T_1202 & _T_1721; // @[lsu_bus_buffer.scala 339:36] + wire _T_1750 = _T_1289[31:3] == _T_1416[31:3]; // @[lsu_bus_buffer.scala 340:41] + wire _T_1751 = _T_1723 & _T_1750; // @[lsu_bus_buffer.scala 339:67] + wire _T_1753 = _T_1751 & _T_938; // @[lsu_bus_buffer.scala 340:79] wire _T_1792 = _T_1204 & _T_1166; // @[lsu_bus_buffer.scala 341:38] reg buf_dualhi_3; // @[Reg.scala 27:20] reg buf_dualhi_2; // @[Reg.scala 27:20] @@ -69478,7 +69595,8 @@ module lsu_bus_buffer( wire _T_1812 = ~_T_1810; // @[lsu_bus_buffer.scala 341:109] wire _T_1813 = _T_1792 & _T_1812; // @[lsu_bus_buffer.scala 341:107] wire _T_1833 = _T_1813 & _T_1185; // @[lsu_bus_buffer.scala 341:179] - wire _T_1835 = _T_1690 & _T_1833; // @[lsu_bus_buffer.scala 338:122] + wire _T_1834 = _T_1753 | _T_1833; // @[lsu_bus_buffer.scala 340:128] + wire _T_1835 = _T_1690 & _T_1834; // @[lsu_bus_buffer.scala 338:122] wire _T_1836 = ibuf_buf_byp & ldst_samedw_r; // @[lsu_bus_buffer.scala 342:19] wire _T_1837 = _T_1836 & io_ldst_dual_r; // @[lsu_bus_buffer.scala 342:35] wire obuf_merge_en = _T_1835 | _T_1837; // @[lsu_bus_buffer.scala 341:253] @@ -69548,7 +69666,6 @@ module lsu_bus_buffer( wire _T_1885 = _T_1875 & _T_1884; // @[lsu_bus_buffer.scala 363:76] wire _T_1886 = buf_state_3 == 3'h0; // @[lsu_bus_buffer.scala 363:65] wire _T_1887 = ibuf_tag == 2'h3; // @[lsu_bus_buffer.scala 364:30] - wire _T_1888 = ibuf_valid & _T_1887; // @[lsu_bus_buffer.scala 364:19] wire _T_1889 = WrPtr0_r == 2'h3; // @[lsu_bus_buffer.scala 365:18] wire _T_1890 = WrPtr1_r == 2'h3; // @[lsu_bus_buffer.scala 365:57] wire [1:0] _T_1898 = _T_1885 ? 2'h2 : 2'h3; // @[Mux.scala 98:16] @@ -69624,15 +69741,17 @@ module lsu_bus_buffer( wire _T_2125 = _T_2123 | _T_2104[7]; // @[lsu_bus_buffer.scala 385:104] wire [2:0] _T_2127 = {_T_2111,_T_2118,_T_2125}; // @[Cat.scala 29:58] wire _T_3532 = ibuf_byp | io_ldst_dual_r; // @[lsu_bus_buffer.scala 443:77] + wire _T_3533 = ~ibuf_merge_en; // @[lsu_bus_buffer.scala 443:97] + wire _T_3534 = _T_3532 & _T_3533; // @[lsu_bus_buffer.scala 443:95] wire _T_3535 = 2'h0 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] - wire _T_3536 = _T_3532 & _T_3535; // @[lsu_bus_buffer.scala 443:112] + wire _T_3536 = _T_3534 & _T_3535; // @[lsu_bus_buffer.scala 443:112] wire _T_3537 = ibuf_byp & io_ldst_dual_r; // @[lsu_bus_buffer.scala 443:144] wire _T_3538 = 2'h0 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] wire _T_3539 = _T_3537 & _T_3538; // @[lsu_bus_buffer.scala 443:161] wire _T_3540 = _T_3536 | _T_3539; // @[lsu_bus_buffer.scala 443:132] wire _T_3541 = _T_853 & _T_3540; // @[lsu_bus_buffer.scala 443:63] wire _T_3542 = 2'h0 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] - wire _T_3543 = ibuf_valid & _T_3542; // @[lsu_bus_buffer.scala 443:201] + wire _T_3543 = ibuf_drain_vld & _T_3542; // @[lsu_bus_buffer.scala 443:201] wire _T_3544 = _T_3541 | _T_3543; // @[lsu_bus_buffer.scala 443:183] wire _T_3554 = io_lsu_bus_clk_en | io_dec_tlu_force_halt; // @[lsu_bus_buffer.scala 450:46] wire _T_3589 = 3'h3 == buf_state_0; // @[Conditional.scala 37:30] @@ -69700,7 +69819,7 @@ module lsu_bus_buffer( wire _GEN_64 = _T_3551 ? _T_3554 : _GEN_54; // @[Conditional.scala 39:67] wire buf_state_en_0 = _T_3528 ? _T_3544 : _GEN_64; // @[Conditional.scala 40:58] wire _T_2129 = _T_1853 & buf_state_en_0; // @[lsu_bus_buffer.scala 405:94] - wire _T_2135 = ibuf_valid & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 407:23] + wire _T_2135 = ibuf_drain_vld & io_lsu_busreq_r; // @[lsu_bus_buffer.scala 407:23] wire _T_2137 = _T_2135 & _T_3532; // @[lsu_bus_buffer.scala 407:41] wire _T_2139 = _T_2137 & _T_1856; // @[lsu_bus_buffer.scala 407:71] wire _T_2141 = _T_2139 & _T_1854; // @[lsu_bus_buffer.scala 407:92] @@ -69732,13 +69851,13 @@ module lsu_bus_buffer( wire _T_2227 = _T_2225 | buf_age_0[3]; // @[lsu_bus_buffer.scala 408:97] wire [2:0] _T_2229 = {_T_2227,_T_2202,_T_2177}; // @[Cat.scala 29:58] wire _T_3728 = 2'h1 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] - wire _T_3729 = _T_3532 & _T_3728; // @[lsu_bus_buffer.scala 443:112] + wire _T_3729 = _T_3534 & _T_3728; // @[lsu_bus_buffer.scala 443:112] wire _T_3731 = 2'h1 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] wire _T_3732 = _T_3537 & _T_3731; // @[lsu_bus_buffer.scala 443:161] wire _T_3733 = _T_3729 | _T_3732; // @[lsu_bus_buffer.scala 443:132] wire _T_3734 = _T_853 & _T_3733; // @[lsu_bus_buffer.scala 443:63] wire _T_3735 = 2'h1 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] - wire _T_3736 = ibuf_valid & _T_3735; // @[lsu_bus_buffer.scala 443:201] + wire _T_3736 = ibuf_drain_vld & _T_3735; // @[lsu_bus_buffer.scala 443:201] wire _T_3737 = _T_3734 | _T_3736; // @[lsu_bus_buffer.scala 443:183] wire _T_3782 = 3'h3 == buf_state_1; // @[Conditional.scala 37:30] wire _T_3827 = io_lsu_axi_b_bits_id == 3'h1; // @[lsu_bus_buffer.scala 468:73] @@ -69822,13 +69941,13 @@ module lsu_bus_buffer( wire _T_2329 = _T_2327 | buf_age_1[3]; // @[lsu_bus_buffer.scala 408:97] wire [2:0] _T_2331 = {_T_2329,_T_2304,_T_2279}; // @[Cat.scala 29:58] wire _T_3921 = 2'h2 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] - wire _T_3922 = _T_3532 & _T_3921; // @[lsu_bus_buffer.scala 443:112] + wire _T_3922 = _T_3534 & _T_3921; // @[lsu_bus_buffer.scala 443:112] wire _T_3924 = 2'h2 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] wire _T_3925 = _T_3537 & _T_3924; // @[lsu_bus_buffer.scala 443:161] wire _T_3926 = _T_3922 | _T_3925; // @[lsu_bus_buffer.scala 443:132] wire _T_3927 = _T_853 & _T_3926; // @[lsu_bus_buffer.scala 443:63] wire _T_3928 = 2'h2 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] - wire _T_3929 = ibuf_valid & _T_3928; // @[lsu_bus_buffer.scala 443:201] + wire _T_3929 = ibuf_drain_vld & _T_3928; // @[lsu_bus_buffer.scala 443:201] wire _T_3930 = _T_3927 | _T_3929; // @[lsu_bus_buffer.scala 443:183] wire _T_3975 = 3'h3 == buf_state_2; // @[Conditional.scala 37:30] wire _T_4020 = io_lsu_axi_b_bits_id == 3'h2; // @[lsu_bus_buffer.scala 468:73] @@ -69912,13 +70031,13 @@ module lsu_bus_buffer( wire _T_2431 = _T_2429 | buf_age_2[3]; // @[lsu_bus_buffer.scala 408:97] wire [2:0] _T_2433 = {_T_2431,_T_2406,_T_2381}; // @[Cat.scala 29:58] wire _T_4114 = 2'h3 == WrPtr0_r; // @[lsu_bus_buffer.scala 443:117] - wire _T_4115 = _T_3532 & _T_4114; // @[lsu_bus_buffer.scala 443:112] + wire _T_4115 = _T_3534 & _T_4114; // @[lsu_bus_buffer.scala 443:112] wire _T_4117 = 2'h3 == WrPtr1_r; // @[lsu_bus_buffer.scala 443:166] wire _T_4118 = _T_3537 & _T_4117; // @[lsu_bus_buffer.scala 443:161] wire _T_4119 = _T_4115 | _T_4118; // @[lsu_bus_buffer.scala 443:132] wire _T_4120 = _T_853 & _T_4119; // @[lsu_bus_buffer.scala 443:63] wire _T_4121 = 2'h3 == ibuf_tag; // @[lsu_bus_buffer.scala 443:206] - wire _T_4122 = ibuf_valid & _T_4121; // @[lsu_bus_buffer.scala 443:201] + wire _T_4122 = ibuf_drain_vld & _T_4121; // @[lsu_bus_buffer.scala 443:201] wire _T_4123 = _T_4120 | _T_4122; // @[lsu_bus_buffer.scala 443:183] wire _T_4168 = 3'h3 == buf_state_3; // @[Conditional.scala 37:30] wire _T_4213 = io_lsu_axi_b_bits_id == 3'h3; // @[lsu_bus_buffer.scala 468:73] @@ -70113,7 +70232,11 @@ module lsu_bus_buffer( wire _T_3213 = buf_rspage_set_3[2] | buf_rspage_3[2]; // @[lsu_bus_buffer.scala 419:88] wire _T_3216 = buf_rspage_set_3[3] | buf_rspage_3[3]; // @[lsu_bus_buffer.scala 419:88] wire [2:0] _T_3218 = {_T_3216,_T_3213,_T_3210}; // @[Cat.scala 29:58] - wire [3:0] ibuf_drainvec_vld = {_T_1888,_T_1877,_T_1866,_T_1855}; // @[Cat.scala 29:58] + wire _T_3329 = ibuf_drain_vld & _T_1854; // @[lsu_bus_buffer.scala 425:63] + wire _T_3331 = ibuf_drain_vld & _T_1865; // @[lsu_bus_buffer.scala 425:63] + wire _T_3333 = ibuf_drain_vld & _T_1876; // @[lsu_bus_buffer.scala 425:63] + wire _T_3335 = ibuf_drain_vld & _T_1887; // @[lsu_bus_buffer.scala 425:63] + wire [3:0] ibuf_drainvec_vld = {_T_3335,_T_3333,_T_3331,_T_3329}; // @[Cat.scala 29:58] wire _T_3343 = _T_3537 & _T_1857; // @[lsu_bus_buffer.scala 427:35] wire _T_3352 = _T_3537 & _T_1868; // @[lsu_bus_buffer.scala 427:35] wire _T_3361 = _T_3537 & _T_1879; // @[lsu_bus_buffer.scala 427:35] @@ -70186,10 +70309,10 @@ module lsu_bus_buffer( wire _T_4495 = _T_4494 | _T_2740; // @[lsu_bus_buffer.scala 524:93] wire any_done_wait_state = _T_4495 | _T_2737; // @[lsu_bus_buffer.scala 524:93] wire _T_3604 = buf_ldfwd[0] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] - wire _T_3610 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 56:118] - wire _T_3612 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 56:118] - wire _T_3614 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 56:118] - wire _T_3616 = buf_dualtag_0 == 2'h3; // @[lsu_bus_buffer.scala 56:118] + wire _T_3610 = buf_dualtag_0 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_3612 = buf_dualtag_0 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_3614 = buf_dualtag_0 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_3616 = buf_dualtag_0 == 2'h3; // @[lsu_bus_buffer.scala 57:118] wire _T_3618 = _T_3610 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3619 = _T_3612 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3620 = _T_3614 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -70250,10 +70373,10 @@ module lsu_bus_buffer( wire _T_3794 = _GEN_97 != 3'h4; // @[lsu_bus_buffer.scala 466:90] wire _T_3795 = _T_3793 & _T_3794; // @[lsu_bus_buffer.scala 466:61] wire _T_3797 = buf_ldfwd[1] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] - wire _T_3803 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 56:118] - wire _T_3805 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 56:118] - wire _T_3807 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 56:118] - wire _T_3809 = buf_dualtag_1 == 2'h3; // @[lsu_bus_buffer.scala 56:118] + wire _T_3803 = buf_dualtag_1 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_3805 = buf_dualtag_1 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_3807 = buf_dualtag_1 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_3809 = buf_dualtag_1 == 2'h3; // @[lsu_bus_buffer.scala 57:118] wire _T_3811 = _T_3803 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_3812 = _T_3805 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_3813 = _T_3807 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -70314,10 +70437,10 @@ module lsu_bus_buffer( wire _T_3987 = _GEN_173 != 3'h4; // @[lsu_bus_buffer.scala 466:90] wire _T_3988 = _T_3986 & _T_3987; // @[lsu_bus_buffer.scala 466:61] wire _T_3990 = buf_ldfwd[2] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] - wire _T_3996 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 56:118] - wire _T_3998 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 56:118] - wire _T_4000 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 56:118] - wire _T_4002 = buf_dualtag_2 == 2'h3; // @[lsu_bus_buffer.scala 56:118] + wire _T_3996 = buf_dualtag_2 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_3998 = buf_dualtag_2 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_4000 = buf_dualtag_2 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_4002 = buf_dualtag_2 == 2'h3; // @[lsu_bus_buffer.scala 57:118] wire _T_4004 = _T_3996 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_4005 = _T_3998 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_4006 = _T_4000 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -70378,10 +70501,10 @@ module lsu_bus_buffer( wire _T_4180 = _GEN_249 != 3'h4; // @[lsu_bus_buffer.scala 466:90] wire _T_4181 = _T_4179 & _T_4180; // @[lsu_bus_buffer.scala 466:61] wire _T_4183 = buf_ldfwd[3] | any_done_wait_state; // @[lsu_bus_buffer.scala 467:31] - wire _T_4189 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 56:118] - wire _T_4191 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 56:118] - wire _T_4193 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 56:118] - wire _T_4195 = buf_dualtag_3 == 2'h3; // @[lsu_bus_buffer.scala 56:118] + wire _T_4189 = buf_dualtag_3 == 2'h0; // @[lsu_bus_buffer.scala 57:118] + wire _T_4191 = buf_dualtag_3 == 2'h1; // @[lsu_bus_buffer.scala 57:118] + wire _T_4193 = buf_dualtag_3 == 2'h2; // @[lsu_bus_buffer.scala 57:118] + wire _T_4195 = buf_dualtag_3 == 2'h3; // @[lsu_bus_buffer.scala 57:118] wire _T_4197 = _T_4189 & buf_ldfwd[0]; // @[Mux.scala 27:72] wire _T_4198 = _T_4191 & buf_ldfwd[1]; // @[Mux.scala 27:72] wire _T_4199 = _T_4193 & buf_ldfwd[2]; // @[Mux.scala 27:72] @@ -70522,10 +70645,10 @@ module lsu_bus_buffer( wire [31:0] _T_4680 = _T_4676 | _T_4677; // @[Mux.scala 27:72] wire [31:0] _T_4681 = _T_4680 | _T_4678; // @[Mux.scala 27:72] wire [31:0] lsu_nonblock_load_data_hi = _T_4681 | _T_4679; // @[Mux.scala 27:72] - wire _T_4683 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 57:123] - wire _T_4684 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 57:123] - wire _T_4685 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 57:123] - wire _T_4686 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 57:123] + wire _T_4683 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h0; // @[lsu_bus_buffer.scala 58:123] + wire _T_4684 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h1; // @[lsu_bus_buffer.scala 58:123] + wire _T_4685 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h2; // @[lsu_bus_buffer.scala 58:123] + wire _T_4686 = io_dctl_busbuff_lsu_nonblock_load_data_tag == 2'h3; // @[lsu_bus_buffer.scala 58:123] wire [31:0] _T_4687 = _T_4683 ? buf_addr_0 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4688 = _T_4684 ? buf_addr_1 : 32'h0; // @[Mux.scala 27:72] wire [31:0] _T_4689 = _T_4685 ? buf_addr_2 : 32'h0; // @[Mux.scala 27:72] @@ -70718,7 +70841,9 @@ module lsu_bus_buffer( assign io_lsu_axi_aw_valid = _T_4874 & _T_4875; // @[lsu_bus_buffer.scala 568:23] assign io_lsu_axi_aw_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 569:25] assign io_lsu_axi_aw_bits_addr = obuf_sideeffect ? obuf_addr : _T_4880; // @[lsu_bus_buffer.scala 570:27] + assign io_lsu_axi_aw_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 574:29] assign io_lsu_axi_aw_bits_size = obuf_sideeffect ? _T_4882 : 3'h3; // @[lsu_bus_buffer.scala 571:27] + assign io_lsu_axi_aw_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 573:28] assign io_lsu_axi_w_valid = _T_4874 & _T_4887; // @[lsu_bus_buffer.scala 580:22] assign io_lsu_axi_w_bits_data = obuf_data; // @[lsu_bus_buffer.scala 582:26] assign io_lsu_axi_w_bits_strb = obuf_byteen & _T_4892; // @[lsu_bus_buffer.scala 581:26] @@ -70726,16 +70851,18 @@ module lsu_bus_buffer( assign io_lsu_axi_ar_valid = _T_4895 & _T_1349; // @[lsu_bus_buffer.scala 585:23] assign io_lsu_axi_ar_bits_id = {{1'd0}, _T_1848}; // @[lsu_bus_buffer.scala 586:25] assign io_lsu_axi_ar_bits_addr = obuf_sideeffect ? obuf_addr : _T_4880; // @[lsu_bus_buffer.scala 587:27] + assign io_lsu_axi_ar_bits_region = obuf_addr[31:28]; // @[lsu_bus_buffer.scala 591:29] assign io_lsu_axi_ar_bits_size = obuf_sideeffect ? _T_4882 : 3'h3; // @[lsu_bus_buffer.scala 588:27] + assign io_lsu_axi_ar_bits_cache = obuf_sideeffect ? 4'h0 : 4'hf; // @[lsu_bus_buffer.scala 590:28] assign io_lsu_axi_r_ready = 1'h1; // @[lsu_bus_buffer.scala 597:22] assign io_lsu_busreq_r = _T_4987; // @[lsu_bus_buffer.scala 616:19] assign io_lsu_bus_buffer_pend_any = |buf_numvld_pend_any; // @[lsu_bus_buffer.scala 525:30] assign io_lsu_bus_buffer_full_any = _T_4498 ? _T_4499 : _T_4500; // @[lsu_bus_buffer.scala 526:30] assign io_lsu_bus_buffer_empty_any = _T_4511 & _T_1231; // @[lsu_bus_buffer.scala 527:31] - assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 137:25] - assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 138:25] - assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 164:24] - assign io_ld_fwddata_buf_hi = _T_747 | _T_748; // @[lsu_bus_buffer.scala 170:24] + assign io_ld_byte_hit_buf_lo = {_T_69,_T_58}; // @[lsu_bus_buffer.scala 138:25] + assign io_ld_byte_hit_buf_hi = {_T_84,_T_73}; // @[lsu_bus_buffer.scala 139:25] + assign io_ld_fwddata_buf_lo = _T_650 | _T_651; // @[lsu_bus_buffer.scala 165:24] + assign io_ld_fwddata_buf_hi = _T_747 | _T_748; // @[lsu_bus_buffer.scala 171:24] assign rvclkhdr_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_io_en = _T_853 & _T_854; // @[lib.scala 371:17] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] @@ -70743,10 +70870,10 @@ module lsu_bus_buffer( assign rvclkhdr_1_io_en = _T_853 & _T_854; // @[lib.scala 371:17] assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_2_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_2_io_en = _T_1234 & io_lsu_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_2_io_en = _T_1236 & io_lsu_bus_clk_en; // @[lib.scala 371:17] assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_3_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_3_io_en = _T_1234 & io_lsu_bus_clk_en; // @[lib.scala 371:17] + assign rvclkhdr_3_io_en = _T_1236 & io_lsu_bus_clk_en; // @[lib.scala 371:17] assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] assign rvclkhdr_4_io_en = _T_3528 & buf_state_en_0; // @[lib.scala 371:17] @@ -70876,147 +71003,151 @@ initial begin _RAND_33 = {1{`RANDOM}}; ibuf_data = _RAND_33[31:0]; _RAND_34 = {1{`RANDOM}}; - ibuf_sideeffect = _RAND_34[0:0]; + ibuf_timer = _RAND_34[2:0]; _RAND_35 = {1{`RANDOM}}; - WrPtr1_r = _RAND_35[1:0]; + ibuf_sideeffect = _RAND_35[0:0]; _RAND_36 = {1{`RANDOM}}; - WrPtr0_r = _RAND_36[1:0]; + WrPtr1_r = _RAND_36[1:0]; _RAND_37 = {1{`RANDOM}}; - ibuf_tag = _RAND_37[1:0]; + WrPtr0_r = _RAND_37[1:0]; _RAND_38 = {1{`RANDOM}}; - ibuf_dualtag = _RAND_38[1:0]; + ibuf_tag = _RAND_38[1:0]; _RAND_39 = {1{`RANDOM}}; - ibuf_dual = _RAND_39[0:0]; + ibuf_dualtag = _RAND_39[1:0]; _RAND_40 = {1{`RANDOM}}; - ibuf_samedw = _RAND_40[0:0]; + ibuf_dual = _RAND_40[0:0]; _RAND_41 = {1{`RANDOM}}; - ibuf_nomerge = _RAND_41[0:0]; + ibuf_samedw = _RAND_41[0:0]; _RAND_42 = {1{`RANDOM}}; - ibuf_unsign = _RAND_42[0:0]; + ibuf_nomerge = _RAND_42[0:0]; _RAND_43 = {1{`RANDOM}}; - ibuf_sz = _RAND_43[1:0]; + ibuf_unsign = _RAND_43[0:0]; _RAND_44 = {1{`RANDOM}}; - buf_nomerge_0 = _RAND_44[0:0]; + ibuf_sz = _RAND_44[1:0]; _RAND_45 = {1{`RANDOM}}; - buf_nomerge_1 = _RAND_45[0:0]; + obuf_wr_timer = _RAND_45[2:0]; _RAND_46 = {1{`RANDOM}}; - buf_nomerge_2 = _RAND_46[0:0]; + buf_nomerge_0 = _RAND_46[0:0]; _RAND_47 = {1{`RANDOM}}; - buf_nomerge_3 = _RAND_47[0:0]; + buf_nomerge_1 = _RAND_47[0:0]; _RAND_48 = {1{`RANDOM}}; - _T_4330 = _RAND_48[0:0]; + buf_nomerge_2 = _RAND_48[0:0]; _RAND_49 = {1{`RANDOM}}; - _T_4327 = _RAND_49[0:0]; + buf_nomerge_3 = _RAND_49[0:0]; _RAND_50 = {1{`RANDOM}}; - _T_4324 = _RAND_50[0:0]; + _T_4330 = _RAND_50[0:0]; _RAND_51 = {1{`RANDOM}}; - _T_4321 = _RAND_51[0:0]; + _T_4327 = _RAND_51[0:0]; _RAND_52 = {1{`RANDOM}}; - obuf_sideeffect = _RAND_52[0:0]; + _T_4324 = _RAND_52[0:0]; _RAND_53 = {1{`RANDOM}}; - buf_dual_3 = _RAND_53[0:0]; + _T_4321 = _RAND_53[0:0]; _RAND_54 = {1{`RANDOM}}; - buf_dual_2 = _RAND_54[0:0]; + obuf_sideeffect = _RAND_54[0:0]; _RAND_55 = {1{`RANDOM}}; - buf_dual_1 = _RAND_55[0:0]; + buf_dual_3 = _RAND_55[0:0]; _RAND_56 = {1{`RANDOM}}; - buf_dual_0 = _RAND_56[0:0]; + buf_dual_2 = _RAND_56[0:0]; _RAND_57 = {1{`RANDOM}}; - buf_samedw_3 = _RAND_57[0:0]; + buf_dual_1 = _RAND_57[0:0]; _RAND_58 = {1{`RANDOM}}; - buf_samedw_2 = _RAND_58[0:0]; + buf_dual_0 = _RAND_58[0:0]; _RAND_59 = {1{`RANDOM}}; - buf_samedw_1 = _RAND_59[0:0]; + buf_samedw_3 = _RAND_59[0:0]; _RAND_60 = {1{`RANDOM}}; - buf_samedw_0 = _RAND_60[0:0]; + buf_samedw_2 = _RAND_60[0:0]; _RAND_61 = {1{`RANDOM}}; - obuf_write = _RAND_61[0:0]; + buf_samedw_1 = _RAND_61[0:0]; _RAND_62 = {1{`RANDOM}}; - obuf_cmd_done = _RAND_62[0:0]; + buf_samedw_0 = _RAND_62[0:0]; _RAND_63 = {1{`RANDOM}}; - obuf_data_done = _RAND_63[0:0]; + obuf_write = _RAND_63[0:0]; _RAND_64 = {1{`RANDOM}}; - obuf_nosend = _RAND_64[0:0]; + obuf_cmd_done = _RAND_64[0:0]; _RAND_65 = {1{`RANDOM}}; - obuf_addr = _RAND_65[31:0]; + obuf_data_done = _RAND_65[0:0]; _RAND_66 = {1{`RANDOM}}; - buf_sz_0 = _RAND_66[1:0]; + obuf_nosend = _RAND_66[0:0]; _RAND_67 = {1{`RANDOM}}; - buf_sz_1 = _RAND_67[1:0]; + obuf_addr = _RAND_67[31:0]; _RAND_68 = {1{`RANDOM}}; - buf_sz_2 = _RAND_68[1:0]; + buf_sz_0 = _RAND_68[1:0]; _RAND_69 = {1{`RANDOM}}; - buf_sz_3 = _RAND_69[1:0]; + buf_sz_1 = _RAND_69[1:0]; _RAND_70 = {1{`RANDOM}}; - obuf_rdrsp_pend = _RAND_70[0:0]; + buf_sz_2 = _RAND_70[1:0]; _RAND_71 = {1{`RANDOM}}; - obuf_rdrsp_tag = _RAND_71[2:0]; + buf_sz_3 = _RAND_71[1:0]; _RAND_72 = {1{`RANDOM}}; - buf_dualhi_3 = _RAND_72[0:0]; + obuf_rdrsp_pend = _RAND_72[0:0]; _RAND_73 = {1{`RANDOM}}; - buf_dualhi_2 = _RAND_73[0:0]; + obuf_rdrsp_tag = _RAND_73[2:0]; _RAND_74 = {1{`RANDOM}}; - buf_dualhi_1 = _RAND_74[0:0]; + buf_dualhi_3 = _RAND_74[0:0]; _RAND_75 = {1{`RANDOM}}; - buf_dualhi_0 = _RAND_75[0:0]; + buf_dualhi_2 = _RAND_75[0:0]; _RAND_76 = {1{`RANDOM}}; - obuf_sz = _RAND_76[1:0]; + buf_dualhi_1 = _RAND_76[0:0]; _RAND_77 = {1{`RANDOM}}; - obuf_byteen = _RAND_77[7:0]; - _RAND_78 = {2{`RANDOM}}; - obuf_data = _RAND_78[63:0]; + buf_dualhi_0 = _RAND_77[0:0]; + _RAND_78 = {1{`RANDOM}}; + obuf_sz = _RAND_78[1:0]; _RAND_79 = {1{`RANDOM}}; - buf_rspageQ_0 = _RAND_79[3:0]; - _RAND_80 = {1{`RANDOM}}; - buf_rspageQ_1 = _RAND_80[3:0]; + obuf_byteen = _RAND_79[7:0]; + _RAND_80 = {2{`RANDOM}}; + obuf_data = _RAND_80[63:0]; _RAND_81 = {1{`RANDOM}}; - buf_rspageQ_2 = _RAND_81[3:0]; + buf_rspageQ_0 = _RAND_81[3:0]; _RAND_82 = {1{`RANDOM}}; - buf_rspageQ_3 = _RAND_82[3:0]; + buf_rspageQ_1 = _RAND_82[3:0]; _RAND_83 = {1{`RANDOM}}; - _T_4307 = _RAND_83[0:0]; + buf_rspageQ_2 = _RAND_83[3:0]; _RAND_84 = {1{`RANDOM}}; - _T_4305 = _RAND_84[0:0]; + buf_rspageQ_3 = _RAND_84[3:0]; _RAND_85 = {1{`RANDOM}}; - _T_4303 = _RAND_85[0:0]; + _T_4307 = _RAND_85[0:0]; _RAND_86 = {1{`RANDOM}}; - _T_4301 = _RAND_86[0:0]; + _T_4305 = _RAND_86[0:0]; _RAND_87 = {1{`RANDOM}}; - buf_ldfwdtag_0 = _RAND_87[1:0]; + _T_4303 = _RAND_87[0:0]; _RAND_88 = {1{`RANDOM}}; - buf_dualtag_0 = _RAND_88[1:0]; + _T_4301 = _RAND_88[0:0]; _RAND_89 = {1{`RANDOM}}; - buf_ldfwdtag_3 = _RAND_89[1:0]; + buf_ldfwdtag_0 = _RAND_89[1:0]; _RAND_90 = {1{`RANDOM}}; - buf_ldfwdtag_2 = _RAND_90[1:0]; + buf_dualtag_0 = _RAND_90[1:0]; _RAND_91 = {1{`RANDOM}}; - buf_ldfwdtag_1 = _RAND_91[1:0]; + buf_ldfwdtag_3 = _RAND_91[1:0]; _RAND_92 = {1{`RANDOM}}; - buf_dualtag_1 = _RAND_92[1:0]; + buf_ldfwdtag_2 = _RAND_92[1:0]; _RAND_93 = {1{`RANDOM}}; - buf_dualtag_2 = _RAND_93[1:0]; + buf_ldfwdtag_1 = _RAND_93[1:0]; _RAND_94 = {1{`RANDOM}}; - buf_dualtag_3 = _RAND_94[1:0]; + buf_dualtag_1 = _RAND_94[1:0]; _RAND_95 = {1{`RANDOM}}; - _T_4336 = _RAND_95[0:0]; + buf_dualtag_2 = _RAND_95[1:0]; _RAND_96 = {1{`RANDOM}}; - _T_4339 = _RAND_96[0:0]; + buf_dualtag_3 = _RAND_96[1:0]; _RAND_97 = {1{`RANDOM}}; - _T_4342 = _RAND_97[0:0]; + _T_4336 = _RAND_97[0:0]; _RAND_98 = {1{`RANDOM}}; - _T_4345 = _RAND_98[0:0]; + _T_4339 = _RAND_98[0:0]; _RAND_99 = {1{`RANDOM}}; - _T_4411 = _RAND_99[0:0]; + _T_4342 = _RAND_99[0:0]; _RAND_100 = {1{`RANDOM}}; - _T_4406 = _RAND_100[0:0]; + _T_4345 = _RAND_100[0:0]; _RAND_101 = {1{`RANDOM}}; - _T_4401 = _RAND_101[0:0]; + _T_4411 = _RAND_101[0:0]; _RAND_102 = {1{`RANDOM}}; - _T_4396 = _RAND_102[0:0]; + _T_4406 = _RAND_102[0:0]; _RAND_103 = {1{`RANDOM}}; - lsu_nonblock_load_valid_r = _RAND_103[0:0]; + _T_4401 = _RAND_103[0:0]; _RAND_104 = {1{`RANDOM}}; - _T_4987 = _RAND_104[0:0]; + _T_4396 = _RAND_104[0:0]; + _RAND_105 = {1{`RANDOM}}; + lsu_nonblock_load_valid_r = _RAND_105[0:0]; + _RAND_106 = {1{`RANDOM}}; + _T_4987 = _RAND_106[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin buf_addr_0 = 32'h0; @@ -71120,6 +71251,9 @@ initial begin if (reset) begin ibuf_data = 32'h0; end + if (reset) begin + ibuf_timer = 3'h0; + end if (reset) begin ibuf_sideeffect = 1'h0; end @@ -71150,6 +71284,9 @@ initial begin if (reset) begin ibuf_sz = 2'h0; end + if (reset) begin + obuf_wr_timer = 3'h0; + end if (reset) begin buf_nomerge_0 = 1'h0; end @@ -71722,7 +71859,7 @@ end // initial if (reset) begin obuf_wr_enQ <= 1'h0; end else begin - obuf_wr_enQ <= _T_1234 & io_lsu_bus_clk_en; + obuf_wr_enQ <= _T_1236 & io_lsu_bus_clk_en; end end always @(posedge rvclkhdr_io_l1clk or posedge reset) begin @@ -71752,7 +71889,9 @@ end // initial if (reset) begin ibuf_byteen <= 4'h0; end else if (ibuf_wr_en) begin - if (io_ldst_dual_r) begin + if (_T_866) begin + ibuf_byteen <= _T_881; + end else if (io_ldst_dual_r) begin ibuf_byteen <= ldst_byteen_hi_r; end else begin ibuf_byteen <= ldst_byteen_lo_r; @@ -71916,7 +72055,16 @@ end // initial if (reset) begin ibuf_data <= 32'h0; end else begin - ibuf_data <= {_T_922,_T_892}; + ibuf_data <= {_T_922,_T_893}; + end + end + always @(posedge io_lsu_free_c2_clk or posedge reset) begin + if (reset) begin + ibuf_timer <= 3'h0; + end else if (ibuf_wr_en) begin + ibuf_timer <= 3'h0; + end else if (_T_923) begin + ibuf_timer <= _T_926; end end always @(posedge io_lsu_bus_ibuf_c1_clk or posedge reset) begin @@ -71956,10 +72104,12 @@ end // initial if (reset) begin ibuf_tag <= 2'h0; end else if (ibuf_wr_en) begin - if (io_ldst_dual_r) begin - ibuf_tag <= WrPtr1_r; - end else begin - ibuf_tag <= WrPtr0_r; + if (!(_T_866)) begin + if (io_ldst_dual_r) begin + ibuf_tag <= WrPtr1_r; + end else begin + ibuf_tag <= WrPtr0_r; + end end end end @@ -72005,6 +72155,15 @@ end // initial ibuf_sz <= ibuf_sz_in; end end + always @(posedge io_lsu_busm_clk or posedge reset) begin + if (reset) begin + obuf_wr_timer <= 3'h0; + end else if (obuf_wr_en) begin + obuf_wr_timer <= 3'h0; + end else if (_T_1058) begin + obuf_wr_timer <= _T_1060; + end + end always @(posedge io_lsu_bus_buf_c1_clk or posedge reset) begin if (reset) begin buf_nomerge_0 <= 1'h0; @@ -72552,6 +72711,7 @@ module lsu_bus_intf( output io_tlu_busbuff_lsu_pmu_bus_error, output io_tlu_busbuff_lsu_pmu_bus_busy, input io_tlu_busbuff_dec_tlu_external_ldfwd_disable, + input io_tlu_busbuff_dec_tlu_wb_coalescing_disable, input io_tlu_busbuff_dec_tlu_sideeffect_posted_disable, output io_tlu_busbuff_lsu_imprecise_error_load_any, output io_tlu_busbuff_lsu_imprecise_error_store_any, @@ -72569,7 +72729,9 @@ module lsu_bus_intf( output io_axi_aw_valid, output [2:0] io_axi_aw_bits_id, output [31:0] io_axi_aw_bits_addr, + output [3:0] io_axi_aw_bits_region, output [2:0] io_axi_aw_bits_size, + output [3:0] io_axi_aw_bits_cache, input io_axi_w_ready, output io_axi_w_valid, output [63:0] io_axi_w_bits_data, @@ -72580,7 +72742,9 @@ module lsu_bus_intf( output io_axi_ar_valid, output [2:0] io_axi_ar_bits_id, output [31:0] io_axi_ar_bits_addr, + output [3:0] io_axi_ar_bits_region, output [2:0] io_axi_ar_bits_size, + output [3:0] io_axi_ar_bits_cache, input io_axi_r_valid, input [2:0] io_axi_r_bits_id, input [63:0] io_axi_r_bits_data, @@ -72633,196 +72797,201 @@ module lsu_bus_intf( reg [31:0] _RAND_3; reg [31:0] _RAND_4; `endif // RANDOMIZE_REG_INIT - wire bus_buffer_clock; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_reset; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_scan_mode; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_intf.scala 101:39] - wire [31:0] bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu_bus_intf.scala 101:39] - wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu_bus_intf.scala 101:39] - wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_intf.scala 101:39] - wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu_bus_intf.scala 101:39] - wire [31:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_dec_tlu_force_halt; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_c2_r_clk; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_bus_ibuf_c1_clk; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_bus_obuf_c1_clk; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_bus_buf_c1_clk; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_free_c2_clk; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_busm_clk; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_dec_lsu_valid_raw_d; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_pkt_m_valid; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_pkt_r_bits_by; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_pkt_r_bits_half; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_pkt_r_bits_word; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_pkt_r_bits_load; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_pkt_r_bits_unsign; // @[lsu_bus_intf.scala 101:39] - wire [31:0] bus_buffer_io_lsu_addr_m; // @[lsu_bus_intf.scala 101:39] - wire [31:0] bus_buffer_io_end_addr_m; // @[lsu_bus_intf.scala 101:39] - wire [31:0] bus_buffer_io_lsu_addr_r; // @[lsu_bus_intf.scala 101:39] - wire [31:0] bus_buffer_io_end_addr_r; // @[lsu_bus_intf.scala 101:39] - wire [31:0] bus_buffer_io_store_data_r; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_no_word_merge_r; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_no_dword_merge_r; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_busreq_m; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_ld_full_hit_m; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_flush_m_up; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_flush_r; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_commit_r; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_is_sideeffects_r; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_ldst_dual_d; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_ldst_dual_m; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_ldst_dual_r; // @[lsu_bus_intf.scala 101:39] - wire [7:0] bus_buffer_io_ldst_byteen_ext_m; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_axi_aw_ready; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_axi_aw_valid; // @[lsu_bus_intf.scala 101:39] - wire [2:0] bus_buffer_io_lsu_axi_aw_bits_id; // @[lsu_bus_intf.scala 101:39] - wire [31:0] bus_buffer_io_lsu_axi_aw_bits_addr; // @[lsu_bus_intf.scala 101:39] - wire [2:0] bus_buffer_io_lsu_axi_aw_bits_size; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_axi_w_ready; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_axi_w_valid; // @[lsu_bus_intf.scala 101:39] - wire [63:0] bus_buffer_io_lsu_axi_w_bits_data; // @[lsu_bus_intf.scala 101:39] - wire [7:0] bus_buffer_io_lsu_axi_w_bits_strb; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_axi_b_ready; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_axi_b_valid; // @[lsu_bus_intf.scala 101:39] - wire [2:0] bus_buffer_io_lsu_axi_b_bits_id; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_axi_ar_ready; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_axi_ar_valid; // @[lsu_bus_intf.scala 101:39] - wire [2:0] bus_buffer_io_lsu_axi_ar_bits_id; // @[lsu_bus_intf.scala 101:39] - wire [31:0] bus_buffer_io_lsu_axi_ar_bits_addr; // @[lsu_bus_intf.scala 101:39] - wire [2:0] bus_buffer_io_lsu_axi_ar_bits_size; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_axi_r_ready; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_axi_r_valid; // @[lsu_bus_intf.scala 101:39] - wire [2:0] bus_buffer_io_lsu_axi_r_bits_id; // @[lsu_bus_intf.scala 101:39] - wire [63:0] bus_buffer_io_lsu_axi_r_bits_data; // @[lsu_bus_intf.scala 101:39] - wire [1:0] bus_buffer_io_lsu_axi_r_bits_resp; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_bus_clk_en; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_busreq_r; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_bus_buffer_pend_any; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_bus_buffer_full_any; // @[lsu_bus_intf.scala 101:39] - wire bus_buffer_io_lsu_bus_buffer_empty_any; // @[lsu_bus_intf.scala 101:39] - wire [3:0] bus_buffer_io_ld_byte_hit_buf_lo; // @[lsu_bus_intf.scala 101:39] - wire [3:0] bus_buffer_io_ld_byte_hit_buf_hi; // @[lsu_bus_intf.scala 101:39] - wire [31:0] bus_buffer_io_ld_fwddata_buf_lo; // @[lsu_bus_intf.scala 101:39] - wire [31:0] bus_buffer_io_ld_fwddata_buf_hi; // @[lsu_bus_intf.scala 101:39] + wire bus_buffer_clock; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_reset; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_scan_mode; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dec_tlu_force_halt; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_c2_r_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_ibuf_c1_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_obuf_c1_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buf_c1_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_free_c2_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_busm_clk; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_dec_lsu_valid_raw_d; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_m_valid; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_by; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_half; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_word; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_load; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_pkt_r_bits_unsign; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_addr_m; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_end_addr_m; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_addr_r; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_end_addr_r; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_store_data_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_no_word_merge_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_no_dword_merge_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_busreq_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ld_full_hit_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_flush_m_up; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_flush_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_commit_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_is_sideeffects_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ldst_dual_d; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ldst_dual_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_ldst_dual_r; // @[lsu_bus_intf.scala 100:39] + wire [7:0] bus_buffer_io_ldst_byteen_ext_m; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_aw_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_aw_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_aw_bits_id; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_axi_aw_bits_addr; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_aw_bits_region; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_aw_bits_size; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_aw_bits_cache; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_w_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_w_valid; // @[lsu_bus_intf.scala 100:39] + wire [63:0] bus_buffer_io_lsu_axi_w_bits_data; // @[lsu_bus_intf.scala 100:39] + wire [7:0] bus_buffer_io_lsu_axi_w_bits_strb; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_b_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_b_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_b_bits_id; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_ar_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_ar_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_ar_bits_id; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_lsu_axi_ar_bits_addr; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_ar_bits_region; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_ar_bits_size; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_lsu_axi_ar_bits_cache; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_r_ready; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_axi_r_valid; // @[lsu_bus_intf.scala 100:39] + wire [2:0] bus_buffer_io_lsu_axi_r_bits_id; // @[lsu_bus_intf.scala 100:39] + wire [63:0] bus_buffer_io_lsu_axi_r_bits_data; // @[lsu_bus_intf.scala 100:39] + wire [1:0] bus_buffer_io_lsu_axi_r_bits_resp; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_clk_en; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_busreq_r; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buffer_pend_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buffer_full_any; // @[lsu_bus_intf.scala 100:39] + wire bus_buffer_io_lsu_bus_buffer_empty_any; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_ld_byte_hit_buf_lo; // @[lsu_bus_intf.scala 100:39] + wire [3:0] bus_buffer_io_ld_byte_hit_buf_hi; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_ld_fwddata_buf_lo; // @[lsu_bus_intf.scala 100:39] + wire [31:0] bus_buffer_io_ld_fwddata_buf_hi; // @[lsu_bus_intf.scala 100:39] wire [3:0] _T_3 = io_lsu_pkt_m_bits_word ? 4'hf : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_4 = io_lsu_pkt_m_bits_half ? 4'h3 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_5 = io_lsu_pkt_m_bits_by ? 4'h1 : 4'h0; // @[Mux.scala 27:72] wire [3:0] _T_6 = _T_3 | _T_4; // @[Mux.scala 27:72] wire [3:0] ldst_byteen_m = _T_6 | _T_5; // @[Mux.scala 27:72] - wire addr_match_dw_lo_r_m = io_lsu_addr_r[31:3] == io_lsu_addr_m[31:3]; // @[lsu_bus_intf.scala 155:51] - wire _T_17 = io_lsu_addr_r[2] ^ io_lsu_addr_m[2]; // @[lsu_bus_intf.scala 156:71] - wire _T_18 = ~_T_17; // @[lsu_bus_intf.scala 156:53] - wire addr_match_word_lo_r_m = addr_match_dw_lo_r_m & _T_18; // @[lsu_bus_intf.scala 156:51] - reg ldst_dual_r; // @[lsu_bus_intf.scala 201:33] - wire _T_20 = ~ldst_dual_r; // @[lsu_bus_intf.scala 157:48] - wire _T_21 = io_lsu_busreq_r & _T_20; // @[lsu_bus_intf.scala 157:46] - wire _T_22 = _T_21 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 157:61] - wire _T_23 = ~addr_match_word_lo_r_m; // @[lsu_bus_intf.scala 157:107] - wire _T_24 = io_lsu_pkt_m_bits_load | _T_23; // @[lsu_bus_intf.scala 157:105] - wire _T_29 = ~addr_match_dw_lo_r_m; // @[lsu_bus_intf.scala 158:107] - wire _T_30 = io_lsu_pkt_m_bits_load | _T_29; // @[lsu_bus_intf.scala 158:105] - wire [6:0] _GEN_0 = {{3'd0}, ldst_byteen_m}; // @[lsu_bus_intf.scala 160:49] - wire [6:0] _T_34 = _GEN_0 << io_lsu_addr_m[1:0]; // @[lsu_bus_intf.scala 160:49] - reg [3:0] ldst_byteen_r; // @[lsu_bus_intf.scala 203:33] - wire [6:0] _GEN_1 = {{3'd0}, ldst_byteen_r}; // @[lsu_bus_intf.scala 161:49] - wire [6:0] _T_37 = _GEN_1 << io_lsu_addr_r[1:0]; // @[lsu_bus_intf.scala 161:49] + wire addr_match_dw_lo_r_m = io_lsu_addr_r[31:3] == io_lsu_addr_m[31:3]; // @[lsu_bus_intf.scala 154:51] + wire _T_17 = io_lsu_addr_r[2] ^ io_lsu_addr_m[2]; // @[lsu_bus_intf.scala 155:71] + wire _T_18 = ~_T_17; // @[lsu_bus_intf.scala 155:53] + wire addr_match_word_lo_r_m = addr_match_dw_lo_r_m & _T_18; // @[lsu_bus_intf.scala 155:51] + reg ldst_dual_r; // @[lsu_bus_intf.scala 200:33] + wire _T_20 = ~ldst_dual_r; // @[lsu_bus_intf.scala 156:48] + wire _T_21 = io_lsu_busreq_r & _T_20; // @[lsu_bus_intf.scala 156:46] + wire _T_22 = _T_21 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 156:61] + wire _T_23 = ~addr_match_word_lo_r_m; // @[lsu_bus_intf.scala 156:107] + wire _T_24 = io_lsu_pkt_m_bits_load | _T_23; // @[lsu_bus_intf.scala 156:105] + wire _T_29 = ~addr_match_dw_lo_r_m; // @[lsu_bus_intf.scala 157:107] + wire _T_30 = io_lsu_pkt_m_bits_load | _T_29; // @[lsu_bus_intf.scala 157:105] + wire [6:0] _GEN_0 = {{3'd0}, ldst_byteen_m}; // @[lsu_bus_intf.scala 159:49] + wire [6:0] _T_34 = _GEN_0 << io_lsu_addr_m[1:0]; // @[lsu_bus_intf.scala 159:49] + reg [3:0] ldst_byteen_r; // @[lsu_bus_intf.scala 202:33] + wire [6:0] _GEN_1 = {{3'd0}, ldst_byteen_r}; // @[lsu_bus_intf.scala 160:49] + wire [6:0] _T_37 = _GEN_1 << io_lsu_addr_r[1:0]; // @[lsu_bus_intf.scala 160:49] wire [4:0] _T_40 = {io_lsu_addr_r[1:0],3'h0}; // @[Cat.scala 29:58] - wire [62:0] _GEN_2 = {{31'd0}, io_store_data_r}; // @[lsu_bus_intf.scala 162:52] - wire [62:0] _T_41 = _GEN_2 << _T_40; // @[lsu_bus_intf.scala 162:52] - wire [7:0] ldst_byteen_ext_m = {{1'd0}, _T_34}; // @[lsu_bus_intf.scala 160:27] - wire [3:0] ldst_byteen_hi_m = ldst_byteen_ext_m[7:4]; // @[lsu_bus_intf.scala 163:47] - wire [3:0] ldst_byteen_lo_m = ldst_byteen_ext_m[3:0]; // @[lsu_bus_intf.scala 164:47] - wire [7:0] ldst_byteen_ext_r = {{1'd0}, _T_37}; // @[lsu_bus_intf.scala 161:27] - wire [3:0] ldst_byteen_hi_r = ldst_byteen_ext_r[7:4]; // @[lsu_bus_intf.scala 165:47] - wire [3:0] ldst_byteen_lo_r = ldst_byteen_ext_r[3:0]; // @[lsu_bus_intf.scala 166:47] - wire [63:0] store_data_ext_r = {{1'd0}, _T_41}; // @[lsu_bus_intf.scala 162:27] - wire [31:0] store_data_hi_r = store_data_ext_r[63:32]; // @[lsu_bus_intf.scala 168:46] - wire [31:0] store_data_lo_r = store_data_ext_r[31:0]; // @[lsu_bus_intf.scala 169:46] - wire _T_50 = io_lsu_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_bus_intf.scala 170:51] - wire _T_51 = _T_50 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 170:76] - wire _T_52 = _T_51 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 170:97] - wire ld_addr_rhit_lo_lo = _T_52 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 170:123] - wire _T_56 = io_end_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_bus_intf.scala 171:51] - wire _T_57 = _T_56 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 171:76] - wire _T_58 = _T_57 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 171:97] - wire ld_addr_rhit_lo_hi = _T_58 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 171:123] - wire _T_62 = io_lsu_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_bus_intf.scala 172:51] - wire _T_63 = _T_62 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 172:76] - wire _T_64 = _T_63 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 172:97] - wire ld_addr_rhit_hi_lo = _T_64 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 172:123] - wire _T_68 = io_end_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_bus_intf.scala 173:51] - wire _T_69 = _T_68 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 173:76] - wire _T_70 = _T_69 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 173:97] - wire ld_addr_rhit_hi_hi = _T_70 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 173:123] - wire _T_73 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[0]; // @[lsu_bus_intf.scala 175:70] - wire _T_75 = _T_73 & ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 175:92] - wire _T_77 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[1]; // @[lsu_bus_intf.scala 175:70] - wire _T_79 = _T_77 & ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 175:92] - wire _T_81 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[2]; // @[lsu_bus_intf.scala 175:70] - wire _T_83 = _T_81 & ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 175:92] - wire _T_85 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[3]; // @[lsu_bus_intf.scala 175:70] - wire _T_87 = _T_85 & ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 175:92] + wire [62:0] _GEN_2 = {{31'd0}, io_store_data_r}; // @[lsu_bus_intf.scala 161:52] + wire [62:0] _T_41 = _GEN_2 << _T_40; // @[lsu_bus_intf.scala 161:52] + wire [7:0] ldst_byteen_ext_m = {{1'd0}, _T_34}; // @[lsu_bus_intf.scala 159:27] + wire [3:0] ldst_byteen_hi_m = ldst_byteen_ext_m[7:4]; // @[lsu_bus_intf.scala 162:47] + wire [3:0] ldst_byteen_lo_m = ldst_byteen_ext_m[3:0]; // @[lsu_bus_intf.scala 163:47] + wire [7:0] ldst_byteen_ext_r = {{1'd0}, _T_37}; // @[lsu_bus_intf.scala 160:27] + wire [3:0] ldst_byteen_hi_r = ldst_byteen_ext_r[7:4]; // @[lsu_bus_intf.scala 164:47] + wire [3:0] ldst_byteen_lo_r = ldst_byteen_ext_r[3:0]; // @[lsu_bus_intf.scala 165:47] + wire [63:0] store_data_ext_r = {{1'd0}, _T_41}; // @[lsu_bus_intf.scala 161:27] + wire [31:0] store_data_hi_r = store_data_ext_r[63:32]; // @[lsu_bus_intf.scala 167:46] + wire [31:0] store_data_lo_r = store_data_ext_r[31:0]; // @[lsu_bus_intf.scala 168:46] + wire _T_50 = io_lsu_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_bus_intf.scala 169:51] + wire _T_51 = _T_50 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 169:76] + wire _T_52 = _T_51 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 169:97] + wire ld_addr_rhit_lo_lo = _T_52 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 169:123] + wire _T_56 = io_end_addr_m[31:2] == io_lsu_addr_r[31:2]; // @[lsu_bus_intf.scala 170:51] + wire _T_57 = _T_56 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 170:76] + wire _T_58 = _T_57 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 170:97] + wire ld_addr_rhit_lo_hi = _T_58 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 170:123] + wire _T_62 = io_lsu_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_bus_intf.scala 171:51] + wire _T_63 = _T_62 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 171:76] + wire _T_64 = _T_63 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 171:97] + wire ld_addr_rhit_hi_lo = _T_64 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 171:123] + wire _T_68 = io_end_addr_m[31:2] == io_end_addr_r[31:2]; // @[lsu_bus_intf.scala 172:51] + wire _T_69 = _T_68 & io_lsu_pkt_r_valid; // @[lsu_bus_intf.scala 172:76] + wire _T_70 = _T_69 & io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 172:97] + wire ld_addr_rhit_hi_hi = _T_70 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 172:123] + wire _T_73 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[0]; // @[lsu_bus_intf.scala 174:70] + wire _T_75 = _T_73 & ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 174:92] + wire _T_77 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[1]; // @[lsu_bus_intf.scala 174:70] + wire _T_79 = _T_77 & ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 174:92] + wire _T_81 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[2]; // @[lsu_bus_intf.scala 174:70] + wire _T_83 = _T_81 & ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 174:92] + wire _T_85 = ld_addr_rhit_lo_lo & ldst_byteen_lo_r[3]; // @[lsu_bus_intf.scala 174:70] + wire _T_87 = _T_85 & ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 174:92] wire [3:0] ld_byte_rhit_lo_lo = {_T_87,_T_83,_T_79,_T_75}; // @[Cat.scala 29:58] - wire _T_92 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[0]; // @[lsu_bus_intf.scala 176:70] - wire _T_94 = _T_92 & ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 176:92] - wire _T_96 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[1]; // @[lsu_bus_intf.scala 176:70] - wire _T_98 = _T_96 & ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 176:92] - wire _T_100 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[2]; // @[lsu_bus_intf.scala 176:70] - wire _T_102 = _T_100 & ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 176:92] - wire _T_104 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[3]; // @[lsu_bus_intf.scala 176:70] - wire _T_106 = _T_104 & ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 176:92] + wire _T_92 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[0]; // @[lsu_bus_intf.scala 175:70] + wire _T_94 = _T_92 & ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 175:92] + wire _T_96 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[1]; // @[lsu_bus_intf.scala 175:70] + wire _T_98 = _T_96 & ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 175:92] + wire _T_100 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[2]; // @[lsu_bus_intf.scala 175:70] + wire _T_102 = _T_100 & ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 175:92] + wire _T_104 = ld_addr_rhit_lo_hi & ldst_byteen_lo_r[3]; // @[lsu_bus_intf.scala 175:70] + wire _T_106 = _T_104 & ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 175:92] wire [3:0] ld_byte_rhit_lo_hi = {_T_106,_T_102,_T_98,_T_94}; // @[Cat.scala 29:58] - wire _T_111 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[0]; // @[lsu_bus_intf.scala 177:70] - wire _T_113 = _T_111 & ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 177:92] - wire _T_115 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[1]; // @[lsu_bus_intf.scala 177:70] - wire _T_117 = _T_115 & ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 177:92] - wire _T_119 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[2]; // @[lsu_bus_intf.scala 177:70] - wire _T_121 = _T_119 & ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 177:92] - wire _T_123 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[3]; // @[lsu_bus_intf.scala 177:70] - wire _T_125 = _T_123 & ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 177:92] + wire _T_111 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[0]; // @[lsu_bus_intf.scala 176:70] + wire _T_113 = _T_111 & ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 176:92] + wire _T_115 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[1]; // @[lsu_bus_intf.scala 176:70] + wire _T_117 = _T_115 & ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 176:92] + wire _T_119 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[2]; // @[lsu_bus_intf.scala 176:70] + wire _T_121 = _T_119 & ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 176:92] + wire _T_123 = ld_addr_rhit_hi_lo & ldst_byteen_hi_r[3]; // @[lsu_bus_intf.scala 176:70] + wire _T_125 = _T_123 & ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 176:92] wire [3:0] ld_byte_rhit_hi_lo = {_T_125,_T_121,_T_117,_T_113}; // @[Cat.scala 29:58] - wire _T_130 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[0]; // @[lsu_bus_intf.scala 178:70] - wire _T_132 = _T_130 & ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 178:92] - wire _T_134 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[1]; // @[lsu_bus_intf.scala 178:70] - wire _T_136 = _T_134 & ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 178:92] - wire _T_138 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[2]; // @[lsu_bus_intf.scala 178:70] - wire _T_140 = _T_138 & ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 178:92] - wire _T_142 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[3]; // @[lsu_bus_intf.scala 178:70] - wire _T_144 = _T_142 & ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 178:92] + wire _T_130 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[0]; // @[lsu_bus_intf.scala 177:70] + wire _T_132 = _T_130 & ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 177:92] + wire _T_134 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[1]; // @[lsu_bus_intf.scala 177:70] + wire _T_136 = _T_134 & ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 177:92] + wire _T_138 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[2]; // @[lsu_bus_intf.scala 177:70] + wire _T_140 = _T_138 & ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 177:92] + wire _T_142 = ld_addr_rhit_hi_hi & ldst_byteen_hi_r[3]; // @[lsu_bus_intf.scala 177:70] + wire _T_144 = _T_142 & ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 177:92] wire [3:0] ld_byte_rhit_hi_hi = {_T_144,_T_140,_T_136,_T_132}; // @[Cat.scala 29:58] - wire _T_150 = ld_byte_rhit_lo_lo[0] | ld_byte_rhit_hi_lo[0]; // @[lsu_bus_intf.scala 180:73] - wire [3:0] ld_byte_hit_buf_lo = bus_buffer_io_ld_byte_hit_buf_lo; // @[lsu_bus_intf.scala 138:38] - wire _T_152 = _T_150 | ld_byte_hit_buf_lo[0]; // @[lsu_bus_intf.scala 180:97] - wire _T_155 = ld_byte_rhit_lo_lo[1] | ld_byte_rhit_hi_lo[1]; // @[lsu_bus_intf.scala 180:73] - wire _T_157 = _T_155 | ld_byte_hit_buf_lo[1]; // @[lsu_bus_intf.scala 180:97] - wire _T_160 = ld_byte_rhit_lo_lo[2] | ld_byte_rhit_hi_lo[2]; // @[lsu_bus_intf.scala 180:73] - wire _T_162 = _T_160 | ld_byte_hit_buf_lo[2]; // @[lsu_bus_intf.scala 180:97] - wire _T_165 = ld_byte_rhit_lo_lo[3] | ld_byte_rhit_hi_lo[3]; // @[lsu_bus_intf.scala 180:73] - wire _T_167 = _T_165 | ld_byte_hit_buf_lo[3]; // @[lsu_bus_intf.scala 180:97] + wire _T_150 = ld_byte_rhit_lo_lo[0] | ld_byte_rhit_hi_lo[0]; // @[lsu_bus_intf.scala 179:73] + wire [3:0] ld_byte_hit_buf_lo = bus_buffer_io_ld_byte_hit_buf_lo; // @[lsu_bus_intf.scala 137:38] + wire _T_152 = _T_150 | ld_byte_hit_buf_lo[0]; // @[lsu_bus_intf.scala 179:97] + wire _T_155 = ld_byte_rhit_lo_lo[1] | ld_byte_rhit_hi_lo[1]; // @[lsu_bus_intf.scala 179:73] + wire _T_157 = _T_155 | ld_byte_hit_buf_lo[1]; // @[lsu_bus_intf.scala 179:97] + wire _T_160 = ld_byte_rhit_lo_lo[2] | ld_byte_rhit_hi_lo[2]; // @[lsu_bus_intf.scala 179:73] + wire _T_162 = _T_160 | ld_byte_hit_buf_lo[2]; // @[lsu_bus_intf.scala 179:97] + wire _T_165 = ld_byte_rhit_lo_lo[3] | ld_byte_rhit_hi_lo[3]; // @[lsu_bus_intf.scala 179:73] + wire _T_167 = _T_165 | ld_byte_hit_buf_lo[3]; // @[lsu_bus_intf.scala 179:97] wire [3:0] ld_byte_hit_lo = {_T_167,_T_162,_T_157,_T_152}; // @[Cat.scala 29:58] - wire _T_173 = ld_byte_rhit_lo_hi[0] | ld_byte_rhit_hi_hi[0]; // @[lsu_bus_intf.scala 181:73] - wire [3:0] ld_byte_hit_buf_hi = bus_buffer_io_ld_byte_hit_buf_hi; // @[lsu_bus_intf.scala 139:38] - wire _T_175 = _T_173 | ld_byte_hit_buf_hi[0]; // @[lsu_bus_intf.scala 181:97] - wire _T_178 = ld_byte_rhit_lo_hi[1] | ld_byte_rhit_hi_hi[1]; // @[lsu_bus_intf.scala 181:73] - wire _T_180 = _T_178 | ld_byte_hit_buf_hi[1]; // @[lsu_bus_intf.scala 181:97] - wire _T_183 = ld_byte_rhit_lo_hi[2] | ld_byte_rhit_hi_hi[2]; // @[lsu_bus_intf.scala 181:73] - wire _T_185 = _T_183 | ld_byte_hit_buf_hi[2]; // @[lsu_bus_intf.scala 181:97] - wire _T_188 = ld_byte_rhit_lo_hi[3] | ld_byte_rhit_hi_hi[3]; // @[lsu_bus_intf.scala 181:73] - wire _T_190 = _T_188 | ld_byte_hit_buf_hi[3]; // @[lsu_bus_intf.scala 181:97] + wire _T_173 = ld_byte_rhit_lo_hi[0] | ld_byte_rhit_hi_hi[0]; // @[lsu_bus_intf.scala 180:73] + wire [3:0] ld_byte_hit_buf_hi = bus_buffer_io_ld_byte_hit_buf_hi; // @[lsu_bus_intf.scala 138:38] + wire _T_175 = _T_173 | ld_byte_hit_buf_hi[0]; // @[lsu_bus_intf.scala 180:97] + wire _T_178 = ld_byte_rhit_lo_hi[1] | ld_byte_rhit_hi_hi[1]; // @[lsu_bus_intf.scala 180:73] + wire _T_180 = _T_178 | ld_byte_hit_buf_hi[1]; // @[lsu_bus_intf.scala 180:97] + wire _T_183 = ld_byte_rhit_lo_hi[2] | ld_byte_rhit_hi_hi[2]; // @[lsu_bus_intf.scala 180:73] + wire _T_185 = _T_183 | ld_byte_hit_buf_hi[2]; // @[lsu_bus_intf.scala 180:97] + wire _T_188 = ld_byte_rhit_lo_hi[3] | ld_byte_rhit_hi_hi[3]; // @[lsu_bus_intf.scala 180:73] + wire _T_190 = _T_188 | ld_byte_hit_buf_hi[3]; // @[lsu_bus_intf.scala 180:97] wire [3:0] ld_byte_hit_hi = {_T_190,_T_185,_T_180,_T_175}; // @[Cat.scala 29:58] wire [3:0] ld_byte_rhit_lo = {_T_165,_T_160,_T_155,_T_150}; // @[Cat.scala 29:58] wire [3:0] ld_byte_rhit_hi = {_T_188,_T_183,_T_178,_T_173}; // @[Cat.scala 29:58] @@ -72852,54 +73021,54 @@ module lsu_bus_intf( wire [7:0] _T_288 = ld_byte_rhit_hi_hi[3] ? store_data_hi_r[31:24] : 8'h0; // @[Mux.scala 27:72] wire [7:0] _T_289 = _T_287 | _T_288; // @[Mux.scala 27:72] wire [31:0] ld_fwddata_rpipe_hi = {_T_289,_T_281,_T_273,_T_265}; // @[Cat.scala 29:58] - wire [31:0] ld_fwddata_buf_lo = bus_buffer_io_ld_fwddata_buf_lo; // @[lsu_bus_intf.scala 140:38] - wire [7:0] _T_297 = ld_byte_rhit_lo[0] ? ld_fwddata_rpipe_lo[7:0] : ld_fwddata_buf_lo[7:0]; // @[lsu_bus_intf.scala 186:54] - wire [7:0] _T_301 = ld_byte_rhit_lo[1] ? ld_fwddata_rpipe_lo[15:8] : ld_fwddata_buf_lo[15:8]; // @[lsu_bus_intf.scala 186:54] - wire [7:0] _T_305 = ld_byte_rhit_lo[2] ? ld_fwddata_rpipe_lo[23:16] : ld_fwddata_buf_lo[23:16]; // @[lsu_bus_intf.scala 186:54] - wire [7:0] _T_309 = ld_byte_rhit_lo[3] ? ld_fwddata_rpipe_lo[31:24] : ld_fwddata_buf_lo[31:24]; // @[lsu_bus_intf.scala 186:54] + wire [31:0] ld_fwddata_buf_lo = bus_buffer_io_ld_fwddata_buf_lo; // @[lsu_bus_intf.scala 139:38] + wire [7:0] _T_297 = ld_byte_rhit_lo[0] ? ld_fwddata_rpipe_lo[7:0] : ld_fwddata_buf_lo[7:0]; // @[lsu_bus_intf.scala 185:54] + wire [7:0] _T_301 = ld_byte_rhit_lo[1] ? ld_fwddata_rpipe_lo[15:8] : ld_fwddata_buf_lo[15:8]; // @[lsu_bus_intf.scala 185:54] + wire [7:0] _T_305 = ld_byte_rhit_lo[2] ? ld_fwddata_rpipe_lo[23:16] : ld_fwddata_buf_lo[23:16]; // @[lsu_bus_intf.scala 185:54] + wire [7:0] _T_309 = ld_byte_rhit_lo[3] ? ld_fwddata_rpipe_lo[31:24] : ld_fwddata_buf_lo[31:24]; // @[lsu_bus_intf.scala 185:54] wire [31:0] _T_312 = {_T_309,_T_305,_T_301,_T_297}; // @[Cat.scala 29:58] - wire [31:0] ld_fwddata_buf_hi = bus_buffer_io_ld_fwddata_buf_hi; // @[lsu_bus_intf.scala 141:38] - wire [7:0] _T_316 = ld_byte_rhit_hi[0] ? ld_fwddata_rpipe_hi[7:0] : ld_fwddata_buf_hi[7:0]; // @[lsu_bus_intf.scala 187:54] - wire [7:0] _T_320 = ld_byte_rhit_hi[1] ? ld_fwddata_rpipe_hi[15:8] : ld_fwddata_buf_hi[15:8]; // @[lsu_bus_intf.scala 187:54] - wire [7:0] _T_324 = ld_byte_rhit_hi[2] ? ld_fwddata_rpipe_hi[23:16] : ld_fwddata_buf_hi[23:16]; // @[lsu_bus_intf.scala 187:54] - wire [7:0] _T_328 = ld_byte_rhit_hi[3] ? ld_fwddata_rpipe_hi[31:24] : ld_fwddata_buf_hi[31:24]; // @[lsu_bus_intf.scala 187:54] + wire [31:0] ld_fwddata_buf_hi = bus_buffer_io_ld_fwddata_buf_hi; // @[lsu_bus_intf.scala 140:38] + wire [7:0] _T_316 = ld_byte_rhit_hi[0] ? ld_fwddata_rpipe_hi[7:0] : ld_fwddata_buf_hi[7:0]; // @[lsu_bus_intf.scala 186:54] + wire [7:0] _T_320 = ld_byte_rhit_hi[1] ? ld_fwddata_rpipe_hi[15:8] : ld_fwddata_buf_hi[15:8]; // @[lsu_bus_intf.scala 186:54] + wire [7:0] _T_324 = ld_byte_rhit_hi[2] ? ld_fwddata_rpipe_hi[23:16] : ld_fwddata_buf_hi[23:16]; // @[lsu_bus_intf.scala 186:54] + wire [7:0] _T_328 = ld_byte_rhit_hi[3] ? ld_fwddata_rpipe_hi[31:24] : ld_fwddata_buf_hi[31:24]; // @[lsu_bus_intf.scala 186:54] wire [31:0] _T_331 = {_T_328,_T_324,_T_320,_T_316}; // @[Cat.scala 29:58] - wire _T_334 = ~ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 188:72] - wire _T_335 = ld_byte_hit_lo[0] | _T_334; // @[lsu_bus_intf.scala 188:70] - wire _T_338 = ~ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 188:72] - wire _T_339 = ld_byte_hit_lo[1] | _T_338; // @[lsu_bus_intf.scala 188:70] - wire _T_342 = ~ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 188:72] - wire _T_343 = ld_byte_hit_lo[2] | _T_342; // @[lsu_bus_intf.scala 188:70] - wire _T_346 = ~ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 188:72] - wire _T_347 = ld_byte_hit_lo[3] | _T_346; // @[lsu_bus_intf.scala 188:70] - wire _T_348 = _T_335 & _T_339; // @[lsu_bus_intf.scala 188:111] - wire _T_349 = _T_348 & _T_343; // @[lsu_bus_intf.scala 188:111] - wire ld_full_hit_lo_m = _T_349 & _T_347; // @[lsu_bus_intf.scala 188:111] - wire _T_353 = ~ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 189:72] - wire _T_354 = ld_byte_hit_hi[0] | _T_353; // @[lsu_bus_intf.scala 189:70] - wire _T_357 = ~ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 189:72] - wire _T_358 = ld_byte_hit_hi[1] | _T_357; // @[lsu_bus_intf.scala 189:70] - wire _T_361 = ~ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 189:72] - wire _T_362 = ld_byte_hit_hi[2] | _T_361; // @[lsu_bus_intf.scala 189:70] - wire _T_365 = ~ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 189:72] - wire _T_366 = ld_byte_hit_hi[3] | _T_365; // @[lsu_bus_intf.scala 189:70] - wire _T_367 = _T_354 & _T_358; // @[lsu_bus_intf.scala 189:111] - wire _T_368 = _T_367 & _T_362; // @[lsu_bus_intf.scala 189:111] - wire ld_full_hit_hi_m = _T_368 & _T_366; // @[lsu_bus_intf.scala 189:111] - wire _T_370 = ld_full_hit_lo_m & ld_full_hit_hi_m; // @[lsu_bus_intf.scala 190:47] - wire _T_371 = _T_370 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 190:66] - wire _T_372 = _T_371 & io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 190:84] - wire _T_373 = ~io_is_sideeffects_m; // @[lsu_bus_intf.scala 190:111] - wire [63:0] ld_fwddata_hi = {{32'd0}, _T_331}; // @[lsu_bus_intf.scala 187:27] - wire [63:0] ld_fwddata_lo = {{32'd0}, _T_312}; // @[lsu_bus_intf.scala 186:27] + wire _T_334 = ~ldst_byteen_lo_m[0]; // @[lsu_bus_intf.scala 187:72] + wire _T_335 = ld_byte_hit_lo[0] | _T_334; // @[lsu_bus_intf.scala 187:70] + wire _T_338 = ~ldst_byteen_lo_m[1]; // @[lsu_bus_intf.scala 187:72] + wire _T_339 = ld_byte_hit_lo[1] | _T_338; // @[lsu_bus_intf.scala 187:70] + wire _T_342 = ~ldst_byteen_lo_m[2]; // @[lsu_bus_intf.scala 187:72] + wire _T_343 = ld_byte_hit_lo[2] | _T_342; // @[lsu_bus_intf.scala 187:70] + wire _T_346 = ~ldst_byteen_lo_m[3]; // @[lsu_bus_intf.scala 187:72] + wire _T_347 = ld_byte_hit_lo[3] | _T_346; // @[lsu_bus_intf.scala 187:70] + wire _T_348 = _T_335 & _T_339; // @[lsu_bus_intf.scala 187:111] + wire _T_349 = _T_348 & _T_343; // @[lsu_bus_intf.scala 187:111] + wire ld_full_hit_lo_m = _T_349 & _T_347; // @[lsu_bus_intf.scala 187:111] + wire _T_353 = ~ldst_byteen_hi_m[0]; // @[lsu_bus_intf.scala 188:72] + wire _T_354 = ld_byte_hit_hi[0] | _T_353; // @[lsu_bus_intf.scala 188:70] + wire _T_357 = ~ldst_byteen_hi_m[1]; // @[lsu_bus_intf.scala 188:72] + wire _T_358 = ld_byte_hit_hi[1] | _T_357; // @[lsu_bus_intf.scala 188:70] + wire _T_361 = ~ldst_byteen_hi_m[2]; // @[lsu_bus_intf.scala 188:72] + wire _T_362 = ld_byte_hit_hi[2] | _T_361; // @[lsu_bus_intf.scala 188:70] + wire _T_365 = ~ldst_byteen_hi_m[3]; // @[lsu_bus_intf.scala 188:72] + wire _T_366 = ld_byte_hit_hi[3] | _T_365; // @[lsu_bus_intf.scala 188:70] + wire _T_367 = _T_354 & _T_358; // @[lsu_bus_intf.scala 188:111] + wire _T_368 = _T_367 & _T_362; // @[lsu_bus_intf.scala 188:111] + wire ld_full_hit_hi_m = _T_368 & _T_366; // @[lsu_bus_intf.scala 188:111] + wire _T_370 = ld_full_hit_lo_m & ld_full_hit_hi_m; // @[lsu_bus_intf.scala 189:47] + wire _T_371 = _T_370 & io_lsu_busreq_m; // @[lsu_bus_intf.scala 189:66] + wire _T_372 = _T_371 & io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 189:84] + wire _T_373 = ~io_is_sideeffects_m; // @[lsu_bus_intf.scala 189:111] + wire [63:0] ld_fwddata_hi = {{32'd0}, _T_331}; // @[lsu_bus_intf.scala 186:27] + wire [63:0] ld_fwddata_lo = {{32'd0}, _T_312}; // @[lsu_bus_intf.scala 185:27] wire [63:0] _T_377 = {ld_fwddata_hi[31:0],ld_fwddata_lo[31:0]}; // @[Cat.scala 29:58] - wire [3:0] _GEN_3 = {{2'd0}, io_lsu_addr_m[1:0]}; // @[lsu_bus_intf.scala 191:83] - wire [5:0] _T_379 = 4'h8 * _GEN_3; // @[lsu_bus_intf.scala 191:83] - wire [63:0] ld_fwddata_m = _T_377 >> _T_379; // @[lsu_bus_intf.scala 191:76] - reg lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 195:32] - reg ldst_dual_m; // @[lsu_bus_intf.scala 198:27] - reg is_sideeffects_r; // @[lsu_bus_intf.scala 202:33] - lsu_bus_buffer bus_buffer ( // @[lsu_bus_intf.scala 101:39] + wire [3:0] _GEN_3 = {{2'd0}, io_lsu_addr_m[1:0]}; // @[lsu_bus_intf.scala 190:83] + wire [5:0] _T_379 = 4'h8 * _GEN_3; // @[lsu_bus_intf.scala 190:83] + wire [63:0] ld_fwddata_m = _T_377 >> _T_379; // @[lsu_bus_intf.scala 190:76] + reg lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 194:32] + reg ldst_dual_m; // @[lsu_bus_intf.scala 197:27] + reg is_sideeffects_r; // @[lsu_bus_intf.scala 201:33] + lsu_bus_buffer bus_buffer ( // @[lsu_bus_intf.scala 100:39] .clock(bus_buffer_clock), .reset(bus_buffer_reset), .io_scan_mode(bus_buffer_io_scan_mode), @@ -72908,6 +73077,7 @@ module lsu_bus_intf( .io_tlu_busbuff_lsu_pmu_bus_error(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error), .io_tlu_busbuff_lsu_pmu_bus_busy(bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy), .io_tlu_busbuff_dec_tlu_external_ldfwd_disable(bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable), + .io_tlu_busbuff_dec_tlu_wb_coalescing_disable(bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable), .io_tlu_busbuff_dec_tlu_sideeffect_posted_disable(bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable), .io_tlu_busbuff_lsu_imprecise_error_load_any(bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any), .io_tlu_busbuff_lsu_imprecise_error_store_any(bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any), @@ -72957,7 +73127,9 @@ module lsu_bus_intf( .io_lsu_axi_aw_valid(bus_buffer_io_lsu_axi_aw_valid), .io_lsu_axi_aw_bits_id(bus_buffer_io_lsu_axi_aw_bits_id), .io_lsu_axi_aw_bits_addr(bus_buffer_io_lsu_axi_aw_bits_addr), + .io_lsu_axi_aw_bits_region(bus_buffer_io_lsu_axi_aw_bits_region), .io_lsu_axi_aw_bits_size(bus_buffer_io_lsu_axi_aw_bits_size), + .io_lsu_axi_aw_bits_cache(bus_buffer_io_lsu_axi_aw_bits_cache), .io_lsu_axi_w_ready(bus_buffer_io_lsu_axi_w_ready), .io_lsu_axi_w_valid(bus_buffer_io_lsu_axi_w_valid), .io_lsu_axi_w_bits_data(bus_buffer_io_lsu_axi_w_bits_data), @@ -72969,7 +73141,9 @@ module lsu_bus_intf( .io_lsu_axi_ar_valid(bus_buffer_io_lsu_axi_ar_valid), .io_lsu_axi_ar_bits_id(bus_buffer_io_lsu_axi_ar_bits_id), .io_lsu_axi_ar_bits_addr(bus_buffer_io_lsu_axi_ar_bits_addr), + .io_lsu_axi_ar_bits_region(bus_buffer_io_lsu_axi_ar_bits_region), .io_lsu_axi_ar_bits_size(bus_buffer_io_lsu_axi_ar_bits_size), + .io_lsu_axi_ar_bits_cache(bus_buffer_io_lsu_axi_ar_bits_cache), .io_lsu_axi_r_ready(bus_buffer_io_lsu_axi_r_ready), .io_lsu_axi_r_valid(bus_buffer_io_lsu_axi_r_valid), .io_lsu_axi_r_bits_id(bus_buffer_io_lsu_axi_r_bits_id), @@ -72986,86 +73160,91 @@ module lsu_bus_intf( .io_ld_fwddata_buf_lo(bus_buffer_io_ld_fwddata_buf_lo), .io_ld_fwddata_buf_hi(bus_buffer_io_ld_fwddata_buf_hi) ); - assign io_tlu_busbuff_lsu_pmu_bus_trxn = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu_bus_intf.scala 104:18] - assign io_tlu_busbuff_lsu_pmu_bus_misaligned = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu_bus_intf.scala 104:18] - assign io_tlu_busbuff_lsu_pmu_bus_error = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu_bus_intf.scala 104:18] - assign io_tlu_busbuff_lsu_pmu_bus_busy = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu_bus_intf.scala 104:18] - assign io_tlu_busbuff_lsu_imprecise_error_load_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu_bus_intf.scala 104:18] - assign io_tlu_busbuff_lsu_imprecise_error_store_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_intf.scala 104:18] - assign io_tlu_busbuff_lsu_imprecise_error_addr_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu_bus_intf.scala 104:18] - assign io_axi_aw_valid = bus_buffer_io_lsu_axi_aw_valid; // @[lsu_bus_intf.scala 130:43] - assign io_axi_aw_bits_id = bus_buffer_io_lsu_axi_aw_bits_id; // @[lsu_bus_intf.scala 130:43] - assign io_axi_aw_bits_addr = bus_buffer_io_lsu_axi_aw_bits_addr; // @[lsu_bus_intf.scala 130:43] - assign io_axi_aw_bits_size = bus_buffer_io_lsu_axi_aw_bits_size; // @[lsu_bus_intf.scala 130:43] - assign io_axi_w_valid = bus_buffer_io_lsu_axi_w_valid; // @[lsu_bus_intf.scala 130:43] - assign io_axi_w_bits_data = bus_buffer_io_lsu_axi_w_bits_data; // @[lsu_bus_intf.scala 130:43] - assign io_axi_w_bits_strb = bus_buffer_io_lsu_axi_w_bits_strb; // @[lsu_bus_intf.scala 130:43] - assign io_axi_ar_valid = bus_buffer_io_lsu_axi_ar_valid; // @[lsu_bus_intf.scala 130:43] - assign io_axi_ar_bits_id = bus_buffer_io_lsu_axi_ar_bits_id; // @[lsu_bus_intf.scala 130:43] - assign io_axi_ar_bits_addr = bus_buffer_io_lsu_axi_ar_bits_addr; // @[lsu_bus_intf.scala 130:43] - assign io_axi_ar_bits_size = bus_buffer_io_lsu_axi_ar_bits_size; // @[lsu_bus_intf.scala 130:43] - assign io_lsu_busreq_r = bus_buffer_io_lsu_busreq_r; // @[lsu_bus_intf.scala 133:38] - assign io_lsu_bus_buffer_pend_any = bus_buffer_io_lsu_bus_buffer_pend_any; // @[lsu_bus_intf.scala 134:38] - assign io_lsu_bus_buffer_full_any = bus_buffer_io_lsu_bus_buffer_full_any; // @[lsu_bus_intf.scala 135:38] - assign io_lsu_bus_buffer_empty_any = bus_buffer_io_lsu_bus_buffer_empty_any; // @[lsu_bus_intf.scala 136:38] - assign io_bus_read_data_m = ld_fwddata_m[31:0]; // @[lsu_bus_intf.scala 192:27] - assign io_dctl_busbuff_lsu_nonblock_load_valid_m = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu_bus_intf.scala 142:19] - assign io_dctl_busbuff_lsu_nonblock_load_tag_m = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu_bus_intf.scala 142:19] - assign io_dctl_busbuff_lsu_nonblock_load_inv_r = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu_bus_intf.scala 142:19] - assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu_bus_intf.scala 142:19] - assign io_dctl_busbuff_lsu_nonblock_load_data_valid = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu_bus_intf.scala 142:19] - assign io_dctl_busbuff_lsu_nonblock_load_data_error = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_intf.scala 142:19] - assign io_dctl_busbuff_lsu_nonblock_load_data_tag = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu_bus_intf.scala 142:19] - assign io_dctl_busbuff_lsu_nonblock_load_data = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu_bus_intf.scala 142:19] + assign io_tlu_busbuff_lsu_pmu_bus_trxn = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_trxn; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_pmu_bus_misaligned = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_misaligned; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_pmu_bus_error = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_pmu_bus_busy = bus_buffer_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_imprecise_error_load_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_imprecise_error_store_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu_bus_intf.scala 103:18] + assign io_tlu_busbuff_lsu_imprecise_error_addr_any = bus_buffer_io_tlu_busbuff_lsu_imprecise_error_addr_any; // @[lsu_bus_intf.scala 103:18] + assign io_axi_aw_valid = bus_buffer_io_lsu_axi_aw_valid; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_id = bus_buffer_io_lsu_axi_aw_bits_id; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_addr = bus_buffer_io_lsu_axi_aw_bits_addr; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_region = bus_buffer_io_lsu_axi_aw_bits_region; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_size = bus_buffer_io_lsu_axi_aw_bits_size; // @[lsu_bus_intf.scala 129:43] + assign io_axi_aw_bits_cache = bus_buffer_io_lsu_axi_aw_bits_cache; // @[lsu_bus_intf.scala 129:43] + assign io_axi_w_valid = bus_buffer_io_lsu_axi_w_valid; // @[lsu_bus_intf.scala 129:43] + assign io_axi_w_bits_data = bus_buffer_io_lsu_axi_w_bits_data; // @[lsu_bus_intf.scala 129:43] + assign io_axi_w_bits_strb = bus_buffer_io_lsu_axi_w_bits_strb; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_valid = bus_buffer_io_lsu_axi_ar_valid; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_id = bus_buffer_io_lsu_axi_ar_bits_id; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_addr = bus_buffer_io_lsu_axi_ar_bits_addr; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_region = bus_buffer_io_lsu_axi_ar_bits_region; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_size = bus_buffer_io_lsu_axi_ar_bits_size; // @[lsu_bus_intf.scala 129:43] + assign io_axi_ar_bits_cache = bus_buffer_io_lsu_axi_ar_bits_cache; // @[lsu_bus_intf.scala 129:43] + assign io_lsu_busreq_r = bus_buffer_io_lsu_busreq_r; // @[lsu_bus_intf.scala 132:38] + assign io_lsu_bus_buffer_pend_any = bus_buffer_io_lsu_bus_buffer_pend_any; // @[lsu_bus_intf.scala 133:38] + assign io_lsu_bus_buffer_full_any = bus_buffer_io_lsu_bus_buffer_full_any; // @[lsu_bus_intf.scala 134:38] + assign io_lsu_bus_buffer_empty_any = bus_buffer_io_lsu_bus_buffer_empty_any; // @[lsu_bus_intf.scala 135:38] + assign io_bus_read_data_m = ld_fwddata_m[31:0]; // @[lsu_bus_intf.scala 191:27] + assign io_dctl_busbuff_lsu_nonblock_load_valid_m = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_valid_m; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_tag_m = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_tag_m; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_inv_r = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_r; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_inv_tag_r = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_data_valid = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_valid; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_data_error = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_error; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_data_tag = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data_tag; // @[lsu_bus_intf.scala 141:19] + assign io_dctl_busbuff_lsu_nonblock_load_data = bus_buffer_io_dctl_busbuff_lsu_nonblock_load_data; // @[lsu_bus_intf.scala 141:19] assign bus_buffer_clock = clock; assign bus_buffer_reset = reset; - assign bus_buffer_io_scan_mode = io_scan_mode; // @[lsu_bus_intf.scala 103:29] - assign bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable = io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_intf.scala 104:18] - assign bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_intf.scala 104:18] - assign bus_buffer_io_dec_tlu_force_halt = io_dec_tlu_force_halt; // @[lsu_bus_intf.scala 106:51] - assign bus_buffer_io_lsu_c2_r_clk = io_lsu_c2_r_clk; // @[lsu_bus_intf.scala 107:51] - assign bus_buffer_io_lsu_bus_ibuf_c1_clk = io_lsu_bus_ibuf_c1_clk; // @[lsu_bus_intf.scala 108:51] - assign bus_buffer_io_lsu_bus_obuf_c1_clk = io_lsu_bus_obuf_c1_clk; // @[lsu_bus_intf.scala 109:51] - assign bus_buffer_io_lsu_bus_buf_c1_clk = io_lsu_bus_buf_c1_clk; // @[lsu_bus_intf.scala 110:51] - assign bus_buffer_io_lsu_free_c2_clk = io_lsu_free_c2_clk; // @[lsu_bus_intf.scala 111:51] - assign bus_buffer_io_lsu_busm_clk = io_lsu_busm_clk; // @[lsu_bus_intf.scala 112:51] - assign bus_buffer_io_dec_lsu_valid_raw_d = io_dec_lsu_valid_raw_d; // @[lsu_bus_intf.scala 113:51] - assign bus_buffer_io_lsu_pkt_m_valid = io_lsu_pkt_m_valid; // @[lsu_bus_intf.scala 116:27] - assign bus_buffer_io_lsu_pkt_m_bits_load = io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 116:27] - assign bus_buffer_io_lsu_pkt_r_bits_by = io_lsu_pkt_r_bits_by; // @[lsu_bus_intf.scala 117:27] - assign bus_buffer_io_lsu_pkt_r_bits_half = io_lsu_pkt_r_bits_half; // @[lsu_bus_intf.scala 117:27] - assign bus_buffer_io_lsu_pkt_r_bits_word = io_lsu_pkt_r_bits_word; // @[lsu_bus_intf.scala 117:27] - assign bus_buffer_io_lsu_pkt_r_bits_load = io_lsu_pkt_r_bits_load; // @[lsu_bus_intf.scala 117:27] - assign bus_buffer_io_lsu_pkt_r_bits_store = io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 117:27] - assign bus_buffer_io_lsu_pkt_r_bits_unsign = io_lsu_pkt_r_bits_unsign; // @[lsu_bus_intf.scala 117:27] - assign bus_buffer_io_lsu_addr_m = io_lsu_addr_m; // @[lsu_bus_intf.scala 120:51] - assign bus_buffer_io_end_addr_m = io_end_addr_m; // @[lsu_bus_intf.scala 121:51] - assign bus_buffer_io_lsu_addr_r = io_lsu_addr_r; // @[lsu_bus_intf.scala 122:51] - assign bus_buffer_io_end_addr_r = io_end_addr_r; // @[lsu_bus_intf.scala 123:51] - assign bus_buffer_io_store_data_r = io_store_data_r; // @[lsu_bus_intf.scala 124:51] - assign bus_buffer_io_no_word_merge_r = _T_22 & _T_24; // @[lsu_bus_intf.scala 143:51] - assign bus_buffer_io_no_dword_merge_r = _T_22 & _T_30; // @[lsu_bus_intf.scala 144:51] - assign bus_buffer_io_lsu_busreq_m = io_lsu_busreq_m; // @[lsu_bus_intf.scala 126:51] - assign bus_buffer_io_ld_full_hit_m = _T_372 & _T_373; // @[lsu_bus_intf.scala 150:51] - assign bus_buffer_io_flush_m_up = io_flush_m_up; // @[lsu_bus_intf.scala 127:51] - assign bus_buffer_io_flush_r = io_flush_r; // @[lsu_bus_intf.scala 128:51] - assign bus_buffer_io_lsu_commit_r = io_lsu_commit_r; // @[lsu_bus_intf.scala 129:51] - assign bus_buffer_io_is_sideeffects_r = is_sideeffects_r; // @[lsu_bus_intf.scala 145:51] - assign bus_buffer_io_ldst_dual_d = io_lsu_addr_d[2] != io_end_addr_d[2]; // @[lsu_bus_intf.scala 146:51] - assign bus_buffer_io_ldst_dual_m = ldst_dual_m; // @[lsu_bus_intf.scala 147:51] - assign bus_buffer_io_ldst_dual_r = ldst_dual_r; // @[lsu_bus_intf.scala 148:51] - assign bus_buffer_io_ldst_byteen_ext_m = {{1'd0}, _T_34}; // @[lsu_bus_intf.scala 149:51] - assign bus_buffer_io_lsu_axi_aw_ready = io_axi_aw_ready; // @[lsu_bus_intf.scala 130:43] - assign bus_buffer_io_lsu_axi_w_ready = io_axi_w_ready; // @[lsu_bus_intf.scala 130:43] - assign bus_buffer_io_lsu_axi_b_valid = io_axi_b_valid; // @[lsu_bus_intf.scala 130:43] - assign bus_buffer_io_lsu_axi_b_bits_id = io_axi_b_bits_id; // @[lsu_bus_intf.scala 130:43] - assign bus_buffer_io_lsu_axi_ar_ready = io_axi_ar_ready; // @[lsu_bus_intf.scala 130:43] - assign bus_buffer_io_lsu_axi_r_valid = io_axi_r_valid; // @[lsu_bus_intf.scala 130:43] - assign bus_buffer_io_lsu_axi_r_bits_id = io_axi_r_bits_id; // @[lsu_bus_intf.scala 130:43] - assign bus_buffer_io_lsu_axi_r_bits_data = io_axi_r_bits_data; // @[lsu_bus_intf.scala 130:43] - assign bus_buffer_io_lsu_axi_r_bits_resp = io_axi_r_bits_resp; // @[lsu_bus_intf.scala 130:43] - assign bus_buffer_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[lsu_bus_intf.scala 131:51] - assign bus_buffer_io_lsu_bus_clk_en_q = lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 151:51] + assign bus_buffer_io_scan_mode = io_scan_mode; // @[lsu_bus_intf.scala 102:29] + assign bus_buffer_io_tlu_busbuff_dec_tlu_external_ldfwd_disable = io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu_bus_intf.scala 103:18] + assign bus_buffer_io_tlu_busbuff_dec_tlu_wb_coalescing_disable = io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu_bus_intf.scala 103:18] + assign bus_buffer_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu_bus_intf.scala 103:18] + assign bus_buffer_io_dec_tlu_force_halt = io_dec_tlu_force_halt; // @[lsu_bus_intf.scala 105:51] + assign bus_buffer_io_lsu_c2_r_clk = io_lsu_c2_r_clk; // @[lsu_bus_intf.scala 106:51] + assign bus_buffer_io_lsu_bus_ibuf_c1_clk = io_lsu_bus_ibuf_c1_clk; // @[lsu_bus_intf.scala 107:51] + assign bus_buffer_io_lsu_bus_obuf_c1_clk = io_lsu_bus_obuf_c1_clk; // @[lsu_bus_intf.scala 108:51] + assign bus_buffer_io_lsu_bus_buf_c1_clk = io_lsu_bus_buf_c1_clk; // @[lsu_bus_intf.scala 109:51] + assign bus_buffer_io_lsu_free_c2_clk = io_lsu_free_c2_clk; // @[lsu_bus_intf.scala 110:51] + assign bus_buffer_io_lsu_busm_clk = io_lsu_busm_clk; // @[lsu_bus_intf.scala 111:51] + assign bus_buffer_io_dec_lsu_valid_raw_d = io_dec_lsu_valid_raw_d; // @[lsu_bus_intf.scala 112:51] + assign bus_buffer_io_lsu_pkt_m_valid = io_lsu_pkt_m_valid; // @[lsu_bus_intf.scala 115:27] + assign bus_buffer_io_lsu_pkt_m_bits_load = io_lsu_pkt_m_bits_load; // @[lsu_bus_intf.scala 115:27] + assign bus_buffer_io_lsu_pkt_r_bits_by = io_lsu_pkt_r_bits_by; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_half = io_lsu_pkt_r_bits_half; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_word = io_lsu_pkt_r_bits_word; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_load = io_lsu_pkt_r_bits_load; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_store = io_lsu_pkt_r_bits_store; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_pkt_r_bits_unsign = io_lsu_pkt_r_bits_unsign; // @[lsu_bus_intf.scala 116:27] + assign bus_buffer_io_lsu_addr_m = io_lsu_addr_m; // @[lsu_bus_intf.scala 119:51] + assign bus_buffer_io_end_addr_m = io_end_addr_m; // @[lsu_bus_intf.scala 120:51] + assign bus_buffer_io_lsu_addr_r = io_lsu_addr_r; // @[lsu_bus_intf.scala 121:51] + assign bus_buffer_io_end_addr_r = io_end_addr_r; // @[lsu_bus_intf.scala 122:51] + assign bus_buffer_io_store_data_r = io_store_data_r; // @[lsu_bus_intf.scala 123:51] + assign bus_buffer_io_no_word_merge_r = _T_22 & _T_24; // @[lsu_bus_intf.scala 142:51] + assign bus_buffer_io_no_dword_merge_r = _T_22 & _T_30; // @[lsu_bus_intf.scala 143:51] + assign bus_buffer_io_lsu_busreq_m = io_lsu_busreq_m; // @[lsu_bus_intf.scala 125:51] + assign bus_buffer_io_ld_full_hit_m = _T_372 & _T_373; // @[lsu_bus_intf.scala 149:51] + assign bus_buffer_io_flush_m_up = io_flush_m_up; // @[lsu_bus_intf.scala 126:51] + assign bus_buffer_io_flush_r = io_flush_r; // @[lsu_bus_intf.scala 127:51] + assign bus_buffer_io_lsu_commit_r = io_lsu_commit_r; // @[lsu_bus_intf.scala 128:51] + assign bus_buffer_io_is_sideeffects_r = is_sideeffects_r; // @[lsu_bus_intf.scala 144:51] + assign bus_buffer_io_ldst_dual_d = io_lsu_addr_d[2] != io_end_addr_d[2]; // @[lsu_bus_intf.scala 145:51] + assign bus_buffer_io_ldst_dual_m = ldst_dual_m; // @[lsu_bus_intf.scala 146:51] + assign bus_buffer_io_ldst_dual_r = ldst_dual_r; // @[lsu_bus_intf.scala 147:51] + assign bus_buffer_io_ldst_byteen_ext_m = {{1'd0}, _T_34}; // @[lsu_bus_intf.scala 148:51] + assign bus_buffer_io_lsu_axi_aw_ready = io_axi_aw_ready; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_w_ready = io_axi_w_ready; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_b_valid = io_axi_b_valid; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_b_bits_id = io_axi_b_bits_id; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_ar_ready = io_axi_ar_ready; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_r_valid = io_axi_r_valid; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_r_bits_id = io_axi_r_bits_id; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_r_bits_data = io_axi_r_bits_data; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_axi_r_bits_resp = io_axi_r_bits_resp; // @[lsu_bus_intf.scala 129:43] + assign bus_buffer_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[lsu_bus_intf.scala 130:51] + assign bus_buffer_io_lsu_bus_clk_en_q = lsu_bus_clk_en_q; // @[lsu_bus_intf.scala 150:51] `ifdef RANDOMIZE_GARBAGE_ASSIGN `define RANDOMIZE `endif @@ -73200,6 +73379,7 @@ module lsu( output io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error, output io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy, input io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable, + input io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable, input io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable, output io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any, output io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any, @@ -73228,7 +73408,9 @@ module lsu( output io_axi_aw_valid, output [2:0] io_axi_aw_bits_id, output [31:0] io_axi_aw_bits_addr, + output [3:0] io_axi_aw_bits_region, output [2:0] io_axi_aw_bits_size, + output [3:0] io_axi_aw_bits_cache, input io_axi_w_ready, output io_axi_w_valid, output [63:0] io_axi_w_bits_data, @@ -73239,7 +73421,9 @@ module lsu( output io_axi_ar_valid, output [2:0] io_axi_ar_bits_id, output [31:0] io_axi_ar_bits_addr, + output [3:0] io_axi_ar_bits_region, output [2:0] io_axi_ar_bits_size, + output [3:0] io_axi_ar_bits_cache, input io_axi_r_valid, input [2:0] io_axi_r_bits_id, input [63:0] io_axi_r_bits_data, @@ -73664,6 +73848,7 @@ module lsu( wire bus_intf_io_tlu_busbuff_lsu_pmu_bus_error; // @[lsu.scala 68:30] wire bus_intf_io_tlu_busbuff_lsu_pmu_bus_busy; // @[lsu.scala 68:30] wire bus_intf_io_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu.scala 68:30] + wire bus_intf_io_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu.scala 68:30] wire bus_intf_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu.scala 68:30] wire bus_intf_io_tlu_busbuff_lsu_imprecise_error_load_any; // @[lsu.scala 68:30] wire bus_intf_io_tlu_busbuff_lsu_imprecise_error_store_any; // @[lsu.scala 68:30] @@ -73681,7 +73866,9 @@ module lsu( wire bus_intf_io_axi_aw_valid; // @[lsu.scala 68:30] wire [2:0] bus_intf_io_axi_aw_bits_id; // @[lsu.scala 68:30] wire [31:0] bus_intf_io_axi_aw_bits_addr; // @[lsu.scala 68:30] + wire [3:0] bus_intf_io_axi_aw_bits_region; // @[lsu.scala 68:30] wire [2:0] bus_intf_io_axi_aw_bits_size; // @[lsu.scala 68:30] + wire [3:0] bus_intf_io_axi_aw_bits_cache; // @[lsu.scala 68:30] wire bus_intf_io_axi_w_ready; // @[lsu.scala 68:30] wire bus_intf_io_axi_w_valid; // @[lsu.scala 68:30] wire [63:0] bus_intf_io_axi_w_bits_data; // @[lsu.scala 68:30] @@ -73692,7 +73879,9 @@ module lsu( wire bus_intf_io_axi_ar_valid; // @[lsu.scala 68:30] wire [2:0] bus_intf_io_axi_ar_bits_id; // @[lsu.scala 68:30] wire [31:0] bus_intf_io_axi_ar_bits_addr; // @[lsu.scala 68:30] + wire [3:0] bus_intf_io_axi_ar_bits_region; // @[lsu.scala 68:30] wire [2:0] bus_intf_io_axi_ar_bits_size; // @[lsu.scala 68:30] + wire [3:0] bus_intf_io_axi_ar_bits_cache; // @[lsu.scala 68:30] wire bus_intf_io_axi_r_valid; // @[lsu.scala 68:30] wire [2:0] bus_intf_io_axi_r_bits_id; // @[lsu.scala 68:30] wire [63:0] bus_intf_io_axi_r_bits_data; // @[lsu.scala 68:30] @@ -74143,6 +74332,7 @@ module lsu( .io_tlu_busbuff_lsu_pmu_bus_error(bus_intf_io_tlu_busbuff_lsu_pmu_bus_error), .io_tlu_busbuff_lsu_pmu_bus_busy(bus_intf_io_tlu_busbuff_lsu_pmu_bus_busy), .io_tlu_busbuff_dec_tlu_external_ldfwd_disable(bus_intf_io_tlu_busbuff_dec_tlu_external_ldfwd_disable), + .io_tlu_busbuff_dec_tlu_wb_coalescing_disable(bus_intf_io_tlu_busbuff_dec_tlu_wb_coalescing_disable), .io_tlu_busbuff_dec_tlu_sideeffect_posted_disable(bus_intf_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable), .io_tlu_busbuff_lsu_imprecise_error_load_any(bus_intf_io_tlu_busbuff_lsu_imprecise_error_load_any), .io_tlu_busbuff_lsu_imprecise_error_store_any(bus_intf_io_tlu_busbuff_lsu_imprecise_error_store_any), @@ -74160,7 +74350,9 @@ module lsu( .io_axi_aw_valid(bus_intf_io_axi_aw_valid), .io_axi_aw_bits_id(bus_intf_io_axi_aw_bits_id), .io_axi_aw_bits_addr(bus_intf_io_axi_aw_bits_addr), + .io_axi_aw_bits_region(bus_intf_io_axi_aw_bits_region), .io_axi_aw_bits_size(bus_intf_io_axi_aw_bits_size), + .io_axi_aw_bits_cache(bus_intf_io_axi_aw_bits_cache), .io_axi_w_ready(bus_intf_io_axi_w_ready), .io_axi_w_valid(bus_intf_io_axi_w_valid), .io_axi_w_bits_data(bus_intf_io_axi_w_bits_data), @@ -74171,7 +74363,9 @@ module lsu( .io_axi_ar_valid(bus_intf_io_axi_ar_valid), .io_axi_ar_bits_id(bus_intf_io_axi_ar_bits_id), .io_axi_ar_bits_addr(bus_intf_io_axi_ar_bits_addr), + .io_axi_ar_bits_region(bus_intf_io_axi_ar_bits_region), .io_axi_ar_bits_size(bus_intf_io_axi_ar_bits_size), + .io_axi_ar_bits_cache(bus_intf_io_axi_ar_bits_cache), .io_axi_r_valid(bus_intf_io_axi_r_valid), .io_axi_r_bits_id(bus_intf_io_axi_r_bits_id), .io_axi_r_bits_data(bus_intf_io_axi_r_bits_data), @@ -74256,14 +74450,18 @@ module lsu( assign io_axi_aw_valid = bus_intf_io_axi_aw_valid; // @[lsu.scala 314:49] assign io_axi_aw_bits_id = bus_intf_io_axi_aw_bits_id; // @[lsu.scala 314:49] assign io_axi_aw_bits_addr = bus_intf_io_axi_aw_bits_addr; // @[lsu.scala 314:49] + assign io_axi_aw_bits_region = bus_intf_io_axi_aw_bits_region; // @[lsu.scala 314:49] assign io_axi_aw_bits_size = bus_intf_io_axi_aw_bits_size; // @[lsu.scala 314:49] + assign io_axi_aw_bits_cache = bus_intf_io_axi_aw_bits_cache; // @[lsu.scala 314:49] assign io_axi_w_valid = bus_intf_io_axi_w_valid; // @[lsu.scala 314:49] assign io_axi_w_bits_data = bus_intf_io_axi_w_bits_data; // @[lsu.scala 314:49] assign io_axi_w_bits_strb = bus_intf_io_axi_w_bits_strb; // @[lsu.scala 314:49] assign io_axi_ar_valid = bus_intf_io_axi_ar_valid; // @[lsu.scala 314:49] assign io_axi_ar_bits_id = bus_intf_io_axi_ar_bits_id; // @[lsu.scala 314:49] assign io_axi_ar_bits_addr = bus_intf_io_axi_ar_bits_addr; // @[lsu.scala 314:49] + assign io_axi_ar_bits_region = bus_intf_io_axi_ar_bits_region; // @[lsu.scala 314:49] assign io_axi_ar_bits_size = bus_intf_io_axi_ar_bits_size; // @[lsu.scala 314:49] + assign io_axi_ar_bits_cache = bus_intf_io_axi_ar_bits_cache; // @[lsu.scala 314:49] assign io_lsu_result_m = lsu_lsc_ctl_io_lsu_result_m; // @[lsu.scala 61:19] assign io_lsu_result_corr_r = lsu_lsc_ctl_io_lsu_result_corr_r; // @[lsu.scala 62:24] assign io_lsu_load_stall_any = bus_intf_io_lsu_bus_buffer_full_any | dccm_ctl_io_ld_single_ecc_error_r_ff; // @[lsu.scala 75:25] @@ -74498,6 +74696,7 @@ module lsu( assign bus_intf_reset = reset; assign bus_intf_io_scan_mode = io_scan_mode; // @[lsu.scala 285:49] assign bus_intf_io_tlu_busbuff_dec_tlu_external_ldfwd_disable = io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[lsu.scala 286:26] + assign bus_intf_io_tlu_busbuff_dec_tlu_wb_coalescing_disable = io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[lsu.scala 286:26] assign bus_intf_io_tlu_busbuff_dec_tlu_sideeffect_posted_disable = io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[lsu.scala 286:26] assign bus_intf_io_lsu_c1_m_clk = clkdomain_io_lsu_c1_m_clk; // @[lsu.scala 287:49] assign bus_intf_io_lsu_c1_r_clk = clkdomain_io_lsu_c1_r_clk; // @[lsu.scala 288:49] @@ -78282,18 +78481,25 @@ module dma_ctrl( input io_iccm_ready, output io_dma_axi_aw_ready, input io_dma_axi_aw_valid, + input io_dma_axi_aw_bits_id, input [31:0] io_dma_axi_aw_bits_addr, input [2:0] io_dma_axi_aw_bits_size, output io_dma_axi_w_ready, input io_dma_axi_w_valid, input [63:0] io_dma_axi_w_bits_data, input [7:0] io_dma_axi_w_bits_strb, + input io_dma_axi_b_ready, output io_dma_axi_b_valid, + output [1:0] io_dma_axi_b_bits_resp, + output io_dma_axi_b_bits_id, output io_dma_axi_ar_ready, input io_dma_axi_ar_valid, + input io_dma_axi_ar_bits_id, input [31:0] io_dma_axi_ar_bits_addr, input [2:0] io_dma_axi_ar_bits_size, + input io_dma_axi_r_ready, output io_dma_axi_r_valid, + output io_dma_axi_r_bits_id, output [63:0] io_dma_axi_r_bits_data, output [1:0] io_dma_axi_r_bits_resp, output io_lsu_dma_dma_lsc_ctl_dma_dccm_req, @@ -78390,6 +78596,13 @@ module dma_ctrl( reg [63:0] _RAND_69; reg [31:0] _RAND_70; reg [31:0] _RAND_71; + reg [31:0] _RAND_72; + reg [31:0] _RAND_73; + reg [31:0] _RAND_74; + reg [31:0] _RAND_75; + reg [31:0] _RAND_76; + reg [31:0] _RAND_77; + reg [31:0] _RAND_78; `endif // RANDOMIZE_REG_INIT wire rvclkhdr_io_l1clk; // @[lib.scala 368:23] wire rvclkhdr_io_clk; // @[lib.scala 368:23] @@ -78786,7 +78999,9 @@ module dma_ctrl( wire _T_399 = fifo_done_en[4] | fifo_done[4]; // @[dma_ctrl.scala 218:75] wire _T_400 = _T_399 & io_dma_bus_clk_en; // @[dma_ctrl.scala 218:91] wire [4:0] fifo_done_bus_en = {_T_400,_T_396,_T_392,_T_388,_T_384}; // @[Cat.scala 29:58] - wire bus_rsp_sent = io_dma_axi_b_valid | io_dma_axi_r_valid; // @[dma_ctrl.scala 502:83] + wire _T_1285 = io_dma_axi_b_valid & io_dma_axi_b_ready; // @[dma_ctrl.scala 502:61] + wire _T_1286 = io_dma_axi_r_valid & io_dma_axi_r_ready; // @[dma_ctrl.scala 502:105] + wire bus_rsp_sent = _T_1285 | _T_1286; // @[dma_ctrl.scala 502:83] wire _T_406 = bus_rsp_sent & io_dma_bus_clk_en; // @[dma_ctrl.scala 220:99] wire _T_407 = _T_406 | io_dma_dbg_cmd_done; // @[dma_ctrl.scala 220:120] reg [2:0] RspPtr; // @[Reg.scala 27:20] @@ -78868,6 +79083,14 @@ module dma_ctrl( reg [63:0] fifo_data_2; // @[lib.scala 374:16] reg [63:0] fifo_data_3; // @[lib.scala 374:16] reg [63:0] fifo_data_4; // @[lib.scala 374:16] + reg fifo_tag_0; // @[Reg.scala 27:20] + reg wrbuf_tag; // @[Reg.scala 27:20] + reg rdbuf_tag; // @[Reg.scala 27:20] + wire bus_cmd_tag = axi_mstr_sel ? wrbuf_tag : rdbuf_tag; // @[dma_ctrl.scala 467:43] + reg fifo_tag_1; // @[Reg.scala 27:20] + reg fifo_tag_2; // @[Reg.scala 27:20] + reg fifo_tag_3; // @[Reg.scala 27:20] + reg fifo_tag_4; // @[Reg.scala 27:20] wire _T_931 = WrPtr == 3'h4; // @[dma_ctrl.scala 260:30] wire [2:0] _T_934 = WrPtr + 3'h1; // @[dma_ctrl.scala 260:76] wire _T_936 = RdPtr == 3'h4; // @[dma_ctrl.scala 262:30] @@ -78934,7 +79157,8 @@ module dma_ctrl( reg dma_dbg_cmd_done_q; // @[dma_ctrl.scala 381:12] wire _T_1212 = bus_cmd_valid & io_dma_bus_clk_en; // @[dma_ctrl.scala 386:44] wire _T_1213 = _T_1212 | io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[dma_ctrl.scala 386:65] - wire _T_1214 = bus_cmd_valid | bus_rsp_sent; // @[dma_ctrl.scala 387:44] + wire bus_rsp_valid = io_dma_axi_b_valid | io_dma_axi_r_valid; // @[dma_ctrl.scala 501:60] + wire _T_1214 = bus_cmd_valid | bus_rsp_valid; // @[dma_ctrl.scala 387:44] wire _T_1215 = _T_1214 | io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[dma_ctrl.scala 387:60] wire _T_1216 = _T_1215 | io_dma_dbg_cmd_done; // @[dma_ctrl.scala 387:94] wire _T_1217 = _T_1216 | dma_dbg_cmd_done_q; // @[dma_ctrl.scala 387:116] @@ -78970,6 +79194,9 @@ module dma_ctrl( wire [4:0] _T_1275 = fifo_write >> RspPtr; // @[dma_ctrl.scala 483:39] wire axi_rsp_write = _T_1275[0]; // @[dma_ctrl.scala 483:39] wire [1:0] _T_1278 = _GEN_57[1] ? 2'h3 : 2'h0; // @[dma_ctrl.scala 484:64] + wire _GEN_86 = 3'h1 == RspPtr ? fifo_tag_1 : fifo_tag_0; // @[dma_ctrl.scala 492:33] + wire _GEN_87 = 3'h2 == RspPtr ? fifo_tag_2 : _GEN_86; // @[dma_ctrl.scala 492:33] + wire _GEN_88 = 3'h3 == RspPtr ? fifo_tag_3 : _GEN_87; // @[dma_ctrl.scala 492:33] wire _T_1281 = ~axi_rsp_write; // @[dma_ctrl.scala 494:46] rvclkhdr rvclkhdr ( // @[lib.scala 368:23] .io_l1clk(rvclkhdr_io_l1clk), @@ -79081,8 +79308,11 @@ module dma_ctrl( assign io_dma_axi_aw_ready = ~_T_1243; // @[dma_ctrl.scala 453:27] assign io_dma_axi_w_ready = ~_T_1246; // @[dma_ctrl.scala 454:27] assign io_dma_axi_b_valid = axi_rsp_valid & axi_rsp_write; // @[dma_ctrl.scala 490:27] + assign io_dma_axi_b_bits_resp = _GEN_57[0] ? 2'h2 : _T_1278; // @[dma_ctrl.scala 491:41] + assign io_dma_axi_b_bits_id = 3'h4 == RspPtr ? fifo_tag_4 : _GEN_88; // @[dma_ctrl.scala 492:33] assign io_dma_axi_ar_ready = ~_T_1249; // @[dma_ctrl.scala 455:27] assign io_dma_axi_r_valid = axi_rsp_valid & _T_1281; // @[dma_ctrl.scala 494:27] + assign io_dma_axi_r_bits_id = 3'h4 == RspPtr ? fifo_tag_4 : _GEN_88; // @[dma_ctrl.scala 498:37] assign io_dma_axi_r_bits_data = 3'h4 == RspPtr ? fifo_data_4 : _GEN_51; // @[dma_ctrl.scala 496:43] assign io_dma_axi_r_bits_resp = _GEN_57[0] ? 2'h2 : _T_1278; // @[dma_ctrl.scala 495:41] assign io_lsu_dma_dma_lsc_ctl_dma_dccm_req = _T_1137 & io_lsu_dma_dccm_ready; // @[dma_ctrl.scala 352:40] @@ -79324,9 +79554,23 @@ initial begin _RAND_69 = {2{`RANDOM}}; fifo_data_4 = _RAND_69[63:0]; _RAND_70 = {1{`RANDOM}}; - dma_nack_count = _RAND_70[2:0]; + fifo_tag_0 = _RAND_70[0:0]; _RAND_71 = {1{`RANDOM}}; - dma_dbg_cmd_done_q = _RAND_71[0:0]; + wrbuf_tag = _RAND_71[0:0]; + _RAND_72 = {1{`RANDOM}}; + rdbuf_tag = _RAND_72[0:0]; + _RAND_73 = {1{`RANDOM}}; + fifo_tag_1 = _RAND_73[0:0]; + _RAND_74 = {1{`RANDOM}}; + fifo_tag_2 = _RAND_74[0:0]; + _RAND_75 = {1{`RANDOM}}; + fifo_tag_3 = _RAND_75[0:0]; + _RAND_76 = {1{`RANDOM}}; + fifo_tag_4 = _RAND_76[0:0]; + _RAND_77 = {1{`RANDOM}}; + dma_nack_count = _RAND_77[2:0]; + _RAND_78 = {1{`RANDOM}}; + dma_dbg_cmd_done_q = _RAND_78[0:0]; `endif // RANDOMIZE_REG_INIT if (reset) begin RdPtr = 3'h0; @@ -79538,6 +79782,27 @@ initial begin if (reset) begin fifo_data_4 = 64'h0; end + if (reset) begin + fifo_tag_0 = 1'h0; + end + if (reset) begin + wrbuf_tag = 1'h0; + end + if (reset) begin + rdbuf_tag = 1'h0; + end + if (reset) begin + fifo_tag_1 = 1'h0; + end + if (reset) begin + fifo_tag_2 = 1'h0; + end + if (reset) begin + fifo_tag_3 = 1'h0; + end + if (reset) begin + fifo_tag_4 = 1'h0; + end if (reset) begin dma_nack_count = 3'h0; end @@ -80156,6 +80421,71 @@ end // initial fifo_data_4 <= _T_500; end end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_tag_0 <= 1'h0; + end else if (fifo_cmd_en[0]) begin + if (axi_mstr_sel) begin + fifo_tag_0 <= wrbuf_tag; + end else begin + fifo_tag_0 <= rdbuf_tag; + end + end + end + always @(posedge dma_bus_clk or posedge reset) begin + if (reset) begin + wrbuf_tag <= 1'h0; + end else if (wrbuf_en) begin + wrbuf_tag <= io_dma_axi_aw_bits_id; + end + end + always @(posedge dma_bus_clk or posedge reset) begin + if (reset) begin + rdbuf_tag <= 1'h0; + end else if (rdbuf_en) begin + rdbuf_tag <= io_dma_axi_ar_bits_id; + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_tag_1 <= 1'h0; + end else if (fifo_cmd_en[1]) begin + if (axi_mstr_sel) begin + fifo_tag_1 <= wrbuf_tag; + end else begin + fifo_tag_1 <= rdbuf_tag; + end + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_tag_2 <= 1'h0; + end else if (fifo_cmd_en[2]) begin + if (axi_mstr_sel) begin + fifo_tag_2 <= wrbuf_tag; + end else begin + fifo_tag_2 <= rdbuf_tag; + end + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_tag_3 <= 1'h0; + end else if (fifo_cmd_en[3]) begin + if (axi_mstr_sel) begin + fifo_tag_3 <= wrbuf_tag; + end else begin + fifo_tag_3 <= rdbuf_tag; + end + end + end + always @(posedge dma_buffer_c1_clk or posedge reset) begin + if (reset) begin + fifo_tag_4 <= 1'h0; + end else if (fifo_cmd_en[4]) begin + fifo_tag_4 <= bus_cmd_tag; + end + end always @(posedge dma_free_clk or posedge reset) begin if (reset) begin dma_nack_count <= 3'h0; @@ -80177,2674 +80507,84 @@ end // initial end end endmodule -module axi4_to_ahb( - input clock, - input reset, - input io_scan_mode, - input io_bus_clk_en, - input io_clk_override, - output io_axi_aw_ready, - input io_axi_aw_valid, - input [31:0] io_axi_aw_bits_addr, - input [2:0] io_axi_aw_bits_size, - output io_axi_w_ready, - input io_axi_w_valid, - input [63:0] io_axi_w_bits_data, - input [7:0] io_axi_w_bits_strb, - output io_axi_b_valid, - output [1:0] io_axi_b_bits_resp, - output io_axi_ar_ready, - input io_axi_ar_valid, - input [31:0] io_axi_ar_bits_addr, - input [2:0] io_axi_ar_bits_size, - output io_axi_r_valid, - output [63:0] io_axi_r_bits_data, - output [1:0] io_axi_r_bits_resp, - input [63:0] io_ahb_in_hrdata, - input io_ahb_in_hready, - input io_ahb_in_hresp, - output [31:0] io_ahb_out_haddr, - output [2:0] io_ahb_out_hsize, - output [1:0] io_ahb_out_htrans, - output io_ahb_out_hwrite, - output [63:0] io_ahb_out_hwdata -); -`ifdef RANDOMIZE_REG_INIT - reg [31:0] _RAND_0; - reg [31:0] _RAND_1; - reg [31:0] _RAND_2; - reg [31:0] _RAND_3; - reg [31:0] _RAND_4; - reg [31:0] _RAND_5; - reg [31:0] _RAND_6; - reg [31:0] _RAND_7; - reg [31:0] _RAND_8; - reg [31:0] _RAND_9; - reg [31:0] _RAND_10; - reg [63:0] _RAND_11; - reg [31:0] _RAND_12; - reg [31:0] _RAND_13; - reg [31:0] _RAND_14; - reg [63:0] _RAND_15; - reg [63:0] _RAND_16; - reg [31:0] _RAND_17; - reg [31:0] _RAND_18; - reg [31:0] _RAND_19; - reg [31:0] _RAND_20; - reg [31:0] _RAND_21; - reg [31:0] _RAND_22; -`endif // RANDOMIZE_REG_INIT - wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_io_en; // @[lib.scala 343:22] - wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_1_io_en; // @[lib.scala 343:22] - wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_2_io_en; // @[lib.scala 368:23] - wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] - wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_3_io_en; // @[lib.scala 368:23] - wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] - wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_4_io_en; // @[lib.scala 368:23] - wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] - wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_5_io_en; // @[lib.scala 368:23] - wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] - wire rvclkhdr_6_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_6_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_6_io_en; // @[lib.scala 343:22] - wire rvclkhdr_6_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_7_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_7_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_7_io_en; // @[lib.scala 343:22] - wire rvclkhdr_7_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_8_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_8_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_8_io_en; // @[lib.scala 343:22] - wire rvclkhdr_8_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_9_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_9_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_9_io_en; // @[lib.scala 343:22] - wire rvclkhdr_9_io_scan_mode; // @[lib.scala 343:22] - wire ahbm_clk = rvclkhdr_7_io_l1clk; // @[axi4_to_ahb.scala 24:22 axi4_to_ahb.scala 333:12] - reg [2:0] buf_state; // @[axi4_to_ahb.scala 30:45] - wire _T_49 = 3'h0 == buf_state; // @[Conditional.scala 37:30] - wire bus_clk = rvclkhdr_io_l1clk; // @[axi4_to_ahb.scala 50:21 axi4_to_ahb.scala 162:11] - reg wrbuf_vld; // @[axi4_to_ahb.scala 301:51] - reg wrbuf_data_vld; // @[axi4_to_ahb.scala 302:51] - wire wr_cmd_vld = wrbuf_vld & wrbuf_data_vld; // @[axi4_to_ahb.scala 139:27] - wire master_valid = wr_cmd_vld | io_axi_ar_valid; // @[axi4_to_ahb.scala 140:30] - wire _T_101 = 3'h1 == buf_state; // @[Conditional.scala 37:30] - reg ahb_hready_q; // @[axi4_to_ahb.scala 321:52] - reg [1:0] ahb_htrans_q; // @[axi4_to_ahb.scala 322:52] - wire _T_108 = ahb_htrans_q != 2'h0; // @[axi4_to_ahb.scala 183:58] - wire _T_109 = ahb_hready_q & _T_108; // @[axi4_to_ahb.scala 183:36] - wire ahbm_addr_clk = rvclkhdr_8_io_l1clk; // @[axi4_to_ahb.scala 25:27 axi4_to_ahb.scala 334:17] - reg ahb_hwrite_q; // @[axi4_to_ahb.scala 323:57] - wire _T_110 = ~ahb_hwrite_q; // @[axi4_to_ahb.scala 183:72] - wire _T_111 = _T_109 & _T_110; // @[axi4_to_ahb.scala 183:70] - wire _T_136 = 3'h6 == buf_state; // @[Conditional.scala 37:30] - reg ahb_hresp_q; // @[axi4_to_ahb.scala 324:52] - wire _T_156 = ahb_hready_q | ahb_hresp_q; // @[axi4_to_ahb.scala 197:37] - wire _T_175 = 3'h7 == buf_state; // @[Conditional.scala 37:30] - wire _T_186 = 3'h3 == buf_state; // @[Conditional.scala 37:30] - wire _T_188 = 3'h2 == buf_state; // @[Conditional.scala 37:30] - wire _T_189 = ahb_hready_q & ahb_hwrite_q; // @[axi4_to_ahb.scala 229:33] - wire _T_192 = _T_189 & _T_108; // @[axi4_to_ahb.scala 229:48] - wire _T_281 = 3'h4 == buf_state; // @[Conditional.scala 37:30] - wire _GEN_15 = _T_281 & _T_192; // @[Conditional.scala 39:67] - wire _GEN_19 = _T_188 ? _T_192 : _GEN_15; // @[Conditional.scala 39:67] - wire _GEN_40 = _T_186 ? 1'h0 : _GEN_19; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_175 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] - wire _GEN_79 = _T_136 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] - wire _GEN_95 = _T_101 ? 1'h0 : _GEN_79; // @[Conditional.scala 39:67] - wire trxn_done = _T_49 ? 1'h0 : _GEN_95; // @[Conditional.scala 40:58] - reg cmd_doneQ; // @[axi4_to_ahb.scala 319:52] - wire _T_282 = cmd_doneQ & ahb_hready_q; // @[axi4_to_ahb.scala 239:34] - wire _T_283 = _T_282 | ahb_hresp_q; // @[axi4_to_ahb.scala 239:50] - wire _T_440 = 3'h5 == buf_state; // @[Conditional.scala 37:30] - wire _GEN_3 = _T_281 ? _T_283 : _T_440; // @[Conditional.scala 39:67] - wire _GEN_20 = _T_188 ? trxn_done : _GEN_3; // @[Conditional.scala 39:67] - wire _GEN_35 = _T_186 ? _T_156 : _GEN_20; // @[Conditional.scala 39:67] - wire _GEN_51 = _T_175 ? _T_111 : _GEN_35; // @[Conditional.scala 39:67] - wire _GEN_69 = _T_136 ? _T_156 : _GEN_51; // @[Conditional.scala 39:67] - wire _GEN_83 = _T_101 ? _T_111 : _GEN_69; // @[Conditional.scala 39:67] - wire buf_state_en = _T_49 ? master_valid : _GEN_83; // @[Conditional.scala 40:58] - wire [1:0] _T_14 = wr_cmd_vld ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 142:20] - wire [2:0] master_opc = {{1'd0}, _T_14}; // @[axi4_to_ahb.scala 142:14] - wire _T_51 = master_opc[2:1] == 2'h1; // @[axi4_to_ahb.scala 168:41] - wire _GEN_8 = _T_281 & _T_51; // @[Conditional.scala 39:67] - wire _GEN_29 = _T_188 ? 1'h0 : _GEN_8; // @[Conditional.scala 39:67] - wire _GEN_46 = _T_186 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_63 = _T_175 ? 1'h0 : _GEN_46; // @[Conditional.scala 39:67] - wire _GEN_81 = _T_136 ? 1'h0 : _GEN_63; // @[Conditional.scala 39:67] - wire _GEN_97 = _T_101 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] - wire buf_write_in = _T_49 ? _T_51 : _GEN_97; // @[Conditional.scala 40:58] - wire [2:0] _T_53 = buf_write_in ? 3'h2 : 3'h1; // @[axi4_to_ahb.scala 169:26] - wire _T_103 = master_opc == 3'h0; // @[axi4_to_ahb.scala 182:61] - wire _T_104 = master_valid & _T_103; // @[axi4_to_ahb.scala 182:41] - wire [2:0] _T_106 = _T_104 ? 3'h6 : 3'h3; // @[axi4_to_ahb.scala 182:26] - wire _T_124 = _T_106 == 3'h6; // @[axi4_to_ahb.scala 186:174] - wire _T_125 = _T_111 & _T_124; // @[axi4_to_ahb.scala 186:88] - wire _T_137 = ~ahb_hresp_q; // @[axi4_to_ahb.scala 194:39] - wire _T_138 = ahb_hready_q & _T_137; // @[axi4_to_ahb.scala 194:37] - wire _T_141 = master_valid & _T_51; // @[axi4_to_ahb.scala 194:70] - wire _T_142 = ~_T_141; // @[axi4_to_ahb.scala 194:55] - wire _T_143 = _T_138 & _T_142; // @[axi4_to_ahb.scala 194:53] - wire _T_285 = buf_state_en & _T_137; // @[axi4_to_ahb.scala 240:36] - wire _GEN_4 = _T_281 & _T_285; // @[Conditional.scala 39:67] - wire _GEN_26 = _T_188 ? 1'h0 : _GEN_4; // @[Conditional.scala 39:67] - wire _GEN_45 = _T_186 ? 1'h0 : _GEN_26; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_175 ? 1'h0 : _GEN_45; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_136 ? _T_143 : _GEN_62; // @[Conditional.scala 39:67] - wire _GEN_86 = _T_101 ? _T_125 : _GEN_66; // @[Conditional.scala 39:67] - wire master_ready = _T_49 | _GEN_86; // @[Conditional.scala 40:58] - wire _T_149 = master_valid & master_ready; // @[axi4_to_ahb.scala 196:82] - wire _T_152 = _T_149 & _T_103; // @[axi4_to_ahb.scala 196:97] - wire [2:0] _T_154 = _T_152 ? 3'h6 : 3'h3; // @[axi4_to_ahb.scala 196:67] - wire [2:0] _T_155 = ahb_hresp_q ? 3'h7 : _T_154; // @[axi4_to_ahb.scala 196:26] - wire [2:0] _T_293 = _T_51 ? 3'h2 : 3'h1; // @[axi4_to_ahb.scala 241:99] - wire [2:0] _T_294 = master_valid ? _T_293 : 3'h0; // @[axi4_to_ahb.scala 241:65] - wire [2:0] _T_295 = ahb_hresp_q ? 3'h5 : _T_294; // @[axi4_to_ahb.scala 241:26] - wire [2:0] _GEN_5 = _T_281 ? _T_295 : 3'h0; // @[Conditional.scala 39:67] - wire [2:0] _GEN_18 = _T_188 ? 3'h4 : _GEN_5; // @[Conditional.scala 39:67] - wire [2:0] _GEN_34 = _T_186 ? 3'h5 : _GEN_18; // @[Conditional.scala 39:67] - wire [2:0] _GEN_50 = _T_175 ? 3'h3 : _GEN_34; // @[Conditional.scala 39:67] - wire [2:0] _GEN_68 = _T_136 ? _T_155 : _GEN_50; // @[Conditional.scala 39:67] - wire [2:0] _GEN_82 = _T_101 ? _T_106 : _GEN_68; // @[Conditional.scala 39:67] - wire [2:0] buf_nxtstate = _T_49 ? _T_53 : _GEN_82; // @[Conditional.scala 40:58] - reg [31:0] wrbuf_addr; // @[lib.scala 374:16] - wire [31:0] master_addr = wr_cmd_vld ? wrbuf_addr : io_axi_ar_bits_addr; // @[axi4_to_ahb.scala 143:21] - reg [2:0] wrbuf_size; // @[Reg.scala 27:20] - wire [2:0] master_size = wr_cmd_vld ? wrbuf_size : io_axi_ar_bits_size; // @[axi4_to_ahb.scala 144:21] - reg [7:0] wrbuf_byteen; // @[Reg.scala 27:20] - reg [63:0] wrbuf_data; // @[lib.scala 374:16] - wire _T_358 = buf_nxtstate != 3'h5; // @[axi4_to_ahb.scala 251:55] - wire _T_359 = buf_state_en & _T_358; // @[axi4_to_ahb.scala 251:39] - wire _GEN_14 = _T_281 ? _T_359 : _T_440; // @[Conditional.scala 39:67] - wire _GEN_33 = _T_188 ? 1'h0 : _GEN_14; // @[Conditional.scala 39:67] - wire _GEN_49 = _T_186 ? 1'h0 : _GEN_33; // @[Conditional.scala 39:67] - wire _GEN_52 = _T_175 ? buf_state_en : _GEN_49; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_136 ? _T_285 : _GEN_52; // @[Conditional.scala 39:67] - wire _GEN_94 = _T_101 ? 1'h0 : _GEN_73; // @[Conditional.scala 39:67] - wire slave_valid_pre = _T_49 ? 1'h0 : _GEN_94; // @[Conditional.scala 40:58] - wire buf_clk = rvclkhdr_6_io_l1clk; // @[axi4_to_ahb.scala 118:21 axi4_to_ahb.scala 332:12] - reg slvbuf_write; // @[Reg.scala 27:20] - wire [1:0] _T_596 = slvbuf_write ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 285:23] - reg slvbuf_error; // @[Reg.scala 27:20] - wire [1:0] _T_598 = slvbuf_error ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_599 = _T_598 & 2'h2; // @[axi4_to_ahb.scala 285:88] - wire [3:0] slave_opc = {_T_596,_T_599}; // @[Cat.scala 29:58] - wire [1:0] _T_30 = slave_opc[1] ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 150:55] - wire _T_35 = slave_opc[3:2] == 2'h0; // @[axi4_to_ahb.scala 153:66] - reg [31:0] last_bus_addr; // @[Reg.scala 27:20] - wire [63:0] _T_603 = {last_bus_addr,last_bus_addr}; // @[Cat.scala 29:58] - wire _T_604 = buf_state == 3'h5; // @[axi4_to_ahb.scala 286:91] - reg [63:0] buf_data; // @[lib.scala 374:16] - wire ahbm_data_clk = rvclkhdr_9_io_l1clk; // @[axi4_to_ahb.scala 26:27 axi4_to_ahb.scala 335:17] - reg [63:0] ahb_hrdata_q; // @[axi4_to_ahb.scala 325:57] - wire [63:0] _T_607 = _T_604 ? buf_data : ahb_hrdata_q; // @[axi4_to_ahb.scala 286:79] - wire _T_44 = io_axi_aw_valid & io_axi_aw_ready; // @[axi4_to_ahb.scala 160:57] - wire _T_45 = io_axi_w_valid & io_axi_w_ready; // @[axi4_to_ahb.scala 160:94] - wire _T_46 = _T_44 | _T_45; // @[axi4_to_ahb.scala 160:76] - wire _T_55 = buf_nxtstate == 3'h2; // @[axi4_to_ahb.scala 172:54] - wire _T_56 = buf_state_en & _T_55; // @[axi4_to_ahb.scala 172:38] - wire [2:0] _T_87 = wrbuf_byteen[6] ? 3'h6 : 3'h7; // @[Mux.scala 98:16] - wire [2:0] _T_88 = wrbuf_byteen[5] ? 3'h5 : _T_87; // @[Mux.scala 98:16] - wire [2:0] _T_89 = wrbuf_byteen[4] ? 3'h4 : _T_88; // @[Mux.scala 98:16] - wire [2:0] _T_90 = wrbuf_byteen[3] ? 3'h3 : _T_89; // @[Mux.scala 98:16] - wire [2:0] _T_91 = wrbuf_byteen[2] ? 3'h2 : _T_90; // @[Mux.scala 98:16] - wire [2:0] _T_92 = wrbuf_byteen[1] ? 3'h1 : _T_91; // @[Mux.scala 98:16] - wire [2:0] _T_93 = wrbuf_byteen[0] ? 3'h0 : _T_92; // @[Mux.scala 98:16] - wire [2:0] _T_95 = buf_write_in ? _T_93 : master_addr[2:0]; // @[axi4_to_ahb.scala 175:30] - wire _T_96 = buf_nxtstate == 3'h1; // @[axi4_to_ahb.scala 177:51] - wire _T_126 = master_ready & master_valid; // @[axi4_to_ahb.scala 188:33] - wire _T_162 = buf_nxtstate == 3'h6; // @[axi4_to_ahb.scala 203:64] - wire _T_163 = _T_126 & _T_162; // @[axi4_to_ahb.scala 203:48] - wire _T_164 = _T_163 & buf_state_en; // @[axi4_to_ahb.scala 203:79] - wire _T_349 = buf_state_en & buf_write_in; // @[axi4_to_ahb.scala 249:33] - wire _T_351 = _T_349 & _T_55; // @[axi4_to_ahb.scala 249:48] - wire _GEN_12 = _T_281 & _T_351; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_188 ? 1'h0 : _GEN_12; // @[Conditional.scala 39:67] - wire _GEN_48 = _T_186 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_65 = _T_175 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] - wire _GEN_75 = _T_136 ? _T_164 : _GEN_65; // @[Conditional.scala 39:67] - wire _GEN_88 = _T_101 ? _T_126 : _GEN_75; // @[Conditional.scala 39:67] - wire bypass_en = _T_49 ? buf_state_en : _GEN_88; // @[Conditional.scala 40:58] - wire [1:0] _T_99 = bypass_en ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_100 = _T_99 & 2'h2; // @[axi4_to_ahb.scala 178:49] - wire _T_112 = ~master_valid; // @[axi4_to_ahb.scala 184:34] - wire _T_113 = buf_state_en & _T_112; // @[axi4_to_ahb.scala 184:32] - reg [31:0] buf_addr; // @[lib.scala 374:16] - wire [2:0] _T_130 = bypass_en ? master_addr[2:0] : buf_addr[2:0]; // @[axi4_to_ahb.scala 189:30] - wire _T_131 = ~buf_state_en; // @[axi4_to_ahb.scala 190:48] - wire _T_132 = _T_131 | bypass_en; // @[axi4_to_ahb.scala 190:62] - wire [1:0] _T_134 = _T_132 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_135 = 2'h2 & _T_134; // @[axi4_to_ahb.scala 190:36] - wire _T_169 = buf_nxtstate != 3'h6; // @[axi4_to_ahb.scala 205:63] - wire _T_170 = _T_169 & buf_state_en; // @[axi4_to_ahb.scala 205:78] - wire _T_171 = ~_T_170; // @[axi4_to_ahb.scala 205:47] - wire [1:0] _T_173 = _T_171 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_174 = 2'h2 & _T_173; // @[axi4_to_ahb.scala 205:36] - wire [1:0] _T_184 = _T_131 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_185 = 2'h2 & _T_184; // @[axi4_to_ahb.scala 215:41] - reg [2:0] buf_cmd_byte_ptrQ; // @[Reg.scala 27:20] - reg [7:0] buf_byteen; // @[Reg.scala 27:20] - wire [2:0] _T_197 = buf_cmd_byte_ptrQ + 3'h1; // @[axi4_to_ahb.scala 135:52] - wire _T_200 = 3'h0 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_201 = buf_byteen[0] & _T_200; // @[axi4_to_ahb.scala 136:48] - wire _T_203 = 3'h1 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_204 = buf_byteen[1] & _T_203; // @[axi4_to_ahb.scala 136:48] - wire _T_206 = 3'h2 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_207 = buf_byteen[2] & _T_206; // @[axi4_to_ahb.scala 136:48] - wire _T_209 = 3'h3 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_210 = buf_byteen[3] & _T_209; // @[axi4_to_ahb.scala 136:48] - wire _T_212 = 3'h4 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_213 = buf_byteen[4] & _T_212; // @[axi4_to_ahb.scala 136:48] - wire _T_215 = 3'h5 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_216 = buf_byteen[5] & _T_215; // @[axi4_to_ahb.scala 136:48] - wire _T_218 = 3'h6 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_219 = buf_byteen[6] & _T_218; // @[axi4_to_ahb.scala 136:48] - wire [2:0] _T_224 = _T_219 ? 3'h6 : 3'h7; // @[Mux.scala 98:16] - wire [2:0] _T_225 = _T_216 ? 3'h5 : _T_224; // @[Mux.scala 98:16] - wire [2:0] _T_226 = _T_213 ? 3'h4 : _T_225; // @[Mux.scala 98:16] - wire [2:0] _T_227 = _T_210 ? 3'h3 : _T_226; // @[Mux.scala 98:16] - wire [2:0] _T_228 = _T_207 ? 3'h2 : _T_227; // @[Mux.scala 98:16] - wire [2:0] _T_229 = _T_204 ? 3'h1 : _T_228; // @[Mux.scala 98:16] - wire [2:0] _T_230 = _T_201 ? 3'h0 : _T_229; // @[Mux.scala 98:16] - wire [2:0] _T_231 = trxn_done ? _T_230 : buf_cmd_byte_ptrQ; // @[axi4_to_ahb.scala 233:30] - wire _T_232 = buf_cmd_byte_ptrQ == 3'h7; // @[axi4_to_ahb.scala 234:65] - reg buf_aligned; // @[Reg.scala 27:20] - wire _T_233 = buf_aligned | _T_232; // @[axi4_to_ahb.scala 234:44] - wire [7:0] _T_271 = buf_byteen >> _T_230; // @[axi4_to_ahb.scala 234:92] - wire _T_273 = ~_T_271[0]; // @[axi4_to_ahb.scala 234:163] - wire _T_274 = _T_233 | _T_273; // @[axi4_to_ahb.scala 234:79] - wire _T_275 = trxn_done & _T_274; // @[axi4_to_ahb.scala 234:29] - wire _T_346 = _T_232 | _T_273; // @[axi4_to_ahb.scala 248:38] - wire _T_347 = _T_109 & _T_346; // @[axi4_to_ahb.scala 247:80] - wire _T_348 = ahb_hresp_q | _T_347; // @[axi4_to_ahb.scala 247:34] - wire _GEN_11 = _T_281 & _T_348; // @[Conditional.scala 39:67] - wire _GEN_24 = _T_188 ? _T_275 : _GEN_11; // @[Conditional.scala 39:67] - wire _GEN_43 = _T_186 ? 1'h0 : _GEN_24; // @[Conditional.scala 39:67] - wire _GEN_61 = _T_175 ? 1'h0 : _GEN_43; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_136 ? _T_113 : _GEN_61; // @[Conditional.scala 39:67] - wire _GEN_84 = _T_101 ? _T_113 : _GEN_74; // @[Conditional.scala 39:67] - wire cmd_done = _T_49 ? 1'h0 : _GEN_84; // @[Conditional.scala 40:58] - wire _T_276 = cmd_done | cmd_doneQ; // @[axi4_to_ahb.scala 235:47] - wire _T_277 = ~_T_276; // @[axi4_to_ahb.scala 235:36] - wire [1:0] _T_279 = _T_277 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_280 = _T_279 & 2'h2; // @[axi4_to_ahb.scala 235:61] - wire _T_300 = _T_55 | _T_96; // @[axi4_to_ahb.scala 245:62] - wire _T_301 = buf_state_en & _T_300; // @[axi4_to_ahb.scala 245:33] - wire _T_354 = _T_277 | bypass_en; // @[axi4_to_ahb.scala 250:61] - wire [1:0] _T_356 = _T_354 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_357 = _T_356 & 2'h2; // @[axi4_to_ahb.scala 250:75] - wire _T_364 = trxn_done | bypass_en; // @[axi4_to_ahb.scala 253:40] - wire [2:0] _T_439 = bypass_en ? _T_93 : _T_231; // @[axi4_to_ahb.scala 254:30] - wire _GEN_6 = _T_281 & ahb_hresp_q; // @[Conditional.scala 39:67] - wire _GEN_7 = _T_281 ? buf_state_en : _T_440; // @[Conditional.scala 39:67] - wire _GEN_9 = _T_281 & _T_301; // @[Conditional.scala 39:67] - wire _GEN_30 = _T_188 ? 1'h0 : _GEN_9; // @[Conditional.scala 39:67] - wire _GEN_47 = _T_186 ? 1'h0 : _GEN_30; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_175 ? 1'h0 : _GEN_47; // @[Conditional.scala 39:67] - wire _GEN_67 = _T_136 ? _T_152 : _GEN_64; // @[Conditional.scala 39:67] - wire _GEN_87 = _T_101 ? master_ready : _GEN_67; // @[Conditional.scala 39:67] - wire buf_wr_en = _T_49 ? buf_state_en : _GEN_87; // @[Conditional.scala 40:58] - wire _GEN_10 = _T_281 & buf_wr_en; // @[Conditional.scala 39:67] - wire [1:0] _GEN_13 = _T_281 ? _T_357 : 2'h0; // @[Conditional.scala 39:67] - wire _GEN_16 = _T_281 & _T_364; // @[Conditional.scala 39:67] - wire [2:0] _GEN_17 = _T_281 ? _T_439 : 3'h0; // @[Conditional.scala 39:67] - wire _GEN_21 = _T_188 ? buf_state_en : _GEN_16; // @[Conditional.scala 39:67] - wire _GEN_22 = _T_188 & buf_state_en; // @[Conditional.scala 39:67] - wire [2:0] _GEN_23 = _T_188 ? _T_231 : _GEN_17; // @[Conditional.scala 39:67] - wire [1:0] _GEN_25 = _T_188 ? _T_280 : _GEN_13; // @[Conditional.scala 39:67] - wire _GEN_28 = _T_188 ? 1'h0 : _GEN_7; // @[Conditional.scala 39:67] - wire _GEN_31 = _T_188 ? 1'h0 : _GEN_10; // @[Conditional.scala 39:67] - wire _GEN_36 = _T_186 ? buf_state_en : _GEN_31; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_186 ? buf_state_en : _GEN_28; // @[Conditional.scala 39:67] - wire _GEN_39 = _T_186 ? buf_state_en : _GEN_22; // @[Conditional.scala 39:67] - wire _GEN_41 = _T_186 ? 1'h0 : _GEN_21; // @[Conditional.scala 39:67] - wire [2:0] _GEN_42 = _T_186 ? 3'h0 : _GEN_23; // @[Conditional.scala 39:67] - wire [1:0] _GEN_44 = _T_186 ? 2'h0 : _GEN_25; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_175 ? buf_state_en : _GEN_39; // @[Conditional.scala 39:67] - wire [2:0] _GEN_54 = _T_175 ? buf_addr[2:0] : _GEN_42; // @[Conditional.scala 39:67] - wire [1:0] _GEN_55 = _T_175 ? _T_185 : _GEN_44; // @[Conditional.scala 39:67] - wire _GEN_56 = _T_175 ? 1'h0 : _GEN_36; // @[Conditional.scala 39:67] - wire _GEN_58 = _T_175 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] - wire _GEN_60 = _T_175 ? 1'h0 : _GEN_41; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_136 ? buf_state_en : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_72 = _T_136 ? buf_state_en : _GEN_58; // @[Conditional.scala 39:67] - wire [2:0] _GEN_76 = _T_136 ? _T_130 : _GEN_54; // @[Conditional.scala 39:67] - wire [1:0] _GEN_77 = _T_136 ? _T_174 : _GEN_55; // @[Conditional.scala 39:67] - wire _GEN_78 = _T_136 ? buf_wr_en : _GEN_53; // @[Conditional.scala 39:67] - wire _GEN_80 = _T_136 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] - wire _GEN_85 = _T_101 ? buf_state_en : _GEN_78; // @[Conditional.scala 39:67] - wire [2:0] _GEN_89 = _T_101 ? _T_130 : _GEN_76; // @[Conditional.scala 39:67] - wire [1:0] _GEN_90 = _T_101 ? _T_135 : _GEN_77; // @[Conditional.scala 39:67] - wire _GEN_91 = _T_101 ? 1'h0 : _GEN_70; // @[Conditional.scala 39:67] - wire _GEN_93 = _T_101 ? 1'h0 : _GEN_72; // @[Conditional.scala 39:67] - wire _GEN_96 = _T_101 ? 1'h0 : _GEN_80; // @[Conditional.scala 39:67] - wire buf_data_wr_en = _T_49 ? _T_56 : _GEN_91; // @[Conditional.scala 40:58] - wire buf_cmd_byte_ptr_en = _T_49 ? buf_state_en : _GEN_96; // @[Conditional.scala 40:58] - wire [2:0] buf_cmd_byte_ptr = _T_49 ? _T_95 : _GEN_89; // @[Conditional.scala 40:58] - wire slvbuf_wr_en = _T_49 ? 1'h0 : _GEN_85; // @[Conditional.scala 40:58] - wire slvbuf_error_en = _T_49 ? 1'h0 : _GEN_93; // @[Conditional.scala 40:58] - wire _T_535 = master_size[1:0] == 2'h0; // @[axi4_to_ahb.scala 271:24] - wire _T_536 = _T_103 | _T_535; // @[axi4_to_ahb.scala 270:48] - wire _T_538 = master_size[1:0] == 2'h1; // @[axi4_to_ahb.scala 271:54] - wire _T_539 = _T_536 | _T_538; // @[axi4_to_ahb.scala 271:33] - wire _T_541 = master_size[1:0] == 2'h2; // @[axi4_to_ahb.scala 271:93] - wire _T_542 = _T_539 | _T_541; // @[axi4_to_ahb.scala 271:72] - wire _T_544 = master_size[1:0] == 2'h3; // @[axi4_to_ahb.scala 272:25] - wire _T_546 = wrbuf_byteen == 8'h3; // @[axi4_to_ahb.scala 272:62] - wire _T_548 = wrbuf_byteen == 8'hc; // @[axi4_to_ahb.scala 272:97] - wire _T_549 = _T_546 | _T_548; // @[axi4_to_ahb.scala 272:74] - wire _T_551 = wrbuf_byteen == 8'h30; // @[axi4_to_ahb.scala 272:132] - wire _T_552 = _T_549 | _T_551; // @[axi4_to_ahb.scala 272:109] - wire _T_554 = wrbuf_byteen == 8'hc0; // @[axi4_to_ahb.scala 272:168] - wire _T_555 = _T_552 | _T_554; // @[axi4_to_ahb.scala 272:145] - wire _T_557 = wrbuf_byteen == 8'hf; // @[axi4_to_ahb.scala 273:28] - wire _T_558 = _T_555 | _T_557; // @[axi4_to_ahb.scala 272:181] - wire _T_560 = wrbuf_byteen == 8'hf0; // @[axi4_to_ahb.scala 273:63] - wire _T_561 = _T_558 | _T_560; // @[axi4_to_ahb.scala 273:40] - wire _T_563 = wrbuf_byteen == 8'hff; // @[axi4_to_ahb.scala 273:99] - wire _T_564 = _T_561 | _T_563; // @[axi4_to_ahb.scala 273:76] - wire _T_565 = _T_544 & _T_564; // @[axi4_to_ahb.scala 272:38] - wire buf_aligned_in = _T_542 | _T_565; // @[axi4_to_ahb.scala 271:106] - wire _T_444 = buf_aligned_in & _T_51; // @[axi4_to_ahb.scala 265:60] - wire [2:0] _T_461 = _T_548 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_462 = 3'h2 & _T_461; // @[axi4_to_ahb.scala 128:15] - wire _T_468 = _T_560 | _T_546; // @[axi4_to_ahb.scala 129:56] - wire [2:0] _T_470 = _T_468 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_471 = 3'h4 & _T_470; // @[axi4_to_ahb.scala 129:15] - wire [2:0] _T_472 = _T_462 | _T_471; // @[axi4_to_ahb.scala 128:63] - wire [2:0] _T_476 = _T_554 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_477 = 3'h6 & _T_476; // @[axi4_to_ahb.scala 130:15] - wire [2:0] _T_478 = _T_472 | _T_477; // @[axi4_to_ahb.scala 129:96] - wire [2:0] _T_485 = _T_444 ? _T_478 : master_addr[2:0]; // @[axi4_to_ahb.scala 265:43] - wire _T_489 = buf_state == 3'h3; // @[axi4_to_ahb.scala 268:33] - wire _T_495 = buf_aligned_in & _T_544; // @[axi4_to_ahb.scala 269:38] - wire _T_498 = _T_495 & _T_51; // @[axi4_to_ahb.scala 269:71] - wire [1:0] _T_504 = _T_563 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_510 = _T_560 | _T_557; // @[axi4_to_ahb.scala 122:55] - wire [1:0] _T_512 = _T_510 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_513 = 2'h2 & _T_512; // @[axi4_to_ahb.scala 122:16] - wire [1:0] _T_514 = _T_504 | _T_513; // @[axi4_to_ahb.scala 121:64] - wire _T_519 = _T_554 | _T_551; // @[axi4_to_ahb.scala 123:60] - wire _T_522 = _T_519 | _T_548; // @[axi4_to_ahb.scala 123:89] - wire _T_525 = _T_522 | _T_546; // @[axi4_to_ahb.scala 123:123] - wire [1:0] _T_527 = _T_525 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_528 = 2'h1 & _T_527; // @[axi4_to_ahb.scala 123:21] - wire [1:0] _T_529 = _T_514 | _T_528; // @[axi4_to_ahb.scala 122:93] - wire [1:0] _T_531 = _T_498 ? _T_529 : master_size[1:0]; // @[axi4_to_ahb.scala 269:21] - wire [31:0] _T_570 = {master_addr[31:3],buf_cmd_byte_ptr}; // @[Cat.scala 29:58] - wire [31:0] _T_573 = {buf_addr[31:3],buf_cmd_byte_ptr}; // @[Cat.scala 29:58] - wire [1:0] _T_577 = buf_aligned_in ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [2:0] buf_size_in = {{1'd0}, _T_531}; // @[axi4_to_ahb.scala 269:15] - wire [1:0] _T_579 = _T_577 & buf_size_in[1:0]; // @[axi4_to_ahb.scala 276:81] - wire [2:0] _T_580 = {1'h0,_T_579}; // @[Cat.scala 29:58] - wire [1:0] _T_582 = buf_aligned ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - reg [1:0] buf_size; // @[Reg.scala 27:20] - wire [1:0] _T_584 = _T_582 & buf_size; // @[axi4_to_ahb.scala 276:138] - wire [2:0] _T_585 = {1'h0,_T_584}; // @[Cat.scala 29:58] - reg buf_write; // @[Reg.scala 27:20] - wire _T_611 = io_ahb_out_htrans != 2'h0; // @[axi4_to_ahb.scala 289:44] - wire _T_612 = _T_611 & io_ahb_in_hready; // @[axi4_to_ahb.scala 289:56] - wire last_addr_en = _T_612 & io_ahb_out_hwrite; // @[axi4_to_ahb.scala 289:75] - wire wrbuf_en = _T_44 & master_ready; // @[axi4_to_ahb.scala 291:49] - wire wrbuf_data_en = _T_45 & master_ready; // @[axi4_to_ahb.scala 292:52] - wire wrbuf_cmd_sent = _T_149 & _T_51; // @[axi4_to_ahb.scala 293:49] - wire _T_622 = ~wrbuf_en; // @[axi4_to_ahb.scala 294:33] - wire wrbuf_rst = wrbuf_cmd_sent & _T_622; // @[axi4_to_ahb.scala 294:31] - wire _T_624 = ~wrbuf_cmd_sent; // @[axi4_to_ahb.scala 296:36] - wire _T_625 = wrbuf_vld & _T_624; // @[axi4_to_ahb.scala 296:34] - wire _T_626 = ~_T_625; // @[axi4_to_ahb.scala 296:22] - wire _T_629 = wrbuf_data_vld & _T_624; // @[axi4_to_ahb.scala 297:38] - wire _T_630 = ~_T_629; // @[axi4_to_ahb.scala 297:21] - wire _T_633 = ~wr_cmd_vld; // @[axi4_to_ahb.scala 298:22] - wire _T_636 = wrbuf_en | wrbuf_vld; // @[axi4_to_ahb.scala 301:55] - wire _T_637 = ~wrbuf_rst; // @[axi4_to_ahb.scala 301:91] - wire _T_641 = wrbuf_data_en | wrbuf_data_vld; // @[axi4_to_ahb.scala 302:55] - wire _T_691 = ~slave_valid_pre; // @[axi4_to_ahb.scala 319:92] - wire _T_704 = buf_wr_en | slvbuf_wr_en; // @[axi4_to_ahb.scala 327:43] - wire _T_705 = _T_704 | io_clk_override; // @[axi4_to_ahb.scala 327:58] - wire _T_708 = io_ahb_in_hready & io_ahb_out_htrans[1]; // @[axi4_to_ahb.scala 328:57] - wire _T_709 = _T_708 | io_clk_override; // @[axi4_to_ahb.scala 328:81] - wire _T_711 = buf_state != 3'h0; // @[axi4_to_ahb.scala 329:50] - wire _T_712 = _T_711 | io_clk_override; // @[axi4_to_ahb.scala 329:60] - rvclkhdr rvclkhdr ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_io_l1clk), - .io_clk(rvclkhdr_io_clk), - .io_en(rvclkhdr_io_en), - .io_scan_mode(rvclkhdr_io_scan_mode) - ); - rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_1_io_l1clk), - .io_clk(rvclkhdr_1_io_clk), - .io_en(rvclkhdr_1_io_en), - .io_scan_mode(rvclkhdr_1_io_scan_mode) - ); - rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] - .io_l1clk(rvclkhdr_2_io_l1clk), - .io_clk(rvclkhdr_2_io_clk), - .io_en(rvclkhdr_2_io_en), - .io_scan_mode(rvclkhdr_2_io_scan_mode) - ); - rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] - .io_l1clk(rvclkhdr_3_io_l1clk), - .io_clk(rvclkhdr_3_io_clk), - .io_en(rvclkhdr_3_io_en), - .io_scan_mode(rvclkhdr_3_io_scan_mode) - ); - rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] - .io_l1clk(rvclkhdr_4_io_l1clk), - .io_clk(rvclkhdr_4_io_clk), - .io_en(rvclkhdr_4_io_en), - .io_scan_mode(rvclkhdr_4_io_scan_mode) - ); - rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] - .io_l1clk(rvclkhdr_5_io_l1clk), - .io_clk(rvclkhdr_5_io_clk), - .io_en(rvclkhdr_5_io_en), - .io_scan_mode(rvclkhdr_5_io_scan_mode) - ); - rvclkhdr rvclkhdr_6 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_6_io_l1clk), - .io_clk(rvclkhdr_6_io_clk), - .io_en(rvclkhdr_6_io_en), - .io_scan_mode(rvclkhdr_6_io_scan_mode) - ); - rvclkhdr rvclkhdr_7 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_7_io_l1clk), - .io_clk(rvclkhdr_7_io_clk), - .io_en(rvclkhdr_7_io_en), - .io_scan_mode(rvclkhdr_7_io_scan_mode) - ); - rvclkhdr rvclkhdr_8 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_8_io_l1clk), - .io_clk(rvclkhdr_8_io_clk), - .io_en(rvclkhdr_8_io_en), - .io_scan_mode(rvclkhdr_8_io_scan_mode) - ); - rvclkhdr rvclkhdr_9 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_9_io_l1clk), - .io_clk(rvclkhdr_9_io_clk), - .io_en(rvclkhdr_9_io_en), - .io_scan_mode(rvclkhdr_9_io_scan_mode) - ); - assign io_axi_aw_ready = _T_626 & master_ready; // @[axi4_to_ahb.scala 296:19] - assign io_axi_w_ready = _T_630 & master_ready; // @[axi4_to_ahb.scala 297:18] - assign io_axi_b_valid = slave_valid_pre & slave_opc[3]; // @[axi4_to_ahb.scala 149:18] - assign io_axi_b_bits_resp = slave_opc[0] ? 2'h2 : _T_30; // @[axi4_to_ahb.scala 150:22] - assign io_axi_ar_ready = _T_633 & master_ready; // @[axi4_to_ahb.scala 298:19] - assign io_axi_r_valid = slave_valid_pre & _T_35; // @[axi4_to_ahb.scala 153:18] - assign io_axi_r_bits_data = slvbuf_error ? _T_603 : _T_607; // @[axi4_to_ahb.scala 156:22] - assign io_axi_r_bits_resp = slave_opc[0] ? 2'h2 : _T_30; // @[axi4_to_ahb.scala 154:22] - assign io_ahb_out_haddr = bypass_en ? _T_570 : _T_573; // @[axi4_to_ahb.scala 275:20] - assign io_ahb_out_hsize = bypass_en ? _T_580 : _T_585; // @[axi4_to_ahb.scala 276:20] - assign io_ahb_out_htrans = _T_49 ? _T_100 : _GEN_90; // @[axi4_to_ahb.scala 22:21 axi4_to_ahb.scala 178:25 axi4_to_ahb.scala 190:25 axi4_to_ahb.scala 205:25 axi4_to_ahb.scala 215:25 axi4_to_ahb.scala 235:25 axi4_to_ahb.scala 250:25] - assign io_ahb_out_hwrite = bypass_en ? _T_51 : buf_write; // @[axi4_to_ahb.scala 281:21] - assign io_ahb_out_hwdata = buf_data; // @[axi4_to_ahb.scala 282:21] - assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_io_en = io_bus_clk_en; // @[lib.scala 345:16] - assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_1_io_en = io_bus_clk_en & _T_46; // @[lib.scala 345:16] - assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_2_io_clk = rvclkhdr_io_l1clk; // @[lib.scala 370:18] - assign rvclkhdr_2_io_en = _T_44 & master_ready; // @[lib.scala 371:17] - assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign rvclkhdr_3_io_clk = rvclkhdr_io_l1clk; // @[lib.scala 370:18] - assign rvclkhdr_3_io_en = _T_45 & master_ready; // @[lib.scala 371:17] - assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_4_io_en = buf_wr_en & io_bus_clk_en; // @[lib.scala 371:17] - assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_5_io_en = buf_data_wr_en & io_bus_clk_en; // @[lib.scala 371:17] - assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign rvclkhdr_6_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_6_io_en = io_bus_clk_en & _T_705; // @[lib.scala 345:16] - assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_7_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_7_io_en = io_bus_clk_en; // @[lib.scala 345:16] - assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_8_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_8_io_en = io_bus_clk_en & _T_709; // @[lib.scala 345:16] - assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_9_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_9_io_en = io_bus_clk_en & _T_712; // @[lib.scala 345:16] - assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] -`ifdef RANDOMIZE_GARBAGE_ASSIGN -`define RANDOMIZE -`endif -`ifdef RANDOMIZE_INVALID_ASSIGN -`define RANDOMIZE -`endif -`ifdef RANDOMIZE_REG_INIT -`define RANDOMIZE -`endif -`ifdef RANDOMIZE_MEM_INIT -`define RANDOMIZE -`endif -`ifndef RANDOM -`define RANDOM $random -`endif -`ifdef RANDOMIZE_MEM_INIT - integer initvar; -`endif -`ifndef SYNTHESIS -`ifdef FIRRTL_BEFORE_INITIAL -`FIRRTL_BEFORE_INITIAL -`endif -initial begin - `ifdef RANDOMIZE - `ifdef INIT_RANDOM - `INIT_RANDOM - `endif - `ifndef VERILATOR - `ifdef RANDOMIZE_DELAY - #`RANDOMIZE_DELAY begin end - `else - #0.002 begin end - `endif - `endif -`ifdef RANDOMIZE_REG_INIT - _RAND_0 = {1{`RANDOM}}; - buf_state = _RAND_0[2:0]; - _RAND_1 = {1{`RANDOM}}; - wrbuf_vld = _RAND_1[0:0]; - _RAND_2 = {1{`RANDOM}}; - wrbuf_data_vld = _RAND_2[0:0]; - _RAND_3 = {1{`RANDOM}}; - ahb_hready_q = _RAND_3[0:0]; - _RAND_4 = {1{`RANDOM}}; - ahb_htrans_q = _RAND_4[1:0]; - _RAND_5 = {1{`RANDOM}}; - ahb_hwrite_q = _RAND_5[0:0]; - _RAND_6 = {1{`RANDOM}}; - ahb_hresp_q = _RAND_6[0:0]; - _RAND_7 = {1{`RANDOM}}; - cmd_doneQ = _RAND_7[0:0]; - _RAND_8 = {1{`RANDOM}}; - wrbuf_addr = _RAND_8[31:0]; - _RAND_9 = {1{`RANDOM}}; - wrbuf_size = _RAND_9[2:0]; - _RAND_10 = {1{`RANDOM}}; - wrbuf_byteen = _RAND_10[7:0]; - _RAND_11 = {2{`RANDOM}}; - wrbuf_data = _RAND_11[63:0]; - _RAND_12 = {1{`RANDOM}}; - slvbuf_write = _RAND_12[0:0]; - _RAND_13 = {1{`RANDOM}}; - slvbuf_error = _RAND_13[0:0]; - _RAND_14 = {1{`RANDOM}}; - last_bus_addr = _RAND_14[31:0]; - _RAND_15 = {2{`RANDOM}}; - buf_data = _RAND_15[63:0]; - _RAND_16 = {2{`RANDOM}}; - ahb_hrdata_q = _RAND_16[63:0]; - _RAND_17 = {1{`RANDOM}}; - buf_addr = _RAND_17[31:0]; - _RAND_18 = {1{`RANDOM}}; - buf_cmd_byte_ptrQ = _RAND_18[2:0]; - _RAND_19 = {1{`RANDOM}}; - buf_byteen = _RAND_19[7:0]; - _RAND_20 = {1{`RANDOM}}; - buf_aligned = _RAND_20[0:0]; - _RAND_21 = {1{`RANDOM}}; - buf_size = _RAND_21[1:0]; - _RAND_22 = {1{`RANDOM}}; - buf_write = _RAND_22[0:0]; -`endif // RANDOMIZE_REG_INIT - if (reset) begin - buf_state = 3'h0; - end - if (reset) begin - wrbuf_vld = 1'h0; - end - if (reset) begin - wrbuf_data_vld = 1'h0; - end - if (reset) begin - ahb_hready_q = 1'h0; - end - if (reset) begin - ahb_htrans_q = 2'h0; - end - if (reset) begin - ahb_hwrite_q = 1'h0; - end - if (reset) begin - ahb_hresp_q = 1'h0; - end - if (reset) begin - cmd_doneQ = 1'h0; - end - if (reset) begin - wrbuf_addr = 32'h0; - end - if (reset) begin - wrbuf_size = 3'h0; - end - if (reset) begin - wrbuf_byteen = 8'h0; - end - if (reset) begin - wrbuf_data = 64'h0; - end - if (reset) begin - slvbuf_write = 1'h0; - end - if (reset) begin - slvbuf_error = 1'h0; - end - if (reset) begin - last_bus_addr = 32'h0; - end - if (reset) begin - buf_data = 64'h0; - end - if (reset) begin - ahb_hrdata_q = 64'h0; - end - if (reset) begin - buf_addr = 32'h0; - end - if (reset) begin - buf_cmd_byte_ptrQ = 3'h0; - end - if (reset) begin - buf_byteen = 8'h0; - end - if (reset) begin - buf_aligned = 1'h0; - end - if (reset) begin - buf_size = 2'h0; - end - if (reset) begin - buf_write = 1'h0; - end - `endif // RANDOMIZE -end // initial -`ifdef FIRRTL_AFTER_INITIAL -`FIRRTL_AFTER_INITIAL -`endif -`endif // SYNTHESIS - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - buf_state <= 3'h0; - end else if (buf_state_en) begin - if (_T_49) begin - if (buf_write_in) begin - buf_state <= 3'h2; - end else begin - buf_state <= 3'h1; - end - end else if (_T_101) begin - if (_T_104) begin - buf_state <= 3'h6; - end else begin - buf_state <= 3'h3; - end - end else if (_T_136) begin - if (ahb_hresp_q) begin - buf_state <= 3'h7; - end else if (_T_152) begin - buf_state <= 3'h6; - end else begin - buf_state <= 3'h3; - end - end else if (_T_175) begin - buf_state <= 3'h3; - end else if (_T_186) begin - buf_state <= 3'h5; - end else if (_T_188) begin - buf_state <= 3'h4; - end else if (_T_281) begin - if (ahb_hresp_q) begin - buf_state <= 3'h5; - end else if (master_valid) begin - if (_T_51) begin - buf_state <= 3'h2; - end else begin - buf_state <= 3'h1; - end - end else begin - buf_state <= 3'h0; - end - end else begin - buf_state <= 3'h0; - end - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - wrbuf_vld <= 1'h0; - end else begin - wrbuf_vld <= _T_636 & _T_637; - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - wrbuf_data_vld <= 1'h0; - end else begin - wrbuf_data_vld <= _T_641 & _T_637; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - ahb_hready_q <= 1'h0; - end else begin - ahb_hready_q <= io_ahb_in_hready; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - ahb_htrans_q <= 2'h0; - end else begin - ahb_htrans_q <= io_ahb_out_htrans; - end - end - always @(posedge ahbm_addr_clk or posedge reset) begin - if (reset) begin - ahb_hwrite_q <= 1'h0; - end else begin - ahb_hwrite_q <= io_ahb_out_hwrite; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - ahb_hresp_q <= 1'h0; - end else begin - ahb_hresp_q <= io_ahb_in_hresp; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - cmd_doneQ <= 1'h0; - end else begin - cmd_doneQ <= _T_276 & _T_691; - end - end - always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin - if (reset) begin - wrbuf_addr <= 32'h0; - end else begin - wrbuf_addr <= io_axi_aw_bits_addr; - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - wrbuf_size <= 3'h0; - end else if (wrbuf_en) begin - wrbuf_size <= io_axi_aw_bits_size; - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - wrbuf_byteen <= 8'h0; - end else if (wrbuf_data_en) begin - wrbuf_byteen <= io_axi_w_bits_strb; - end - end - always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin - if (reset) begin - wrbuf_data <= 64'h0; - end else begin - wrbuf_data <= io_axi_w_bits_data; - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - slvbuf_write <= 1'h0; - end else if (slvbuf_wr_en) begin - slvbuf_write <= buf_write; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - slvbuf_error <= 1'h0; - end else if (slvbuf_error_en) begin - if (_T_49) begin - slvbuf_error <= 1'h0; - end else if (_T_101) begin - slvbuf_error <= 1'h0; - end else if (_T_136) begin - slvbuf_error <= ahb_hresp_q; - end else if (_T_175) begin - slvbuf_error <= 1'h0; - end else if (_T_186) begin - slvbuf_error <= ahb_hresp_q; - end else if (_T_188) begin - slvbuf_error <= 1'h0; - end else begin - slvbuf_error <= _GEN_6; - end - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - last_bus_addr <= 32'h0; - end else if (last_addr_en) begin - last_bus_addr <= io_ahb_out_haddr; - end - end - always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin - if (reset) begin - buf_data <= 64'h0; - end else if (_T_489) begin - buf_data <= ahb_hrdata_q; - end else begin - buf_data <= wrbuf_data; - end - end - always @(posedge ahbm_data_clk or posedge reset) begin - if (reset) begin - ahb_hrdata_q <= 64'h0; - end else begin - ahb_hrdata_q <= io_ahb_in_hrdata; - end - end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin - if (reset) begin - buf_addr <= 32'h0; - end else begin - buf_addr <= {master_addr[31:3],_T_485}; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - buf_cmd_byte_ptrQ <= 3'h0; - end else if (buf_cmd_byte_ptr_en) begin - if (_T_49) begin - if (buf_write_in) begin - if (wrbuf_byteen[0]) begin - buf_cmd_byte_ptrQ <= 3'h0; - end else if (wrbuf_byteen[1]) begin - buf_cmd_byte_ptrQ <= 3'h1; - end else if (wrbuf_byteen[2]) begin - buf_cmd_byte_ptrQ <= 3'h2; - end else if (wrbuf_byteen[3]) begin - buf_cmd_byte_ptrQ <= 3'h3; - end else if (wrbuf_byteen[4]) begin - buf_cmd_byte_ptrQ <= 3'h4; - end else if (wrbuf_byteen[5]) begin - buf_cmd_byte_ptrQ <= 3'h5; - end else if (wrbuf_byteen[6]) begin - buf_cmd_byte_ptrQ <= 3'h6; - end else begin - buf_cmd_byte_ptrQ <= 3'h7; - end - end else begin - buf_cmd_byte_ptrQ <= master_addr[2:0]; - end - end else if (_T_101) begin - if (bypass_en) begin - buf_cmd_byte_ptrQ <= master_addr[2:0]; - end else begin - buf_cmd_byte_ptrQ <= buf_addr[2:0]; - end - end else if (_T_136) begin - if (bypass_en) begin - buf_cmd_byte_ptrQ <= master_addr[2:0]; - end else begin - buf_cmd_byte_ptrQ <= buf_addr[2:0]; - end - end else if (_T_175) begin - buf_cmd_byte_ptrQ <= buf_addr[2:0]; - end else if (_T_186) begin - buf_cmd_byte_ptrQ <= 3'h0; - end else if (_T_188) begin - if (trxn_done) begin - if (_T_201) begin - buf_cmd_byte_ptrQ <= 3'h0; - end else if (_T_204) begin - buf_cmd_byte_ptrQ <= 3'h1; - end else if (_T_207) begin - buf_cmd_byte_ptrQ <= 3'h2; - end else if (_T_210) begin - buf_cmd_byte_ptrQ <= 3'h3; - end else if (_T_213) begin - buf_cmd_byte_ptrQ <= 3'h4; - end else if (_T_216) begin - buf_cmd_byte_ptrQ <= 3'h5; - end else if (_T_219) begin - buf_cmd_byte_ptrQ <= 3'h6; - end else begin - buf_cmd_byte_ptrQ <= 3'h7; - end - end - end else if (_T_281) begin - if (bypass_en) begin - if (wrbuf_byteen[0]) begin - buf_cmd_byte_ptrQ <= 3'h0; - end else if (wrbuf_byteen[1]) begin - buf_cmd_byte_ptrQ <= 3'h1; - end else if (wrbuf_byteen[2]) begin - buf_cmd_byte_ptrQ <= 3'h2; - end else if (wrbuf_byteen[3]) begin - buf_cmd_byte_ptrQ <= 3'h3; - end else if (wrbuf_byteen[4]) begin - buf_cmd_byte_ptrQ <= 3'h4; - end else if (wrbuf_byteen[5]) begin - buf_cmd_byte_ptrQ <= 3'h5; - end else if (wrbuf_byteen[6]) begin - buf_cmd_byte_ptrQ <= 3'h6; - end else begin - buf_cmd_byte_ptrQ <= 3'h7; - end - end else if (trxn_done) begin - if (_T_201) begin - buf_cmd_byte_ptrQ <= 3'h0; - end else if (_T_204) begin - buf_cmd_byte_ptrQ <= 3'h1; - end else if (_T_207) begin - buf_cmd_byte_ptrQ <= 3'h2; - end else if (_T_210) begin - buf_cmd_byte_ptrQ <= 3'h3; - end else if (_T_213) begin - buf_cmd_byte_ptrQ <= 3'h4; - end else if (_T_216) begin - buf_cmd_byte_ptrQ <= 3'h5; - end else if (_T_219) begin - buf_cmd_byte_ptrQ <= 3'h6; - end else begin - buf_cmd_byte_ptrQ <= 3'h7; - end - end - end else begin - buf_cmd_byte_ptrQ <= 3'h0; - end - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - buf_byteen <= 8'h0; - end else if (buf_wr_en) begin - buf_byteen <= wrbuf_byteen; - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - buf_aligned <= 1'h0; - end else if (buf_wr_en) begin - buf_aligned <= buf_aligned_in; - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - buf_size <= 2'h0; - end else if (buf_wr_en) begin - buf_size <= buf_size_in[1:0]; - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - buf_write <= 1'h0; - end else if (buf_wr_en) begin - if (_T_49) begin - buf_write <= _T_51; - end else if (_T_101) begin - buf_write <= 1'h0; - end else if (_T_136) begin - buf_write <= 1'h0; - end else if (_T_175) begin - buf_write <= 1'h0; - end else if (_T_186) begin - buf_write <= 1'h0; - end else if (_T_188) begin - buf_write <= 1'h0; - end else begin - buf_write <= _GEN_8; - end - end - end -endmodule -module axi4_to_ahb_1( - input clock, - input reset, - input io_scan_mode, - input io_bus_clk_en, - input io_clk_override, - output io_axi_aw_ready, - input io_axi_aw_valid, - input [2:0] io_axi_aw_bits_id, - input [31:0] io_axi_aw_bits_addr, - input [2:0] io_axi_aw_bits_size, - output io_axi_w_ready, - input io_axi_w_valid, - input [63:0] io_axi_w_bits_data, - input [7:0] io_axi_w_bits_strb, - output io_axi_b_valid, - output [2:0] io_axi_b_bits_id, - output io_axi_ar_ready, - input io_axi_ar_valid, - input [2:0] io_axi_ar_bits_id, - input [31:0] io_axi_ar_bits_addr, - input [2:0] io_axi_ar_bits_size, - output io_axi_r_valid, - output [2:0] io_axi_r_bits_id, - output [63:0] io_axi_r_bits_data, - output [1:0] io_axi_r_bits_resp, - input [63:0] io_ahb_in_hrdata, - input io_ahb_in_hready, - input io_ahb_in_hresp, - output [31:0] io_ahb_out_haddr, - output [2:0] io_ahb_out_hsize, - output [1:0] io_ahb_out_htrans, - output io_ahb_out_hwrite, - output [63:0] io_ahb_out_hwdata -); -`ifdef RANDOMIZE_REG_INIT - reg [31:0] _RAND_0; - reg [31:0] _RAND_1; - reg [31:0] _RAND_2; - reg [31:0] _RAND_3; - reg [31:0] _RAND_4; - reg [31:0] _RAND_5; - reg [31:0] _RAND_6; - reg [31:0] _RAND_7; - reg [31:0] _RAND_8; - reg [31:0] _RAND_9; - reg [31:0] _RAND_10; - reg [31:0] _RAND_11; - reg [63:0] _RAND_12; - reg [31:0] _RAND_13; - reg [31:0] _RAND_14; - reg [31:0] _RAND_15; - reg [31:0] _RAND_16; - reg [63:0] _RAND_17; - reg [63:0] _RAND_18; - reg [31:0] _RAND_19; - reg [31:0] _RAND_20; - reg [31:0] _RAND_21; - reg [31:0] _RAND_22; - reg [31:0] _RAND_23; - reg [31:0] _RAND_24; - reg [31:0] _RAND_25; -`endif // RANDOMIZE_REG_INIT - wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_io_en; // @[lib.scala 343:22] - wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_1_io_en; // @[lib.scala 343:22] - wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_2_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_2_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_2_io_en; // @[lib.scala 368:23] - wire rvclkhdr_2_io_scan_mode; // @[lib.scala 368:23] - wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_3_io_en; // @[lib.scala 368:23] - wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] - wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_4_io_en; // @[lib.scala 368:23] - wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] - wire rvclkhdr_5_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_5_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_5_io_en; // @[lib.scala 368:23] - wire rvclkhdr_5_io_scan_mode; // @[lib.scala 368:23] - wire rvclkhdr_6_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_6_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_6_io_en; // @[lib.scala 343:22] - wire rvclkhdr_6_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_7_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_7_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_7_io_en; // @[lib.scala 343:22] - wire rvclkhdr_7_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_8_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_8_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_8_io_en; // @[lib.scala 343:22] - wire rvclkhdr_8_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_9_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_9_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_9_io_en; // @[lib.scala 343:22] - wire rvclkhdr_9_io_scan_mode; // @[lib.scala 343:22] - wire ahbm_clk = rvclkhdr_7_io_l1clk; // @[axi4_to_ahb.scala 24:22 axi4_to_ahb.scala 333:12] - reg [2:0] buf_state; // @[axi4_to_ahb.scala 30:45] - wire _T_49 = 3'h0 == buf_state; // @[Conditional.scala 37:30] - wire bus_clk = rvclkhdr_io_l1clk; // @[axi4_to_ahb.scala 50:21 axi4_to_ahb.scala 162:11] - reg wrbuf_vld; // @[axi4_to_ahb.scala 301:51] - reg wrbuf_data_vld; // @[axi4_to_ahb.scala 302:51] - wire wr_cmd_vld = wrbuf_vld & wrbuf_data_vld; // @[axi4_to_ahb.scala 139:27] - wire master_valid = wr_cmd_vld | io_axi_ar_valid; // @[axi4_to_ahb.scala 140:30] - wire _T_101 = 3'h1 == buf_state; // @[Conditional.scala 37:30] - reg ahb_hready_q; // @[axi4_to_ahb.scala 321:52] - reg [1:0] ahb_htrans_q; // @[axi4_to_ahb.scala 322:52] - wire _T_108 = ahb_htrans_q != 2'h0; // @[axi4_to_ahb.scala 183:58] - wire _T_109 = ahb_hready_q & _T_108; // @[axi4_to_ahb.scala 183:36] - wire ahbm_addr_clk = rvclkhdr_8_io_l1clk; // @[axi4_to_ahb.scala 25:27 axi4_to_ahb.scala 334:17] - reg ahb_hwrite_q; // @[axi4_to_ahb.scala 323:57] - wire _T_110 = ~ahb_hwrite_q; // @[axi4_to_ahb.scala 183:72] - wire _T_111 = _T_109 & _T_110; // @[axi4_to_ahb.scala 183:70] - wire _T_136 = 3'h6 == buf_state; // @[Conditional.scala 37:30] - reg ahb_hresp_q; // @[axi4_to_ahb.scala 324:52] - wire _T_156 = ahb_hready_q | ahb_hresp_q; // @[axi4_to_ahb.scala 197:37] - wire _T_175 = 3'h7 == buf_state; // @[Conditional.scala 37:30] - wire _T_186 = 3'h3 == buf_state; // @[Conditional.scala 37:30] - wire _T_188 = 3'h2 == buf_state; // @[Conditional.scala 37:30] - wire _T_189 = ahb_hready_q & ahb_hwrite_q; // @[axi4_to_ahb.scala 229:33] - wire _T_192 = _T_189 & _T_108; // @[axi4_to_ahb.scala 229:48] - wire _T_281 = 3'h4 == buf_state; // @[Conditional.scala 37:30] - wire _GEN_15 = _T_281 & _T_192; // @[Conditional.scala 39:67] - wire _GEN_19 = _T_188 ? _T_192 : _GEN_15; // @[Conditional.scala 39:67] - wire _GEN_40 = _T_186 ? 1'h0 : _GEN_19; // @[Conditional.scala 39:67] - wire _GEN_59 = _T_175 ? 1'h0 : _GEN_40; // @[Conditional.scala 39:67] - wire _GEN_79 = _T_136 ? 1'h0 : _GEN_59; // @[Conditional.scala 39:67] - wire _GEN_95 = _T_101 ? 1'h0 : _GEN_79; // @[Conditional.scala 39:67] - wire trxn_done = _T_49 ? 1'h0 : _GEN_95; // @[Conditional.scala 40:58] - reg cmd_doneQ; // @[axi4_to_ahb.scala 319:52] - wire _T_282 = cmd_doneQ & ahb_hready_q; // @[axi4_to_ahb.scala 239:34] - wire _T_283 = _T_282 | ahb_hresp_q; // @[axi4_to_ahb.scala 239:50] - wire _T_440 = 3'h5 == buf_state; // @[Conditional.scala 37:30] - wire _GEN_3 = _T_281 ? _T_283 : _T_440; // @[Conditional.scala 39:67] - wire _GEN_20 = _T_188 ? trxn_done : _GEN_3; // @[Conditional.scala 39:67] - wire _GEN_35 = _T_186 ? _T_156 : _GEN_20; // @[Conditional.scala 39:67] - wire _GEN_51 = _T_175 ? _T_111 : _GEN_35; // @[Conditional.scala 39:67] - wire _GEN_69 = _T_136 ? _T_156 : _GEN_51; // @[Conditional.scala 39:67] - wire _GEN_83 = _T_101 ? _T_111 : _GEN_69; // @[Conditional.scala 39:67] - wire buf_state_en = _T_49 ? master_valid : _GEN_83; // @[Conditional.scala 40:58] - wire [1:0] _T_14 = wr_cmd_vld ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 142:20] - wire [2:0] master_opc = {{1'd0}, _T_14}; // @[axi4_to_ahb.scala 142:14] - wire _T_51 = master_opc[2:1] == 2'h1; // @[axi4_to_ahb.scala 168:41] - wire _GEN_8 = _T_281 & _T_51; // @[Conditional.scala 39:67] - wire _GEN_29 = _T_188 ? 1'h0 : _GEN_8; // @[Conditional.scala 39:67] - wire _GEN_46 = _T_186 ? 1'h0 : _GEN_29; // @[Conditional.scala 39:67] - wire _GEN_63 = _T_175 ? 1'h0 : _GEN_46; // @[Conditional.scala 39:67] - wire _GEN_81 = _T_136 ? 1'h0 : _GEN_63; // @[Conditional.scala 39:67] - wire _GEN_97 = _T_101 ? 1'h0 : _GEN_81; // @[Conditional.scala 39:67] - wire buf_write_in = _T_49 ? _T_51 : _GEN_97; // @[Conditional.scala 40:58] - wire [2:0] _T_53 = buf_write_in ? 3'h2 : 3'h1; // @[axi4_to_ahb.scala 169:26] - wire _T_103 = master_opc == 3'h0; // @[axi4_to_ahb.scala 182:61] - wire _T_104 = master_valid & _T_103; // @[axi4_to_ahb.scala 182:41] - wire [2:0] _T_106 = _T_104 ? 3'h6 : 3'h3; // @[axi4_to_ahb.scala 182:26] - wire _T_124 = _T_106 == 3'h6; // @[axi4_to_ahb.scala 186:174] - wire _T_125 = _T_111 & _T_124; // @[axi4_to_ahb.scala 186:88] - wire _T_137 = ~ahb_hresp_q; // @[axi4_to_ahb.scala 194:39] - wire _T_138 = ahb_hready_q & _T_137; // @[axi4_to_ahb.scala 194:37] - wire _T_141 = master_valid & _T_51; // @[axi4_to_ahb.scala 194:70] - wire _T_142 = ~_T_141; // @[axi4_to_ahb.scala 194:55] - wire _T_143 = _T_138 & _T_142; // @[axi4_to_ahb.scala 194:53] - wire _T_285 = buf_state_en & _T_137; // @[axi4_to_ahb.scala 240:36] - wire _GEN_4 = _T_281 & _T_285; // @[Conditional.scala 39:67] - wire _GEN_26 = _T_188 ? 1'h0 : _GEN_4; // @[Conditional.scala 39:67] - wire _GEN_45 = _T_186 ? 1'h0 : _GEN_26; // @[Conditional.scala 39:67] - wire _GEN_62 = _T_175 ? 1'h0 : _GEN_45; // @[Conditional.scala 39:67] - wire _GEN_66 = _T_136 ? _T_143 : _GEN_62; // @[Conditional.scala 39:67] - wire _GEN_86 = _T_101 ? _T_125 : _GEN_66; // @[Conditional.scala 39:67] - wire master_ready = _T_49 | _GEN_86; // @[Conditional.scala 40:58] - wire _T_149 = master_valid & master_ready; // @[axi4_to_ahb.scala 196:82] - wire _T_152 = _T_149 & _T_103; // @[axi4_to_ahb.scala 196:97] - wire [2:0] _T_154 = _T_152 ? 3'h6 : 3'h3; // @[axi4_to_ahb.scala 196:67] - wire [2:0] _T_155 = ahb_hresp_q ? 3'h7 : _T_154; // @[axi4_to_ahb.scala 196:26] - wire [2:0] _T_293 = _T_51 ? 3'h2 : 3'h1; // @[axi4_to_ahb.scala 241:99] - wire [2:0] _T_294 = master_valid ? _T_293 : 3'h0; // @[axi4_to_ahb.scala 241:65] - wire [2:0] _T_295 = ahb_hresp_q ? 3'h5 : _T_294; // @[axi4_to_ahb.scala 241:26] - wire [2:0] _GEN_5 = _T_281 ? _T_295 : 3'h0; // @[Conditional.scala 39:67] - wire [2:0] _GEN_18 = _T_188 ? 3'h4 : _GEN_5; // @[Conditional.scala 39:67] - wire [2:0] _GEN_34 = _T_186 ? 3'h5 : _GEN_18; // @[Conditional.scala 39:67] - wire [2:0] _GEN_50 = _T_175 ? 3'h3 : _GEN_34; // @[Conditional.scala 39:67] - wire [2:0] _GEN_68 = _T_136 ? _T_155 : _GEN_50; // @[Conditional.scala 39:67] - wire [2:0] _GEN_82 = _T_101 ? _T_106 : _GEN_68; // @[Conditional.scala 39:67] - wire [2:0] buf_nxtstate = _T_49 ? _T_53 : _GEN_82; // @[Conditional.scala 40:58] - reg [2:0] wrbuf_tag; // @[Reg.scala 27:20] - reg [31:0] wrbuf_addr; // @[lib.scala 374:16] - wire [31:0] master_addr = wr_cmd_vld ? wrbuf_addr : io_axi_ar_bits_addr; // @[axi4_to_ahb.scala 143:21] - reg [2:0] wrbuf_size; // @[Reg.scala 27:20] - wire [2:0] master_size = wr_cmd_vld ? wrbuf_size : io_axi_ar_bits_size; // @[axi4_to_ahb.scala 144:21] - reg [7:0] wrbuf_byteen; // @[Reg.scala 27:20] - reg [63:0] wrbuf_data; // @[lib.scala 374:16] - wire _T_358 = buf_nxtstate != 3'h5; // @[axi4_to_ahb.scala 251:55] - wire _T_359 = buf_state_en & _T_358; // @[axi4_to_ahb.scala 251:39] - wire _GEN_14 = _T_281 ? _T_359 : _T_440; // @[Conditional.scala 39:67] - wire _GEN_33 = _T_188 ? 1'h0 : _GEN_14; // @[Conditional.scala 39:67] - wire _GEN_49 = _T_186 ? 1'h0 : _GEN_33; // @[Conditional.scala 39:67] - wire _GEN_52 = _T_175 ? buf_state_en : _GEN_49; // @[Conditional.scala 39:67] - wire _GEN_73 = _T_136 ? _T_285 : _GEN_52; // @[Conditional.scala 39:67] - wire _GEN_94 = _T_101 ? 1'h0 : _GEN_73; // @[Conditional.scala 39:67] - wire slave_valid_pre = _T_49 ? 1'h0 : _GEN_94; // @[Conditional.scala 40:58] - wire buf_clk = rvclkhdr_6_io_l1clk; // @[axi4_to_ahb.scala 118:21 axi4_to_ahb.scala 332:12] - reg slvbuf_write; // @[Reg.scala 27:20] - wire [1:0] _T_596 = slvbuf_write ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 285:23] - reg slvbuf_error; // @[Reg.scala 27:20] - wire [1:0] _T_598 = slvbuf_error ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_599 = _T_598 & 2'h2; // @[axi4_to_ahb.scala 285:88] - wire [3:0] slave_opc = {_T_596,_T_599}; // @[Cat.scala 29:58] - wire [1:0] _T_30 = slave_opc[1] ? 2'h3 : 2'h0; // @[axi4_to_ahb.scala 150:55] - reg [2:0] slvbuf_tag; // @[Reg.scala 27:20] - wire _T_35 = slave_opc[3:2] == 2'h0; // @[axi4_to_ahb.scala 153:66] - reg [31:0] last_bus_addr; // @[Reg.scala 27:20] - wire [63:0] _T_603 = {last_bus_addr,last_bus_addr}; // @[Cat.scala 29:58] - wire _T_604 = buf_state == 3'h5; // @[axi4_to_ahb.scala 286:91] - reg [63:0] buf_data; // @[lib.scala 374:16] - wire ahbm_data_clk = rvclkhdr_9_io_l1clk; // @[axi4_to_ahb.scala 26:27 axi4_to_ahb.scala 335:17] - reg [63:0] ahb_hrdata_q; // @[axi4_to_ahb.scala 325:57] - wire [63:0] _T_607 = _T_604 ? buf_data : ahb_hrdata_q; // @[axi4_to_ahb.scala 286:79] - wire _T_44 = io_axi_aw_valid & io_axi_aw_ready; // @[axi4_to_ahb.scala 160:57] - wire _T_45 = io_axi_w_valid & io_axi_w_ready; // @[axi4_to_ahb.scala 160:94] - wire _T_46 = _T_44 | _T_45; // @[axi4_to_ahb.scala 160:76] - wire _T_55 = buf_nxtstate == 3'h2; // @[axi4_to_ahb.scala 172:54] - wire _T_56 = buf_state_en & _T_55; // @[axi4_to_ahb.scala 172:38] - wire [2:0] _T_87 = wrbuf_byteen[6] ? 3'h6 : 3'h7; // @[Mux.scala 98:16] - wire [2:0] _T_88 = wrbuf_byteen[5] ? 3'h5 : _T_87; // @[Mux.scala 98:16] - wire [2:0] _T_89 = wrbuf_byteen[4] ? 3'h4 : _T_88; // @[Mux.scala 98:16] - wire [2:0] _T_90 = wrbuf_byteen[3] ? 3'h3 : _T_89; // @[Mux.scala 98:16] - wire [2:0] _T_91 = wrbuf_byteen[2] ? 3'h2 : _T_90; // @[Mux.scala 98:16] - wire [2:0] _T_92 = wrbuf_byteen[1] ? 3'h1 : _T_91; // @[Mux.scala 98:16] - wire [2:0] _T_93 = wrbuf_byteen[0] ? 3'h0 : _T_92; // @[Mux.scala 98:16] - wire [2:0] _T_95 = buf_write_in ? _T_93 : master_addr[2:0]; // @[axi4_to_ahb.scala 175:30] - wire _T_96 = buf_nxtstate == 3'h1; // @[axi4_to_ahb.scala 177:51] - wire _T_126 = master_ready & master_valid; // @[axi4_to_ahb.scala 188:33] - wire _T_162 = buf_nxtstate == 3'h6; // @[axi4_to_ahb.scala 203:64] - wire _T_163 = _T_126 & _T_162; // @[axi4_to_ahb.scala 203:48] - wire _T_164 = _T_163 & buf_state_en; // @[axi4_to_ahb.scala 203:79] - wire _T_349 = buf_state_en & buf_write_in; // @[axi4_to_ahb.scala 249:33] - wire _T_351 = _T_349 & _T_55; // @[axi4_to_ahb.scala 249:48] - wire _GEN_12 = _T_281 & _T_351; // @[Conditional.scala 39:67] - wire _GEN_32 = _T_188 ? 1'h0 : _GEN_12; // @[Conditional.scala 39:67] - wire _GEN_48 = _T_186 ? 1'h0 : _GEN_32; // @[Conditional.scala 39:67] - wire _GEN_65 = _T_175 ? 1'h0 : _GEN_48; // @[Conditional.scala 39:67] - wire _GEN_75 = _T_136 ? _T_164 : _GEN_65; // @[Conditional.scala 39:67] - wire _GEN_88 = _T_101 ? _T_126 : _GEN_75; // @[Conditional.scala 39:67] - wire bypass_en = _T_49 ? buf_state_en : _GEN_88; // @[Conditional.scala 40:58] - wire [1:0] _T_99 = bypass_en ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_100 = _T_99 & 2'h2; // @[axi4_to_ahb.scala 178:49] - wire _T_112 = ~master_valid; // @[axi4_to_ahb.scala 184:34] - wire _T_113 = buf_state_en & _T_112; // @[axi4_to_ahb.scala 184:32] - reg [31:0] buf_addr; // @[lib.scala 374:16] - wire [2:0] _T_130 = bypass_en ? master_addr[2:0] : buf_addr[2:0]; // @[axi4_to_ahb.scala 189:30] - wire _T_131 = ~buf_state_en; // @[axi4_to_ahb.scala 190:48] - wire _T_132 = _T_131 | bypass_en; // @[axi4_to_ahb.scala 190:62] - wire [1:0] _T_134 = _T_132 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_135 = 2'h2 & _T_134; // @[axi4_to_ahb.scala 190:36] - wire _T_169 = buf_nxtstate != 3'h6; // @[axi4_to_ahb.scala 205:63] - wire _T_170 = _T_169 & buf_state_en; // @[axi4_to_ahb.scala 205:78] - wire _T_171 = ~_T_170; // @[axi4_to_ahb.scala 205:47] - wire [1:0] _T_173 = _T_171 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_174 = 2'h2 & _T_173; // @[axi4_to_ahb.scala 205:36] - wire [1:0] _T_184 = _T_131 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_185 = 2'h2 & _T_184; // @[axi4_to_ahb.scala 215:41] - reg [2:0] buf_cmd_byte_ptrQ; // @[Reg.scala 27:20] - reg [7:0] buf_byteen; // @[Reg.scala 27:20] - wire [2:0] _T_197 = buf_cmd_byte_ptrQ + 3'h1; // @[axi4_to_ahb.scala 135:52] - wire _T_200 = 3'h0 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_201 = buf_byteen[0] & _T_200; // @[axi4_to_ahb.scala 136:48] - wire _T_203 = 3'h1 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_204 = buf_byteen[1] & _T_203; // @[axi4_to_ahb.scala 136:48] - wire _T_206 = 3'h2 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_207 = buf_byteen[2] & _T_206; // @[axi4_to_ahb.scala 136:48] - wire _T_209 = 3'h3 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_210 = buf_byteen[3] & _T_209; // @[axi4_to_ahb.scala 136:48] - wire _T_212 = 3'h4 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_213 = buf_byteen[4] & _T_212; // @[axi4_to_ahb.scala 136:48] - wire _T_215 = 3'h5 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_216 = buf_byteen[5] & _T_215; // @[axi4_to_ahb.scala 136:48] - wire _T_218 = 3'h6 >= _T_197; // @[axi4_to_ahb.scala 136:62] - wire _T_219 = buf_byteen[6] & _T_218; // @[axi4_to_ahb.scala 136:48] - wire [2:0] _T_224 = _T_219 ? 3'h6 : 3'h7; // @[Mux.scala 98:16] - wire [2:0] _T_225 = _T_216 ? 3'h5 : _T_224; // @[Mux.scala 98:16] - wire [2:0] _T_226 = _T_213 ? 3'h4 : _T_225; // @[Mux.scala 98:16] - wire [2:0] _T_227 = _T_210 ? 3'h3 : _T_226; // @[Mux.scala 98:16] - wire [2:0] _T_228 = _T_207 ? 3'h2 : _T_227; // @[Mux.scala 98:16] - wire [2:0] _T_229 = _T_204 ? 3'h1 : _T_228; // @[Mux.scala 98:16] - wire [2:0] _T_230 = _T_201 ? 3'h0 : _T_229; // @[Mux.scala 98:16] - wire [2:0] _T_231 = trxn_done ? _T_230 : buf_cmd_byte_ptrQ; // @[axi4_to_ahb.scala 233:30] - wire _T_232 = buf_cmd_byte_ptrQ == 3'h7; // @[axi4_to_ahb.scala 234:65] - reg buf_aligned; // @[Reg.scala 27:20] - wire _T_233 = buf_aligned | _T_232; // @[axi4_to_ahb.scala 234:44] - wire [7:0] _T_271 = buf_byteen >> _T_230; // @[axi4_to_ahb.scala 234:92] - wire _T_273 = ~_T_271[0]; // @[axi4_to_ahb.scala 234:163] - wire _T_274 = _T_233 | _T_273; // @[axi4_to_ahb.scala 234:79] - wire _T_275 = trxn_done & _T_274; // @[axi4_to_ahb.scala 234:29] - wire _T_346 = _T_232 | _T_273; // @[axi4_to_ahb.scala 248:38] - wire _T_347 = _T_109 & _T_346; // @[axi4_to_ahb.scala 247:80] - wire _T_348 = ahb_hresp_q | _T_347; // @[axi4_to_ahb.scala 247:34] - wire _GEN_11 = _T_281 & _T_348; // @[Conditional.scala 39:67] - wire _GEN_24 = _T_188 ? _T_275 : _GEN_11; // @[Conditional.scala 39:67] - wire _GEN_43 = _T_186 ? 1'h0 : _GEN_24; // @[Conditional.scala 39:67] - wire _GEN_61 = _T_175 ? 1'h0 : _GEN_43; // @[Conditional.scala 39:67] - wire _GEN_74 = _T_136 ? _T_113 : _GEN_61; // @[Conditional.scala 39:67] - wire _GEN_84 = _T_101 ? _T_113 : _GEN_74; // @[Conditional.scala 39:67] - wire cmd_done = _T_49 ? 1'h0 : _GEN_84; // @[Conditional.scala 40:58] - wire _T_276 = cmd_done | cmd_doneQ; // @[axi4_to_ahb.scala 235:47] - wire _T_277 = ~_T_276; // @[axi4_to_ahb.scala 235:36] - wire [1:0] _T_279 = _T_277 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_280 = _T_279 & 2'h2; // @[axi4_to_ahb.scala 235:61] - wire _T_300 = _T_55 | _T_96; // @[axi4_to_ahb.scala 245:62] - wire _T_301 = buf_state_en & _T_300; // @[axi4_to_ahb.scala 245:33] - wire _T_354 = _T_277 | bypass_en; // @[axi4_to_ahb.scala 250:61] - wire [1:0] _T_356 = _T_354 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_357 = _T_356 & 2'h2; // @[axi4_to_ahb.scala 250:75] - wire _T_364 = trxn_done | bypass_en; // @[axi4_to_ahb.scala 253:40] - wire [2:0] _T_439 = bypass_en ? _T_93 : _T_231; // @[axi4_to_ahb.scala 254:30] - wire _GEN_6 = _T_281 & ahb_hresp_q; // @[Conditional.scala 39:67] - wire _GEN_7 = _T_281 ? buf_state_en : _T_440; // @[Conditional.scala 39:67] - wire _GEN_9 = _T_281 & _T_301; // @[Conditional.scala 39:67] - wire _GEN_30 = _T_188 ? 1'h0 : _GEN_9; // @[Conditional.scala 39:67] - wire _GEN_47 = _T_186 ? 1'h0 : _GEN_30; // @[Conditional.scala 39:67] - wire _GEN_64 = _T_175 ? 1'h0 : _GEN_47; // @[Conditional.scala 39:67] - wire _GEN_67 = _T_136 ? _T_152 : _GEN_64; // @[Conditional.scala 39:67] - wire _GEN_87 = _T_101 ? master_ready : _GEN_67; // @[Conditional.scala 39:67] - wire buf_wr_en = _T_49 ? buf_state_en : _GEN_87; // @[Conditional.scala 40:58] - wire _GEN_10 = _T_281 & buf_wr_en; // @[Conditional.scala 39:67] - wire [1:0] _GEN_13 = _T_281 ? _T_357 : 2'h0; // @[Conditional.scala 39:67] - wire _GEN_16 = _T_281 & _T_364; // @[Conditional.scala 39:67] - wire [2:0] _GEN_17 = _T_281 ? _T_439 : 3'h0; // @[Conditional.scala 39:67] - wire _GEN_21 = _T_188 ? buf_state_en : _GEN_16; // @[Conditional.scala 39:67] - wire _GEN_22 = _T_188 & buf_state_en; // @[Conditional.scala 39:67] - wire [2:0] _GEN_23 = _T_188 ? _T_231 : _GEN_17; // @[Conditional.scala 39:67] - wire [1:0] _GEN_25 = _T_188 ? _T_280 : _GEN_13; // @[Conditional.scala 39:67] - wire _GEN_28 = _T_188 ? 1'h0 : _GEN_7; // @[Conditional.scala 39:67] - wire _GEN_31 = _T_188 ? 1'h0 : _GEN_10; // @[Conditional.scala 39:67] - wire _GEN_36 = _T_186 ? buf_state_en : _GEN_31; // @[Conditional.scala 39:67] - wire _GEN_38 = _T_186 ? buf_state_en : _GEN_28; // @[Conditional.scala 39:67] - wire _GEN_39 = _T_186 ? buf_state_en : _GEN_22; // @[Conditional.scala 39:67] - wire _GEN_41 = _T_186 ? 1'h0 : _GEN_21; // @[Conditional.scala 39:67] - wire [2:0] _GEN_42 = _T_186 ? 3'h0 : _GEN_23; // @[Conditional.scala 39:67] - wire [1:0] _GEN_44 = _T_186 ? 2'h0 : _GEN_25; // @[Conditional.scala 39:67] - wire _GEN_53 = _T_175 ? buf_state_en : _GEN_39; // @[Conditional.scala 39:67] - wire [2:0] _GEN_54 = _T_175 ? buf_addr[2:0] : _GEN_42; // @[Conditional.scala 39:67] - wire [1:0] _GEN_55 = _T_175 ? _T_185 : _GEN_44; // @[Conditional.scala 39:67] - wire _GEN_56 = _T_175 ? 1'h0 : _GEN_36; // @[Conditional.scala 39:67] - wire _GEN_58 = _T_175 ? 1'h0 : _GEN_38; // @[Conditional.scala 39:67] - wire _GEN_60 = _T_175 ? 1'h0 : _GEN_41; // @[Conditional.scala 39:67] - wire _GEN_70 = _T_136 ? buf_state_en : _GEN_56; // @[Conditional.scala 39:67] - wire _GEN_72 = _T_136 ? buf_state_en : _GEN_58; // @[Conditional.scala 39:67] - wire [2:0] _GEN_76 = _T_136 ? _T_130 : _GEN_54; // @[Conditional.scala 39:67] - wire [1:0] _GEN_77 = _T_136 ? _T_174 : _GEN_55; // @[Conditional.scala 39:67] - wire _GEN_78 = _T_136 ? buf_wr_en : _GEN_53; // @[Conditional.scala 39:67] - wire _GEN_80 = _T_136 ? 1'h0 : _GEN_60; // @[Conditional.scala 39:67] - wire _GEN_85 = _T_101 ? buf_state_en : _GEN_78; // @[Conditional.scala 39:67] - wire [2:0] _GEN_89 = _T_101 ? _T_130 : _GEN_76; // @[Conditional.scala 39:67] - wire [1:0] _GEN_90 = _T_101 ? _T_135 : _GEN_77; // @[Conditional.scala 39:67] - wire _GEN_91 = _T_101 ? 1'h0 : _GEN_70; // @[Conditional.scala 39:67] - wire _GEN_93 = _T_101 ? 1'h0 : _GEN_72; // @[Conditional.scala 39:67] - wire _GEN_96 = _T_101 ? 1'h0 : _GEN_80; // @[Conditional.scala 39:67] - wire buf_data_wr_en = _T_49 ? _T_56 : _GEN_91; // @[Conditional.scala 40:58] - wire buf_cmd_byte_ptr_en = _T_49 ? buf_state_en : _GEN_96; // @[Conditional.scala 40:58] - wire [2:0] buf_cmd_byte_ptr = _T_49 ? _T_95 : _GEN_89; // @[Conditional.scala 40:58] - wire slvbuf_wr_en = _T_49 ? 1'h0 : _GEN_85; // @[Conditional.scala 40:58] - wire slvbuf_error_en = _T_49 ? 1'h0 : _GEN_93; // @[Conditional.scala 40:58] - wire _T_535 = master_size[1:0] == 2'h0; // @[axi4_to_ahb.scala 271:24] - wire _T_536 = _T_103 | _T_535; // @[axi4_to_ahb.scala 270:48] - wire _T_538 = master_size[1:0] == 2'h1; // @[axi4_to_ahb.scala 271:54] - wire _T_539 = _T_536 | _T_538; // @[axi4_to_ahb.scala 271:33] - wire _T_541 = master_size[1:0] == 2'h2; // @[axi4_to_ahb.scala 271:93] - wire _T_542 = _T_539 | _T_541; // @[axi4_to_ahb.scala 271:72] - wire _T_544 = master_size[1:0] == 2'h3; // @[axi4_to_ahb.scala 272:25] - wire _T_546 = wrbuf_byteen == 8'h3; // @[axi4_to_ahb.scala 272:62] - wire _T_548 = wrbuf_byteen == 8'hc; // @[axi4_to_ahb.scala 272:97] - wire _T_549 = _T_546 | _T_548; // @[axi4_to_ahb.scala 272:74] - wire _T_551 = wrbuf_byteen == 8'h30; // @[axi4_to_ahb.scala 272:132] - wire _T_552 = _T_549 | _T_551; // @[axi4_to_ahb.scala 272:109] - wire _T_554 = wrbuf_byteen == 8'hc0; // @[axi4_to_ahb.scala 272:168] - wire _T_555 = _T_552 | _T_554; // @[axi4_to_ahb.scala 272:145] - wire _T_557 = wrbuf_byteen == 8'hf; // @[axi4_to_ahb.scala 273:28] - wire _T_558 = _T_555 | _T_557; // @[axi4_to_ahb.scala 272:181] - wire _T_560 = wrbuf_byteen == 8'hf0; // @[axi4_to_ahb.scala 273:63] - wire _T_561 = _T_558 | _T_560; // @[axi4_to_ahb.scala 273:40] - wire _T_563 = wrbuf_byteen == 8'hff; // @[axi4_to_ahb.scala 273:99] - wire _T_564 = _T_561 | _T_563; // @[axi4_to_ahb.scala 273:76] - wire _T_565 = _T_544 & _T_564; // @[axi4_to_ahb.scala 272:38] - wire buf_aligned_in = _T_542 | _T_565; // @[axi4_to_ahb.scala 271:106] - wire _T_444 = buf_aligned_in & _T_51; // @[axi4_to_ahb.scala 265:60] - wire [2:0] _T_461 = _T_548 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_462 = 3'h2 & _T_461; // @[axi4_to_ahb.scala 128:15] - wire _T_468 = _T_560 | _T_546; // @[axi4_to_ahb.scala 129:56] - wire [2:0] _T_470 = _T_468 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_471 = 3'h4 & _T_470; // @[axi4_to_ahb.scala 129:15] - wire [2:0] _T_472 = _T_462 | _T_471; // @[axi4_to_ahb.scala 128:63] - wire [2:0] _T_476 = _T_554 ? 3'h7 : 3'h0; // @[Bitwise.scala 72:12] - wire [2:0] _T_477 = 3'h6 & _T_476; // @[axi4_to_ahb.scala 130:15] - wire [2:0] _T_478 = _T_472 | _T_477; // @[axi4_to_ahb.scala 129:96] - wire [2:0] _T_485 = _T_444 ? _T_478 : master_addr[2:0]; // @[axi4_to_ahb.scala 265:43] - wire _T_489 = buf_state == 3'h3; // @[axi4_to_ahb.scala 268:33] - wire _T_495 = buf_aligned_in & _T_544; // @[axi4_to_ahb.scala 269:38] - wire _T_498 = _T_495 & _T_51; // @[axi4_to_ahb.scala 269:71] - wire [1:0] _T_504 = _T_563 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire _T_510 = _T_560 | _T_557; // @[axi4_to_ahb.scala 122:55] - wire [1:0] _T_512 = _T_510 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_513 = 2'h2 & _T_512; // @[axi4_to_ahb.scala 122:16] - wire [1:0] _T_514 = _T_504 | _T_513; // @[axi4_to_ahb.scala 121:64] - wire _T_519 = _T_554 | _T_551; // @[axi4_to_ahb.scala 123:60] - wire _T_522 = _T_519 | _T_548; // @[axi4_to_ahb.scala 123:89] - wire _T_525 = _T_522 | _T_546; // @[axi4_to_ahb.scala 123:123] - wire [1:0] _T_527 = _T_525 ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [1:0] _T_528 = 2'h1 & _T_527; // @[axi4_to_ahb.scala 123:21] - wire [1:0] _T_529 = _T_514 | _T_528; // @[axi4_to_ahb.scala 122:93] - wire [1:0] _T_531 = _T_498 ? _T_529 : master_size[1:0]; // @[axi4_to_ahb.scala 269:21] - wire [31:0] _T_570 = {master_addr[31:3],buf_cmd_byte_ptr}; // @[Cat.scala 29:58] - wire [31:0] _T_573 = {buf_addr[31:3],buf_cmd_byte_ptr}; // @[Cat.scala 29:58] - wire [1:0] _T_577 = buf_aligned_in ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire [2:0] buf_size_in = {{1'd0}, _T_531}; // @[axi4_to_ahb.scala 269:15] - wire [1:0] _T_579 = _T_577 & buf_size_in[1:0]; // @[axi4_to_ahb.scala 276:81] - wire [2:0] _T_580 = {1'h0,_T_579}; // @[Cat.scala 29:58] - wire [1:0] _T_582 = buf_aligned ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - reg [1:0] buf_size; // @[Reg.scala 27:20] - wire [1:0] _T_584 = _T_582 & buf_size; // @[axi4_to_ahb.scala 276:138] - wire [2:0] _T_585 = {1'h0,_T_584}; // @[Cat.scala 29:58] - reg buf_write; // @[Reg.scala 27:20] - wire _T_611 = io_ahb_out_htrans != 2'h0; // @[axi4_to_ahb.scala 289:44] - wire _T_612 = _T_611 & io_ahb_in_hready; // @[axi4_to_ahb.scala 289:56] - wire last_addr_en = _T_612 & io_ahb_out_hwrite; // @[axi4_to_ahb.scala 289:75] - wire wrbuf_en = _T_44 & master_ready; // @[axi4_to_ahb.scala 291:49] - wire wrbuf_data_en = _T_45 & master_ready; // @[axi4_to_ahb.scala 292:52] - wire wrbuf_cmd_sent = _T_149 & _T_51; // @[axi4_to_ahb.scala 293:49] - wire _T_622 = ~wrbuf_en; // @[axi4_to_ahb.scala 294:33] - wire wrbuf_rst = wrbuf_cmd_sent & _T_622; // @[axi4_to_ahb.scala 294:31] - wire _T_624 = ~wrbuf_cmd_sent; // @[axi4_to_ahb.scala 296:36] - wire _T_625 = wrbuf_vld & _T_624; // @[axi4_to_ahb.scala 296:34] - wire _T_626 = ~_T_625; // @[axi4_to_ahb.scala 296:22] - wire _T_629 = wrbuf_data_vld & _T_624; // @[axi4_to_ahb.scala 297:38] - wire _T_630 = ~_T_629; // @[axi4_to_ahb.scala 297:21] - wire _T_633 = ~wr_cmd_vld; // @[axi4_to_ahb.scala 298:22] - wire _T_636 = wrbuf_en | wrbuf_vld; // @[axi4_to_ahb.scala 301:55] - wire _T_637 = ~wrbuf_rst; // @[axi4_to_ahb.scala 301:91] - wire _T_641 = wrbuf_data_en | wrbuf_data_vld; // @[axi4_to_ahb.scala 302:55] - reg [2:0] buf_tag; // @[Reg.scala 27:20] - wire _T_691 = ~slave_valid_pre; // @[axi4_to_ahb.scala 319:92] - wire _T_704 = buf_wr_en | slvbuf_wr_en; // @[axi4_to_ahb.scala 327:43] - wire _T_705 = _T_704 | io_clk_override; // @[axi4_to_ahb.scala 327:58] - wire _T_708 = io_ahb_in_hready & io_ahb_out_htrans[1]; // @[axi4_to_ahb.scala 328:57] - wire _T_709 = _T_708 | io_clk_override; // @[axi4_to_ahb.scala 328:81] - wire _T_711 = buf_state != 3'h0; // @[axi4_to_ahb.scala 329:50] - wire _T_712 = _T_711 | io_clk_override; // @[axi4_to_ahb.scala 329:60] - rvclkhdr rvclkhdr ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_io_l1clk), - .io_clk(rvclkhdr_io_clk), - .io_en(rvclkhdr_io_en), - .io_scan_mode(rvclkhdr_io_scan_mode) - ); - rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_1_io_l1clk), - .io_clk(rvclkhdr_1_io_clk), - .io_en(rvclkhdr_1_io_en), - .io_scan_mode(rvclkhdr_1_io_scan_mode) - ); - rvclkhdr rvclkhdr_2 ( // @[lib.scala 368:23] - .io_l1clk(rvclkhdr_2_io_l1clk), - .io_clk(rvclkhdr_2_io_clk), - .io_en(rvclkhdr_2_io_en), - .io_scan_mode(rvclkhdr_2_io_scan_mode) - ); - rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] - .io_l1clk(rvclkhdr_3_io_l1clk), - .io_clk(rvclkhdr_3_io_clk), - .io_en(rvclkhdr_3_io_en), - .io_scan_mode(rvclkhdr_3_io_scan_mode) - ); - rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] - .io_l1clk(rvclkhdr_4_io_l1clk), - .io_clk(rvclkhdr_4_io_clk), - .io_en(rvclkhdr_4_io_en), - .io_scan_mode(rvclkhdr_4_io_scan_mode) - ); - rvclkhdr rvclkhdr_5 ( // @[lib.scala 368:23] - .io_l1clk(rvclkhdr_5_io_l1clk), - .io_clk(rvclkhdr_5_io_clk), - .io_en(rvclkhdr_5_io_en), - .io_scan_mode(rvclkhdr_5_io_scan_mode) - ); - rvclkhdr rvclkhdr_6 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_6_io_l1clk), - .io_clk(rvclkhdr_6_io_clk), - .io_en(rvclkhdr_6_io_en), - .io_scan_mode(rvclkhdr_6_io_scan_mode) - ); - rvclkhdr rvclkhdr_7 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_7_io_l1clk), - .io_clk(rvclkhdr_7_io_clk), - .io_en(rvclkhdr_7_io_en), - .io_scan_mode(rvclkhdr_7_io_scan_mode) - ); - rvclkhdr rvclkhdr_8 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_8_io_l1clk), - .io_clk(rvclkhdr_8_io_clk), - .io_en(rvclkhdr_8_io_en), - .io_scan_mode(rvclkhdr_8_io_scan_mode) - ); - rvclkhdr rvclkhdr_9 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_9_io_l1clk), - .io_clk(rvclkhdr_9_io_clk), - .io_en(rvclkhdr_9_io_en), - .io_scan_mode(rvclkhdr_9_io_scan_mode) - ); - assign io_axi_aw_ready = _T_626 & master_ready; // @[axi4_to_ahb.scala 296:19] - assign io_axi_w_ready = _T_630 & master_ready; // @[axi4_to_ahb.scala 297:18] - assign io_axi_b_valid = slave_valid_pre & slave_opc[3]; // @[axi4_to_ahb.scala 149:18] - assign io_axi_b_bits_id = slvbuf_tag; // @[axi4_to_ahb.scala 151:20] - assign io_axi_ar_ready = _T_633 & master_ready; // @[axi4_to_ahb.scala 298:19] - assign io_axi_r_valid = slave_valid_pre & _T_35; // @[axi4_to_ahb.scala 153:18] - assign io_axi_r_bits_id = slvbuf_tag; // @[axi4_to_ahb.scala 155:20] - assign io_axi_r_bits_data = slvbuf_error ? _T_603 : _T_607; // @[axi4_to_ahb.scala 156:22] - assign io_axi_r_bits_resp = slave_opc[0] ? 2'h2 : _T_30; // @[axi4_to_ahb.scala 154:22] - assign io_ahb_out_haddr = bypass_en ? _T_570 : _T_573; // @[axi4_to_ahb.scala 275:20] - assign io_ahb_out_hsize = bypass_en ? _T_580 : _T_585; // @[axi4_to_ahb.scala 276:20] - assign io_ahb_out_htrans = _T_49 ? _T_100 : _GEN_90; // @[axi4_to_ahb.scala 22:21 axi4_to_ahb.scala 178:25 axi4_to_ahb.scala 190:25 axi4_to_ahb.scala 205:25 axi4_to_ahb.scala 215:25 axi4_to_ahb.scala 235:25 axi4_to_ahb.scala 250:25] - assign io_ahb_out_hwrite = bypass_en ? _T_51 : buf_write; // @[axi4_to_ahb.scala 281:21] - assign io_ahb_out_hwdata = buf_data; // @[axi4_to_ahb.scala 282:21] - assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_io_en = io_bus_clk_en; // @[lib.scala 345:16] - assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_1_io_en = io_bus_clk_en & _T_46; // @[lib.scala 345:16] - assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_2_io_clk = rvclkhdr_io_l1clk; // @[lib.scala 370:18] - assign rvclkhdr_2_io_en = _T_44 & master_ready; // @[lib.scala 371:17] - assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign rvclkhdr_3_io_clk = rvclkhdr_io_l1clk; // @[lib.scala 370:18] - assign rvclkhdr_3_io_en = _T_45 & master_ready; // @[lib.scala 371:17] - assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign rvclkhdr_4_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_4_io_en = buf_wr_en & io_bus_clk_en; // @[lib.scala 371:17] - assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign rvclkhdr_5_io_clk = clock; // @[lib.scala 370:18] - assign rvclkhdr_5_io_en = buf_data_wr_en & io_bus_clk_en; // @[lib.scala 371:17] - assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign rvclkhdr_6_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_6_io_en = io_bus_clk_en & _T_705; // @[lib.scala 345:16] - assign rvclkhdr_6_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_7_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_7_io_en = io_bus_clk_en; // @[lib.scala 345:16] - assign rvclkhdr_7_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_8_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_8_io_en = io_bus_clk_en & _T_709; // @[lib.scala 345:16] - assign rvclkhdr_8_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_9_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_9_io_en = io_bus_clk_en & _T_712; // @[lib.scala 345:16] - assign rvclkhdr_9_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] -`ifdef RANDOMIZE_GARBAGE_ASSIGN -`define RANDOMIZE -`endif -`ifdef RANDOMIZE_INVALID_ASSIGN -`define RANDOMIZE -`endif -`ifdef RANDOMIZE_REG_INIT -`define RANDOMIZE -`endif -`ifdef RANDOMIZE_MEM_INIT -`define RANDOMIZE -`endif -`ifndef RANDOM -`define RANDOM $random -`endif -`ifdef RANDOMIZE_MEM_INIT - integer initvar; -`endif -`ifndef SYNTHESIS -`ifdef FIRRTL_BEFORE_INITIAL -`FIRRTL_BEFORE_INITIAL -`endif -initial begin - `ifdef RANDOMIZE - `ifdef INIT_RANDOM - `INIT_RANDOM - `endif - `ifndef VERILATOR - `ifdef RANDOMIZE_DELAY - #`RANDOMIZE_DELAY begin end - `else - #0.002 begin end - `endif - `endif -`ifdef RANDOMIZE_REG_INIT - _RAND_0 = {1{`RANDOM}}; - buf_state = _RAND_0[2:0]; - _RAND_1 = {1{`RANDOM}}; - wrbuf_vld = _RAND_1[0:0]; - _RAND_2 = {1{`RANDOM}}; - wrbuf_data_vld = _RAND_2[0:0]; - _RAND_3 = {1{`RANDOM}}; - ahb_hready_q = _RAND_3[0:0]; - _RAND_4 = {1{`RANDOM}}; - ahb_htrans_q = _RAND_4[1:0]; - _RAND_5 = {1{`RANDOM}}; - ahb_hwrite_q = _RAND_5[0:0]; - _RAND_6 = {1{`RANDOM}}; - ahb_hresp_q = _RAND_6[0:0]; - _RAND_7 = {1{`RANDOM}}; - cmd_doneQ = _RAND_7[0:0]; - _RAND_8 = {1{`RANDOM}}; - wrbuf_tag = _RAND_8[2:0]; - _RAND_9 = {1{`RANDOM}}; - wrbuf_addr = _RAND_9[31:0]; - _RAND_10 = {1{`RANDOM}}; - wrbuf_size = _RAND_10[2:0]; - _RAND_11 = {1{`RANDOM}}; - wrbuf_byteen = _RAND_11[7:0]; - _RAND_12 = {2{`RANDOM}}; - wrbuf_data = _RAND_12[63:0]; - _RAND_13 = {1{`RANDOM}}; - slvbuf_write = _RAND_13[0:0]; - _RAND_14 = {1{`RANDOM}}; - slvbuf_error = _RAND_14[0:0]; - _RAND_15 = {1{`RANDOM}}; - slvbuf_tag = _RAND_15[2:0]; - _RAND_16 = {1{`RANDOM}}; - last_bus_addr = _RAND_16[31:0]; - _RAND_17 = {2{`RANDOM}}; - buf_data = _RAND_17[63:0]; - _RAND_18 = {2{`RANDOM}}; - ahb_hrdata_q = _RAND_18[63:0]; - _RAND_19 = {1{`RANDOM}}; - buf_addr = _RAND_19[31:0]; - _RAND_20 = {1{`RANDOM}}; - buf_cmd_byte_ptrQ = _RAND_20[2:0]; - _RAND_21 = {1{`RANDOM}}; - buf_byteen = _RAND_21[7:0]; - _RAND_22 = {1{`RANDOM}}; - buf_aligned = _RAND_22[0:0]; - _RAND_23 = {1{`RANDOM}}; - buf_size = _RAND_23[1:0]; - _RAND_24 = {1{`RANDOM}}; - buf_write = _RAND_24[0:0]; - _RAND_25 = {1{`RANDOM}}; - buf_tag = _RAND_25[2:0]; -`endif // RANDOMIZE_REG_INIT - if (reset) begin - buf_state = 3'h0; - end - if (reset) begin - wrbuf_vld = 1'h0; - end - if (reset) begin - wrbuf_data_vld = 1'h0; - end - if (reset) begin - ahb_hready_q = 1'h0; - end - if (reset) begin - ahb_htrans_q = 2'h0; - end - if (reset) begin - ahb_hwrite_q = 1'h0; - end - if (reset) begin - ahb_hresp_q = 1'h0; - end - if (reset) begin - cmd_doneQ = 1'h0; - end - if (reset) begin - wrbuf_tag = 3'h0; - end - if (reset) begin - wrbuf_addr = 32'h0; - end - if (reset) begin - wrbuf_size = 3'h0; - end - if (reset) begin - wrbuf_byteen = 8'h0; - end - if (reset) begin - wrbuf_data = 64'h0; - end - if (reset) begin - slvbuf_write = 1'h0; - end - if (reset) begin - slvbuf_error = 1'h0; - end - if (reset) begin - slvbuf_tag = 3'h0; - end - if (reset) begin - last_bus_addr = 32'h0; - end - if (reset) begin - buf_data = 64'h0; - end - if (reset) begin - ahb_hrdata_q = 64'h0; - end - if (reset) begin - buf_addr = 32'h0; - end - if (reset) begin - buf_cmd_byte_ptrQ = 3'h0; - end - if (reset) begin - buf_byteen = 8'h0; - end - if (reset) begin - buf_aligned = 1'h0; - end - if (reset) begin - buf_size = 2'h0; - end - if (reset) begin - buf_write = 1'h0; - end - if (reset) begin - buf_tag = 3'h0; - end - `endif // RANDOMIZE -end // initial -`ifdef FIRRTL_AFTER_INITIAL -`FIRRTL_AFTER_INITIAL -`endif -`endif // SYNTHESIS - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - buf_state <= 3'h0; - end else if (buf_state_en) begin - if (_T_49) begin - if (buf_write_in) begin - buf_state <= 3'h2; - end else begin - buf_state <= 3'h1; - end - end else if (_T_101) begin - if (_T_104) begin - buf_state <= 3'h6; - end else begin - buf_state <= 3'h3; - end - end else if (_T_136) begin - if (ahb_hresp_q) begin - buf_state <= 3'h7; - end else if (_T_152) begin - buf_state <= 3'h6; - end else begin - buf_state <= 3'h3; - end - end else if (_T_175) begin - buf_state <= 3'h3; - end else if (_T_186) begin - buf_state <= 3'h5; - end else if (_T_188) begin - buf_state <= 3'h4; - end else if (_T_281) begin - if (ahb_hresp_q) begin - buf_state <= 3'h5; - end else if (master_valid) begin - if (_T_51) begin - buf_state <= 3'h2; - end else begin - buf_state <= 3'h1; - end - end else begin - buf_state <= 3'h0; - end - end else begin - buf_state <= 3'h0; - end - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - wrbuf_vld <= 1'h0; - end else begin - wrbuf_vld <= _T_636 & _T_637; - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - wrbuf_data_vld <= 1'h0; - end else begin - wrbuf_data_vld <= _T_641 & _T_637; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - ahb_hready_q <= 1'h0; - end else begin - ahb_hready_q <= io_ahb_in_hready; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - ahb_htrans_q <= 2'h0; - end else begin - ahb_htrans_q <= io_ahb_out_htrans; - end - end - always @(posedge ahbm_addr_clk or posedge reset) begin - if (reset) begin - ahb_hwrite_q <= 1'h0; - end else begin - ahb_hwrite_q <= io_ahb_out_hwrite; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - ahb_hresp_q <= 1'h0; - end else begin - ahb_hresp_q <= io_ahb_in_hresp; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - cmd_doneQ <= 1'h0; - end else begin - cmd_doneQ <= _T_276 & _T_691; - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - wrbuf_tag <= 3'h0; - end else if (wrbuf_en) begin - wrbuf_tag <= io_axi_aw_bits_id; - end - end - always @(posedge rvclkhdr_2_io_l1clk or posedge reset) begin - if (reset) begin - wrbuf_addr <= 32'h0; - end else begin - wrbuf_addr <= io_axi_aw_bits_addr; - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - wrbuf_size <= 3'h0; - end else if (wrbuf_en) begin - wrbuf_size <= io_axi_aw_bits_size; - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - wrbuf_byteen <= 8'h0; - end else if (wrbuf_data_en) begin - wrbuf_byteen <= io_axi_w_bits_strb; - end - end - always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin - if (reset) begin - wrbuf_data <= 64'h0; - end else begin - wrbuf_data <= io_axi_w_bits_data; - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - slvbuf_write <= 1'h0; - end else if (slvbuf_wr_en) begin - slvbuf_write <= buf_write; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - slvbuf_error <= 1'h0; - end else if (slvbuf_error_en) begin - if (_T_49) begin - slvbuf_error <= 1'h0; - end else if (_T_101) begin - slvbuf_error <= 1'h0; - end else if (_T_136) begin - slvbuf_error <= ahb_hresp_q; - end else if (_T_175) begin - slvbuf_error <= 1'h0; - end else if (_T_186) begin - slvbuf_error <= ahb_hresp_q; - end else if (_T_188) begin - slvbuf_error <= 1'h0; - end else begin - slvbuf_error <= _GEN_6; - end - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - slvbuf_tag <= 3'h0; - end else if (slvbuf_wr_en) begin - slvbuf_tag <= buf_tag; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - last_bus_addr <= 32'h0; - end else if (last_addr_en) begin - last_bus_addr <= io_ahb_out_haddr; - end - end - always @(posedge rvclkhdr_5_io_l1clk or posedge reset) begin - if (reset) begin - buf_data <= 64'h0; - end else if (_T_489) begin - buf_data <= ahb_hrdata_q; - end else begin - buf_data <= wrbuf_data; - end - end - always @(posedge ahbm_data_clk or posedge reset) begin - if (reset) begin - ahb_hrdata_q <= 64'h0; - end else begin - ahb_hrdata_q <= io_ahb_in_hrdata; - end - end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin - if (reset) begin - buf_addr <= 32'h0; - end else begin - buf_addr <= {master_addr[31:3],_T_485}; - end - end - always @(posedge ahbm_clk or posedge reset) begin - if (reset) begin - buf_cmd_byte_ptrQ <= 3'h0; - end else if (buf_cmd_byte_ptr_en) begin - if (_T_49) begin - if (buf_write_in) begin - if (wrbuf_byteen[0]) begin - buf_cmd_byte_ptrQ <= 3'h0; - end else if (wrbuf_byteen[1]) begin - buf_cmd_byte_ptrQ <= 3'h1; - end else if (wrbuf_byteen[2]) begin - buf_cmd_byte_ptrQ <= 3'h2; - end else if (wrbuf_byteen[3]) begin - buf_cmd_byte_ptrQ <= 3'h3; - end else if (wrbuf_byteen[4]) begin - buf_cmd_byte_ptrQ <= 3'h4; - end else if (wrbuf_byteen[5]) begin - buf_cmd_byte_ptrQ <= 3'h5; - end else if (wrbuf_byteen[6]) begin - buf_cmd_byte_ptrQ <= 3'h6; - end else begin - buf_cmd_byte_ptrQ <= 3'h7; - end - end else begin - buf_cmd_byte_ptrQ <= master_addr[2:0]; - end - end else if (_T_101) begin - if (bypass_en) begin - buf_cmd_byte_ptrQ <= master_addr[2:0]; - end else begin - buf_cmd_byte_ptrQ <= buf_addr[2:0]; - end - end else if (_T_136) begin - if (bypass_en) begin - buf_cmd_byte_ptrQ <= master_addr[2:0]; - end else begin - buf_cmd_byte_ptrQ <= buf_addr[2:0]; - end - end else if (_T_175) begin - buf_cmd_byte_ptrQ <= buf_addr[2:0]; - end else if (_T_186) begin - buf_cmd_byte_ptrQ <= 3'h0; - end else if (_T_188) begin - if (trxn_done) begin - if (_T_201) begin - buf_cmd_byte_ptrQ <= 3'h0; - end else if (_T_204) begin - buf_cmd_byte_ptrQ <= 3'h1; - end else if (_T_207) begin - buf_cmd_byte_ptrQ <= 3'h2; - end else if (_T_210) begin - buf_cmd_byte_ptrQ <= 3'h3; - end else if (_T_213) begin - buf_cmd_byte_ptrQ <= 3'h4; - end else if (_T_216) begin - buf_cmd_byte_ptrQ <= 3'h5; - end else if (_T_219) begin - buf_cmd_byte_ptrQ <= 3'h6; - end else begin - buf_cmd_byte_ptrQ <= 3'h7; - end - end - end else if (_T_281) begin - if (bypass_en) begin - if (wrbuf_byteen[0]) begin - buf_cmd_byte_ptrQ <= 3'h0; - end else if (wrbuf_byteen[1]) begin - buf_cmd_byte_ptrQ <= 3'h1; - end else if (wrbuf_byteen[2]) begin - buf_cmd_byte_ptrQ <= 3'h2; - end else if (wrbuf_byteen[3]) begin - buf_cmd_byte_ptrQ <= 3'h3; - end else if (wrbuf_byteen[4]) begin - buf_cmd_byte_ptrQ <= 3'h4; - end else if (wrbuf_byteen[5]) begin - buf_cmd_byte_ptrQ <= 3'h5; - end else if (wrbuf_byteen[6]) begin - buf_cmd_byte_ptrQ <= 3'h6; - end else begin - buf_cmd_byte_ptrQ <= 3'h7; - end - end else if (trxn_done) begin - if (_T_201) begin - buf_cmd_byte_ptrQ <= 3'h0; - end else if (_T_204) begin - buf_cmd_byte_ptrQ <= 3'h1; - end else if (_T_207) begin - buf_cmd_byte_ptrQ <= 3'h2; - end else if (_T_210) begin - buf_cmd_byte_ptrQ <= 3'h3; - end else if (_T_213) begin - buf_cmd_byte_ptrQ <= 3'h4; - end else if (_T_216) begin - buf_cmd_byte_ptrQ <= 3'h5; - end else if (_T_219) begin - buf_cmd_byte_ptrQ <= 3'h6; - end else begin - buf_cmd_byte_ptrQ <= 3'h7; - end - end - end else begin - buf_cmd_byte_ptrQ <= 3'h0; - end - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - buf_byteen <= 8'h0; - end else if (buf_wr_en) begin - buf_byteen <= wrbuf_byteen; - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - buf_aligned <= 1'h0; - end else if (buf_wr_en) begin - buf_aligned <= buf_aligned_in; - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - buf_size <= 2'h0; - end else if (buf_wr_en) begin - buf_size <= buf_size_in[1:0]; - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - buf_write <= 1'h0; - end else if (buf_wr_en) begin - if (_T_49) begin - buf_write <= _T_51; - end else if (_T_101) begin - buf_write <= 1'h0; - end else if (_T_136) begin - buf_write <= 1'h0; - end else if (_T_175) begin - buf_write <= 1'h0; - end else if (_T_186) begin - buf_write <= 1'h0; - end else if (_T_188) begin - buf_write <= 1'h0; - end else begin - buf_write <= _GEN_8; - end - end - end - always @(posedge buf_clk or posedge reset) begin - if (reset) begin - buf_tag <= 3'h0; - end else if (buf_wr_en) begin - if (wr_cmd_vld) begin - buf_tag <= wrbuf_tag; - end else begin - buf_tag <= io_axi_ar_bits_id; - end - end - end -endmodule -module ahb_to_axi4( - input clock, - input reset, - input io_scan_mode, - input io_bus_clk_en, - input io_axi_aw_ready, - output io_axi_aw_valid, - output [31:0] io_axi_aw_bits_addr, - output [2:0] io_axi_aw_bits_size, - output io_axi_w_valid, - output [63:0] io_axi_w_bits_data, - output [7:0] io_axi_w_bits_strb, - input io_axi_ar_ready, - output io_axi_ar_valid, - output [31:0] io_axi_ar_bits_addr, - output [2:0] io_axi_ar_bits_size, - input io_axi_r_valid, - input [63:0] io_axi_r_bits_data, - input [1:0] io_axi_r_bits_resp, - output [63:0] io_ahb_sig_in_hrdata, - output io_ahb_sig_in_hready, - output io_ahb_sig_in_hresp, - input [31:0] io_ahb_sig_out_haddr, - input [2:0] io_ahb_sig_out_hsize, - input [1:0] io_ahb_sig_out_htrans, - input io_ahb_sig_out_hwrite, - input [63:0] io_ahb_sig_out_hwdata, - input io_ahb_hsel, - input io_ahb_hreadyin -); -`ifdef RANDOMIZE_REG_INIT - reg [31:0] _RAND_0; - reg [31:0] _RAND_1; - reg [31:0] _RAND_2; - reg [31:0] _RAND_3; - reg [31:0] _RAND_4; - reg [31:0] _RAND_5; - reg [31:0] _RAND_6; - reg [31:0] _RAND_7; - reg [63:0] _RAND_8; - reg [31:0] _RAND_9; - reg [31:0] _RAND_10; - reg [31:0] _RAND_11; - reg [31:0] _RAND_12; - reg [31:0] _RAND_13; - reg [63:0] _RAND_14; -`endif // RANDOMIZE_REG_INIT - wire rvclkhdr_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_io_en; // @[lib.scala 343:22] - wire rvclkhdr_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_1_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_1_io_en; // @[lib.scala 343:22] - wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_2_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_2_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_2_io_en; // @[lib.scala 343:22] - wire rvclkhdr_2_io_scan_mode; // @[lib.scala 343:22] - wire rvclkhdr_3_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_3_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_3_io_en; // @[lib.scala 368:23] - wire rvclkhdr_3_io_scan_mode; // @[lib.scala 368:23] - wire rvclkhdr_4_io_l1clk; // @[lib.scala 368:23] - wire rvclkhdr_4_io_clk; // @[lib.scala 368:23] - wire rvclkhdr_4_io_en; // @[lib.scala 368:23] - wire rvclkhdr_4_io_scan_mode; // @[lib.scala 368:23] - wire rvclkhdr_5_io_l1clk; // @[lib.scala 343:22] - wire rvclkhdr_5_io_clk; // @[lib.scala 343:22] - wire rvclkhdr_5_io_en; // @[lib.scala 343:22] - wire rvclkhdr_5_io_scan_mode; // @[lib.scala 343:22] - wire ahb_addr_clk = rvclkhdr_1_io_l1clk; // @[ahb_to_axi4.scala 44:33 ahb_to_axi4.scala 133:31] - reg [31:0] ahb_haddr_q; // @[ahb_to_axi4.scala 126:65] - wire ahb_addr_in_dccm = ahb_haddr_q[31:16] == 16'hf004; // @[lib.scala 87:29] - wire ahb_addr_in_iccm = ahb_haddr_q[31:16] == 16'hee00; // @[lib.scala 87:29] - wire ahb_clk = rvclkhdr_io_l1clk; // @[ahb_to_axi4.scala 43:33 ahb_to_axi4.scala 132:31] - reg [1:0] buf_state; // @[Reg.scala 27:20] - wire _T_7 = 2'h0 == buf_state; // @[Conditional.scala 37:30] - wire ahb_hready = io_ahb_sig_in_hready & io_ahb_hreadyin; // @[ahb_to_axi4.scala 104:55] - wire _T_10 = ahb_hready & io_ahb_sig_out_htrans[1]; // @[ahb_to_axi4.scala 76:34] - wire _T_11 = _T_10 & io_ahb_hsel; // @[ahb_to_axi4.scala 76:61] - wire _T_12 = 2'h1 == buf_state; // @[Conditional.scala 37:30] - wire _T_14 = io_ahb_sig_out_htrans == 2'h0; // @[ahb_to_axi4.scala 79:79] - wire _T_15 = io_ahb_sig_in_hresp | _T_14; // @[ahb_to_axi4.scala 79:48] - wire _T_16 = ~io_ahb_hsel; // @[ahb_to_axi4.scala 79:93] - wire _T_17 = _T_15 | _T_16; // @[ahb_to_axi4.scala 79:91] - wire bus_clk = rvclkhdr_5_io_l1clk; // @[ahb_to_axi4.scala 57:33 ahb_to_axi4.scala 180:27] - reg cmdbuf_vld; // @[ahb_to_axi4.scala 139:61] - wire _T_151 = io_axi_aw_valid & io_axi_aw_ready; // @[ahb_to_axi4.scala 137:67] - wire _T_152 = io_axi_ar_valid & io_axi_ar_ready; // @[ahb_to_axi4.scala 137:105] - wire _T_153 = _T_151 | _T_152; // @[ahb_to_axi4.scala 137:86] - wire _T_154 = ~_T_153; // @[ahb_to_axi4.scala 137:48] - wire cmdbuf_full = cmdbuf_vld & _T_154; // @[ahb_to_axi4.scala 137:46] - wire _T_21 = ~cmdbuf_full; // @[ahb_to_axi4.scala 80:24] - wire _T_22 = _T_21 | io_ahb_sig_in_hresp; // @[ahb_to_axi4.scala 80:37] - wire _T_25 = io_ahb_sig_out_htrans == 2'h1; // @[ahb_to_axi4.scala 81:92] - wire _T_26 = _T_25 & io_ahb_hsel; // @[ahb_to_axi4.scala 81:110] - wire _T_27 = io_ahb_sig_in_hresp | _T_26; // @[ahb_to_axi4.scala 81:60] - wire _T_28 = ~_T_27; // @[ahb_to_axi4.scala 81:38] - wire _T_29 = _T_21 & _T_28; // @[ahb_to_axi4.scala 81:36] - wire _T_30 = 2'h2 == buf_state; // @[Conditional.scala 37:30] - wire _T_34 = ~io_ahb_sig_in_hresp; // @[ahb_to_axi4.scala 86:23] - wire _T_36 = _T_34 & _T_21; // @[ahb_to_axi4.scala 86:44] - wire _T_37 = 2'h3 == buf_state; // @[Conditional.scala 37:30] - reg cmdbuf_write; // @[Reg.scala 27:20] - wire _T_38 = ~cmdbuf_write; // @[ahb_to_axi4.scala 90:40] - wire _T_39 = io_axi_r_valid & _T_38; // @[ahb_to_axi4.scala 90:38] - wire _T_41 = |io_axi_r_bits_resp; // @[ahb_to_axi4.scala 92:68] - wire _GEN_1 = _T_37 & _T_39; // @[Conditional.scala 39:67] - wire _GEN_5 = _T_30 ? _T_22 : _GEN_1; // @[Conditional.scala 39:67] - wire _GEN_10 = _T_12 ? _T_22 : _GEN_5; // @[Conditional.scala 39:67] - wire buf_state_en = _T_7 ? _T_11 : _GEN_10; // @[Conditional.scala 40:58] - wire _T_42 = buf_state_en & _T_41; // @[ahb_to_axi4.scala 92:41] - wire _GEN_2 = _T_37 & buf_state_en; // @[Conditional.scala 39:67] - wire _GEN_3 = _T_37 & _T_42; // @[Conditional.scala 39:67] - wire _GEN_6 = _T_30 & _T_36; // @[Conditional.scala 39:67] - wire _GEN_7 = _T_30 ? 1'h0 : _GEN_2; // @[Conditional.scala 39:67] - wire _GEN_11 = _T_12 ? _T_29 : _GEN_6; // @[Conditional.scala 39:67] - wire _GEN_12 = _T_12 ? 1'h0 : _GEN_7; // @[Conditional.scala 39:67] - wire cmdbuf_wr_en = _T_7 ? 1'h0 : _GEN_11; // @[Conditional.scala 40:58] - wire buf_rdata_en = _T_7 ? 1'h0 : _GEN_12; // @[Conditional.scala 40:58] - reg [2:0] ahb_hsize_q; // @[ahb_to_axi4.scala 124:65] - wire _T_46 = ahb_hsize_q == 3'h0; // @[ahb_to_axi4.scala 97:60] - wire [7:0] _T_48 = _T_46 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [7:0] _T_50 = 8'h1 << ahb_haddr_q[2:0]; // @[ahb_to_axi4.scala 97:78] - wire [7:0] _T_51 = _T_48 & _T_50; // @[ahb_to_axi4.scala 97:70] - wire _T_53 = ahb_hsize_q == 3'h1; // @[ahb_to_axi4.scala 98:30] - wire [7:0] _T_55 = _T_53 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [8:0] _T_57 = 9'h3 << ahb_haddr_q[2:0]; // @[ahb_to_axi4.scala 98:48] - wire [8:0] _GEN_23 = {{1'd0}, _T_55}; // @[ahb_to_axi4.scala 98:40] - wire [8:0] _T_58 = _GEN_23 & _T_57; // @[ahb_to_axi4.scala 98:40] - wire [8:0] _GEN_24 = {{1'd0}, _T_51}; // @[ahb_to_axi4.scala 97:109] - wire [8:0] _T_59 = _GEN_24 | _T_58; // @[ahb_to_axi4.scala 97:109] - wire _T_61 = ahb_hsize_q == 3'h2; // @[ahb_to_axi4.scala 99:30] - wire [7:0] _T_63 = _T_61 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [10:0] _T_65 = 11'hf << ahb_haddr_q[2:0]; // @[ahb_to_axi4.scala 99:48] - wire [10:0] _GEN_25 = {{3'd0}, _T_63}; // @[ahb_to_axi4.scala 99:40] - wire [10:0] _T_66 = _GEN_25 & _T_65; // @[ahb_to_axi4.scala 99:40] - wire [10:0] _GEN_26 = {{2'd0}, _T_59}; // @[ahb_to_axi4.scala 98:79] - wire [10:0] _T_67 = _GEN_26 | _T_66; // @[ahb_to_axi4.scala 98:79] - wire _T_69 = ahb_hsize_q == 3'h3; // @[ahb_to_axi4.scala 100:30] - wire [7:0] _T_71 = _T_69 ? 8'hff : 8'h0; // @[Bitwise.scala 72:12] - wire [10:0] _GEN_27 = {{3'd0}, _T_71}; // @[ahb_to_axi4.scala 99:79] - wire [10:0] _T_73 = _T_67 | _GEN_27; // @[ahb_to_axi4.scala 99:79] - reg ahb_hready_q; // @[ahb_to_axi4.scala 122:60] - wire _T_74 = ~ahb_hready_q; // @[ahb_to_axi4.scala 103:80] - reg ahb_hresp_q; // @[ahb_to_axi4.scala 121:60] - wire _T_75 = ahb_hresp_q & _T_74; // @[ahb_to_axi4.scala 103:78] - wire _T_77 = buf_state == 2'h0; // @[ahb_to_axi4.scala 103:124] - wire _T_78 = _T_21 | _T_77; // @[ahb_to_axi4.scala 103:111] - wire _T_79 = buf_state == 2'h2; // @[ahb_to_axi4.scala 103:149] - wire _T_80 = buf_state == 2'h3; // @[ahb_to_axi4.scala 103:168] - wire _T_81 = _T_79 | _T_80; // @[ahb_to_axi4.scala 103:156] - wire _T_82 = ~_T_81; // @[ahb_to_axi4.scala 103:137] - wire _T_83 = _T_78 & _T_82; // @[ahb_to_axi4.scala 103:135] - reg buf_read_error; // @[ahb_to_axi4.scala 118:60] - wire _T_84 = ~buf_read_error; // @[ahb_to_axi4.scala 103:181] - wire _T_85 = _T_83 & _T_84; // @[ahb_to_axi4.scala 103:179] - wire [1:0] _T_89 = io_ahb_hsel ? 2'h3 : 2'h0; // @[Bitwise.scala 72:12] - wire buf_rdata_clk = rvclkhdr_2_io_l1clk; // @[ahb_to_axi4.scala 45:33 ahb_to_axi4.scala 134:31] - reg [63:0] buf_rdata; // @[ahb_to_axi4.scala 117:66] - reg [1:0] ahb_htrans_q; // @[ahb_to_axi4.scala 123:60] - wire _T_94 = ahb_htrans_q != 2'h0; // @[ahb_to_axi4.scala 107:61] - wire _T_95 = buf_state != 2'h0; // @[ahb_to_axi4.scala 107:83] - wire _T_96 = _T_94 & _T_95; // @[ahb_to_axi4.scala 107:70] - wire _T_97 = ahb_addr_in_dccm | ahb_addr_in_iccm; // @[ahb_to_axi4.scala 108:26] - wire _T_98 = ~_T_97; // @[ahb_to_axi4.scala 108:7] - reg ahb_hwrite_q; // @[ahb_to_axi4.scala 125:65] - wire _T_99 = ahb_addr_in_dccm & ahb_hwrite_q; // @[ahb_to_axi4.scala 109:46] - wire _T_100 = ahb_addr_in_iccm | _T_99; // @[ahb_to_axi4.scala 109:26] - wire _T_102 = ahb_hsize_q[1:0] == 2'h2; // @[ahb_to_axi4.scala 109:86] - wire _T_104 = ahb_hsize_q[1:0] == 2'h3; // @[ahb_to_axi4.scala 109:115] - wire _T_105 = _T_102 | _T_104; // @[ahb_to_axi4.scala 109:95] - wire _T_106 = ~_T_105; // @[ahb_to_axi4.scala 109:66] - wire _T_107 = _T_100 & _T_106; // @[ahb_to_axi4.scala 109:64] - wire _T_108 = _T_98 | _T_107; // @[ahb_to_axi4.scala 108:47] - wire _T_112 = _T_53 & ahb_haddr_q[0]; // @[ahb_to_axi4.scala 110:35] - wire _T_113 = _T_108 | _T_112; // @[ahb_to_axi4.scala 109:126] - wire _T_117 = |ahb_haddr_q[1:0]; // @[ahb_to_axi4.scala 111:56] - wire _T_118 = _T_61 & _T_117; // @[ahb_to_axi4.scala 111:35] - wire _T_119 = _T_113 | _T_118; // @[ahb_to_axi4.scala 110:55] - wire _T_123 = |ahb_haddr_q[2:0]; // @[ahb_to_axi4.scala 112:56] - wire _T_124 = _T_69 & _T_123; // @[ahb_to_axi4.scala 112:35] - wire _T_125 = _T_119 | _T_124; // @[ahb_to_axi4.scala 111:61] - wire _T_126 = _T_96 & _T_125; // @[ahb_to_axi4.scala 107:94] - wire _T_127 = _T_126 | buf_read_error; // @[ahb_to_axi4.scala 112:63] - wire _T_146 = ~cmdbuf_wr_en; // @[ahb_to_axi4.scala 136:113] - wire _T_147 = _T_153 & _T_146; // @[ahb_to_axi4.scala 136:111] - wire _T_149 = io_ahb_sig_in_hresp & _T_38; // @[ahb_to_axi4.scala 136:151] - wire cmdbuf_rst = _T_147 | _T_149; // @[ahb_to_axi4.scala 136:128] - wire _T_157 = cmdbuf_wr_en | cmdbuf_vld; // @[ahb_to_axi4.scala 139:66] - wire _T_158 = ~cmdbuf_rst; // @[ahb_to_axi4.scala 139:110] - reg [2:0] _T_164; // @[Reg.scala 27:20] - reg [7:0] cmdbuf_wstrb; // @[Reg.scala 27:20] - wire [7:0] master_wstrb = _T_73[7:0]; // @[ahb_to_axi4.scala 97:31] - reg [31:0] cmdbuf_addr; // @[lib.scala 374:16] - reg [63:0] cmdbuf_wdata; // @[lib.scala 374:16] - wire [1:0] cmdbuf_size = _T_164[1:0]; // @[ahb_to_axi4.scala 145:31] - rvclkhdr rvclkhdr ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_io_l1clk), - .io_clk(rvclkhdr_io_clk), - .io_en(rvclkhdr_io_en), - .io_scan_mode(rvclkhdr_io_scan_mode) - ); - rvclkhdr rvclkhdr_1 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_1_io_l1clk), - .io_clk(rvclkhdr_1_io_clk), - .io_en(rvclkhdr_1_io_en), - .io_scan_mode(rvclkhdr_1_io_scan_mode) - ); - rvclkhdr rvclkhdr_2 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_2_io_l1clk), - .io_clk(rvclkhdr_2_io_clk), - .io_en(rvclkhdr_2_io_en), - .io_scan_mode(rvclkhdr_2_io_scan_mode) - ); - rvclkhdr rvclkhdr_3 ( // @[lib.scala 368:23] - .io_l1clk(rvclkhdr_3_io_l1clk), - .io_clk(rvclkhdr_3_io_clk), - .io_en(rvclkhdr_3_io_en), - .io_scan_mode(rvclkhdr_3_io_scan_mode) - ); - rvclkhdr rvclkhdr_4 ( // @[lib.scala 368:23] - .io_l1clk(rvclkhdr_4_io_l1clk), - .io_clk(rvclkhdr_4_io_clk), - .io_en(rvclkhdr_4_io_en), - .io_scan_mode(rvclkhdr_4_io_scan_mode) - ); - rvclkhdr rvclkhdr_5 ( // @[lib.scala 343:22] - .io_l1clk(rvclkhdr_5_io_l1clk), - .io_clk(rvclkhdr_5_io_clk), - .io_en(rvclkhdr_5_io_en), - .io_scan_mode(rvclkhdr_5_io_scan_mode) - ); - assign io_axi_aw_valid = cmdbuf_vld & cmdbuf_write; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 156:28] - assign io_axi_aw_bits_addr = cmdbuf_addr; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 158:33] - assign io_axi_aw_bits_size = {1'h0,cmdbuf_size}; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 159:33] - assign io_axi_w_valid = cmdbuf_vld & cmdbuf_write; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 164:28] - assign io_axi_w_bits_data = cmdbuf_wdata; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 165:33] - assign io_axi_w_bits_strb = cmdbuf_wstrb; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 166:33] - assign io_axi_ar_valid = cmdbuf_vld & _T_38; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 171:28] - assign io_axi_ar_bits_addr = cmdbuf_addr; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 173:33] - assign io_axi_ar_bits_size = {1'h0,cmdbuf_size}; // @[ahb_to_axi4.scala 20:10 ahb_to_axi4.scala 174:33] - assign io_ahb_sig_in_hrdata = buf_rdata; // @[ahb_to_axi4.scala 106:38] - assign io_ahb_sig_in_hready = io_ahb_sig_in_hresp ? _T_75 : _T_85; // @[ahb_to_axi4.scala 103:38] - assign io_ahb_sig_in_hresp = _T_127 | _T_75; // @[ahb_to_axi4.scala 107:38] - assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_io_en = io_bus_clk_en; // @[lib.scala 345:16] - assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_1_io_en = io_bus_clk_en & _T_10; // @[lib.scala 345:16] - assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_2_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_2_io_en = io_bus_clk_en & buf_rdata_en; // @[lib.scala 345:16] - assign rvclkhdr_2_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign rvclkhdr_3_io_clk = rvclkhdr_5_io_l1clk; // @[lib.scala 370:18] - assign rvclkhdr_3_io_en = _T_7 ? 1'h0 : _GEN_11; // @[lib.scala 371:17] - assign rvclkhdr_3_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign rvclkhdr_4_io_clk = rvclkhdr_5_io_l1clk; // @[lib.scala 370:18] - assign rvclkhdr_4_io_en = _T_7 ? 1'h0 : _GEN_11; // @[lib.scala 371:17] - assign rvclkhdr_4_io_scan_mode = io_scan_mode; // @[lib.scala 372:24] - assign rvclkhdr_5_io_clk = clock; // @[lib.scala 344:17] - assign rvclkhdr_5_io_en = io_bus_clk_en; // @[lib.scala 345:16] - assign rvclkhdr_5_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] -`ifdef RANDOMIZE_GARBAGE_ASSIGN -`define RANDOMIZE -`endif -`ifdef RANDOMIZE_INVALID_ASSIGN -`define RANDOMIZE -`endif -`ifdef RANDOMIZE_REG_INIT -`define RANDOMIZE -`endif -`ifdef RANDOMIZE_MEM_INIT -`define RANDOMIZE -`endif -`ifndef RANDOM -`define RANDOM $random -`endif -`ifdef RANDOMIZE_MEM_INIT - integer initvar; -`endif -`ifndef SYNTHESIS -`ifdef FIRRTL_BEFORE_INITIAL -`FIRRTL_BEFORE_INITIAL -`endif -initial begin - `ifdef RANDOMIZE - `ifdef INIT_RANDOM - `INIT_RANDOM - `endif - `ifndef VERILATOR - `ifdef RANDOMIZE_DELAY - #`RANDOMIZE_DELAY begin end - `else - #0.002 begin end - `endif - `endif -`ifdef RANDOMIZE_REG_INIT - _RAND_0 = {1{`RANDOM}}; - ahb_haddr_q = _RAND_0[31:0]; - _RAND_1 = {1{`RANDOM}}; - buf_state = _RAND_1[1:0]; - _RAND_2 = {1{`RANDOM}}; - cmdbuf_vld = _RAND_2[0:0]; - _RAND_3 = {1{`RANDOM}}; - cmdbuf_write = _RAND_3[0:0]; - _RAND_4 = {1{`RANDOM}}; - ahb_hsize_q = _RAND_4[2:0]; - _RAND_5 = {1{`RANDOM}}; - ahb_hready_q = _RAND_5[0:0]; - _RAND_6 = {1{`RANDOM}}; - ahb_hresp_q = _RAND_6[0:0]; - _RAND_7 = {1{`RANDOM}}; - buf_read_error = _RAND_7[0:0]; - _RAND_8 = {2{`RANDOM}}; - buf_rdata = _RAND_8[63:0]; - _RAND_9 = {1{`RANDOM}}; - ahb_htrans_q = _RAND_9[1:0]; - _RAND_10 = {1{`RANDOM}}; - ahb_hwrite_q = _RAND_10[0:0]; - _RAND_11 = {1{`RANDOM}}; - _T_164 = _RAND_11[2:0]; - _RAND_12 = {1{`RANDOM}}; - cmdbuf_wstrb = _RAND_12[7:0]; - _RAND_13 = {1{`RANDOM}}; - cmdbuf_addr = _RAND_13[31:0]; - _RAND_14 = {2{`RANDOM}}; - cmdbuf_wdata = _RAND_14[63:0]; -`endif // RANDOMIZE_REG_INIT - if (reset) begin - ahb_haddr_q = 32'h0; - end - if (reset) begin - buf_state = 2'h0; - end - if (reset) begin - cmdbuf_vld = 1'h0; - end - if (reset) begin - cmdbuf_write = 1'h0; - end - if (reset) begin - ahb_hsize_q = 3'h0; - end - if (reset) begin - ahb_hready_q = 1'h0; - end - if (reset) begin - ahb_hresp_q = 1'h0; - end - if (reset) begin - buf_read_error = 1'h0; - end - if (reset) begin - buf_rdata = 64'h0; - end - if (reset) begin - ahb_htrans_q = 2'h0; - end - if (reset) begin - ahb_hwrite_q = 1'h0; - end - if (reset) begin - _T_164 = 3'h0; - end - if (reset) begin - cmdbuf_wstrb = 8'h0; - end - if (reset) begin - cmdbuf_addr = 32'h0; - end - if (reset) begin - cmdbuf_wdata = 64'h0; - end - `endif // RANDOMIZE -end // initial -`ifdef FIRRTL_AFTER_INITIAL -`FIRRTL_AFTER_INITIAL -`endif -`endif // SYNTHESIS - always @(posedge ahb_addr_clk or posedge reset) begin - if (reset) begin - ahb_haddr_q <= 32'h0; - end else begin - ahb_haddr_q <= io_ahb_sig_out_haddr; - end - end - always @(posedge ahb_clk or posedge reset) begin - if (reset) begin - buf_state <= 2'h0; - end else if (buf_state_en) begin - if (_T_7) begin - if (io_ahb_sig_out_hwrite) begin - buf_state <= 2'h1; - end else begin - buf_state <= 2'h2; - end - end else if (_T_12) begin - if (_T_17) begin - buf_state <= 2'h0; - end else if (io_ahb_sig_out_hwrite) begin - buf_state <= 2'h1; - end else begin - buf_state <= 2'h2; - end - end else if (_T_30) begin - if (io_ahb_sig_in_hresp) begin - buf_state <= 2'h0; - end else begin - buf_state <= 2'h3; - end - end else begin - buf_state <= 2'h0; - end - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - cmdbuf_vld <= 1'h0; - end else begin - cmdbuf_vld <= _T_157 & _T_158; - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - cmdbuf_write <= 1'h0; - end else if (cmdbuf_wr_en) begin - cmdbuf_write <= ahb_hwrite_q; - end - end - always @(posedge ahb_addr_clk or posedge reset) begin - if (reset) begin - ahb_hsize_q <= 3'h0; - end else begin - ahb_hsize_q <= io_ahb_sig_out_hsize; - end - end - always @(posedge ahb_clk or posedge reset) begin - if (reset) begin - ahb_hready_q <= 1'h0; - end else begin - ahb_hready_q <= io_ahb_sig_in_hready & io_ahb_hreadyin; - end - end - always @(posedge ahb_clk or posedge reset) begin - if (reset) begin - ahb_hresp_q <= 1'h0; - end else begin - ahb_hresp_q <= io_ahb_sig_in_hresp; - end - end - always @(posedge ahb_clk or posedge reset) begin - if (reset) begin - buf_read_error <= 1'h0; - end else if (_T_7) begin - buf_read_error <= 1'h0; - end else if (_T_12) begin - buf_read_error <= 1'h0; - end else if (_T_30) begin - buf_read_error <= 1'h0; - end else begin - buf_read_error <= _GEN_3; - end - end - always @(posedge buf_rdata_clk or posedge reset) begin - if (reset) begin - buf_rdata <= 64'h0; - end else begin - buf_rdata <= io_axi_r_bits_data; - end - end - always @(posedge ahb_clk or posedge reset) begin - if (reset) begin - ahb_htrans_q <= 2'h0; - end else begin - ahb_htrans_q <= _T_89 & io_ahb_sig_out_htrans; - end - end - always @(posedge ahb_addr_clk or posedge reset) begin - if (reset) begin - ahb_hwrite_q <= 1'h0; - end else begin - ahb_hwrite_q <= io_ahb_sig_out_hwrite; - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - _T_164 <= 3'h0; - end else if (cmdbuf_wr_en) begin - _T_164 <= ahb_hsize_q; - end - end - always @(posedge bus_clk or posedge reset) begin - if (reset) begin - cmdbuf_wstrb <= 8'h0; - end else if (cmdbuf_wr_en) begin - cmdbuf_wstrb <= master_wstrb; - end - end - always @(posedge rvclkhdr_3_io_l1clk or posedge reset) begin - if (reset) begin - cmdbuf_addr <= 32'h0; - end else begin - cmdbuf_addr <= ahb_haddr_q; - end - end - always @(posedge rvclkhdr_4_io_l1clk or posedge reset) begin - if (reset) begin - cmdbuf_wdata <= 64'h0; - end else begin - cmdbuf_wdata <= io_ahb_sig_out_hwdata; - end - end -endmodule module quasar( input clock, input reset, - input [63:0] io_lsu_ahb_in_hrdata, - input io_lsu_ahb_in_hready, - input io_lsu_ahb_in_hresp, - output [31:0] io_lsu_ahb_out_haddr, - output [2:0] io_lsu_ahb_out_hsize, - output [1:0] io_lsu_ahb_out_htrans, - output io_lsu_ahb_out_hwrite, - output [63:0] io_lsu_ahb_out_hwdata, - input [63:0] io_ifu_ahb_in_hrdata, - input io_ifu_ahb_in_hready, - input io_ifu_ahb_in_hresp, - output [31:0] io_ifu_ahb_out_haddr, - output [2:0] io_ifu_ahb_out_hsize, - output [1:0] io_ifu_ahb_out_htrans, - output io_ifu_ahb_out_hwrite, - output [63:0] io_ifu_ahb_out_hwdata, - input [63:0] io_sb_ahb_in_hrdata, - input io_sb_ahb_in_hready, - input io_sb_ahb_in_hresp, - output [31:0] io_sb_ahb_out_haddr, - output [2:0] io_sb_ahb_out_hsize, - output [1:0] io_sb_ahb_out_htrans, - output io_sb_ahb_out_hwrite, - output [63:0] io_sb_ahb_out_hwdata, - output [63:0] io_dma_ahb_sig_in_hrdata, - output io_dma_ahb_sig_in_hready, - output io_dma_ahb_sig_in_hresp, - input [31:0] io_dma_ahb_sig_out_haddr, - input [2:0] io_dma_ahb_sig_out_hsize, - input [1:0] io_dma_ahb_sig_out_htrans, - input io_dma_ahb_sig_out_hwrite, - input [63:0] io_dma_ahb_sig_out_hwdata, - input io_dma_ahb_hsel, - input io_dma_ahb_hreadyin, + input io_lsu_axi_aw_ready, + output io_lsu_axi_aw_valid, + output [2:0] io_lsu_axi_aw_bits_id, + output [31:0] io_lsu_axi_aw_bits_addr, + output [3:0] io_lsu_axi_aw_bits_region, + output [2:0] io_lsu_axi_aw_bits_size, + output [3:0] io_lsu_axi_aw_bits_cache, + input io_lsu_axi_w_ready, + output io_lsu_axi_w_valid, + output [63:0] io_lsu_axi_w_bits_data, + output [7:0] io_lsu_axi_w_bits_strb, + input io_lsu_axi_b_valid, + input [2:0] io_lsu_axi_b_bits_id, + input io_lsu_axi_ar_ready, + output io_lsu_axi_ar_valid, + output [2:0] io_lsu_axi_ar_bits_id, + output [31:0] io_lsu_axi_ar_bits_addr, + output [3:0] io_lsu_axi_ar_bits_region, + output [2:0] io_lsu_axi_ar_bits_size, + output [3:0] io_lsu_axi_ar_bits_cache, + input io_lsu_axi_r_valid, + input [2:0] io_lsu_axi_r_bits_id, + input [63:0] io_lsu_axi_r_bits_data, + input [1:0] io_lsu_axi_r_bits_resp, + input io_ifu_axi_ar_ready, + output io_ifu_axi_ar_valid, + output [2:0] io_ifu_axi_ar_bits_id, + output [31:0] io_ifu_axi_ar_bits_addr, + output [3:0] io_ifu_axi_ar_bits_region, + input io_ifu_axi_r_valid, + input [2:0] io_ifu_axi_r_bits_id, + input [63:0] io_ifu_axi_r_bits_data, + input [1:0] io_ifu_axi_r_bits_resp, + input io_sb_axi_aw_ready, + output io_sb_axi_aw_valid, + output [31:0] io_sb_axi_aw_bits_addr, + output [3:0] io_sb_axi_aw_bits_region, + output [2:0] io_sb_axi_aw_bits_size, + input io_sb_axi_w_ready, + output io_sb_axi_w_valid, + output [63:0] io_sb_axi_w_bits_data, + output [7:0] io_sb_axi_w_bits_strb, + input io_sb_axi_b_valid, + input [1:0] io_sb_axi_b_bits_resp, + input io_sb_axi_ar_ready, + output io_sb_axi_ar_valid, + output [31:0] io_sb_axi_ar_bits_addr, + output [3:0] io_sb_axi_ar_bits_region, + output [2:0] io_sb_axi_ar_bits_size, + input io_sb_axi_r_valid, + input [63:0] io_sb_axi_r_bits_data, + input [1:0] io_sb_axi_r_bits_resp, + output io_dma_axi_aw_ready, + input io_dma_axi_aw_valid, + input io_dma_axi_aw_bits_id, + input [31:0] io_dma_axi_aw_bits_addr, + input [2:0] io_dma_axi_aw_bits_size, + output io_dma_axi_w_ready, + input io_dma_axi_w_valid, + input [63:0] io_dma_axi_w_bits_data, + input [7:0] io_dma_axi_w_bits_strb, + input io_dma_axi_b_ready, + output io_dma_axi_b_valid, + output [1:0] io_dma_axi_b_bits_resp, + output io_dma_axi_b_bits_id, + output io_dma_axi_ar_ready, + input io_dma_axi_ar_valid, + input io_dma_axi_ar_bits_id, + input [31:0] io_dma_axi_ar_bits_addr, + input [2:0] io_dma_axi_ar_bits_size, + input io_dma_axi_r_ready, + output io_dma_axi_r_valid, + output io_dma_axi_r_bits_id, + output [63:0] io_dma_axi_r_bits_data, + output [1:0] io_dma_axi_r_bits_resp, input io_dbg_rst_l, input [30:0] io_rst_vec, input io_nmi_int, @@ -83037,6 +80777,7 @@ module quasar( wire ifu_io_ifu_ar_valid; // @[quasar.scala 74:19] wire [2:0] ifu_io_ifu_ar_bits_id; // @[quasar.scala 74:19] wire [31:0] ifu_io_ifu_ar_bits_addr; // @[quasar.scala 74:19] + wire [3:0] ifu_io_ifu_ar_bits_region; // @[quasar.scala 74:19] wire ifu_io_ifu_r_valid; // @[quasar.scala 74:19] wire [2:0] ifu_io_ifu_r_bits_id; // @[quasar.scala 74:19] wire [63:0] ifu_io_ifu_r_bits_data; // @[quasar.scala 74:19] @@ -83157,7 +80898,6 @@ module quasar( wire [31:0] dec_io_rv_trace_pkt_rv_i_tval_ip; // @[quasar.scala 75:19] wire dec_io_dec_tlu_misc_clk_override; // @[quasar.scala 75:19] wire dec_io_dec_tlu_lsu_clk_override; // @[quasar.scala 75:19] - wire dec_io_dec_tlu_bus_clk_override; // @[quasar.scala 75:19] wire dec_io_dec_tlu_pic_clk_override; // @[quasar.scala 75:19] wire dec_io_dec_tlu_dccm_clk_override; // @[quasar.scala 75:19] wire dec_io_dec_tlu_icm_clk_override; // @[quasar.scala 75:19] @@ -83295,6 +81035,7 @@ module quasar( wire dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error; // @[quasar.scala 75:19] wire dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy; // @[quasar.scala 75:19] wire dec_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[quasar.scala 75:19] + wire dec_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[quasar.scala 75:19] wire dec_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[quasar.scala 75:19] wire dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any; // @[quasar.scala 75:19] wire dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any; // @[quasar.scala 75:19] @@ -83349,6 +81090,7 @@ module quasar( wire dbg_io_sb_axi_aw_ready; // @[quasar.scala 76:19] wire dbg_io_sb_axi_aw_valid; // @[quasar.scala 76:19] wire [31:0] dbg_io_sb_axi_aw_bits_addr; // @[quasar.scala 76:19] + wire [3:0] dbg_io_sb_axi_aw_bits_region; // @[quasar.scala 76:19] wire [2:0] dbg_io_sb_axi_aw_bits_size; // @[quasar.scala 76:19] wire dbg_io_sb_axi_w_ready; // @[quasar.scala 76:19] wire dbg_io_sb_axi_w_valid; // @[quasar.scala 76:19] @@ -83360,6 +81102,7 @@ module quasar( wire dbg_io_sb_axi_ar_ready; // @[quasar.scala 76:19] wire dbg_io_sb_axi_ar_valid; // @[quasar.scala 76:19] wire [31:0] dbg_io_sb_axi_ar_bits_addr; // @[quasar.scala 76:19] + wire [3:0] dbg_io_sb_axi_ar_bits_region; // @[quasar.scala 76:19] wire [2:0] dbg_io_sb_axi_ar_bits_size; // @[quasar.scala 76:19] wire dbg_io_sb_axi_r_ready; // @[quasar.scala 76:19] wire dbg_io_sb_axi_r_valid; // @[quasar.scala 76:19] @@ -83514,6 +81257,7 @@ module quasar( wire lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error; // @[quasar.scala 78:19] wire lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy; // @[quasar.scala 78:19] wire lsu_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[quasar.scala 78:19] + wire lsu_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[quasar.scala 78:19] wire lsu_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[quasar.scala 78:19] wire lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any; // @[quasar.scala 78:19] wire lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any; // @[quasar.scala 78:19] @@ -83542,7 +81286,9 @@ module quasar( wire lsu_io_axi_aw_valid; // @[quasar.scala 78:19] wire [2:0] lsu_io_axi_aw_bits_id; // @[quasar.scala 78:19] wire [31:0] lsu_io_axi_aw_bits_addr; // @[quasar.scala 78:19] + wire [3:0] lsu_io_axi_aw_bits_region; // @[quasar.scala 78:19] wire [2:0] lsu_io_axi_aw_bits_size; // @[quasar.scala 78:19] + wire [3:0] lsu_io_axi_aw_bits_cache; // @[quasar.scala 78:19] wire lsu_io_axi_w_ready; // @[quasar.scala 78:19] wire lsu_io_axi_w_valid; // @[quasar.scala 78:19] wire [63:0] lsu_io_axi_w_bits_data; // @[quasar.scala 78:19] @@ -83553,7 +81299,9 @@ module quasar( wire lsu_io_axi_ar_valid; // @[quasar.scala 78:19] wire [2:0] lsu_io_axi_ar_bits_id; // @[quasar.scala 78:19] wire [31:0] lsu_io_axi_ar_bits_addr; // @[quasar.scala 78:19] + wire [3:0] lsu_io_axi_ar_bits_region; // @[quasar.scala 78:19] wire [2:0] lsu_io_axi_ar_bits_size; // @[quasar.scala 78:19] + wire [3:0] lsu_io_axi_ar_bits_cache; // @[quasar.scala 78:19] wire lsu_io_axi_r_valid; // @[quasar.scala 78:19] wire [2:0] lsu_io_axi_r_bits_id; // @[quasar.scala 78:19] wire [63:0] lsu_io_axi_r_bits_data; // @[quasar.scala 78:19] @@ -83667,18 +81415,25 @@ module quasar( wire dma_ctrl_io_iccm_ready; // @[quasar.scala 80:24] wire dma_ctrl_io_dma_axi_aw_ready; // @[quasar.scala 80:24] wire dma_ctrl_io_dma_axi_aw_valid; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_aw_bits_id; // @[quasar.scala 80:24] wire [31:0] dma_ctrl_io_dma_axi_aw_bits_addr; // @[quasar.scala 80:24] wire [2:0] dma_ctrl_io_dma_axi_aw_bits_size; // @[quasar.scala 80:24] wire dma_ctrl_io_dma_axi_w_ready; // @[quasar.scala 80:24] wire dma_ctrl_io_dma_axi_w_valid; // @[quasar.scala 80:24] wire [63:0] dma_ctrl_io_dma_axi_w_bits_data; // @[quasar.scala 80:24] wire [7:0] dma_ctrl_io_dma_axi_w_bits_strb; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_b_ready; // @[quasar.scala 80:24] wire dma_ctrl_io_dma_axi_b_valid; // @[quasar.scala 80:24] + wire [1:0] dma_ctrl_io_dma_axi_b_bits_resp; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_b_bits_id; // @[quasar.scala 80:24] wire dma_ctrl_io_dma_axi_ar_ready; // @[quasar.scala 80:24] wire dma_ctrl_io_dma_axi_ar_valid; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_ar_bits_id; // @[quasar.scala 80:24] wire [31:0] dma_ctrl_io_dma_axi_ar_bits_addr; // @[quasar.scala 80:24] wire [2:0] dma_ctrl_io_dma_axi_ar_bits_size; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_r_ready; // @[quasar.scala 80:24] wire dma_ctrl_io_dma_axi_r_valid; // @[quasar.scala 80:24] + wire dma_ctrl_io_dma_axi_r_bits_id; // @[quasar.scala 80:24] wire [63:0] dma_ctrl_io_dma_axi_r_bits_data; // @[quasar.scala 80:24] wire [1:0] dma_ctrl_io_dma_axi_r_bits_resp; // @[quasar.scala 80:24] wire dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[quasar.scala 80:24] @@ -83709,130 +81464,6 @@ module quasar( wire rvclkhdr_1_io_clk; // @[lib.scala 343:22] wire rvclkhdr_1_io_en; // @[lib.scala 343:22] wire rvclkhdr_1_io_scan_mode; // @[lib.scala 343:22] - wire axi4_to_ahb_clock; // @[quasar.scala 245:32] - wire axi4_to_ahb_reset; // @[quasar.scala 245:32] - wire axi4_to_ahb_io_scan_mode; // @[quasar.scala 245:32] - wire axi4_to_ahb_io_bus_clk_en; // @[quasar.scala 245:32] - wire axi4_to_ahb_io_clk_override; // @[quasar.scala 245:32] - wire axi4_to_ahb_io_axi_aw_ready; // @[quasar.scala 245:32] - wire axi4_to_ahb_io_axi_aw_valid; // @[quasar.scala 245:32] - wire [31:0] axi4_to_ahb_io_axi_aw_bits_addr; // @[quasar.scala 245:32] - wire [2:0] axi4_to_ahb_io_axi_aw_bits_size; // @[quasar.scala 245:32] - wire axi4_to_ahb_io_axi_w_ready; // @[quasar.scala 245:32] - wire axi4_to_ahb_io_axi_w_valid; // @[quasar.scala 245:32] - wire [63:0] axi4_to_ahb_io_axi_w_bits_data; // @[quasar.scala 245:32] - wire [7:0] axi4_to_ahb_io_axi_w_bits_strb; // @[quasar.scala 245:32] - wire axi4_to_ahb_io_axi_b_valid; // @[quasar.scala 245:32] - wire [1:0] axi4_to_ahb_io_axi_b_bits_resp; // @[quasar.scala 245:32] - wire axi4_to_ahb_io_axi_ar_ready; // @[quasar.scala 245:32] - wire axi4_to_ahb_io_axi_ar_valid; // @[quasar.scala 245:32] - wire [31:0] axi4_to_ahb_io_axi_ar_bits_addr; // @[quasar.scala 245:32] - wire [2:0] axi4_to_ahb_io_axi_ar_bits_size; // @[quasar.scala 245:32] - wire axi4_to_ahb_io_axi_r_valid; // @[quasar.scala 245:32] - wire [63:0] axi4_to_ahb_io_axi_r_bits_data; // @[quasar.scala 245:32] - wire [1:0] axi4_to_ahb_io_axi_r_bits_resp; // @[quasar.scala 245:32] - wire [63:0] axi4_to_ahb_io_ahb_in_hrdata; // @[quasar.scala 245:32] - wire axi4_to_ahb_io_ahb_in_hready; // @[quasar.scala 245:32] - wire axi4_to_ahb_io_ahb_in_hresp; // @[quasar.scala 245:32] - wire [31:0] axi4_to_ahb_io_ahb_out_haddr; // @[quasar.scala 245:32] - wire [2:0] axi4_to_ahb_io_ahb_out_hsize; // @[quasar.scala 245:32] - wire [1:0] axi4_to_ahb_io_ahb_out_htrans; // @[quasar.scala 245:32] - wire axi4_to_ahb_io_ahb_out_hwrite; // @[quasar.scala 245:32] - wire [63:0] axi4_to_ahb_io_ahb_out_hwdata; // @[quasar.scala 245:32] - wire axi4_to_ahb_1_clock; // @[quasar.scala 246:33] - wire axi4_to_ahb_1_reset; // @[quasar.scala 246:33] - wire axi4_to_ahb_1_io_scan_mode; // @[quasar.scala 246:33] - wire axi4_to_ahb_1_io_bus_clk_en; // @[quasar.scala 246:33] - wire axi4_to_ahb_1_io_clk_override; // @[quasar.scala 246:33] - wire axi4_to_ahb_1_io_axi_aw_ready; // @[quasar.scala 246:33] - wire axi4_to_ahb_1_io_axi_aw_valid; // @[quasar.scala 246:33] - wire [2:0] axi4_to_ahb_1_io_axi_aw_bits_id; // @[quasar.scala 246:33] - wire [31:0] axi4_to_ahb_1_io_axi_aw_bits_addr; // @[quasar.scala 246:33] - wire [2:0] axi4_to_ahb_1_io_axi_aw_bits_size; // @[quasar.scala 246:33] - wire axi4_to_ahb_1_io_axi_w_ready; // @[quasar.scala 246:33] - wire axi4_to_ahb_1_io_axi_w_valid; // @[quasar.scala 246:33] - wire [63:0] axi4_to_ahb_1_io_axi_w_bits_data; // @[quasar.scala 246:33] - wire [7:0] axi4_to_ahb_1_io_axi_w_bits_strb; // @[quasar.scala 246:33] - wire axi4_to_ahb_1_io_axi_b_valid; // @[quasar.scala 246:33] - wire [2:0] axi4_to_ahb_1_io_axi_b_bits_id; // @[quasar.scala 246:33] - wire axi4_to_ahb_1_io_axi_ar_ready; // @[quasar.scala 246:33] - wire axi4_to_ahb_1_io_axi_ar_valid; // @[quasar.scala 246:33] - wire [2:0] axi4_to_ahb_1_io_axi_ar_bits_id; // @[quasar.scala 246:33] - wire [31:0] axi4_to_ahb_1_io_axi_ar_bits_addr; // @[quasar.scala 246:33] - wire [2:0] axi4_to_ahb_1_io_axi_ar_bits_size; // @[quasar.scala 246:33] - wire axi4_to_ahb_1_io_axi_r_valid; // @[quasar.scala 246:33] - wire [2:0] axi4_to_ahb_1_io_axi_r_bits_id; // @[quasar.scala 246:33] - wire [63:0] axi4_to_ahb_1_io_axi_r_bits_data; // @[quasar.scala 246:33] - wire [1:0] axi4_to_ahb_1_io_axi_r_bits_resp; // @[quasar.scala 246:33] - wire [63:0] axi4_to_ahb_1_io_ahb_in_hrdata; // @[quasar.scala 246:33] - wire axi4_to_ahb_1_io_ahb_in_hready; // @[quasar.scala 246:33] - wire axi4_to_ahb_1_io_ahb_in_hresp; // @[quasar.scala 246:33] - wire [31:0] axi4_to_ahb_1_io_ahb_out_haddr; // @[quasar.scala 246:33] - wire [2:0] axi4_to_ahb_1_io_ahb_out_hsize; // @[quasar.scala 246:33] - wire [1:0] axi4_to_ahb_1_io_ahb_out_htrans; // @[quasar.scala 246:33] - wire axi4_to_ahb_1_io_ahb_out_hwrite; // @[quasar.scala 246:33] - wire [63:0] axi4_to_ahb_1_io_ahb_out_hwdata; // @[quasar.scala 246:33] - wire axi4_to_ahb_2_clock; // @[quasar.scala 247:33] - wire axi4_to_ahb_2_reset; // @[quasar.scala 247:33] - wire axi4_to_ahb_2_io_scan_mode; // @[quasar.scala 247:33] - wire axi4_to_ahb_2_io_bus_clk_en; // @[quasar.scala 247:33] - wire axi4_to_ahb_2_io_clk_override; // @[quasar.scala 247:33] - wire axi4_to_ahb_2_io_axi_aw_ready; // @[quasar.scala 247:33] - wire axi4_to_ahb_2_io_axi_aw_valid; // @[quasar.scala 247:33] - wire [2:0] axi4_to_ahb_2_io_axi_aw_bits_id; // @[quasar.scala 247:33] - wire [31:0] axi4_to_ahb_2_io_axi_aw_bits_addr; // @[quasar.scala 247:33] - wire [2:0] axi4_to_ahb_2_io_axi_aw_bits_size; // @[quasar.scala 247:33] - wire axi4_to_ahb_2_io_axi_w_ready; // @[quasar.scala 247:33] - wire axi4_to_ahb_2_io_axi_w_valid; // @[quasar.scala 247:33] - wire [63:0] axi4_to_ahb_2_io_axi_w_bits_data; // @[quasar.scala 247:33] - wire [7:0] axi4_to_ahb_2_io_axi_w_bits_strb; // @[quasar.scala 247:33] - wire axi4_to_ahb_2_io_axi_b_valid; // @[quasar.scala 247:33] - wire [2:0] axi4_to_ahb_2_io_axi_b_bits_id; // @[quasar.scala 247:33] - wire axi4_to_ahb_2_io_axi_ar_ready; // @[quasar.scala 247:33] - wire axi4_to_ahb_2_io_axi_ar_valid; // @[quasar.scala 247:33] - wire [2:0] axi4_to_ahb_2_io_axi_ar_bits_id; // @[quasar.scala 247:33] - wire [31:0] axi4_to_ahb_2_io_axi_ar_bits_addr; // @[quasar.scala 247:33] - wire [2:0] axi4_to_ahb_2_io_axi_ar_bits_size; // @[quasar.scala 247:33] - wire axi4_to_ahb_2_io_axi_r_valid; // @[quasar.scala 247:33] - wire [2:0] axi4_to_ahb_2_io_axi_r_bits_id; // @[quasar.scala 247:33] - wire [63:0] axi4_to_ahb_2_io_axi_r_bits_data; // @[quasar.scala 247:33] - wire [1:0] axi4_to_ahb_2_io_axi_r_bits_resp; // @[quasar.scala 247:33] - wire [63:0] axi4_to_ahb_2_io_ahb_in_hrdata; // @[quasar.scala 247:33] - wire axi4_to_ahb_2_io_ahb_in_hready; // @[quasar.scala 247:33] - wire axi4_to_ahb_2_io_ahb_in_hresp; // @[quasar.scala 247:33] - wire [31:0] axi4_to_ahb_2_io_ahb_out_haddr; // @[quasar.scala 247:33] - wire [2:0] axi4_to_ahb_2_io_ahb_out_hsize; // @[quasar.scala 247:33] - wire [1:0] axi4_to_ahb_2_io_ahb_out_htrans; // @[quasar.scala 247:33] - wire axi4_to_ahb_2_io_ahb_out_hwrite; // @[quasar.scala 247:33] - wire [63:0] axi4_to_ahb_2_io_ahb_out_hwdata; // @[quasar.scala 247:33] - wire ahb_to_axi4_clock; // @[quasar.scala 248:33] - wire ahb_to_axi4_reset; // @[quasar.scala 248:33] - wire ahb_to_axi4_io_scan_mode; // @[quasar.scala 248:33] - wire ahb_to_axi4_io_bus_clk_en; // @[quasar.scala 248:33] - wire ahb_to_axi4_io_axi_aw_ready; // @[quasar.scala 248:33] - wire ahb_to_axi4_io_axi_aw_valid; // @[quasar.scala 248:33] - wire [31:0] ahb_to_axi4_io_axi_aw_bits_addr; // @[quasar.scala 248:33] - wire [2:0] ahb_to_axi4_io_axi_aw_bits_size; // @[quasar.scala 248:33] - wire ahb_to_axi4_io_axi_w_valid; // @[quasar.scala 248:33] - wire [63:0] ahb_to_axi4_io_axi_w_bits_data; // @[quasar.scala 248:33] - wire [7:0] ahb_to_axi4_io_axi_w_bits_strb; // @[quasar.scala 248:33] - wire ahb_to_axi4_io_axi_ar_ready; // @[quasar.scala 248:33] - wire ahb_to_axi4_io_axi_ar_valid; // @[quasar.scala 248:33] - wire [31:0] ahb_to_axi4_io_axi_ar_bits_addr; // @[quasar.scala 248:33] - wire [2:0] ahb_to_axi4_io_axi_ar_bits_size; // @[quasar.scala 248:33] - wire ahb_to_axi4_io_axi_r_valid; // @[quasar.scala 248:33] - wire [63:0] ahb_to_axi4_io_axi_r_bits_data; // @[quasar.scala 248:33] - wire [1:0] ahb_to_axi4_io_axi_r_bits_resp; // @[quasar.scala 248:33] - wire [63:0] ahb_to_axi4_io_ahb_sig_in_hrdata; // @[quasar.scala 248:33] - wire ahb_to_axi4_io_ahb_sig_in_hready; // @[quasar.scala 248:33] - wire ahb_to_axi4_io_ahb_sig_in_hresp; // @[quasar.scala 248:33] - wire [31:0] ahb_to_axi4_io_ahb_sig_out_haddr; // @[quasar.scala 248:33] - wire [2:0] ahb_to_axi4_io_ahb_sig_out_hsize; // @[quasar.scala 248:33] - wire [1:0] ahb_to_axi4_io_ahb_sig_out_htrans; // @[quasar.scala 248:33] - wire ahb_to_axi4_io_ahb_sig_out_hwrite; // @[quasar.scala 248:33] - wire [63:0] ahb_to_axi4_io_ahb_sig_out_hwdata; // @[quasar.scala 248:33] - wire ahb_to_axi4_io_ahb_hsel; // @[quasar.scala 248:33] - wire ahb_to_axi4_io_ahb_hreadyin; // @[quasar.scala 248:33] wire _T_1 = dbg_io_dbg_core_rst_l; // @[quasar.scala 82:67] wire _T_2 = _T_1 | io_scan_mode; // @[quasar.scala 82:70] wire _T_5 = ~dec_io_dec_pause_state_cg; // @[quasar.scala 83:23] @@ -83945,6 +81576,7 @@ module quasar( .io_ifu_ar_valid(ifu_io_ifu_ar_valid), .io_ifu_ar_bits_id(ifu_io_ifu_ar_bits_id), .io_ifu_ar_bits_addr(ifu_io_ifu_ar_bits_addr), + .io_ifu_ar_bits_region(ifu_io_ifu_ar_bits_region), .io_ifu_r_valid(ifu_io_ifu_r_valid), .io_ifu_r_bits_id(ifu_io_ifu_r_bits_id), .io_ifu_r_bits_data(ifu_io_ifu_r_bits_data), @@ -84067,7 +81699,6 @@ module quasar( .io_rv_trace_pkt_rv_i_tval_ip(dec_io_rv_trace_pkt_rv_i_tval_ip), .io_dec_tlu_misc_clk_override(dec_io_dec_tlu_misc_clk_override), .io_dec_tlu_lsu_clk_override(dec_io_dec_tlu_lsu_clk_override), - .io_dec_tlu_bus_clk_override(dec_io_dec_tlu_bus_clk_override), .io_dec_tlu_pic_clk_override(dec_io_dec_tlu_pic_clk_override), .io_dec_tlu_dccm_clk_override(dec_io_dec_tlu_dccm_clk_override), .io_dec_tlu_icm_clk_override(dec_io_dec_tlu_icm_clk_override), @@ -84205,6 +81836,7 @@ module quasar( .io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error(dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error), .io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy(dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy), .io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable(dec_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable), + .io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable(dec_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable), .io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable(dec_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable), .io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any(dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any), .io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any(dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any), @@ -84261,6 +81893,7 @@ module quasar( .io_sb_axi_aw_ready(dbg_io_sb_axi_aw_ready), .io_sb_axi_aw_valid(dbg_io_sb_axi_aw_valid), .io_sb_axi_aw_bits_addr(dbg_io_sb_axi_aw_bits_addr), + .io_sb_axi_aw_bits_region(dbg_io_sb_axi_aw_bits_region), .io_sb_axi_aw_bits_size(dbg_io_sb_axi_aw_bits_size), .io_sb_axi_w_ready(dbg_io_sb_axi_w_ready), .io_sb_axi_w_valid(dbg_io_sb_axi_w_valid), @@ -84272,6 +81905,7 @@ module quasar( .io_sb_axi_ar_ready(dbg_io_sb_axi_ar_ready), .io_sb_axi_ar_valid(dbg_io_sb_axi_ar_valid), .io_sb_axi_ar_bits_addr(dbg_io_sb_axi_ar_bits_addr), + .io_sb_axi_ar_bits_region(dbg_io_sb_axi_ar_bits_region), .io_sb_axi_ar_bits_size(dbg_io_sb_axi_ar_bits_size), .io_sb_axi_r_ready(dbg_io_sb_axi_r_ready), .io_sb_axi_r_valid(dbg_io_sb_axi_r_valid), @@ -84430,6 +82064,7 @@ module quasar( .io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error(lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error), .io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy(lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy), .io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable(lsu_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable), + .io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable(lsu_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable), .io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable(lsu_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable), .io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any(lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any), .io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any(lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any), @@ -84458,7 +82093,9 @@ module quasar( .io_axi_aw_valid(lsu_io_axi_aw_valid), .io_axi_aw_bits_id(lsu_io_axi_aw_bits_id), .io_axi_aw_bits_addr(lsu_io_axi_aw_bits_addr), + .io_axi_aw_bits_region(lsu_io_axi_aw_bits_region), .io_axi_aw_bits_size(lsu_io_axi_aw_bits_size), + .io_axi_aw_bits_cache(lsu_io_axi_aw_bits_cache), .io_axi_w_ready(lsu_io_axi_w_ready), .io_axi_w_valid(lsu_io_axi_w_valid), .io_axi_w_bits_data(lsu_io_axi_w_bits_data), @@ -84469,7 +82106,9 @@ module quasar( .io_axi_ar_valid(lsu_io_axi_ar_valid), .io_axi_ar_bits_id(lsu_io_axi_ar_bits_id), .io_axi_ar_bits_addr(lsu_io_axi_ar_bits_addr), + .io_axi_ar_bits_region(lsu_io_axi_ar_bits_region), .io_axi_ar_bits_size(lsu_io_axi_ar_bits_size), + .io_axi_ar_bits_cache(lsu_io_axi_ar_bits_cache), .io_axi_r_valid(lsu_io_axi_r_valid), .io_axi_r_bits_id(lsu_io_axi_r_bits_id), .io_axi_r_bits_data(lsu_io_axi_r_bits_data), @@ -84587,18 +82226,25 @@ module quasar( .io_iccm_ready(dma_ctrl_io_iccm_ready), .io_dma_axi_aw_ready(dma_ctrl_io_dma_axi_aw_ready), .io_dma_axi_aw_valid(dma_ctrl_io_dma_axi_aw_valid), + .io_dma_axi_aw_bits_id(dma_ctrl_io_dma_axi_aw_bits_id), .io_dma_axi_aw_bits_addr(dma_ctrl_io_dma_axi_aw_bits_addr), .io_dma_axi_aw_bits_size(dma_ctrl_io_dma_axi_aw_bits_size), .io_dma_axi_w_ready(dma_ctrl_io_dma_axi_w_ready), .io_dma_axi_w_valid(dma_ctrl_io_dma_axi_w_valid), .io_dma_axi_w_bits_data(dma_ctrl_io_dma_axi_w_bits_data), .io_dma_axi_w_bits_strb(dma_ctrl_io_dma_axi_w_bits_strb), + .io_dma_axi_b_ready(dma_ctrl_io_dma_axi_b_ready), .io_dma_axi_b_valid(dma_ctrl_io_dma_axi_b_valid), + .io_dma_axi_b_bits_resp(dma_ctrl_io_dma_axi_b_bits_resp), + .io_dma_axi_b_bits_id(dma_ctrl_io_dma_axi_b_bits_id), .io_dma_axi_ar_ready(dma_ctrl_io_dma_axi_ar_ready), .io_dma_axi_ar_valid(dma_ctrl_io_dma_axi_ar_valid), + .io_dma_axi_ar_bits_id(dma_ctrl_io_dma_axi_ar_bits_id), .io_dma_axi_ar_bits_addr(dma_ctrl_io_dma_axi_ar_bits_addr), .io_dma_axi_ar_bits_size(dma_ctrl_io_dma_axi_ar_bits_size), + .io_dma_axi_r_ready(dma_ctrl_io_dma_axi_r_ready), .io_dma_axi_r_valid(dma_ctrl_io_dma_axi_r_valid), + .io_dma_axi_r_bits_id(dma_ctrl_io_dma_axi_r_bits_id), .io_dma_axi_r_bits_data(dma_ctrl_io_dma_axi_r_bits_data), .io_dma_axi_r_bits_resp(dma_ctrl_io_dma_axi_r_bits_resp), .io_lsu_dma_dma_lsc_ctl_dma_dccm_req(dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_dccm_req), @@ -84634,676 +82280,500 @@ module quasar( .io_en(rvclkhdr_1_io_en), .io_scan_mode(rvclkhdr_1_io_scan_mode) ); - axi4_to_ahb axi4_to_ahb ( // @[quasar.scala 245:32] - .clock(axi4_to_ahb_clock), - .reset(axi4_to_ahb_reset), - .io_scan_mode(axi4_to_ahb_io_scan_mode), - .io_bus_clk_en(axi4_to_ahb_io_bus_clk_en), - .io_clk_override(axi4_to_ahb_io_clk_override), - .io_axi_aw_ready(axi4_to_ahb_io_axi_aw_ready), - .io_axi_aw_valid(axi4_to_ahb_io_axi_aw_valid), - .io_axi_aw_bits_addr(axi4_to_ahb_io_axi_aw_bits_addr), - .io_axi_aw_bits_size(axi4_to_ahb_io_axi_aw_bits_size), - .io_axi_w_ready(axi4_to_ahb_io_axi_w_ready), - .io_axi_w_valid(axi4_to_ahb_io_axi_w_valid), - .io_axi_w_bits_data(axi4_to_ahb_io_axi_w_bits_data), - .io_axi_w_bits_strb(axi4_to_ahb_io_axi_w_bits_strb), - .io_axi_b_valid(axi4_to_ahb_io_axi_b_valid), - .io_axi_b_bits_resp(axi4_to_ahb_io_axi_b_bits_resp), - .io_axi_ar_ready(axi4_to_ahb_io_axi_ar_ready), - .io_axi_ar_valid(axi4_to_ahb_io_axi_ar_valid), - .io_axi_ar_bits_addr(axi4_to_ahb_io_axi_ar_bits_addr), - .io_axi_ar_bits_size(axi4_to_ahb_io_axi_ar_bits_size), - .io_axi_r_valid(axi4_to_ahb_io_axi_r_valid), - .io_axi_r_bits_data(axi4_to_ahb_io_axi_r_bits_data), - .io_axi_r_bits_resp(axi4_to_ahb_io_axi_r_bits_resp), - .io_ahb_in_hrdata(axi4_to_ahb_io_ahb_in_hrdata), - .io_ahb_in_hready(axi4_to_ahb_io_ahb_in_hready), - .io_ahb_in_hresp(axi4_to_ahb_io_ahb_in_hresp), - .io_ahb_out_haddr(axi4_to_ahb_io_ahb_out_haddr), - .io_ahb_out_hsize(axi4_to_ahb_io_ahb_out_hsize), - .io_ahb_out_htrans(axi4_to_ahb_io_ahb_out_htrans), - .io_ahb_out_hwrite(axi4_to_ahb_io_ahb_out_hwrite), - .io_ahb_out_hwdata(axi4_to_ahb_io_ahb_out_hwdata) - ); - axi4_to_ahb_1 axi4_to_ahb_1 ( // @[quasar.scala 246:33] - .clock(axi4_to_ahb_1_clock), - .reset(axi4_to_ahb_1_reset), - .io_scan_mode(axi4_to_ahb_1_io_scan_mode), - .io_bus_clk_en(axi4_to_ahb_1_io_bus_clk_en), - .io_clk_override(axi4_to_ahb_1_io_clk_override), - .io_axi_aw_ready(axi4_to_ahb_1_io_axi_aw_ready), - .io_axi_aw_valid(axi4_to_ahb_1_io_axi_aw_valid), - .io_axi_aw_bits_id(axi4_to_ahb_1_io_axi_aw_bits_id), - .io_axi_aw_bits_addr(axi4_to_ahb_1_io_axi_aw_bits_addr), - .io_axi_aw_bits_size(axi4_to_ahb_1_io_axi_aw_bits_size), - .io_axi_w_ready(axi4_to_ahb_1_io_axi_w_ready), - .io_axi_w_valid(axi4_to_ahb_1_io_axi_w_valid), - .io_axi_w_bits_data(axi4_to_ahb_1_io_axi_w_bits_data), - .io_axi_w_bits_strb(axi4_to_ahb_1_io_axi_w_bits_strb), - .io_axi_b_valid(axi4_to_ahb_1_io_axi_b_valid), - .io_axi_b_bits_id(axi4_to_ahb_1_io_axi_b_bits_id), - .io_axi_ar_ready(axi4_to_ahb_1_io_axi_ar_ready), - .io_axi_ar_valid(axi4_to_ahb_1_io_axi_ar_valid), - .io_axi_ar_bits_id(axi4_to_ahb_1_io_axi_ar_bits_id), - .io_axi_ar_bits_addr(axi4_to_ahb_1_io_axi_ar_bits_addr), - .io_axi_ar_bits_size(axi4_to_ahb_1_io_axi_ar_bits_size), - .io_axi_r_valid(axi4_to_ahb_1_io_axi_r_valid), - .io_axi_r_bits_id(axi4_to_ahb_1_io_axi_r_bits_id), - .io_axi_r_bits_data(axi4_to_ahb_1_io_axi_r_bits_data), - .io_axi_r_bits_resp(axi4_to_ahb_1_io_axi_r_bits_resp), - .io_ahb_in_hrdata(axi4_to_ahb_1_io_ahb_in_hrdata), - .io_ahb_in_hready(axi4_to_ahb_1_io_ahb_in_hready), - .io_ahb_in_hresp(axi4_to_ahb_1_io_ahb_in_hresp), - .io_ahb_out_haddr(axi4_to_ahb_1_io_ahb_out_haddr), - .io_ahb_out_hsize(axi4_to_ahb_1_io_ahb_out_hsize), - .io_ahb_out_htrans(axi4_to_ahb_1_io_ahb_out_htrans), - .io_ahb_out_hwrite(axi4_to_ahb_1_io_ahb_out_hwrite), - .io_ahb_out_hwdata(axi4_to_ahb_1_io_ahb_out_hwdata) - ); - axi4_to_ahb_1 axi4_to_ahb_2 ( // @[quasar.scala 247:33] - .clock(axi4_to_ahb_2_clock), - .reset(axi4_to_ahb_2_reset), - .io_scan_mode(axi4_to_ahb_2_io_scan_mode), - .io_bus_clk_en(axi4_to_ahb_2_io_bus_clk_en), - .io_clk_override(axi4_to_ahb_2_io_clk_override), - .io_axi_aw_ready(axi4_to_ahb_2_io_axi_aw_ready), - .io_axi_aw_valid(axi4_to_ahb_2_io_axi_aw_valid), - .io_axi_aw_bits_id(axi4_to_ahb_2_io_axi_aw_bits_id), - .io_axi_aw_bits_addr(axi4_to_ahb_2_io_axi_aw_bits_addr), - .io_axi_aw_bits_size(axi4_to_ahb_2_io_axi_aw_bits_size), - .io_axi_w_ready(axi4_to_ahb_2_io_axi_w_ready), - .io_axi_w_valid(axi4_to_ahb_2_io_axi_w_valid), - .io_axi_w_bits_data(axi4_to_ahb_2_io_axi_w_bits_data), - .io_axi_w_bits_strb(axi4_to_ahb_2_io_axi_w_bits_strb), - .io_axi_b_valid(axi4_to_ahb_2_io_axi_b_valid), - .io_axi_b_bits_id(axi4_to_ahb_2_io_axi_b_bits_id), - .io_axi_ar_ready(axi4_to_ahb_2_io_axi_ar_ready), - .io_axi_ar_valid(axi4_to_ahb_2_io_axi_ar_valid), - .io_axi_ar_bits_id(axi4_to_ahb_2_io_axi_ar_bits_id), - .io_axi_ar_bits_addr(axi4_to_ahb_2_io_axi_ar_bits_addr), - .io_axi_ar_bits_size(axi4_to_ahb_2_io_axi_ar_bits_size), - .io_axi_r_valid(axi4_to_ahb_2_io_axi_r_valid), - .io_axi_r_bits_id(axi4_to_ahb_2_io_axi_r_bits_id), - .io_axi_r_bits_data(axi4_to_ahb_2_io_axi_r_bits_data), - .io_axi_r_bits_resp(axi4_to_ahb_2_io_axi_r_bits_resp), - .io_ahb_in_hrdata(axi4_to_ahb_2_io_ahb_in_hrdata), - .io_ahb_in_hready(axi4_to_ahb_2_io_ahb_in_hready), - .io_ahb_in_hresp(axi4_to_ahb_2_io_ahb_in_hresp), - .io_ahb_out_haddr(axi4_to_ahb_2_io_ahb_out_haddr), - .io_ahb_out_hsize(axi4_to_ahb_2_io_ahb_out_hsize), - .io_ahb_out_htrans(axi4_to_ahb_2_io_ahb_out_htrans), - .io_ahb_out_hwrite(axi4_to_ahb_2_io_ahb_out_hwrite), - .io_ahb_out_hwdata(axi4_to_ahb_2_io_ahb_out_hwdata) - ); - ahb_to_axi4 ahb_to_axi4 ( // @[quasar.scala 248:33] - .clock(ahb_to_axi4_clock), - .reset(ahb_to_axi4_reset), - .io_scan_mode(ahb_to_axi4_io_scan_mode), - .io_bus_clk_en(ahb_to_axi4_io_bus_clk_en), - .io_axi_aw_ready(ahb_to_axi4_io_axi_aw_ready), - .io_axi_aw_valid(ahb_to_axi4_io_axi_aw_valid), - .io_axi_aw_bits_addr(ahb_to_axi4_io_axi_aw_bits_addr), - .io_axi_aw_bits_size(ahb_to_axi4_io_axi_aw_bits_size), - .io_axi_w_valid(ahb_to_axi4_io_axi_w_valid), - .io_axi_w_bits_data(ahb_to_axi4_io_axi_w_bits_data), - .io_axi_w_bits_strb(ahb_to_axi4_io_axi_w_bits_strb), - .io_axi_ar_ready(ahb_to_axi4_io_axi_ar_ready), - .io_axi_ar_valid(ahb_to_axi4_io_axi_ar_valid), - .io_axi_ar_bits_addr(ahb_to_axi4_io_axi_ar_bits_addr), - .io_axi_ar_bits_size(ahb_to_axi4_io_axi_ar_bits_size), - .io_axi_r_valid(ahb_to_axi4_io_axi_r_valid), - .io_axi_r_bits_data(ahb_to_axi4_io_axi_r_bits_data), - .io_axi_r_bits_resp(ahb_to_axi4_io_axi_r_bits_resp), - .io_ahb_sig_in_hrdata(ahb_to_axi4_io_ahb_sig_in_hrdata), - .io_ahb_sig_in_hready(ahb_to_axi4_io_ahb_sig_in_hready), - .io_ahb_sig_in_hresp(ahb_to_axi4_io_ahb_sig_in_hresp), - .io_ahb_sig_out_haddr(ahb_to_axi4_io_ahb_sig_out_haddr), - .io_ahb_sig_out_hsize(ahb_to_axi4_io_ahb_sig_out_hsize), - .io_ahb_sig_out_htrans(ahb_to_axi4_io_ahb_sig_out_htrans), - .io_ahb_sig_out_hwrite(ahb_to_axi4_io_ahb_sig_out_hwrite), - .io_ahb_sig_out_hwdata(ahb_to_axi4_io_ahb_sig_out_hwdata), - .io_ahb_hsel(ahb_to_axi4_io_ahb_hsel), - .io_ahb_hreadyin(ahb_to_axi4_io_ahb_hreadyin) - ); - assign io_lsu_ahb_out_haddr = axi4_to_ahb_2_io_ahb_out_haddr; // @[quasar.scala 254:28] - assign io_lsu_ahb_out_hsize = axi4_to_ahb_2_io_ahb_out_hsize; // @[quasar.scala 254:28] - assign io_lsu_ahb_out_htrans = axi4_to_ahb_2_io_ahb_out_htrans; // @[quasar.scala 254:28] - assign io_lsu_ahb_out_hwrite = axi4_to_ahb_2_io_ahb_out_hwrite; // @[quasar.scala 254:28] - assign io_lsu_ahb_out_hwdata = axi4_to_ahb_2_io_ahb_out_hwdata; // @[quasar.scala 254:28] - assign io_ifu_ahb_out_haddr = axi4_to_ahb_1_io_ahb_out_haddr; // @[quasar.scala 260:28] - assign io_ifu_ahb_out_hsize = axi4_to_ahb_1_io_ahb_out_hsize; // @[quasar.scala 260:28] - assign io_ifu_ahb_out_htrans = axi4_to_ahb_1_io_ahb_out_htrans; // @[quasar.scala 260:28] - assign io_ifu_ahb_out_hwrite = axi4_to_ahb_1_io_ahb_out_hwrite; // @[quasar.scala 260:28] - assign io_ifu_ahb_out_hwdata = axi4_to_ahb_1_io_ahb_out_hwdata; // @[quasar.scala 260:28] - assign io_sb_ahb_out_haddr = axi4_to_ahb_io_ahb_out_haddr; // @[quasar.scala 267:27] - assign io_sb_ahb_out_hsize = axi4_to_ahb_io_ahb_out_hsize; // @[quasar.scala 267:27] - assign io_sb_ahb_out_htrans = axi4_to_ahb_io_ahb_out_htrans; // @[quasar.scala 267:27] - assign io_sb_ahb_out_hwrite = axi4_to_ahb_io_ahb_out_hwrite; // @[quasar.scala 267:27] - assign io_sb_ahb_out_hwdata = axi4_to_ahb_io_ahb_out_hwdata; // @[quasar.scala 267:27] - assign io_dma_ahb_sig_in_hrdata = ahb_to_axi4_io_ahb_sig_in_hrdata; // @[quasar.scala 273:28] - assign io_dma_ahb_sig_in_hready = ahb_to_axi4_io_ahb_sig_in_hready; // @[quasar.scala 273:28] - assign io_dma_ahb_sig_in_hresp = ahb_to_axi4_io_ahb_sig_in_hresp; // @[quasar.scala 273:28] + assign io_lsu_axi_aw_valid = lsu_io_axi_aw_valid; // @[quasar.scala 285:27] + assign io_lsu_axi_aw_bits_id = lsu_io_axi_aw_bits_id; // @[quasar.scala 285:27] + assign io_lsu_axi_aw_bits_addr = lsu_io_axi_aw_bits_addr; // @[quasar.scala 285:27] + assign io_lsu_axi_aw_bits_region = lsu_io_axi_aw_bits_region; // @[quasar.scala 285:27] + assign io_lsu_axi_aw_bits_size = lsu_io_axi_aw_bits_size; // @[quasar.scala 285:27] + assign io_lsu_axi_aw_bits_cache = lsu_io_axi_aw_bits_cache; // @[quasar.scala 285:27] + assign io_lsu_axi_w_valid = lsu_io_axi_w_valid; // @[quasar.scala 285:27] + assign io_lsu_axi_w_bits_data = lsu_io_axi_w_bits_data; // @[quasar.scala 285:27] + assign io_lsu_axi_w_bits_strb = lsu_io_axi_w_bits_strb; // @[quasar.scala 285:27] + assign io_lsu_axi_ar_valid = lsu_io_axi_ar_valid; // @[quasar.scala 285:27] + assign io_lsu_axi_ar_bits_id = lsu_io_axi_ar_bits_id; // @[quasar.scala 285:27] + assign io_lsu_axi_ar_bits_addr = lsu_io_axi_ar_bits_addr; // @[quasar.scala 285:27] + assign io_lsu_axi_ar_bits_region = lsu_io_axi_ar_bits_region; // @[quasar.scala 285:27] + assign io_lsu_axi_ar_bits_size = lsu_io_axi_ar_bits_size; // @[quasar.scala 285:27] + assign io_lsu_axi_ar_bits_cache = lsu_io_axi_ar_bits_cache; // @[quasar.scala 285:27] + assign io_ifu_axi_ar_valid = ifu_io_ifu_ar_valid; // @[quasar.scala 284:27] + assign io_ifu_axi_ar_bits_id = ifu_io_ifu_ar_bits_id; // @[quasar.scala 284:27] + assign io_ifu_axi_ar_bits_addr = ifu_io_ifu_ar_bits_addr; // @[quasar.scala 284:27] + assign io_ifu_axi_ar_bits_region = ifu_io_ifu_ar_bits_region; // @[quasar.scala 284:27] + assign io_sb_axi_aw_valid = dbg_io_sb_axi_aw_valid; // @[quasar.scala 283:27] + assign io_sb_axi_aw_bits_addr = dbg_io_sb_axi_aw_bits_addr; // @[quasar.scala 283:27] + assign io_sb_axi_aw_bits_region = dbg_io_sb_axi_aw_bits_region; // @[quasar.scala 283:27] + assign io_sb_axi_aw_bits_size = dbg_io_sb_axi_aw_bits_size; // @[quasar.scala 283:27] + assign io_sb_axi_w_valid = dbg_io_sb_axi_w_valid; // @[quasar.scala 283:27] + assign io_sb_axi_w_bits_data = dbg_io_sb_axi_w_bits_data; // @[quasar.scala 283:27] + assign io_sb_axi_w_bits_strb = dbg_io_sb_axi_w_bits_strb; // @[quasar.scala 283:27] + assign io_sb_axi_ar_valid = dbg_io_sb_axi_ar_valid; // @[quasar.scala 283:27] + assign io_sb_axi_ar_bits_addr = dbg_io_sb_axi_ar_bits_addr; // @[quasar.scala 283:27] + assign io_sb_axi_ar_bits_region = dbg_io_sb_axi_ar_bits_region; // @[quasar.scala 283:27] + assign io_sb_axi_ar_bits_size = dbg_io_sb_axi_ar_bits_size; // @[quasar.scala 283:27] + assign io_dma_axi_aw_ready = dma_ctrl_io_dma_axi_aw_ready; // @[quasar.scala 282:27] + assign io_dma_axi_w_ready = dma_ctrl_io_dma_axi_w_ready; // @[quasar.scala 282:27] + assign io_dma_axi_b_valid = dma_ctrl_io_dma_axi_b_valid; // @[quasar.scala 282:27] + assign io_dma_axi_b_bits_resp = dma_ctrl_io_dma_axi_b_bits_resp; // @[quasar.scala 282:27] + assign io_dma_axi_b_bits_id = dma_ctrl_io_dma_axi_b_bits_id; // @[quasar.scala 282:27] + assign io_dma_axi_ar_ready = dma_ctrl_io_dma_axi_ar_ready; // @[quasar.scala 282:27] + assign io_dma_axi_r_valid = dma_ctrl_io_dma_axi_r_valid; // @[quasar.scala 282:27] + assign io_dma_axi_r_bits_id = dma_ctrl_io_dma_axi_r_bits_id; // @[quasar.scala 282:27] + assign io_dma_axi_r_bits_data = dma_ctrl_io_dma_axi_r_bits_data; // @[quasar.scala 282:27] + assign io_dma_axi_r_bits_resp = dma_ctrl_io_dma_axi_r_bits_resp; // @[quasar.scala 282:27] assign io_core_rst_l = reset & _T_2; // @[quasar.scala 82:17] - assign io_rv_trace_pkt_rv_i_valid_ip = dec_io_rv_trace_pkt_rv_i_valid_ip; // @[quasar.scala 222:19] - assign io_rv_trace_pkt_rv_i_insn_ip = dec_io_rv_trace_pkt_rv_i_insn_ip; // @[quasar.scala 222:19] - assign io_rv_trace_pkt_rv_i_address_ip = dec_io_rv_trace_pkt_rv_i_address_ip; // @[quasar.scala 222:19] - assign io_rv_trace_pkt_rv_i_exception_ip = dec_io_rv_trace_pkt_rv_i_exception_ip; // @[quasar.scala 222:19] - assign io_rv_trace_pkt_rv_i_ecause_ip = dec_io_rv_trace_pkt_rv_i_ecause_ip; // @[quasar.scala 222:19] - assign io_rv_trace_pkt_rv_i_interrupt_ip = dec_io_rv_trace_pkt_rv_i_interrupt_ip; // @[quasar.scala 222:19] - assign io_rv_trace_pkt_rv_i_tval_ip = dec_io_rv_trace_pkt_rv_i_tval_ip; // @[quasar.scala 222:19] - assign io_dccm_clk_override = dec_io_dec_tlu_dccm_clk_override; // @[quasar.scala 225:24] - assign io_icm_clk_override = dec_io_dec_tlu_icm_clk_override; // @[quasar.scala 226:23] - assign io_dec_tlu_core_ecc_disable = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 227:31] - assign io_o_cpu_halt_ack = dec_io_o_cpu_halt_ack; // @[quasar.scala 228:21] - assign io_o_cpu_halt_status = dec_io_o_cpu_halt_status; // @[quasar.scala 229:24] - assign io_o_cpu_run_ack = dec_io_o_cpu_run_ack; // @[quasar.scala 230:20] - assign io_o_debug_mode_status = dec_io_o_debug_mode_status; // @[quasar.scala 231:26] - assign io_mpc_debug_halt_ack = dec_io_mpc_debug_halt_ack; // @[quasar.scala 232:25] - assign io_mpc_debug_run_ack = dec_io_mpc_debug_run_ack; // @[quasar.scala 233:24] - assign io_debug_brkpt_status = dec_io_debug_brkpt_status; // @[quasar.scala 234:25] - assign io_dec_tlu_perfcnt0 = dec_io_dec_tlu_perfcnt0; // @[quasar.scala 235:23] - assign io_dec_tlu_perfcnt1 = dec_io_dec_tlu_perfcnt1; // @[quasar.scala 236:23] - assign io_dec_tlu_perfcnt2 = dec_io_dec_tlu_perfcnt2; // @[quasar.scala 237:23] - assign io_dec_tlu_perfcnt3 = dec_io_dec_tlu_perfcnt3; // @[quasar.scala 238:23] - assign io_dccm_wren = lsu_io_dccm_wren; // @[quasar.scala 242:11] - assign io_dccm_rden = lsu_io_dccm_rden; // @[quasar.scala 242:11] - assign io_dccm_wr_addr_lo = lsu_io_dccm_wr_addr_lo; // @[quasar.scala 242:11] - assign io_dccm_wr_addr_hi = lsu_io_dccm_wr_addr_hi; // @[quasar.scala 242:11] - assign io_dccm_rd_addr_lo = lsu_io_dccm_rd_addr_lo; // @[quasar.scala 242:11] - assign io_dccm_rd_addr_hi = lsu_io_dccm_rd_addr_hi; // @[quasar.scala 242:11] - assign io_dccm_wr_data_lo = lsu_io_dccm_wr_data_lo; // @[quasar.scala 242:11] - assign io_dccm_wr_data_hi = lsu_io_dccm_wr_data_hi; // @[quasar.scala 242:11] - assign io_ic_rw_addr = ifu_io_ic_rw_addr; // @[quasar.scala 103:13] - assign io_ic_tag_valid = ifu_io_ic_tag_valid; // @[quasar.scala 103:13] - assign io_ic_wr_en = ifu_io_ic_wr_en; // @[quasar.scala 103:13] - assign io_ic_rd_en = ifu_io_ic_rd_en; // @[quasar.scala 103:13] - assign io_ic_wr_data_0 = ifu_io_ic_wr_data_0; // @[quasar.scala 103:13] - assign io_ic_wr_data_1 = ifu_io_ic_wr_data_1; // @[quasar.scala 103:13] - assign io_ic_debug_wr_data = ifu_io_ic_debug_wr_data; // @[quasar.scala 103:13] - assign io_ic_debug_addr = ifu_io_ic_debug_addr; // @[quasar.scala 103:13] - assign io_ic_debug_rd_en = ifu_io_ic_debug_rd_en; // @[quasar.scala 103:13] - assign io_ic_debug_wr_en = ifu_io_ic_debug_wr_en; // @[quasar.scala 103:13] - assign io_ic_debug_tag_array = ifu_io_ic_debug_tag_array; // @[quasar.scala 103:13] - assign io_ic_debug_way = ifu_io_ic_debug_way; // @[quasar.scala 103:13] - assign io_ic_premux_data = ifu_io_ic_premux_data; // @[quasar.scala 103:13] - assign io_ic_sel_premux_data = ifu_io_ic_sel_premux_data; // @[quasar.scala 103:13] - assign io_iccm_rw_addr = ifu_io_iccm_rw_addr; // @[quasar.scala 104:15] - assign io_iccm_buf_correct_ecc = ifu_io_iccm_buf_correct_ecc; // @[quasar.scala 104:15] - assign io_iccm_correction_state = ifu_io_iccm_correction_state; // @[quasar.scala 104:15] - assign io_iccm_wren = ifu_io_iccm_wren; // @[quasar.scala 104:15] - assign io_iccm_rden = ifu_io_iccm_rden; // @[quasar.scala 104:15] - assign io_iccm_wr_size = ifu_io_iccm_wr_size; // @[quasar.scala 104:15] - assign io_iccm_wr_data = ifu_io_iccm_wr_data; // @[quasar.scala 104:15] - assign io_dmi_reg_rdata = dbg_io_dmi_reg_rdata; // @[quasar.scala 239:20] + assign io_rv_trace_pkt_rv_i_valid_ip = dec_io_rv_trace_pkt_rv_i_valid_ip; // @[quasar.scala 219:19] + assign io_rv_trace_pkt_rv_i_insn_ip = dec_io_rv_trace_pkt_rv_i_insn_ip; // @[quasar.scala 219:19] + assign io_rv_trace_pkt_rv_i_address_ip = dec_io_rv_trace_pkt_rv_i_address_ip; // @[quasar.scala 219:19] + assign io_rv_trace_pkt_rv_i_exception_ip = dec_io_rv_trace_pkt_rv_i_exception_ip; // @[quasar.scala 219:19] + assign io_rv_trace_pkt_rv_i_ecause_ip = dec_io_rv_trace_pkt_rv_i_ecause_ip; // @[quasar.scala 219:19] + assign io_rv_trace_pkt_rv_i_interrupt_ip = dec_io_rv_trace_pkt_rv_i_interrupt_ip; // @[quasar.scala 219:19] + assign io_rv_trace_pkt_rv_i_tval_ip = dec_io_rv_trace_pkt_rv_i_tval_ip; // @[quasar.scala 219:19] + assign io_dccm_clk_override = dec_io_dec_tlu_dccm_clk_override; // @[quasar.scala 222:24] + assign io_icm_clk_override = dec_io_dec_tlu_icm_clk_override; // @[quasar.scala 223:23] + assign io_dec_tlu_core_ecc_disable = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 224:31] + assign io_o_cpu_halt_ack = dec_io_o_cpu_halt_ack; // @[quasar.scala 225:21] + assign io_o_cpu_halt_status = dec_io_o_cpu_halt_status; // @[quasar.scala 226:24] + assign io_o_cpu_run_ack = dec_io_o_cpu_run_ack; // @[quasar.scala 227:20] + assign io_o_debug_mode_status = dec_io_o_debug_mode_status; // @[quasar.scala 228:26] + assign io_mpc_debug_halt_ack = dec_io_mpc_debug_halt_ack; // @[quasar.scala 229:25] + assign io_mpc_debug_run_ack = dec_io_mpc_debug_run_ack; // @[quasar.scala 230:24] + assign io_debug_brkpt_status = dec_io_debug_brkpt_status; // @[quasar.scala 231:25] + assign io_dec_tlu_perfcnt0 = dec_io_dec_tlu_perfcnt0; // @[quasar.scala 232:23] + assign io_dec_tlu_perfcnt1 = dec_io_dec_tlu_perfcnt1; // @[quasar.scala 233:23] + assign io_dec_tlu_perfcnt2 = dec_io_dec_tlu_perfcnt2; // @[quasar.scala 234:23] + assign io_dec_tlu_perfcnt3 = dec_io_dec_tlu_perfcnt3; // @[quasar.scala 235:23] + assign io_dccm_wren = lsu_io_dccm_wren; // @[quasar.scala 239:11] + assign io_dccm_rden = lsu_io_dccm_rden; // @[quasar.scala 239:11] + assign io_dccm_wr_addr_lo = lsu_io_dccm_wr_addr_lo; // @[quasar.scala 239:11] + assign io_dccm_wr_addr_hi = lsu_io_dccm_wr_addr_hi; // @[quasar.scala 239:11] + assign io_dccm_rd_addr_lo = lsu_io_dccm_rd_addr_lo; // @[quasar.scala 239:11] + assign io_dccm_rd_addr_hi = lsu_io_dccm_rd_addr_hi; // @[quasar.scala 239:11] + assign io_dccm_wr_data_lo = lsu_io_dccm_wr_data_lo; // @[quasar.scala 239:11] + assign io_dccm_wr_data_hi = lsu_io_dccm_wr_data_hi; // @[quasar.scala 239:11] + assign io_ic_rw_addr = ifu_io_ic_rw_addr; // @[quasar.scala 100:13] + assign io_ic_tag_valid = ifu_io_ic_tag_valid; // @[quasar.scala 100:13] + assign io_ic_wr_en = ifu_io_ic_wr_en; // @[quasar.scala 100:13] + assign io_ic_rd_en = ifu_io_ic_rd_en; // @[quasar.scala 100:13] + assign io_ic_wr_data_0 = ifu_io_ic_wr_data_0; // @[quasar.scala 100:13] + assign io_ic_wr_data_1 = ifu_io_ic_wr_data_1; // @[quasar.scala 100:13] + assign io_ic_debug_wr_data = ifu_io_ic_debug_wr_data; // @[quasar.scala 100:13] + assign io_ic_debug_addr = ifu_io_ic_debug_addr; // @[quasar.scala 100:13] + assign io_ic_debug_rd_en = ifu_io_ic_debug_rd_en; // @[quasar.scala 100:13] + assign io_ic_debug_wr_en = ifu_io_ic_debug_wr_en; // @[quasar.scala 100:13] + assign io_ic_debug_tag_array = ifu_io_ic_debug_tag_array; // @[quasar.scala 100:13] + assign io_ic_debug_way = ifu_io_ic_debug_way; // @[quasar.scala 100:13] + assign io_ic_premux_data = ifu_io_ic_premux_data; // @[quasar.scala 100:13] + assign io_ic_sel_premux_data = ifu_io_ic_sel_premux_data; // @[quasar.scala 100:13] + assign io_iccm_rw_addr = ifu_io_iccm_rw_addr; // @[quasar.scala 101:15] + assign io_iccm_buf_correct_ecc = ifu_io_iccm_buf_correct_ecc; // @[quasar.scala 101:15] + assign io_iccm_correction_state = ifu_io_iccm_correction_state; // @[quasar.scala 101:15] + assign io_iccm_wren = ifu_io_iccm_wren; // @[quasar.scala 101:15] + assign io_iccm_rden = ifu_io_iccm_rden; // @[quasar.scala 101:15] + assign io_iccm_wr_size = ifu_io_iccm_wr_size; // @[quasar.scala 101:15] + assign io_iccm_wr_data = ifu_io_iccm_wr_data; // @[quasar.scala 101:15] + assign io_dmi_reg_rdata = dbg_io_dmi_reg_rdata; // @[quasar.scala 236:20] assign ifu_clock = clock; - assign ifu_reset = io_core_rst_l; // @[quasar.scala 93:13] - assign ifu_io_exu_flush_final = dec_io_exu_flush_final; // @[quasar.scala 98:26] - assign ifu_io_exu_flush_path_final = exu_io_exu_flush_path_final; // @[quasar.scala 99:31] - assign ifu_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 95:19] - assign ifu_io_active_clk = rvclkhdr_1_io_l1clk; // @[quasar.scala 96:21] - assign ifu_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d = dec_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d; // @[quasar.scala 91:18] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[quasar.scala 91:18] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[quasar.scala 91:18] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 91:18] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb; // @[quasar.scala 91:18] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata; // @[quasar.scala 91:18 quasar.scala 109:51] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics; // @[quasar.scala 91:18 quasar.scala 109:51] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[quasar.scala 91:18 quasar.scala 109:51] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[quasar.scala 91:18 quasar.scala 109:51] - assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 91:18] - assign ifu_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb = dec_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb; // @[quasar.scala 91:18] - assign ifu_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff = dec_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 91:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid; // @[quasar.scala 91:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist; // @[quasar.scala 91:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[quasar.scala 91:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[quasar.scala 91:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[quasar.scala 91:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[quasar.scala 91:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb = dec_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb; // @[quasar.scala 91:18] - assign ifu_io_ifu_dec_dec_bp_dec_tlu_bpred_disable = dec_io_ifu_dec_dec_bp_dec_tlu_bpred_disable; // @[quasar.scala 91:18] - assign ifu_io_exu_ifu_exu_bp_exu_i0_br_index_r = exu_io_dec_exu_tlu_exu_exu_i0_br_index_r; // @[quasar.scala 105:25 quasar.scala 107:43] - assign ifu_io_exu_ifu_exu_bp_exu_i0_br_fghr_r = exu_io_exu_bp_exu_i0_br_fghr_r; // @[quasar.scala 105:25 quasar.scala 106:42] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_misp = exu_io_exu_bp_exu_mp_pkt_bits_misp; // @[quasar.scala 105:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_ataken = exu_io_exu_bp_exu_mp_pkt_bits_ataken; // @[quasar.scala 105:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_boffset = exu_io_exu_bp_exu_mp_pkt_bits_boffset; // @[quasar.scala 105:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pc4 = exu_io_exu_bp_exu_mp_pkt_bits_pc4; // @[quasar.scala 105:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_hist = exu_io_exu_bp_exu_mp_pkt_bits_hist; // @[quasar.scala 105:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_toffset = exu_io_exu_bp_exu_mp_pkt_bits_toffset; // @[quasar.scala 105:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pcall = exu_io_exu_bp_exu_mp_pkt_bits_pcall; // @[quasar.scala 105:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pret = exu_io_exu_bp_exu_mp_pkt_bits_pret; // @[quasar.scala 105:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pja = exu_io_exu_bp_exu_mp_pkt_bits_pja; // @[quasar.scala 105:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_way = exu_io_exu_bp_exu_mp_pkt_bits_way; // @[quasar.scala 105:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_eghr = exu_io_exu_bp_exu_mp_eghr; // @[quasar.scala 105:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_fghr = exu_io_exu_bp_exu_mp_fghr; // @[quasar.scala 105:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_index = exu_io_exu_bp_exu_mp_index; // @[quasar.scala 105:25] - assign ifu_io_exu_ifu_exu_bp_exu_mp_btag = exu_io_exu_bp_exu_mp_btag; // @[quasar.scala 105:25] - assign ifu_io_iccm_rd_data = io_iccm_rd_data; // @[quasar.scala 104:15] - assign ifu_io_iccm_rd_data_ecc = io_iccm_rd_data_ecc; // @[quasar.scala 104:15] - assign ifu_io_ic_rd_data = io_ic_rd_data; // @[quasar.scala 103:13] - assign ifu_io_ic_debug_rd_data = io_ic_debug_rd_data; // @[quasar.scala 103:13] - assign ifu_io_ic_tag_debug_rd_data = io_ic_tag_debug_rd_data; // @[quasar.scala 103:13] - assign ifu_io_ic_eccerr = io_ic_eccerr; // @[quasar.scala 103:13] - assign ifu_io_ic_rd_hit = io_ic_rd_hit; // @[quasar.scala 103:13] - assign ifu_io_ic_tag_perr = io_ic_tag_perr; // @[quasar.scala 103:13] - assign ifu_io_ifu_ar_ready = axi4_to_ahb_1_io_axi_ar_ready; // @[quasar.scala 259:28] - assign ifu_io_ifu_r_valid = axi4_to_ahb_1_io_axi_r_valid; // @[quasar.scala 259:28] - assign ifu_io_ifu_r_bits_id = axi4_to_ahb_1_io_axi_r_bits_id; // @[quasar.scala 259:28] - assign ifu_io_ifu_r_bits_data = axi4_to_ahb_1_io_axi_r_bits_data; // @[quasar.scala 259:28] - assign ifu_io_ifu_r_bits_resp = axi4_to_ahb_1_io_axi_r_bits_resp; // @[quasar.scala 259:28] - assign ifu_io_ifu_bus_clk_en = io_ifu_bus_clk_en; // @[quasar.scala 101:25] - assign ifu_io_ifu_dma_dma_ifc_dma_iccm_stall_any = dma_ctrl_io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[quasar.scala 102:18] - assign ifu_io_ifu_dma_dma_mem_ctl_dma_iccm_req = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[quasar.scala 102:18] - assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_addr = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_addr; // @[quasar.scala 102:18] - assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_sz = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_sz; // @[quasar.scala 102:18] - assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_write = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_write; // @[quasar.scala 102:18] - assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_wdata = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_wdata; // @[quasar.scala 102:18] - assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_tag = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_tag; // @[quasar.scala 102:18] - assign ifu_io_dec_tlu_flush_lower_wb = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 108:33] - assign ifu_io_scan_mode = io_scan_mode; // @[quasar.scala 94:20] + assign ifu_reset = io_core_rst_l; // @[quasar.scala 90:13] + assign ifu_io_exu_flush_final = dec_io_exu_flush_final; // @[quasar.scala 95:26] + assign ifu_io_exu_flush_path_final = exu_io_exu_flush_path_final; // @[quasar.scala 96:31] + assign ifu_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 92:19] + assign ifu_io_active_clk = rvclkhdr_1_io_l1clk; // @[quasar.scala 93:21] + assign ifu_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d = dec_io_ifu_dec_dec_aln_aln_dec_dec_i0_decode_d; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_flush_err_wb; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_i0_commit_cmt; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_fence_i_wb; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wrdata; // @[quasar.scala 88:18 quasar.scala 106:51] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_dicawics; // @[quasar.scala 88:18 quasar.scala 106:51] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_rd_valid; // @[quasar.scala 88:18 quasar.scala 106:51] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_ic_diag_pkt_icache_wr_valid; // @[quasar.scala 88:18 quasar.scala 106:51] + assign ifu_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb = dec_io_ifu_dec_dec_ifc_dec_tlu_flush_noredir_wb; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff = dec_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_valid; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_hist; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_error; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_br_start_error; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_way; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle = dec_io_ifu_dec_dec_bp_dec_tlu_br0_r_pkt_bits_middle; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb = dec_io_ifu_dec_dec_bp_dec_tlu_flush_leak_one_wb; // @[quasar.scala 88:18] + assign ifu_io_ifu_dec_dec_bp_dec_tlu_bpred_disable = dec_io_ifu_dec_dec_bp_dec_tlu_bpred_disable; // @[quasar.scala 88:18] + assign ifu_io_exu_ifu_exu_bp_exu_i0_br_index_r = exu_io_dec_exu_tlu_exu_exu_i0_br_index_r; // @[quasar.scala 102:25 quasar.scala 104:43] + assign ifu_io_exu_ifu_exu_bp_exu_i0_br_fghr_r = exu_io_exu_bp_exu_i0_br_fghr_r; // @[quasar.scala 102:25 quasar.scala 103:42] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_misp = exu_io_exu_bp_exu_mp_pkt_bits_misp; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_ataken = exu_io_exu_bp_exu_mp_pkt_bits_ataken; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_boffset = exu_io_exu_bp_exu_mp_pkt_bits_boffset; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pc4 = exu_io_exu_bp_exu_mp_pkt_bits_pc4; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_hist = exu_io_exu_bp_exu_mp_pkt_bits_hist; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_toffset = exu_io_exu_bp_exu_mp_pkt_bits_toffset; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pcall = exu_io_exu_bp_exu_mp_pkt_bits_pcall; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pret = exu_io_exu_bp_exu_mp_pkt_bits_pret; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_pja = exu_io_exu_bp_exu_mp_pkt_bits_pja; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_pkt_bits_way = exu_io_exu_bp_exu_mp_pkt_bits_way; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_eghr = exu_io_exu_bp_exu_mp_eghr; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_fghr = exu_io_exu_bp_exu_mp_fghr; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_index = exu_io_exu_bp_exu_mp_index; // @[quasar.scala 102:25] + assign ifu_io_exu_ifu_exu_bp_exu_mp_btag = exu_io_exu_bp_exu_mp_btag; // @[quasar.scala 102:25] + assign ifu_io_iccm_rd_data = io_iccm_rd_data; // @[quasar.scala 101:15] + assign ifu_io_iccm_rd_data_ecc = io_iccm_rd_data_ecc; // @[quasar.scala 101:15] + assign ifu_io_ic_rd_data = io_ic_rd_data; // @[quasar.scala 100:13] + assign ifu_io_ic_debug_rd_data = io_ic_debug_rd_data; // @[quasar.scala 100:13] + assign ifu_io_ic_tag_debug_rd_data = io_ic_tag_debug_rd_data; // @[quasar.scala 100:13] + assign ifu_io_ic_eccerr = io_ic_eccerr; // @[quasar.scala 100:13] + assign ifu_io_ic_rd_hit = io_ic_rd_hit; // @[quasar.scala 100:13] + assign ifu_io_ic_tag_perr = io_ic_tag_perr; // @[quasar.scala 100:13] + assign ifu_io_ifu_ar_ready = io_ifu_axi_ar_ready; // @[quasar.scala 284:27] + assign ifu_io_ifu_r_valid = io_ifu_axi_r_valid; // @[quasar.scala 284:27] + assign ifu_io_ifu_r_bits_id = io_ifu_axi_r_bits_id; // @[quasar.scala 284:27] + assign ifu_io_ifu_r_bits_data = io_ifu_axi_r_bits_data; // @[quasar.scala 284:27] + assign ifu_io_ifu_r_bits_resp = io_ifu_axi_r_bits_resp; // @[quasar.scala 284:27] + assign ifu_io_ifu_bus_clk_en = io_ifu_bus_clk_en; // @[quasar.scala 98:25] + assign ifu_io_ifu_dma_dma_ifc_dma_iccm_stall_any = dma_ctrl_io_ifu_dma_dma_ifc_dma_iccm_stall_any; // @[quasar.scala 99:18] + assign ifu_io_ifu_dma_dma_mem_ctl_dma_iccm_req = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_iccm_req; // @[quasar.scala 99:18] + assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_addr = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_addr; // @[quasar.scala 99:18] + assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_sz = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_sz; // @[quasar.scala 99:18] + assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_write = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_write; // @[quasar.scala 99:18] + assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_wdata = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_wdata; // @[quasar.scala 99:18] + assign ifu_io_ifu_dma_dma_mem_ctl_dma_mem_tag = dma_ctrl_io_ifu_dma_dma_mem_ctl_dma_mem_tag; // @[quasar.scala 99:18] + assign ifu_io_dec_tlu_flush_lower_wb = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 105:33] + assign ifu_io_scan_mode = io_scan_mode; // @[quasar.scala 91:20] assign dec_clock = clock; - assign dec_reset = io_core_rst_l; // @[quasar.scala 112:13] - assign dec_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 113:19] - assign dec_io_active_clk = rvclkhdr_1_io_l1clk; // @[quasar.scala 114:21] - assign dec_io_lsu_fastint_stall_any = lsu_io_lsu_fastint_stall_any; // @[quasar.scala 115:32] - assign dec_io_rst_vec = io_rst_vec; // @[quasar.scala 116:18] - assign dec_io_nmi_int = io_nmi_int; // @[quasar.scala 117:18] - assign dec_io_nmi_vec = io_nmi_vec; // @[quasar.scala 118:18] - assign dec_io_i_cpu_halt_req = io_i_cpu_halt_req; // @[quasar.scala 119:25] - assign dec_io_i_cpu_run_req = io_i_cpu_run_req; // @[quasar.scala 120:24] - assign dec_io_core_id = io_core_id; // @[quasar.scala 121:18] - assign dec_io_mpc_debug_halt_req = io_mpc_debug_halt_req; // @[quasar.scala 122:29] - assign dec_io_mpc_debug_run_req = io_mpc_debug_run_req; // @[quasar.scala 123:28] - assign dec_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[quasar.scala 124:28] - assign dec_io_lsu_pmu_misaligned_m = lsu_io_lsu_pmu_misaligned_m; // @[quasar.scala 127:31] - assign dec_io_lsu_fir_addr = lsu_io_lsu_fir_addr; // @[quasar.scala 130:23] - assign dec_io_lsu_fir_error = lsu_io_lsu_fir_error; // @[quasar.scala 131:24] - assign dec_io_lsu_trigger_match_m = lsu_io_lsu_trigger_match_m; // @[quasar.scala 132:30] - assign dec_io_lsu_idle_any = lsu_io_lsu_idle_any; // @[quasar.scala 134:23] - assign dec_io_lsu_error_pkt_r_valid = lsu_io_lsu_error_pkt_r_valid; // @[quasar.scala 135:26] - assign dec_io_lsu_error_pkt_r_bits_single_ecc_error = lsu_io_lsu_error_pkt_r_bits_single_ecc_error; // @[quasar.scala 135:26] - assign dec_io_lsu_error_pkt_r_bits_inst_type = lsu_io_lsu_error_pkt_r_bits_inst_type; // @[quasar.scala 135:26] - assign dec_io_lsu_error_pkt_r_bits_exc_type = lsu_io_lsu_error_pkt_r_bits_exc_type; // @[quasar.scala 135:26] - assign dec_io_lsu_error_pkt_r_bits_mscause = lsu_io_lsu_error_pkt_r_bits_mscause; // @[quasar.scala 135:26] - assign dec_io_lsu_error_pkt_r_bits_addr = lsu_io_lsu_error_pkt_r_bits_addr; // @[quasar.scala 135:26] - assign dec_io_lsu_single_ecc_error_incr = lsu_io_lsu_single_ecc_error_incr; // @[quasar.scala 136:36] - assign dec_io_exu_div_result = exu_io_exu_div_result; // @[quasar.scala 137:25] - assign dec_io_exu_div_wren = exu_io_exu_div_wren; // @[quasar.scala 138:23] - assign dec_io_lsu_result_m = lsu_io_lsu_result_m; // @[quasar.scala 139:23] - assign dec_io_lsu_result_corr_r = lsu_io_lsu_result_corr_r; // @[quasar.scala 140:28] - assign dec_io_lsu_load_stall_any = lsu_io_lsu_load_stall_any; // @[quasar.scala 141:29] - assign dec_io_lsu_store_stall_any = lsu_io_lsu_store_stall_any; // @[quasar.scala 142:30] - assign dec_io_iccm_dma_sb_error = ifu_io_iccm_dma_sb_error; // @[quasar.scala 143:28] - assign dec_io_exu_flush_final = exu_io_exu_flush_final; // @[quasar.scala 144:26] - assign dec_io_timer_int = io_timer_int; // @[quasar.scala 150:20] - assign dec_io_soft_int = io_soft_int; // @[quasar.scala 146:19] - assign dec_io_dbg_halt_req = dbg_io_dbg_halt_req; // @[quasar.scala 147:23] - assign dec_io_dbg_resume_req = dbg_io_dbg_resume_req; // @[quasar.scala 148:25] - assign dec_io_exu_i0_br_way_r = exu_io_exu_bp_exu_i0_br_way_r; // @[quasar.scala 149:26] - assign dec_io_scan_mode = io_scan_mode; // @[quasar.scala 151:20] - assign dec_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst = ifu_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1 = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4 = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned = ifu_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start = ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err = ifu_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data = ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid = ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle = ifu_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle; // @[quasar.scala 91:18] - assign dec_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall = ifu_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall; // @[quasar.scala 91:18] - assign dec_io_dec_exu_dec_alu_exu_i0_pc_x = exu_io_dec_exu_dec_alu_exu_i0_pc_x; // @[quasar.scala 154:18] - assign dec_io_dec_exu_decode_exu_exu_i0_result_x = exu_io_dec_exu_decode_exu_exu_i0_result_x; // @[quasar.scala 154:18] - assign dec_io_dec_exu_decode_exu_exu_csr_rs1_x = exu_io_dec_exu_decode_exu_exu_csr_rs1_x; // @[quasar.scala 154:18] - assign dec_io_dec_exu_tlu_exu_exu_i0_br_hist_r = exu_io_dec_exu_tlu_exu_exu_i0_br_hist_r; // @[quasar.scala 154:18] - assign dec_io_dec_exu_tlu_exu_exu_i0_br_error_r = exu_io_dec_exu_tlu_exu_exu_i0_br_error_r; // @[quasar.scala 154:18] - assign dec_io_dec_exu_tlu_exu_exu_i0_br_start_error_r = exu_io_dec_exu_tlu_exu_exu_i0_br_start_error_r; // @[quasar.scala 154:18] - assign dec_io_dec_exu_tlu_exu_exu_i0_br_valid_r = exu_io_dec_exu_tlu_exu_exu_i0_br_valid_r; // @[quasar.scala 154:18] - assign dec_io_dec_exu_tlu_exu_exu_i0_br_mp_r = exu_io_dec_exu_tlu_exu_exu_i0_br_mp_r; // @[quasar.scala 154:18] - assign dec_io_dec_exu_tlu_exu_exu_i0_br_middle_r = exu_io_dec_exu_tlu_exu_exu_i0_br_middle_r; // @[quasar.scala 154:18] - assign dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp = exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp; // @[quasar.scala 154:18] - assign dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken = exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken; // @[quasar.scala 154:18] - assign dec_io_dec_exu_tlu_exu_exu_pmu_i0_pc4 = exu_io_dec_exu_tlu_exu_exu_pmu_i0_pc4; // @[quasar.scala 154:18] - assign dec_io_dec_exu_tlu_exu_exu_npc_r = exu_io_dec_exu_tlu_exu_exu_npc_r; // @[quasar.scala 154:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn; // @[quasar.scala 125:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned; // @[quasar.scala 125:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error; // @[quasar.scala 125:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy; // @[quasar.scala 125:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any = lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any; // @[quasar.scala 125:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any = lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any; // @[quasar.scala 125:18] - assign dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any = lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any; // @[quasar.scala 125:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m; // @[quasar.scala 125:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m; // @[quasar.scala 125:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r; // @[quasar.scala 125:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[quasar.scala 125:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid; // @[quasar.scala 125:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error; // @[quasar.scala 125:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag; // @[quasar.scala 125:18] - assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data; // @[quasar.scala 125:18] - assign dec_io_lsu_tlu_lsu_pmu_load_external_m = lsu_io_lsu_tlu_lsu_pmu_load_external_m; // @[quasar.scala 126:18] - assign dec_io_lsu_tlu_lsu_pmu_store_external_m = lsu_io_lsu_tlu_lsu_pmu_store_external_m; // @[quasar.scala 126:18] - assign dec_io_dec_dbg_dbg_ib_dbg_cmd_valid = dbg_io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[quasar.scala 133:18] - assign dec_io_dec_dbg_dbg_ib_dbg_cmd_write = dbg_io_dbg_dec_dbg_ib_dbg_cmd_write; // @[quasar.scala 133:18] - assign dec_io_dec_dbg_dbg_ib_dbg_cmd_type = dbg_io_dbg_dec_dbg_ib_dbg_cmd_type; // @[quasar.scala 133:18] - assign dec_io_dec_dbg_dbg_ib_dbg_cmd_addr = dbg_io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[quasar.scala 133:18] - assign dec_io_dec_dbg_dbg_dctl_dbg_cmd_wrdata = dbg_io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 133:18] - assign dec_io_dec_dma_dctl_dma_dma_dccm_stall_any = dma_ctrl_io_dec_dma_dctl_dma_dma_dccm_stall_any; // @[quasar.scala 128:18] - assign dec_io_dec_dma_tlu_dma_dma_pmu_dccm_read = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_read; // @[quasar.scala 128:18] - assign dec_io_dec_dma_tlu_dma_dma_pmu_dccm_write = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_write; // @[quasar.scala 128:18] - assign dec_io_dec_dma_tlu_dma_dma_pmu_any_read = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_read; // @[quasar.scala 128:18] - assign dec_io_dec_dma_tlu_dma_dma_pmu_any_write = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_write; // @[quasar.scala 128:18] - assign dec_io_dec_dma_tlu_dma_dma_dccm_stall_any = dma_ctrl_io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[quasar.scala 128:18] - assign dec_io_dec_dma_tlu_dma_dma_iccm_stall_any = dma_ctrl_io_dec_dma_tlu_dma_dma_iccm_stall_any; // @[quasar.scala 128:18] - assign dec_io_dec_pic_pic_claimid = pic_ctrl_inst_io_dec_pic_pic_claimid; // @[quasar.scala 220:28] - assign dec_io_dec_pic_pic_pl = pic_ctrl_inst_io_dec_pic_pic_pl; // @[quasar.scala 220:28] - assign dec_io_dec_pic_mhwakeup = pic_ctrl_inst_io_dec_pic_mhwakeup; // @[quasar.scala 220:28] - assign dec_io_dec_pic_mexintpend = pic_ctrl_inst_io_dec_pic_mexintpend; // @[quasar.scala 220:28] + assign dec_reset = io_core_rst_l; // @[quasar.scala 109:13] + assign dec_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 110:19] + assign dec_io_active_clk = rvclkhdr_1_io_l1clk; // @[quasar.scala 111:21] + assign dec_io_lsu_fastint_stall_any = lsu_io_lsu_fastint_stall_any; // @[quasar.scala 112:32] + assign dec_io_rst_vec = io_rst_vec; // @[quasar.scala 113:18] + assign dec_io_nmi_int = io_nmi_int; // @[quasar.scala 114:18] + assign dec_io_nmi_vec = io_nmi_vec; // @[quasar.scala 115:18] + assign dec_io_i_cpu_halt_req = io_i_cpu_halt_req; // @[quasar.scala 116:25] + assign dec_io_i_cpu_run_req = io_i_cpu_run_req; // @[quasar.scala 117:24] + assign dec_io_core_id = io_core_id; // @[quasar.scala 118:18] + assign dec_io_mpc_debug_halt_req = io_mpc_debug_halt_req; // @[quasar.scala 119:29] + assign dec_io_mpc_debug_run_req = io_mpc_debug_run_req; // @[quasar.scala 120:28] + assign dec_io_mpc_reset_run_req = io_mpc_reset_run_req; // @[quasar.scala 121:28] + assign dec_io_lsu_pmu_misaligned_m = lsu_io_lsu_pmu_misaligned_m; // @[quasar.scala 124:31] + assign dec_io_lsu_fir_addr = lsu_io_lsu_fir_addr; // @[quasar.scala 127:23] + assign dec_io_lsu_fir_error = lsu_io_lsu_fir_error; // @[quasar.scala 128:24] + assign dec_io_lsu_trigger_match_m = lsu_io_lsu_trigger_match_m; // @[quasar.scala 129:30] + assign dec_io_lsu_idle_any = lsu_io_lsu_idle_any; // @[quasar.scala 131:23] + assign dec_io_lsu_error_pkt_r_valid = lsu_io_lsu_error_pkt_r_valid; // @[quasar.scala 132:26] + assign dec_io_lsu_error_pkt_r_bits_single_ecc_error = lsu_io_lsu_error_pkt_r_bits_single_ecc_error; // @[quasar.scala 132:26] + assign dec_io_lsu_error_pkt_r_bits_inst_type = lsu_io_lsu_error_pkt_r_bits_inst_type; // @[quasar.scala 132:26] + assign dec_io_lsu_error_pkt_r_bits_exc_type = lsu_io_lsu_error_pkt_r_bits_exc_type; // @[quasar.scala 132:26] + assign dec_io_lsu_error_pkt_r_bits_mscause = lsu_io_lsu_error_pkt_r_bits_mscause; // @[quasar.scala 132:26] + assign dec_io_lsu_error_pkt_r_bits_addr = lsu_io_lsu_error_pkt_r_bits_addr; // @[quasar.scala 132:26] + assign dec_io_lsu_single_ecc_error_incr = lsu_io_lsu_single_ecc_error_incr; // @[quasar.scala 133:36] + assign dec_io_exu_div_result = exu_io_exu_div_result; // @[quasar.scala 134:25] + assign dec_io_exu_div_wren = exu_io_exu_div_wren; // @[quasar.scala 135:23] + assign dec_io_lsu_result_m = lsu_io_lsu_result_m; // @[quasar.scala 136:23] + assign dec_io_lsu_result_corr_r = lsu_io_lsu_result_corr_r; // @[quasar.scala 137:28] + assign dec_io_lsu_load_stall_any = lsu_io_lsu_load_stall_any; // @[quasar.scala 138:29] + assign dec_io_lsu_store_stall_any = lsu_io_lsu_store_stall_any; // @[quasar.scala 139:30] + assign dec_io_iccm_dma_sb_error = ifu_io_iccm_dma_sb_error; // @[quasar.scala 140:28] + assign dec_io_exu_flush_final = exu_io_exu_flush_final; // @[quasar.scala 141:26] + assign dec_io_timer_int = io_timer_int; // @[quasar.scala 147:20] + assign dec_io_soft_int = io_soft_int; // @[quasar.scala 143:19] + assign dec_io_dbg_halt_req = dbg_io_dbg_halt_req; // @[quasar.scala 144:23] + assign dec_io_dbg_resume_req = dbg_io_dbg_resume_req; // @[quasar.scala 145:25] + assign dec_io_exu_i0_br_way_r = exu_io_exu_bp_exu_i0_br_way_r; // @[quasar.scala 146:26] + assign dec_io_scan_mode = io_scan_mode; // @[quasar.scala 148:20] + assign dec_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst = ifu_io_ifu_dec_dec_aln_aln_dec_ifu_i0_cinst; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_type; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1 = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_icaf_f1; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_dbecc; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_index; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_fghr; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_bp_btag; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_valid; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_instr; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4 = ifu_io_ifu_dec_dec_aln_aln_ib_ifu_i0_pc4; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_valid; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_toffset; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_hist; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_error; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_br_start_error; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_prett; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_way; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret = ifu_io_ifu_dec_dec_aln_aln_ib_i0_brp_bits_ret; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned = ifu_io_ifu_dec_dec_aln_ifu_pmu_instr_aligned; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_miss; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_ic_hit; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_error; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_busy; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn = ifu_io_ifu_dec_dec_mem_ctrl_ifu_pmu_bus_trxn; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start = ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_error_start; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err = ifu_io_ifu_dec_dec_mem_ctrl_ifu_iccm_rd_ecc_single_err; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data = ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid = ifu_io_ifu_dec_dec_mem_ctrl_ifu_ic_debug_rd_data_valid; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle = ifu_io_ifu_dec_dec_mem_ctrl_ifu_miss_state_idle; // @[quasar.scala 88:18] + assign dec_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall = ifu_io_ifu_dec_dec_ifc_ifu_pmu_fetch_stall; // @[quasar.scala 88:18] + assign dec_io_dec_exu_dec_alu_exu_i0_pc_x = exu_io_dec_exu_dec_alu_exu_i0_pc_x; // @[quasar.scala 151:18] + assign dec_io_dec_exu_decode_exu_exu_i0_result_x = exu_io_dec_exu_decode_exu_exu_i0_result_x; // @[quasar.scala 151:18] + assign dec_io_dec_exu_decode_exu_exu_csr_rs1_x = exu_io_dec_exu_decode_exu_exu_csr_rs1_x; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_i0_br_hist_r = exu_io_dec_exu_tlu_exu_exu_i0_br_hist_r; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_i0_br_error_r = exu_io_dec_exu_tlu_exu_exu_i0_br_error_r; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_i0_br_start_error_r = exu_io_dec_exu_tlu_exu_exu_i0_br_start_error_r; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_i0_br_valid_r = exu_io_dec_exu_tlu_exu_exu_i0_br_valid_r; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_i0_br_mp_r = exu_io_dec_exu_tlu_exu_exu_i0_br_mp_r; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_i0_br_middle_r = exu_io_dec_exu_tlu_exu_exu_i0_br_middle_r; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp = exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_misp; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken = exu_io_dec_exu_tlu_exu_exu_pmu_i0_br_ataken; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_pmu_i0_pc4 = exu_io_dec_exu_tlu_exu_exu_pmu_i0_pc4; // @[quasar.scala 151:18] + assign dec_io_dec_exu_tlu_exu_exu_npc_r = exu_io_dec_exu_tlu_exu_exu_npc_r; // @[quasar.scala 151:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_trxn; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_misaligned; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_error; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy = lsu_io_lsu_dec_tlu_busbuff_lsu_pmu_bus_busy; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any = lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_load_any; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any = lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_store_any; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any = lsu_io_lsu_dec_tlu_busbuff_lsu_imprecise_error_addr_any; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_valid_m; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_tag_m; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_r; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_inv_tag_r; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_valid; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_error; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data_tag; // @[quasar.scala 122:18] + assign dec_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data = lsu_io_lsu_dec_dctl_busbuff_lsu_nonblock_load_data; // @[quasar.scala 122:18] + assign dec_io_lsu_tlu_lsu_pmu_load_external_m = lsu_io_lsu_tlu_lsu_pmu_load_external_m; // @[quasar.scala 123:18] + assign dec_io_lsu_tlu_lsu_pmu_store_external_m = lsu_io_lsu_tlu_lsu_pmu_store_external_m; // @[quasar.scala 123:18] + assign dec_io_dec_dbg_dbg_ib_dbg_cmd_valid = dbg_io_dbg_dec_dbg_ib_dbg_cmd_valid; // @[quasar.scala 130:18] + assign dec_io_dec_dbg_dbg_ib_dbg_cmd_write = dbg_io_dbg_dec_dbg_ib_dbg_cmd_write; // @[quasar.scala 130:18] + assign dec_io_dec_dbg_dbg_ib_dbg_cmd_type = dbg_io_dbg_dec_dbg_ib_dbg_cmd_type; // @[quasar.scala 130:18] + assign dec_io_dec_dbg_dbg_ib_dbg_cmd_addr = dbg_io_dbg_dec_dbg_ib_dbg_cmd_addr; // @[quasar.scala 130:18] + assign dec_io_dec_dbg_dbg_dctl_dbg_cmd_wrdata = dbg_io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 130:18] + assign dec_io_dec_dma_dctl_dma_dma_dccm_stall_any = dma_ctrl_io_dec_dma_dctl_dma_dma_dccm_stall_any; // @[quasar.scala 125:18] + assign dec_io_dec_dma_tlu_dma_dma_pmu_dccm_read = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_read; // @[quasar.scala 125:18] + assign dec_io_dec_dma_tlu_dma_dma_pmu_dccm_write = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_dccm_write; // @[quasar.scala 125:18] + assign dec_io_dec_dma_tlu_dma_dma_pmu_any_read = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_read; // @[quasar.scala 125:18] + assign dec_io_dec_dma_tlu_dma_dma_pmu_any_write = dma_ctrl_io_dec_dma_tlu_dma_dma_pmu_any_write; // @[quasar.scala 125:18] + assign dec_io_dec_dma_tlu_dma_dma_dccm_stall_any = dma_ctrl_io_dec_dma_tlu_dma_dma_dccm_stall_any; // @[quasar.scala 125:18] + assign dec_io_dec_dma_tlu_dma_dma_iccm_stall_any = dma_ctrl_io_dec_dma_tlu_dma_dma_iccm_stall_any; // @[quasar.scala 125:18] + assign dec_io_dec_pic_pic_claimid = pic_ctrl_inst_io_dec_pic_pic_claimid; // @[quasar.scala 217:28] + assign dec_io_dec_pic_pic_pl = pic_ctrl_inst_io_dec_pic_pic_pl; // @[quasar.scala 217:28] + assign dec_io_dec_pic_mhwakeup = pic_ctrl_inst_io_dec_pic_mhwakeup; // @[quasar.scala 217:28] + assign dec_io_dec_pic_mexintpend = pic_ctrl_inst_io_dec_pic_mexintpend; // @[quasar.scala 217:28] assign dbg_clock = clock; - assign dbg_reset = io_core_rst_l; // @[quasar.scala 179:13] - assign dbg_io_core_dbg_rddata = dma_ctrl_io_dma_dbg_cmd_done ? dma_ctrl_io_dma_dbg_rddata : dec_io_dec_dbg_rddata; // @[quasar.scala 180:26] - assign dbg_io_core_dbg_cmd_done = dma_ctrl_io_dma_dbg_cmd_done | dec_io_dec_dbg_cmd_done; // @[quasar.scala 181:28] - assign dbg_io_core_dbg_cmd_fail = dma_ctrl_io_dma_dbg_cmd_fail | dec_io_dec_dbg_cmd_fail; // @[quasar.scala 182:28] - assign dbg_io_dec_tlu_debug_mode = dec_io_dec_tlu_debug_mode; // @[quasar.scala 183:29] - assign dbg_io_dec_tlu_dbg_halted = dec_io_dec_tlu_dbg_halted; // @[quasar.scala 184:29] - assign dbg_io_dec_tlu_mpc_halted_only = dec_io_dec_tlu_mpc_halted_only; // @[quasar.scala 185:34] - assign dbg_io_dec_tlu_resume_ack = dec_io_dec_tlu_resume_ack; // @[quasar.scala 186:29] - assign dbg_io_dmi_reg_en = io_dmi_reg_en; // @[quasar.scala 187:21] - assign dbg_io_dmi_reg_addr = io_dmi_reg_addr; // @[quasar.scala 188:23] - assign dbg_io_dmi_reg_wr_en = io_dmi_reg_wr_en; // @[quasar.scala 189:24] - assign dbg_io_dmi_reg_wdata = io_dmi_reg_wdata; // @[quasar.scala 190:24] - assign dbg_io_sb_axi_aw_ready = axi4_to_ahb_io_axi_aw_ready; // @[quasar.scala 266:27] - assign dbg_io_sb_axi_w_ready = axi4_to_ahb_io_axi_w_ready; // @[quasar.scala 266:27] - assign dbg_io_sb_axi_b_valid = axi4_to_ahb_io_axi_b_valid; // @[quasar.scala 266:27] - assign dbg_io_sb_axi_b_bits_resp = axi4_to_ahb_io_axi_b_bits_resp; // @[quasar.scala 266:27] - assign dbg_io_sb_axi_ar_ready = axi4_to_ahb_io_axi_ar_ready; // @[quasar.scala 266:27] - assign dbg_io_sb_axi_r_valid = axi4_to_ahb_io_axi_r_valid; // @[quasar.scala 266:27] - assign dbg_io_sb_axi_r_bits_data = axi4_to_ahb_io_axi_r_bits_data; // @[quasar.scala 266:27] - assign dbg_io_sb_axi_r_bits_resp = axi4_to_ahb_io_axi_r_bits_resp; // @[quasar.scala 266:27] - assign dbg_io_dbg_dma_io_dma_dbg_ready = dma_ctrl_io_dbg_dma_io_dma_dbg_ready; // @[quasar.scala 204:26] - assign dbg_io_dbg_bus_clk_en = io_dbg_bus_clk_en; // @[quasar.scala 191:25] - assign dbg_io_dbg_rst_l = io_dbg_rst_l; // @[quasar.scala 192:20] - assign dbg_io_clk_override = dec_io_dec_tlu_misc_clk_override; // @[quasar.scala 193:23] - assign dbg_io_scan_mode = io_scan_mode; // @[quasar.scala 194:20] + assign dbg_reset = io_core_rst_l; // @[quasar.scala 176:13] + assign dbg_io_core_dbg_rddata = dma_ctrl_io_dma_dbg_cmd_done ? dma_ctrl_io_dma_dbg_rddata : dec_io_dec_dbg_rddata; // @[quasar.scala 177:26] + assign dbg_io_core_dbg_cmd_done = dma_ctrl_io_dma_dbg_cmd_done | dec_io_dec_dbg_cmd_done; // @[quasar.scala 178:28] + assign dbg_io_core_dbg_cmd_fail = dma_ctrl_io_dma_dbg_cmd_fail | dec_io_dec_dbg_cmd_fail; // @[quasar.scala 179:28] + assign dbg_io_dec_tlu_debug_mode = dec_io_dec_tlu_debug_mode; // @[quasar.scala 180:29] + assign dbg_io_dec_tlu_dbg_halted = dec_io_dec_tlu_dbg_halted; // @[quasar.scala 181:29] + assign dbg_io_dec_tlu_mpc_halted_only = dec_io_dec_tlu_mpc_halted_only; // @[quasar.scala 182:34] + assign dbg_io_dec_tlu_resume_ack = dec_io_dec_tlu_resume_ack; // @[quasar.scala 183:29] + assign dbg_io_dmi_reg_en = io_dmi_reg_en; // @[quasar.scala 184:21] + assign dbg_io_dmi_reg_addr = io_dmi_reg_addr; // @[quasar.scala 185:23] + assign dbg_io_dmi_reg_wr_en = io_dmi_reg_wr_en; // @[quasar.scala 186:24] + assign dbg_io_dmi_reg_wdata = io_dmi_reg_wdata; // @[quasar.scala 187:24] + assign dbg_io_sb_axi_aw_ready = io_sb_axi_aw_ready; // @[quasar.scala 283:27] + assign dbg_io_sb_axi_w_ready = io_sb_axi_w_ready; // @[quasar.scala 283:27] + assign dbg_io_sb_axi_b_valid = io_sb_axi_b_valid; // @[quasar.scala 283:27] + assign dbg_io_sb_axi_b_bits_resp = io_sb_axi_b_bits_resp; // @[quasar.scala 283:27] + assign dbg_io_sb_axi_ar_ready = io_sb_axi_ar_ready; // @[quasar.scala 283:27] + assign dbg_io_sb_axi_r_valid = io_sb_axi_r_valid; // @[quasar.scala 283:27] + assign dbg_io_sb_axi_r_bits_data = io_sb_axi_r_bits_data; // @[quasar.scala 283:27] + assign dbg_io_sb_axi_r_bits_resp = io_sb_axi_r_bits_resp; // @[quasar.scala 283:27] + assign dbg_io_dbg_dma_io_dma_dbg_ready = dma_ctrl_io_dbg_dma_io_dma_dbg_ready; // @[quasar.scala 201:26] + assign dbg_io_dbg_bus_clk_en = io_dbg_bus_clk_en; // @[quasar.scala 188:25] + assign dbg_io_dbg_rst_l = io_dbg_rst_l; // @[quasar.scala 189:20] + assign dbg_io_clk_override = dec_io_dec_tlu_misc_clk_override; // @[quasar.scala 190:23] + assign dbg_io_scan_mode = io_scan_mode; // @[quasar.scala 191:20] assign exu_clock = clock; - assign exu_reset = io_core_rst_l; // @[quasar.scala 155:13] - assign exu_io_scan_mode = io_scan_mode; // @[quasar.scala 156:20] - assign exu_io_dec_exu_dec_alu_dec_i0_alu_decode_d = dec_io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[quasar.scala 154:18] - assign exu_io_dec_exu_dec_alu_dec_csr_ren_d = dec_io_dec_exu_dec_alu_dec_csr_ren_d; // @[quasar.scala 154:18] - assign exu_io_dec_exu_dec_alu_dec_i0_br_immed_d = dec_io_dec_exu_dec_alu_dec_i0_br_immed_d; // @[quasar.scala 154:18] - assign exu_io_dec_exu_dec_div_div_p_valid = dec_io_dec_exu_dec_div_div_p_valid; // @[quasar.scala 154:18] - assign exu_io_dec_exu_dec_div_div_p_bits_unsign = dec_io_dec_exu_dec_div_div_p_bits_unsign; // @[quasar.scala 154:18] - assign exu_io_dec_exu_dec_div_div_p_bits_rem = dec_io_dec_exu_dec_div_div_p_bits_rem; // @[quasar.scala 154:18] - assign exu_io_dec_exu_dec_div_dec_div_cancel = dec_io_dec_exu_dec_div_dec_div_cancel; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_data_en = dec_io_dec_exu_decode_exu_dec_data_en; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_ctl_en = dec_io_dec_exu_decode_exu_dec_ctl_en; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_land = dec_io_dec_exu_decode_exu_i0_ap_land; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_lor = dec_io_dec_exu_decode_exu_i0_ap_lor; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_lxor = dec_io_dec_exu_decode_exu_i0_ap_lxor; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_sll = dec_io_dec_exu_decode_exu_i0_ap_sll; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_srl = dec_io_dec_exu_decode_exu_i0_ap_srl; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_sra = dec_io_dec_exu_decode_exu_i0_ap_sra; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_beq = dec_io_dec_exu_decode_exu_i0_ap_beq; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_bne = dec_io_dec_exu_decode_exu_i0_ap_bne; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_blt = dec_io_dec_exu_decode_exu_i0_ap_blt; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_bge = dec_io_dec_exu_decode_exu_i0_ap_bge; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_add = dec_io_dec_exu_decode_exu_i0_ap_add; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_sub = dec_io_dec_exu_decode_exu_i0_ap_sub; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_slt = dec_io_dec_exu_decode_exu_i0_ap_slt; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_unsign = dec_io_dec_exu_decode_exu_i0_ap_unsign; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_jal = dec_io_dec_exu_decode_exu_i0_ap_jal; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_predict_t = dec_io_dec_exu_decode_exu_i0_ap_predict_t; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_predict_nt = dec_io_dec_exu_decode_exu_i0_ap_predict_nt; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_csr_write = dec_io_dec_exu_decode_exu_i0_ap_csr_write; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_ap_csr_imm = dec_io_dec_exu_decode_exu_i0_ap_csr_imm; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4 = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_predict_fghr_d = dec_io_dec_exu_decode_exu_i0_predict_fghr_d; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_predict_index_d = dec_io_dec_exu_decode_exu_i0_predict_index_d; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_i0_predict_btag_d = dec_io_dec_exu_decode_exu_i0_predict_btag_d; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_rs1_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_rs2_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs2_en_d; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_immed_d = dec_io_dec_exu_decode_exu_dec_i0_immed_d; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d = dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d = dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_select_pc_d = dec_io_dec_exu_decode_exu_dec_i0_select_pc_d; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_mul_p_valid = dec_io_dec_exu_decode_exu_mul_p_valid; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_mul_p_bits_rs1_sign = dec_io_dec_exu_decode_exu_mul_p_bits_rs1_sign; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_mul_p_bits_rs2_sign = dec_io_dec_exu_decode_exu_mul_p_bits_rs2_sign; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_mul_p_bits_low = dec_io_dec_exu_decode_exu_mul_p_bits_low; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_pred_correct_npc_x = dec_io_dec_exu_decode_exu_pred_correct_npc_x; // @[quasar.scala 154:18] - assign exu_io_dec_exu_decode_exu_dec_extint_stall = dec_io_dec_exu_decode_exu_dec_extint_stall; // @[quasar.scala 154:18] - assign exu_io_dec_exu_tlu_exu_dec_tlu_meihap = dec_io_dec_exu_tlu_exu_dec_tlu_meihap; // @[quasar.scala 154:18] - assign exu_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 154:18] - assign exu_io_dec_exu_tlu_exu_dec_tlu_flush_path_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_path_r; // @[quasar.scala 154:18] - assign exu_io_dec_exu_ib_exu_dec_i0_pc_d = dec_io_dec_exu_ib_exu_dec_i0_pc_d; // @[quasar.scala 154:18] - assign exu_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d = dec_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d; // @[quasar.scala 154:18] - assign exu_io_dec_exu_gpr_exu_gpr_i0_rs1_d = dec_io_dec_exu_gpr_exu_gpr_i0_rs1_d; // @[quasar.scala 154:18] - assign exu_io_dec_exu_gpr_exu_gpr_i0_rs2_d = dec_io_dec_exu_gpr_exu_gpr_i0_rs2_d; // @[quasar.scala 154:18] - assign exu_io_dbg_cmd_wrdata = dbg_io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 157:25] + assign exu_reset = io_core_rst_l; // @[quasar.scala 152:13] + assign exu_io_scan_mode = io_scan_mode; // @[quasar.scala 153:20] + assign exu_io_dec_exu_dec_alu_dec_i0_alu_decode_d = dec_io_dec_exu_dec_alu_dec_i0_alu_decode_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_dec_alu_dec_csr_ren_d = dec_io_dec_exu_dec_alu_dec_csr_ren_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_dec_alu_dec_i0_br_immed_d = dec_io_dec_exu_dec_alu_dec_i0_br_immed_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_dec_div_div_p_valid = dec_io_dec_exu_dec_div_div_p_valid; // @[quasar.scala 151:18] + assign exu_io_dec_exu_dec_div_div_p_bits_unsign = dec_io_dec_exu_dec_div_div_p_bits_unsign; // @[quasar.scala 151:18] + assign exu_io_dec_exu_dec_div_div_p_bits_rem = dec_io_dec_exu_dec_div_div_p_bits_rem; // @[quasar.scala 151:18] + assign exu_io_dec_exu_dec_div_dec_div_cancel = dec_io_dec_exu_dec_div_dec_div_cancel; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_data_en = dec_io_dec_exu_decode_exu_dec_data_en; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_ctl_en = dec_io_dec_exu_decode_exu_dec_ctl_en; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_land = dec_io_dec_exu_decode_exu_i0_ap_land; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_lor = dec_io_dec_exu_decode_exu_i0_ap_lor; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_lxor = dec_io_dec_exu_decode_exu_i0_ap_lxor; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_sll = dec_io_dec_exu_decode_exu_i0_ap_sll; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_srl = dec_io_dec_exu_decode_exu_i0_ap_srl; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_sra = dec_io_dec_exu_decode_exu_i0_ap_sra; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_beq = dec_io_dec_exu_decode_exu_i0_ap_beq; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_bne = dec_io_dec_exu_decode_exu_i0_ap_bne; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_blt = dec_io_dec_exu_decode_exu_i0_ap_blt; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_bge = dec_io_dec_exu_decode_exu_i0_ap_bge; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_add = dec_io_dec_exu_decode_exu_i0_ap_add; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_sub = dec_io_dec_exu_decode_exu_i0_ap_sub; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_slt = dec_io_dec_exu_decode_exu_i0_ap_slt; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_unsign = dec_io_dec_exu_decode_exu_i0_ap_unsign; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_jal = dec_io_dec_exu_decode_exu_i0_ap_jal; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_predict_t = dec_io_dec_exu_decode_exu_i0_ap_predict_t; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_predict_nt = dec_io_dec_exu_decode_exu_i0_ap_predict_nt; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_csr_write = dec_io_dec_exu_decode_exu_i0_ap_csr_write; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_ap_csr_imm = dec_io_dec_exu_decode_exu_i0_ap_csr_imm; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_valid; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4 = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pc4; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_hist; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_toffset; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_error; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_br_start_error; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_prett; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pcall; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pret; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_pja; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way = dec_io_dec_exu_decode_exu_dec_i0_predict_p_d_bits_way; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_predict_fghr_d = dec_io_dec_exu_decode_exu_i0_predict_fghr_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_predict_index_d = dec_io_dec_exu_decode_exu_i0_predict_index_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_i0_predict_btag_d = dec_io_dec_exu_decode_exu_i0_predict_btag_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_rs1_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs1_en_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_rs2_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs2_en_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_immed_d = dec_io_dec_exu_decode_exu_dec_i0_immed_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d = dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_data_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d = dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_data_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_select_pc_d = dec_io_dec_exu_decode_exu_dec_i0_select_pc_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs1_bypass_en_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d = dec_io_dec_exu_decode_exu_dec_i0_rs2_bypass_en_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_mul_p_valid = dec_io_dec_exu_decode_exu_mul_p_valid; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_mul_p_bits_rs1_sign = dec_io_dec_exu_decode_exu_mul_p_bits_rs1_sign; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_mul_p_bits_rs2_sign = dec_io_dec_exu_decode_exu_mul_p_bits_rs2_sign; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_mul_p_bits_low = dec_io_dec_exu_decode_exu_mul_p_bits_low; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_pred_correct_npc_x = dec_io_dec_exu_decode_exu_pred_correct_npc_x; // @[quasar.scala 151:18] + assign exu_io_dec_exu_decode_exu_dec_extint_stall = dec_io_dec_exu_decode_exu_dec_extint_stall; // @[quasar.scala 151:18] + assign exu_io_dec_exu_tlu_exu_dec_tlu_meihap = dec_io_dec_exu_tlu_exu_dec_tlu_meihap; // @[quasar.scala 151:18] + assign exu_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 151:18] + assign exu_io_dec_exu_tlu_exu_dec_tlu_flush_path_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_path_r; // @[quasar.scala 151:18] + assign exu_io_dec_exu_ib_exu_dec_i0_pc_d = dec_io_dec_exu_ib_exu_dec_i0_pc_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d = dec_io_dec_exu_ib_exu_dec_debug_wdata_rs1_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_gpr_exu_gpr_i0_rs1_d = dec_io_dec_exu_gpr_exu_gpr_i0_rs1_d; // @[quasar.scala 151:18] + assign exu_io_dec_exu_gpr_exu_gpr_i0_rs2_d = dec_io_dec_exu_gpr_exu_gpr_i0_rs2_d; // @[quasar.scala 151:18] + assign exu_io_dbg_cmd_wrdata = dbg_io_dbg_dec_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 154:25] assign lsu_clock = clock; - assign lsu_reset = io_core_rst_l; // @[quasar.scala 160:13] - assign lsu_io_clk_override = dec_io_dec_tlu_lsu_clk_override; // @[quasar.scala 161:23] - assign lsu_io_lsu_dma_dma_lsc_ctl_dma_dccm_req = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[quasar.scala 174:18] - assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_addr = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[quasar.scala 174:18] - assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_sz = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[quasar.scala 174:18] - assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_write = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[quasar.scala 174:18] - assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[quasar.scala 174:18] - assign lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_addr = dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_addr; // @[quasar.scala 174:18] - assign lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata = dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata; // @[quasar.scala 174:18] - assign lsu_io_lsu_dma_dma_mem_tag = dma_ctrl_io_lsu_dma_dma_mem_tag; // @[quasar.scala 174:18] - assign lsu_io_lsu_pic_picm_rd_data = pic_ctrl_inst_io_lsu_pic_picm_rd_data; // @[quasar.scala 219:28] - assign lsu_io_lsu_exu_exu_lsu_rs1_d = exu_io_lsu_exu_exu_lsu_rs1_d; // @[quasar.scala 166:18] - assign lsu_io_lsu_exu_exu_lsu_rs2_d = exu_io_lsu_exu_exu_lsu_rs2_d; // @[quasar.scala 166:18] - assign lsu_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable = dec_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[quasar.scala 125:18] - assign lsu_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable = dec_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[quasar.scala 125:18] - assign lsu_io_dccm_rd_data_lo = io_dccm_rd_data_lo; // @[quasar.scala 242:11] - assign lsu_io_dccm_rd_data_hi = io_dccm_rd_data_hi; // @[quasar.scala 242:11] - assign lsu_io_axi_aw_ready = axi4_to_ahb_2_io_axi_aw_ready; // @[quasar.scala 253:28] - assign lsu_io_axi_w_ready = axi4_to_ahb_2_io_axi_w_ready; // @[quasar.scala 253:28] - assign lsu_io_axi_b_valid = axi4_to_ahb_2_io_axi_b_valid; // @[quasar.scala 253:28] - assign lsu_io_axi_b_bits_id = axi4_to_ahb_2_io_axi_b_bits_id; // @[quasar.scala 253:28] - assign lsu_io_axi_ar_ready = axi4_to_ahb_2_io_axi_ar_ready; // @[quasar.scala 253:28] - assign lsu_io_axi_r_valid = axi4_to_ahb_2_io_axi_r_valid; // @[quasar.scala 253:28] - assign lsu_io_axi_r_bits_id = axi4_to_ahb_2_io_axi_r_bits_id; // @[quasar.scala 253:28] - assign lsu_io_axi_r_bits_data = axi4_to_ahb_2_io_axi_r_bits_data; // @[quasar.scala 253:28] - assign lsu_io_axi_r_bits_resp = axi4_to_ahb_2_io_axi_r_bits_resp; // @[quasar.scala 253:28] - assign lsu_io_dec_tlu_flush_lower_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 162:32] - assign lsu_io_dec_tlu_i0_kill_writeb_r = dec_io_dec_tlu_i0_kill_writeb_r; // @[quasar.scala 163:35] - assign lsu_io_dec_tlu_force_halt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 164:29] - assign lsu_io_dec_tlu_core_ecc_disable = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 165:35] - assign lsu_io_dec_lsu_offset_d = dec_io_dec_lsu_offset_d; // @[quasar.scala 167:27] - assign lsu_io_lsu_p_valid = dec_io_lsu_p_valid; // @[quasar.scala 168:16] - assign lsu_io_lsu_p_bits_fast_int = dec_io_lsu_p_bits_fast_int; // @[quasar.scala 168:16] - assign lsu_io_lsu_p_bits_by = dec_io_lsu_p_bits_by; // @[quasar.scala 168:16] - assign lsu_io_lsu_p_bits_half = dec_io_lsu_p_bits_half; // @[quasar.scala 168:16] - assign lsu_io_lsu_p_bits_word = dec_io_lsu_p_bits_word; // @[quasar.scala 168:16] - assign lsu_io_lsu_p_bits_load = dec_io_lsu_p_bits_load; // @[quasar.scala 168:16] - assign lsu_io_lsu_p_bits_store = dec_io_lsu_p_bits_store; // @[quasar.scala 168:16] - assign lsu_io_lsu_p_bits_unsign = dec_io_lsu_p_bits_unsign; // @[quasar.scala 168:16] - assign lsu_io_lsu_p_bits_store_data_bypass_d = dec_io_lsu_p_bits_store_data_bypass_d; // @[quasar.scala 168:16] - assign lsu_io_lsu_p_bits_load_ldst_bypass_d = dec_io_lsu_p_bits_load_ldst_bypass_d; // @[quasar.scala 168:16] - assign lsu_io_trigger_pkt_any_0_select = dec_io_trigger_pkt_any_0_select; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_0_match_pkt = dec_io_trigger_pkt_any_0_match_pkt; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_0_store = dec_io_trigger_pkt_any_0_store; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_0_load = dec_io_trigger_pkt_any_0_load; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_0_tdata2 = dec_io_trigger_pkt_any_0_tdata2; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_1_select = dec_io_trigger_pkt_any_1_select; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_1_match_pkt = dec_io_trigger_pkt_any_1_match_pkt; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_1_store = dec_io_trigger_pkt_any_1_store; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_1_load = dec_io_trigger_pkt_any_1_load; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_1_tdata2 = dec_io_trigger_pkt_any_1_tdata2; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_2_select = dec_io_trigger_pkt_any_2_select; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_2_match_pkt = dec_io_trigger_pkt_any_2_match_pkt; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_2_store = dec_io_trigger_pkt_any_2_store; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_2_load = dec_io_trigger_pkt_any_2_load; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_2_tdata2 = dec_io_trigger_pkt_any_2_tdata2; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_3_select = dec_io_trigger_pkt_any_3_select; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_3_match_pkt = dec_io_trigger_pkt_any_3_match_pkt; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_3_store = dec_io_trigger_pkt_any_3_store; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_3_load = dec_io_trigger_pkt_any_3_load; // @[quasar.scala 171:26] - assign lsu_io_trigger_pkt_any_3_tdata2 = dec_io_trigger_pkt_any_3_tdata2; // @[quasar.scala 171:26] - assign lsu_io_dec_lsu_valid_raw_d = dec_io_dec_lsu_valid_raw_d; // @[quasar.scala 169:30] - assign lsu_io_dec_tlu_mrac_ff = dec_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 170:26] - assign lsu_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[quasar.scala 173:25] - assign lsu_io_scan_mode = io_scan_mode; // @[quasar.scala 175:20] - assign lsu_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 176:19] + assign lsu_reset = io_core_rst_l; // @[quasar.scala 157:13] + assign lsu_io_clk_override = dec_io_dec_tlu_lsu_clk_override; // @[quasar.scala 158:23] + assign lsu_io_lsu_dma_dma_lsc_ctl_dma_dccm_req = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_dccm_req; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_addr = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_addr; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_sz = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_sz; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_write = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_write; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata = dma_ctrl_io_lsu_dma_dma_lsc_ctl_dma_mem_wdata; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_addr = dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_addr; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata = dma_ctrl_io_lsu_dma_dma_dccm_ctl_dma_mem_wdata; // @[quasar.scala 171:18] + assign lsu_io_lsu_dma_dma_mem_tag = dma_ctrl_io_lsu_dma_dma_mem_tag; // @[quasar.scala 171:18] + assign lsu_io_lsu_pic_picm_rd_data = pic_ctrl_inst_io_lsu_pic_picm_rd_data; // @[quasar.scala 216:28] + assign lsu_io_lsu_exu_exu_lsu_rs1_d = exu_io_lsu_exu_exu_lsu_rs1_d; // @[quasar.scala 163:18] + assign lsu_io_lsu_exu_exu_lsu_rs2_d = exu_io_lsu_exu_exu_lsu_rs2_d; // @[quasar.scala 163:18] + assign lsu_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable = dec_io_lsu_dec_tlu_busbuff_dec_tlu_external_ldfwd_disable; // @[quasar.scala 122:18] + assign lsu_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable = dec_io_lsu_dec_tlu_busbuff_dec_tlu_wb_coalescing_disable; // @[quasar.scala 122:18] + assign lsu_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable = dec_io_lsu_dec_tlu_busbuff_dec_tlu_sideeffect_posted_disable; // @[quasar.scala 122:18] + assign lsu_io_dccm_rd_data_lo = io_dccm_rd_data_lo; // @[quasar.scala 239:11] + assign lsu_io_dccm_rd_data_hi = io_dccm_rd_data_hi; // @[quasar.scala 239:11] + assign lsu_io_axi_aw_ready = io_lsu_axi_aw_ready; // @[quasar.scala 285:27] + assign lsu_io_axi_w_ready = io_lsu_axi_w_ready; // @[quasar.scala 285:27] + assign lsu_io_axi_b_valid = io_lsu_axi_b_valid; // @[quasar.scala 285:27] + assign lsu_io_axi_b_bits_id = io_lsu_axi_b_bits_id; // @[quasar.scala 285:27] + assign lsu_io_axi_ar_ready = io_lsu_axi_ar_ready; // @[quasar.scala 285:27] + assign lsu_io_axi_r_valid = io_lsu_axi_r_valid; // @[quasar.scala 285:27] + assign lsu_io_axi_r_bits_id = io_lsu_axi_r_bits_id; // @[quasar.scala 285:27] + assign lsu_io_axi_r_bits_data = io_lsu_axi_r_bits_data; // @[quasar.scala 285:27] + assign lsu_io_axi_r_bits_resp = io_lsu_axi_r_bits_resp; // @[quasar.scala 285:27] + assign lsu_io_dec_tlu_flush_lower_r = dec_io_dec_exu_tlu_exu_dec_tlu_flush_lower_r; // @[quasar.scala 159:32] + assign lsu_io_dec_tlu_i0_kill_writeb_r = dec_io_dec_tlu_i0_kill_writeb_r; // @[quasar.scala 160:35] + assign lsu_io_dec_tlu_force_halt = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_force_halt; // @[quasar.scala 161:29] + assign lsu_io_dec_tlu_core_ecc_disable = dec_io_ifu_dec_dec_mem_ctrl_dec_tlu_core_ecc_disable; // @[quasar.scala 162:35] + assign lsu_io_dec_lsu_offset_d = dec_io_dec_lsu_offset_d; // @[quasar.scala 164:27] + assign lsu_io_lsu_p_valid = dec_io_lsu_p_valid; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_fast_int = dec_io_lsu_p_bits_fast_int; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_by = dec_io_lsu_p_bits_by; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_half = dec_io_lsu_p_bits_half; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_word = dec_io_lsu_p_bits_word; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_load = dec_io_lsu_p_bits_load; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_store = dec_io_lsu_p_bits_store; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_unsign = dec_io_lsu_p_bits_unsign; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_store_data_bypass_d = dec_io_lsu_p_bits_store_data_bypass_d; // @[quasar.scala 165:16] + assign lsu_io_lsu_p_bits_load_ldst_bypass_d = dec_io_lsu_p_bits_load_ldst_bypass_d; // @[quasar.scala 165:16] + assign lsu_io_trigger_pkt_any_0_select = dec_io_trigger_pkt_any_0_select; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_0_match_pkt = dec_io_trigger_pkt_any_0_match_pkt; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_0_store = dec_io_trigger_pkt_any_0_store; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_0_load = dec_io_trigger_pkt_any_0_load; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_0_tdata2 = dec_io_trigger_pkt_any_0_tdata2; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_1_select = dec_io_trigger_pkt_any_1_select; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_1_match_pkt = dec_io_trigger_pkt_any_1_match_pkt; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_1_store = dec_io_trigger_pkt_any_1_store; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_1_load = dec_io_trigger_pkt_any_1_load; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_1_tdata2 = dec_io_trigger_pkt_any_1_tdata2; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_2_select = dec_io_trigger_pkt_any_2_select; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_2_match_pkt = dec_io_trigger_pkt_any_2_match_pkt; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_2_store = dec_io_trigger_pkt_any_2_store; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_2_load = dec_io_trigger_pkt_any_2_load; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_2_tdata2 = dec_io_trigger_pkt_any_2_tdata2; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_3_select = dec_io_trigger_pkt_any_3_select; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_3_match_pkt = dec_io_trigger_pkt_any_3_match_pkt; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_3_store = dec_io_trigger_pkt_any_3_store; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_3_load = dec_io_trigger_pkt_any_3_load; // @[quasar.scala 168:26] + assign lsu_io_trigger_pkt_any_3_tdata2 = dec_io_trigger_pkt_any_3_tdata2; // @[quasar.scala 168:26] + assign lsu_io_dec_lsu_valid_raw_d = dec_io_dec_lsu_valid_raw_d; // @[quasar.scala 166:30] + assign lsu_io_dec_tlu_mrac_ff = dec_io_ifu_dec_dec_ifc_dec_tlu_mrac_ff; // @[quasar.scala 167:26] + assign lsu_io_lsu_bus_clk_en = io_lsu_bus_clk_en; // @[quasar.scala 170:25] + assign lsu_io_scan_mode = io_scan_mode; // @[quasar.scala 172:20] + assign lsu_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 173:19] assign pic_ctrl_inst_clock = clock; - assign pic_ctrl_inst_reset = io_core_rst_l; // @[quasar.scala 214:23] - assign pic_ctrl_inst_io_scan_mode = io_scan_mode; // @[quasar.scala 213:30] - assign pic_ctrl_inst_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 215:29] - assign pic_ctrl_inst_io_active_clk = rvclkhdr_1_io_l1clk; // @[quasar.scala 216:31] - assign pic_ctrl_inst_io_clk_override = dec_io_dec_tlu_pic_clk_override; // @[quasar.scala 217:33] - assign pic_ctrl_inst_io_extintsrc_req = {{1'd0}, io_extintsrc_req}; // @[quasar.scala 218:34] - assign pic_ctrl_inst_io_lsu_pic_picm_wren = lsu_io_lsu_pic_picm_wren; // @[quasar.scala 219:28] - assign pic_ctrl_inst_io_lsu_pic_picm_rden = lsu_io_lsu_pic_picm_rden; // @[quasar.scala 219:28] - assign pic_ctrl_inst_io_lsu_pic_picm_mken = lsu_io_lsu_pic_picm_mken; // @[quasar.scala 219:28] - assign pic_ctrl_inst_io_lsu_pic_picm_rdaddr = lsu_io_lsu_pic_picm_rdaddr; // @[quasar.scala 219:28] - assign pic_ctrl_inst_io_lsu_pic_picm_wraddr = lsu_io_lsu_pic_picm_wraddr; // @[quasar.scala 219:28] - assign pic_ctrl_inst_io_lsu_pic_picm_wr_data = lsu_io_lsu_pic_picm_wr_data; // @[quasar.scala 219:28] - assign pic_ctrl_inst_io_dec_pic_dec_tlu_meicurpl = dec_io_dec_pic_dec_tlu_meicurpl; // @[quasar.scala 220:28] - assign pic_ctrl_inst_io_dec_pic_dec_tlu_meipt = dec_io_dec_pic_dec_tlu_meipt; // @[quasar.scala 220:28] + assign pic_ctrl_inst_reset = io_core_rst_l; // @[quasar.scala 211:23] + assign pic_ctrl_inst_io_scan_mode = io_scan_mode; // @[quasar.scala 210:30] + assign pic_ctrl_inst_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 212:29] + assign pic_ctrl_inst_io_active_clk = rvclkhdr_1_io_l1clk; // @[quasar.scala 213:31] + assign pic_ctrl_inst_io_clk_override = dec_io_dec_tlu_pic_clk_override; // @[quasar.scala 214:33] + assign pic_ctrl_inst_io_extintsrc_req = {{1'd0}, io_extintsrc_req}; // @[quasar.scala 215:34] + assign pic_ctrl_inst_io_lsu_pic_picm_wren = lsu_io_lsu_pic_picm_wren; // @[quasar.scala 216:28] + assign pic_ctrl_inst_io_lsu_pic_picm_rden = lsu_io_lsu_pic_picm_rden; // @[quasar.scala 216:28] + assign pic_ctrl_inst_io_lsu_pic_picm_mken = lsu_io_lsu_pic_picm_mken; // @[quasar.scala 216:28] + assign pic_ctrl_inst_io_lsu_pic_picm_rdaddr = lsu_io_lsu_pic_picm_rdaddr; // @[quasar.scala 216:28] + assign pic_ctrl_inst_io_lsu_pic_picm_wraddr = lsu_io_lsu_pic_picm_wraddr; // @[quasar.scala 216:28] + assign pic_ctrl_inst_io_lsu_pic_picm_wr_data = lsu_io_lsu_pic_picm_wr_data; // @[quasar.scala 216:28] + assign pic_ctrl_inst_io_dec_pic_dec_tlu_meicurpl = dec_io_dec_pic_dec_tlu_meicurpl; // @[quasar.scala 217:28] + assign pic_ctrl_inst_io_dec_pic_dec_tlu_meipt = dec_io_dec_pic_dec_tlu_meipt; // @[quasar.scala 217:28] assign dma_ctrl_clock = clock; - assign dma_ctrl_reset = io_core_rst_l; // @[quasar.scala 198:18] - assign dma_ctrl_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 199:24] - assign dma_ctrl_io_dma_bus_clk_en = io_dma_bus_clk_en; // @[quasar.scala 200:30] - assign dma_ctrl_io_clk_override = dec_io_dec_tlu_misc_clk_override; // @[quasar.scala 201:28] - assign dma_ctrl_io_scan_mode = io_scan_mode; // @[quasar.scala 202:25] - assign dma_ctrl_io_dbg_cmd_size = dbg_io_dbg_cmd_size; // @[quasar.scala 205:28] - assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_valid = dbg_io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[quasar.scala 203:23] - assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_write = dbg_io_dbg_dma_dbg_ib_dbg_cmd_write; // @[quasar.scala 203:23] - assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_type = dbg_io_dbg_dma_dbg_ib_dbg_cmd_type; // @[quasar.scala 203:23] - assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_addr = dbg_io_dbg_dma_dbg_ib_dbg_cmd_addr; // @[quasar.scala 203:23] - assign dma_ctrl_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata = dbg_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 203:23] - assign dma_ctrl_io_dbg_dma_io_dbg_dma_bubble = dbg_io_dbg_dma_io_dbg_dma_bubble; // @[quasar.scala 204:26] - assign dma_ctrl_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty = dec_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[quasar.scala 128:18] - assign dma_ctrl_io_iccm_dma_rvalid = ifu_io_iccm_dma_rvalid; // @[quasar.scala 206:31] - assign dma_ctrl_io_iccm_dma_ecc_error = ifu_io_iccm_dma_ecc_error; // @[quasar.scala 210:34] - assign dma_ctrl_io_iccm_dma_rtag = ifu_io_iccm_dma_rtag; // @[quasar.scala 207:29] - assign dma_ctrl_io_iccm_dma_rdata = ifu_io_iccm_dma_rdata; // @[quasar.scala 208:30] - assign dma_ctrl_io_iccm_ready = ifu_io_iccm_ready; // @[quasar.scala 209:26] - assign dma_ctrl_io_dma_axi_aw_valid = ahb_to_axi4_io_axi_aw_valid; // @[quasar.scala 272:28] - assign dma_ctrl_io_dma_axi_aw_bits_addr = ahb_to_axi4_io_axi_aw_bits_addr; // @[quasar.scala 272:28] - assign dma_ctrl_io_dma_axi_aw_bits_size = ahb_to_axi4_io_axi_aw_bits_size; // @[quasar.scala 272:28] - assign dma_ctrl_io_dma_axi_w_valid = ahb_to_axi4_io_axi_w_valid; // @[quasar.scala 272:28] - assign dma_ctrl_io_dma_axi_w_bits_data = ahb_to_axi4_io_axi_w_bits_data; // @[quasar.scala 272:28] - assign dma_ctrl_io_dma_axi_w_bits_strb = ahb_to_axi4_io_axi_w_bits_strb; // @[quasar.scala 272:28] - assign dma_ctrl_io_dma_axi_ar_valid = ahb_to_axi4_io_axi_ar_valid; // @[quasar.scala 272:28] - assign dma_ctrl_io_dma_axi_ar_bits_addr = ahb_to_axi4_io_axi_ar_bits_addr; // @[quasar.scala 272:28] - assign dma_ctrl_io_dma_axi_ar_bits_size = ahb_to_axi4_io_axi_ar_bits_size; // @[quasar.scala 272:28] - assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid; // @[quasar.scala 174:18] - assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[quasar.scala 174:18] - assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[quasar.scala 174:18] - assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; // @[quasar.scala 174:18] - assign dma_ctrl_io_lsu_dma_dccm_ready = lsu_io_lsu_dma_dccm_ready; // @[quasar.scala 174:18] + assign dma_ctrl_reset = io_core_rst_l; // @[quasar.scala 195:18] + assign dma_ctrl_io_free_clk = rvclkhdr_io_l1clk; // @[quasar.scala 196:24] + assign dma_ctrl_io_dma_bus_clk_en = io_dma_bus_clk_en; // @[quasar.scala 197:30] + assign dma_ctrl_io_clk_override = dec_io_dec_tlu_misc_clk_override; // @[quasar.scala 198:28] + assign dma_ctrl_io_scan_mode = io_scan_mode; // @[quasar.scala 199:25] + assign dma_ctrl_io_dbg_cmd_size = dbg_io_dbg_cmd_size; // @[quasar.scala 202:28] + assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_valid = dbg_io_dbg_dma_dbg_ib_dbg_cmd_valid; // @[quasar.scala 200:23] + assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_write = dbg_io_dbg_dma_dbg_ib_dbg_cmd_write; // @[quasar.scala 200:23] + assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_type = dbg_io_dbg_dma_dbg_ib_dbg_cmd_type; // @[quasar.scala 200:23] + assign dma_ctrl_io_dbg_dma_dbg_ib_dbg_cmd_addr = dbg_io_dbg_dma_dbg_ib_dbg_cmd_addr; // @[quasar.scala 200:23] + assign dma_ctrl_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata = dbg_io_dbg_dma_dbg_dctl_dbg_cmd_wrdata; // @[quasar.scala 200:23] + assign dma_ctrl_io_dbg_dma_io_dbg_dma_bubble = dbg_io_dbg_dma_io_dbg_dma_bubble; // @[quasar.scala 201:26] + assign dma_ctrl_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty = dec_io_dec_dma_tlu_dma_dec_tlu_dma_qos_prty; // @[quasar.scala 125:18] + assign dma_ctrl_io_iccm_dma_rvalid = ifu_io_iccm_dma_rvalid; // @[quasar.scala 203:31] + assign dma_ctrl_io_iccm_dma_ecc_error = ifu_io_iccm_dma_ecc_error; // @[quasar.scala 207:34] + assign dma_ctrl_io_iccm_dma_rtag = ifu_io_iccm_dma_rtag; // @[quasar.scala 204:29] + assign dma_ctrl_io_iccm_dma_rdata = ifu_io_iccm_dma_rdata; // @[quasar.scala 205:30] + assign dma_ctrl_io_iccm_ready = ifu_io_iccm_ready; // @[quasar.scala 206:26] + assign dma_ctrl_io_dma_axi_aw_valid = io_dma_axi_aw_valid; // @[quasar.scala 282:27] + assign dma_ctrl_io_dma_axi_aw_bits_id = io_dma_axi_aw_bits_id; // @[quasar.scala 282:27] + assign dma_ctrl_io_dma_axi_aw_bits_addr = io_dma_axi_aw_bits_addr; // @[quasar.scala 282:27] + assign dma_ctrl_io_dma_axi_aw_bits_size = io_dma_axi_aw_bits_size; // @[quasar.scala 282:27] + assign dma_ctrl_io_dma_axi_w_valid = io_dma_axi_w_valid; // @[quasar.scala 282:27] + assign dma_ctrl_io_dma_axi_w_bits_data = io_dma_axi_w_bits_data; // @[quasar.scala 282:27] + assign dma_ctrl_io_dma_axi_w_bits_strb = io_dma_axi_w_bits_strb; // @[quasar.scala 282:27] + assign dma_ctrl_io_dma_axi_b_ready = io_dma_axi_b_ready; // @[quasar.scala 282:27] + assign dma_ctrl_io_dma_axi_ar_valid = io_dma_axi_ar_valid; // @[quasar.scala 282:27] + assign dma_ctrl_io_dma_axi_ar_bits_id = io_dma_axi_ar_bits_id; // @[quasar.scala 282:27] + assign dma_ctrl_io_dma_axi_ar_bits_addr = io_dma_axi_ar_bits_addr; // @[quasar.scala 282:27] + assign dma_ctrl_io_dma_axi_ar_bits_size = io_dma_axi_ar_bits_size; // @[quasar.scala 282:27] + assign dma_ctrl_io_dma_axi_r_ready = io_dma_axi_r_ready; // @[quasar.scala 282:27] + assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rvalid; // @[quasar.scala 171:18] + assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_ecc_error; // @[quasar.scala 171:18] + assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rtag; // @[quasar.scala 171:18] + assign dma_ctrl_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata = lsu_io_lsu_dma_dma_dccm_ctl_dccm_dma_rdata; // @[quasar.scala 171:18] + assign dma_ctrl_io_lsu_dma_dccm_ready = lsu_io_lsu_dma_dccm_ready; // @[quasar.scala 171:18] assign rvclkhdr_io_clk = clock; // @[lib.scala 344:17] assign rvclkhdr_io_en = 1'h1; // @[lib.scala 345:16] assign rvclkhdr_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] assign rvclkhdr_1_io_clk = clock; // @[lib.scala 344:17] assign rvclkhdr_1_io_en = _T_6 | dec_io_dec_tlu_misc_clk_override; // @[lib.scala 345:16] assign rvclkhdr_1_io_scan_mode = io_scan_mode; // @[lib.scala 346:23] - assign axi4_to_ahb_clock = clock; - assign axi4_to_ahb_reset = reset; - assign axi4_to_ahb_io_scan_mode = io_scan_mode; // @[quasar.scala 263:33] - assign axi4_to_ahb_io_bus_clk_en = io_dbg_bus_clk_en; // @[quasar.scala 264:34] - assign axi4_to_ahb_io_clk_override = dec_io_dec_tlu_bus_clk_override; // @[quasar.scala 265:36] - assign axi4_to_ahb_io_axi_aw_valid = dbg_io_sb_axi_aw_valid; // @[quasar.scala 266:27] - assign axi4_to_ahb_io_axi_aw_bits_addr = dbg_io_sb_axi_aw_bits_addr; // @[quasar.scala 266:27] - assign axi4_to_ahb_io_axi_aw_bits_size = dbg_io_sb_axi_aw_bits_size; // @[quasar.scala 266:27] - assign axi4_to_ahb_io_axi_w_valid = dbg_io_sb_axi_w_valid; // @[quasar.scala 266:27] - assign axi4_to_ahb_io_axi_w_bits_data = dbg_io_sb_axi_w_bits_data; // @[quasar.scala 266:27] - assign axi4_to_ahb_io_axi_w_bits_strb = dbg_io_sb_axi_w_bits_strb; // @[quasar.scala 266:27] - assign axi4_to_ahb_io_axi_ar_valid = dbg_io_sb_axi_ar_valid; // @[quasar.scala 266:27] - assign axi4_to_ahb_io_axi_ar_bits_addr = dbg_io_sb_axi_ar_bits_addr; // @[quasar.scala 266:27] - assign axi4_to_ahb_io_axi_ar_bits_size = dbg_io_sb_axi_ar_bits_size; // @[quasar.scala 266:27] - assign axi4_to_ahb_io_ahb_in_hrdata = io_sb_ahb_in_hrdata; // @[quasar.scala 267:27] - assign axi4_to_ahb_io_ahb_in_hready = io_sb_ahb_in_hready; // @[quasar.scala 267:27] - assign axi4_to_ahb_io_ahb_in_hresp = io_sb_ahb_in_hresp; // @[quasar.scala 267:27] - assign axi4_to_ahb_1_clock = clock; - assign axi4_to_ahb_1_reset = reset; - assign axi4_to_ahb_1_io_scan_mode = io_scan_mode; // @[quasar.scala 256:34] - assign axi4_to_ahb_1_io_bus_clk_en = io_ifu_bus_clk_en; // @[quasar.scala 257:35] - assign axi4_to_ahb_1_io_clk_override = dec_io_dec_tlu_bus_clk_override; // @[quasar.scala 258:37] - assign axi4_to_ahb_1_io_axi_aw_valid = 1'h0; // @[quasar.scala 259:28] - assign axi4_to_ahb_1_io_axi_aw_bits_id = 3'h0; // @[quasar.scala 259:28] - assign axi4_to_ahb_1_io_axi_aw_bits_addr = 32'h0; // @[quasar.scala 259:28] - assign axi4_to_ahb_1_io_axi_aw_bits_size = 3'h0; // @[quasar.scala 259:28] - assign axi4_to_ahb_1_io_axi_w_valid = 1'h0; // @[quasar.scala 259:28] - assign axi4_to_ahb_1_io_axi_w_bits_data = 64'h0; // @[quasar.scala 259:28] - assign axi4_to_ahb_1_io_axi_w_bits_strb = 8'h0; // @[quasar.scala 259:28] - assign axi4_to_ahb_1_io_axi_ar_valid = ifu_io_ifu_ar_valid; // @[quasar.scala 259:28] - assign axi4_to_ahb_1_io_axi_ar_bits_id = ifu_io_ifu_ar_bits_id; // @[quasar.scala 259:28] - assign axi4_to_ahb_1_io_axi_ar_bits_addr = ifu_io_ifu_ar_bits_addr; // @[quasar.scala 259:28] - assign axi4_to_ahb_1_io_axi_ar_bits_size = 3'h3; // @[quasar.scala 259:28] - assign axi4_to_ahb_1_io_ahb_in_hrdata = io_ifu_ahb_in_hrdata; // @[quasar.scala 260:28] - assign axi4_to_ahb_1_io_ahb_in_hready = io_ifu_ahb_in_hready; // @[quasar.scala 260:28] - assign axi4_to_ahb_1_io_ahb_in_hresp = io_ifu_ahb_in_hresp; // @[quasar.scala 260:28] - assign axi4_to_ahb_2_clock = clock; - assign axi4_to_ahb_2_reset = reset; - assign axi4_to_ahb_2_io_scan_mode = io_scan_mode; // @[quasar.scala 250:34] - assign axi4_to_ahb_2_io_bus_clk_en = io_lsu_bus_clk_en; // @[quasar.scala 251:35] - assign axi4_to_ahb_2_io_clk_override = dec_io_dec_tlu_bus_clk_override; // @[quasar.scala 252:37] - assign axi4_to_ahb_2_io_axi_aw_valid = lsu_io_axi_aw_valid; // @[quasar.scala 253:28] - assign axi4_to_ahb_2_io_axi_aw_bits_id = lsu_io_axi_aw_bits_id; // @[quasar.scala 253:28] - assign axi4_to_ahb_2_io_axi_aw_bits_addr = lsu_io_axi_aw_bits_addr; // @[quasar.scala 253:28] - assign axi4_to_ahb_2_io_axi_aw_bits_size = lsu_io_axi_aw_bits_size; // @[quasar.scala 253:28] - assign axi4_to_ahb_2_io_axi_w_valid = lsu_io_axi_w_valid; // @[quasar.scala 253:28] - assign axi4_to_ahb_2_io_axi_w_bits_data = lsu_io_axi_w_bits_data; // @[quasar.scala 253:28] - assign axi4_to_ahb_2_io_axi_w_bits_strb = lsu_io_axi_w_bits_strb; // @[quasar.scala 253:28] - assign axi4_to_ahb_2_io_axi_ar_valid = lsu_io_axi_ar_valid; // @[quasar.scala 253:28] - assign axi4_to_ahb_2_io_axi_ar_bits_id = lsu_io_axi_ar_bits_id; // @[quasar.scala 253:28] - assign axi4_to_ahb_2_io_axi_ar_bits_addr = lsu_io_axi_ar_bits_addr; // @[quasar.scala 253:28] - assign axi4_to_ahb_2_io_axi_ar_bits_size = lsu_io_axi_ar_bits_size; // @[quasar.scala 253:28] - assign axi4_to_ahb_2_io_ahb_in_hrdata = io_lsu_ahb_in_hrdata; // @[quasar.scala 254:28] - assign axi4_to_ahb_2_io_ahb_in_hready = io_lsu_ahb_in_hready; // @[quasar.scala 254:28] - assign axi4_to_ahb_2_io_ahb_in_hresp = io_lsu_ahb_in_hresp; // @[quasar.scala 254:28] - assign ahb_to_axi4_clock = clock; - assign ahb_to_axi4_reset = reset; - assign ahb_to_axi4_io_scan_mode = io_scan_mode; // @[quasar.scala 269:34] - assign ahb_to_axi4_io_bus_clk_en = io_dma_bus_clk_en; // @[quasar.scala 270:35] - assign ahb_to_axi4_io_axi_aw_ready = dma_ctrl_io_dma_axi_aw_ready; // @[quasar.scala 272:28] - assign ahb_to_axi4_io_axi_ar_ready = dma_ctrl_io_dma_axi_ar_ready; // @[quasar.scala 272:28] - assign ahb_to_axi4_io_axi_r_valid = dma_ctrl_io_dma_axi_r_valid; // @[quasar.scala 272:28] - assign ahb_to_axi4_io_axi_r_bits_data = dma_ctrl_io_dma_axi_r_bits_data; // @[quasar.scala 272:28] - assign ahb_to_axi4_io_axi_r_bits_resp = dma_ctrl_io_dma_axi_r_bits_resp; // @[quasar.scala 272:28] - assign ahb_to_axi4_io_ahb_sig_out_haddr = io_dma_ahb_sig_out_haddr; // @[quasar.scala 273:28] - assign ahb_to_axi4_io_ahb_sig_out_hsize = io_dma_ahb_sig_out_hsize; // @[quasar.scala 273:28] - assign ahb_to_axi4_io_ahb_sig_out_htrans = io_dma_ahb_sig_out_htrans; // @[quasar.scala 273:28] - assign ahb_to_axi4_io_ahb_sig_out_hwrite = io_dma_ahb_sig_out_hwrite; // @[quasar.scala 273:28] - assign ahb_to_axi4_io_ahb_sig_out_hwdata = io_dma_ahb_sig_out_hwdata; // @[quasar.scala 273:28] - assign ahb_to_axi4_io_ahb_hsel = io_dma_ahb_hsel; // @[quasar.scala 273:28] - assign ahb_to_axi4_io_ahb_hreadyin = io_dma_ahb_hreadyin; // @[quasar.scala 273:28] endmodule module quasar_wrapper( input clock, @@ -85313,52 +82783,162 @@ module quasar_wrapper( input io_nmi_int, input [30:0] io_nmi_vec, input [30:0] io_jtag_id, - input [63:0] io_lsu_brg_in_hrdata, - input io_lsu_brg_in_hready, - input io_lsu_brg_in_hresp, - output [31:0] io_lsu_brg_out_haddr, - output [2:0] io_lsu_brg_out_hburst, - output io_lsu_brg_out_hmastlock, - output [3:0] io_lsu_brg_out_hprot, - output [2:0] io_lsu_brg_out_hsize, - output [1:0] io_lsu_brg_out_htrans, - output io_lsu_brg_out_hwrite, - output [63:0] io_lsu_brg_out_hwdata, - input [63:0] io_ifu_brg_in_hrdata, - input io_ifu_brg_in_hready, - input io_ifu_brg_in_hresp, - output [31:0] io_ifu_brg_out_haddr, - output [2:0] io_ifu_brg_out_hburst, - output io_ifu_brg_out_hmastlock, - output [3:0] io_ifu_brg_out_hprot, - output [2:0] io_ifu_brg_out_hsize, - output [1:0] io_ifu_brg_out_htrans, - output io_ifu_brg_out_hwrite, - output [63:0] io_ifu_brg_out_hwdata, - input [63:0] io_sb_brg_in_hrdata, - input io_sb_brg_in_hready, - input io_sb_brg_in_hresp, - output [31:0] io_sb_brg_out_haddr, - output [2:0] io_sb_brg_out_hburst, - output io_sb_brg_out_hmastlock, - output [3:0] io_sb_brg_out_hprot, - output [2:0] io_sb_brg_out_hsize, - output [1:0] io_sb_brg_out_htrans, - output io_sb_brg_out_hwrite, - output [63:0] io_sb_brg_out_hwdata, - output [63:0] io_dma_brg_sig_in_hrdata, - output io_dma_brg_sig_in_hready, - output io_dma_brg_sig_in_hresp, - input [31:0] io_dma_brg_sig_out_haddr, - input [2:0] io_dma_brg_sig_out_hburst, - input io_dma_brg_sig_out_hmastlock, - input [3:0] io_dma_brg_sig_out_hprot, - input [2:0] io_dma_brg_sig_out_hsize, - input [1:0] io_dma_brg_sig_out_htrans, - input io_dma_brg_sig_out_hwrite, - input [63:0] io_dma_brg_sig_out_hwdata, - input io_dma_brg_hsel, - input io_dma_brg_hreadyin, + input io_lsu_brg_aw_ready, + output io_lsu_brg_aw_valid, + output [2:0] io_lsu_brg_aw_bits_id, + output [31:0] io_lsu_brg_aw_bits_addr, + output [3:0] io_lsu_brg_aw_bits_region, + output [7:0] io_lsu_brg_aw_bits_len, + output [2:0] io_lsu_brg_aw_bits_size, + output [1:0] io_lsu_brg_aw_bits_burst, + output io_lsu_brg_aw_bits_lock, + output [3:0] io_lsu_brg_aw_bits_cache, + output [2:0] io_lsu_brg_aw_bits_prot, + output [3:0] io_lsu_brg_aw_bits_qos, + input io_lsu_brg_w_ready, + output io_lsu_brg_w_valid, + output [63:0] io_lsu_brg_w_bits_data, + output [7:0] io_lsu_brg_w_bits_strb, + output io_lsu_brg_w_bits_last, + output io_lsu_brg_b_ready, + input io_lsu_brg_b_valid, + input [1:0] io_lsu_brg_b_bits_resp, + input [2:0] io_lsu_brg_b_bits_id, + input io_lsu_brg_ar_ready, + output io_lsu_brg_ar_valid, + output [2:0] io_lsu_brg_ar_bits_id, + output [31:0] io_lsu_brg_ar_bits_addr, + output [3:0] io_lsu_brg_ar_bits_region, + output [7:0] io_lsu_brg_ar_bits_len, + output [2:0] io_lsu_brg_ar_bits_size, + output [1:0] io_lsu_brg_ar_bits_burst, + output io_lsu_brg_ar_bits_lock, + output [3:0] io_lsu_brg_ar_bits_cache, + output [2:0] io_lsu_brg_ar_bits_prot, + output [3:0] io_lsu_brg_ar_bits_qos, + output io_lsu_brg_r_ready, + input io_lsu_brg_r_valid, + input [2:0] io_lsu_brg_r_bits_id, + input [63:0] io_lsu_brg_r_bits_data, + input [1:0] io_lsu_brg_r_bits_resp, + input io_lsu_brg_r_bits_last, + input io_ifu_brg_aw_ready, + output io_ifu_brg_aw_valid, + output [2:0] io_ifu_brg_aw_bits_id, + output [31:0] io_ifu_brg_aw_bits_addr, + output [3:0] io_ifu_brg_aw_bits_region, + output [7:0] io_ifu_brg_aw_bits_len, + output [2:0] io_ifu_brg_aw_bits_size, + output [1:0] io_ifu_brg_aw_bits_burst, + output io_ifu_brg_aw_bits_lock, + output [3:0] io_ifu_brg_aw_bits_cache, + output [2:0] io_ifu_brg_aw_bits_prot, + output [3:0] io_ifu_brg_aw_bits_qos, + input io_ifu_brg_w_ready, + output io_ifu_brg_w_valid, + output [63:0] io_ifu_brg_w_bits_data, + output [7:0] io_ifu_brg_w_bits_strb, + output io_ifu_brg_w_bits_last, + output io_ifu_brg_b_ready, + input io_ifu_brg_b_valid, + input [1:0] io_ifu_brg_b_bits_resp, + input [2:0] io_ifu_brg_b_bits_id, + input io_ifu_brg_ar_ready, + output io_ifu_brg_ar_valid, + output [2:0] io_ifu_brg_ar_bits_id, + output [31:0] io_ifu_brg_ar_bits_addr, + output [3:0] io_ifu_brg_ar_bits_region, + output [7:0] io_ifu_brg_ar_bits_len, + output [2:0] io_ifu_brg_ar_bits_size, + output [1:0] io_ifu_brg_ar_bits_burst, + output io_ifu_brg_ar_bits_lock, + output [3:0] io_ifu_brg_ar_bits_cache, + output [2:0] io_ifu_brg_ar_bits_prot, + output [3:0] io_ifu_brg_ar_bits_qos, + output io_ifu_brg_r_ready, + input io_ifu_brg_r_valid, + input [2:0] io_ifu_brg_r_bits_id, + input [63:0] io_ifu_brg_r_bits_data, + input [1:0] io_ifu_brg_r_bits_resp, + input io_ifu_brg_r_bits_last, + input io_sb_brg_aw_ready, + output io_sb_brg_aw_valid, + output io_sb_brg_aw_bits_id, + output [31:0] io_sb_brg_aw_bits_addr, + output [3:0] io_sb_brg_aw_bits_region, + output [7:0] io_sb_brg_aw_bits_len, + output [2:0] io_sb_brg_aw_bits_size, + output [1:0] io_sb_brg_aw_bits_burst, + output io_sb_brg_aw_bits_lock, + output [3:0] io_sb_brg_aw_bits_cache, + output [2:0] io_sb_brg_aw_bits_prot, + output [3:0] io_sb_brg_aw_bits_qos, + input io_sb_brg_w_ready, + output io_sb_brg_w_valid, + output [63:0] io_sb_brg_w_bits_data, + output [7:0] io_sb_brg_w_bits_strb, + output io_sb_brg_w_bits_last, + output io_sb_brg_b_ready, + input io_sb_brg_b_valid, + input [1:0] io_sb_brg_b_bits_resp, + input io_sb_brg_b_bits_id, + input io_sb_brg_ar_ready, + output io_sb_brg_ar_valid, + output io_sb_brg_ar_bits_id, + output [31:0] io_sb_brg_ar_bits_addr, + output [3:0] io_sb_brg_ar_bits_region, + output [7:0] io_sb_brg_ar_bits_len, + output [2:0] io_sb_brg_ar_bits_size, + output [1:0] io_sb_brg_ar_bits_burst, + output io_sb_brg_ar_bits_lock, + output [3:0] io_sb_brg_ar_bits_cache, + output [2:0] io_sb_brg_ar_bits_prot, + output [3:0] io_sb_brg_ar_bits_qos, + output io_sb_brg_r_ready, + input io_sb_brg_r_valid, + input io_sb_brg_r_bits_id, + input [63:0] io_sb_brg_r_bits_data, + input [1:0] io_sb_brg_r_bits_resp, + input io_sb_brg_r_bits_last, + output io_dma_brg_aw_ready, + input io_dma_brg_aw_valid, + input io_dma_brg_aw_bits_id, + input [31:0] io_dma_brg_aw_bits_addr, + input [3:0] io_dma_brg_aw_bits_region, + input [7:0] io_dma_brg_aw_bits_len, + input [2:0] io_dma_brg_aw_bits_size, + input [1:0] io_dma_brg_aw_bits_burst, + input io_dma_brg_aw_bits_lock, + input [3:0] io_dma_brg_aw_bits_cache, + input [2:0] io_dma_brg_aw_bits_prot, + input [3:0] io_dma_brg_aw_bits_qos, + output io_dma_brg_w_ready, + input io_dma_brg_w_valid, + input [63:0] io_dma_brg_w_bits_data, + input [7:0] io_dma_brg_w_bits_strb, + input io_dma_brg_w_bits_last, + input io_dma_brg_b_ready, + output io_dma_brg_b_valid, + output [1:0] io_dma_brg_b_bits_resp, + output io_dma_brg_b_bits_id, + output io_dma_brg_ar_ready, + input io_dma_brg_ar_valid, + input io_dma_brg_ar_bits_id, + input [31:0] io_dma_brg_ar_bits_addr, + input [3:0] io_dma_brg_ar_bits_region, + input [7:0] io_dma_brg_ar_bits_len, + input [2:0] io_dma_brg_ar_bits_size, + input [1:0] io_dma_brg_ar_bits_burst, + input io_dma_brg_ar_bits_lock, + input [3:0] io_dma_brg_ar_bits_cache, + input [2:0] io_dma_brg_ar_bits_prot, + input [3:0] io_dma_brg_ar_bits_qos, + input io_dma_brg_r_ready, + output io_dma_brg_r_valid, + output io_dma_brg_r_bits_id, + output [63:0] io_dma_brg_r_bits_data, + output [1:0] io_dma_brg_r_bits_resp, + output io_dma_brg_r_bits_last, input io_lsu_bus_clk_en, input io_ifu_bus_clk_en, input io_dbg_bus_clk_en, @@ -85461,40 +83041,81 @@ module quasar_wrapper( wire dmi_wrapper_dmi_hard_reset; // @[quasar_wrapper.scala 64:27] wire core_clock; // @[quasar_wrapper.scala 65:20] wire core_reset; // @[quasar_wrapper.scala 65:20] - wire [63:0] core_io_lsu_ahb_in_hrdata; // @[quasar_wrapper.scala 65:20] - wire core_io_lsu_ahb_in_hready; // @[quasar_wrapper.scala 65:20] - wire core_io_lsu_ahb_in_hresp; // @[quasar_wrapper.scala 65:20] - wire [31:0] core_io_lsu_ahb_out_haddr; // @[quasar_wrapper.scala 65:20] - wire [2:0] core_io_lsu_ahb_out_hsize; // @[quasar_wrapper.scala 65:20] - wire [1:0] core_io_lsu_ahb_out_htrans; // @[quasar_wrapper.scala 65:20] - wire core_io_lsu_ahb_out_hwrite; // @[quasar_wrapper.scala 65:20] - wire [63:0] core_io_lsu_ahb_out_hwdata; // @[quasar_wrapper.scala 65:20] - wire [63:0] core_io_ifu_ahb_in_hrdata; // @[quasar_wrapper.scala 65:20] - wire core_io_ifu_ahb_in_hready; // @[quasar_wrapper.scala 65:20] - wire core_io_ifu_ahb_in_hresp; // @[quasar_wrapper.scala 65:20] - wire [31:0] core_io_ifu_ahb_out_haddr; // @[quasar_wrapper.scala 65:20] - wire [2:0] core_io_ifu_ahb_out_hsize; // @[quasar_wrapper.scala 65:20] - wire [1:0] core_io_ifu_ahb_out_htrans; // @[quasar_wrapper.scala 65:20] - wire core_io_ifu_ahb_out_hwrite; // @[quasar_wrapper.scala 65:20] - wire [63:0] core_io_ifu_ahb_out_hwdata; // @[quasar_wrapper.scala 65:20] - wire [63:0] core_io_sb_ahb_in_hrdata; // @[quasar_wrapper.scala 65:20] - wire core_io_sb_ahb_in_hready; // @[quasar_wrapper.scala 65:20] - wire core_io_sb_ahb_in_hresp; // @[quasar_wrapper.scala 65:20] - wire [31:0] core_io_sb_ahb_out_haddr; // @[quasar_wrapper.scala 65:20] - wire [2:0] core_io_sb_ahb_out_hsize; // @[quasar_wrapper.scala 65:20] - wire [1:0] core_io_sb_ahb_out_htrans; // @[quasar_wrapper.scala 65:20] - wire core_io_sb_ahb_out_hwrite; // @[quasar_wrapper.scala 65:20] - wire [63:0] core_io_sb_ahb_out_hwdata; // @[quasar_wrapper.scala 65:20] - wire [63:0] core_io_dma_ahb_sig_in_hrdata; // @[quasar_wrapper.scala 65:20] - wire core_io_dma_ahb_sig_in_hready; // @[quasar_wrapper.scala 65:20] - wire core_io_dma_ahb_sig_in_hresp; // @[quasar_wrapper.scala 65:20] - wire [31:0] core_io_dma_ahb_sig_out_haddr; // @[quasar_wrapper.scala 65:20] - wire [2:0] core_io_dma_ahb_sig_out_hsize; // @[quasar_wrapper.scala 65:20] - wire [1:0] core_io_dma_ahb_sig_out_htrans; // @[quasar_wrapper.scala 65:20] - wire core_io_dma_ahb_sig_out_hwrite; // @[quasar_wrapper.scala 65:20] - wire [63:0] core_io_dma_ahb_sig_out_hwdata; // @[quasar_wrapper.scala 65:20] - wire core_io_dma_ahb_hsel; // @[quasar_wrapper.scala 65:20] - wire core_io_dma_ahb_hreadyin; // @[quasar_wrapper.scala 65:20] + wire core_io_lsu_axi_aw_ready; // @[quasar_wrapper.scala 65:20] + wire core_io_lsu_axi_aw_valid; // @[quasar_wrapper.scala 65:20] + wire [2:0] core_io_lsu_axi_aw_bits_id; // @[quasar_wrapper.scala 65:20] + wire [31:0] core_io_lsu_axi_aw_bits_addr; // @[quasar_wrapper.scala 65:20] + wire [3:0] core_io_lsu_axi_aw_bits_region; // @[quasar_wrapper.scala 65:20] + wire [2:0] core_io_lsu_axi_aw_bits_size; // @[quasar_wrapper.scala 65:20] + wire [3:0] core_io_lsu_axi_aw_bits_cache; // @[quasar_wrapper.scala 65:20] + wire core_io_lsu_axi_w_ready; // @[quasar_wrapper.scala 65:20] + wire core_io_lsu_axi_w_valid; // @[quasar_wrapper.scala 65:20] + wire [63:0] core_io_lsu_axi_w_bits_data; // @[quasar_wrapper.scala 65:20] + wire [7:0] core_io_lsu_axi_w_bits_strb; // @[quasar_wrapper.scala 65:20] + wire core_io_lsu_axi_b_valid; // @[quasar_wrapper.scala 65:20] + wire [2:0] core_io_lsu_axi_b_bits_id; // @[quasar_wrapper.scala 65:20] + wire core_io_lsu_axi_ar_ready; // @[quasar_wrapper.scala 65:20] + wire core_io_lsu_axi_ar_valid; // @[quasar_wrapper.scala 65:20] + wire [2:0] core_io_lsu_axi_ar_bits_id; // @[quasar_wrapper.scala 65:20] + wire [31:0] core_io_lsu_axi_ar_bits_addr; // @[quasar_wrapper.scala 65:20] + wire [3:0] core_io_lsu_axi_ar_bits_region; // @[quasar_wrapper.scala 65:20] + wire [2:0] core_io_lsu_axi_ar_bits_size; // @[quasar_wrapper.scala 65:20] + wire [3:0] core_io_lsu_axi_ar_bits_cache; // @[quasar_wrapper.scala 65:20] + wire core_io_lsu_axi_r_valid; // @[quasar_wrapper.scala 65:20] + wire [2:0] core_io_lsu_axi_r_bits_id; // @[quasar_wrapper.scala 65:20] + wire [63:0] core_io_lsu_axi_r_bits_data; // @[quasar_wrapper.scala 65:20] + wire [1:0] core_io_lsu_axi_r_bits_resp; // @[quasar_wrapper.scala 65:20] + wire core_io_ifu_axi_ar_ready; // @[quasar_wrapper.scala 65:20] + wire core_io_ifu_axi_ar_valid; // @[quasar_wrapper.scala 65:20] + wire [2:0] core_io_ifu_axi_ar_bits_id; // @[quasar_wrapper.scala 65:20] + wire [31:0] core_io_ifu_axi_ar_bits_addr; // @[quasar_wrapper.scala 65:20] + wire [3:0] core_io_ifu_axi_ar_bits_region; // @[quasar_wrapper.scala 65:20] + wire core_io_ifu_axi_r_valid; // @[quasar_wrapper.scala 65:20] + wire [2:0] core_io_ifu_axi_r_bits_id; // @[quasar_wrapper.scala 65:20] + wire [63:0] core_io_ifu_axi_r_bits_data; // @[quasar_wrapper.scala 65:20] + wire [1:0] core_io_ifu_axi_r_bits_resp; // @[quasar_wrapper.scala 65:20] + wire core_io_sb_axi_aw_ready; // @[quasar_wrapper.scala 65:20] + wire core_io_sb_axi_aw_valid; // @[quasar_wrapper.scala 65:20] + wire [31:0] core_io_sb_axi_aw_bits_addr; // @[quasar_wrapper.scala 65:20] + wire [3:0] core_io_sb_axi_aw_bits_region; // @[quasar_wrapper.scala 65:20] + wire [2:0] core_io_sb_axi_aw_bits_size; // @[quasar_wrapper.scala 65:20] + wire core_io_sb_axi_w_ready; // @[quasar_wrapper.scala 65:20] + wire core_io_sb_axi_w_valid; // @[quasar_wrapper.scala 65:20] + wire [63:0] core_io_sb_axi_w_bits_data; // @[quasar_wrapper.scala 65:20] + wire [7:0] core_io_sb_axi_w_bits_strb; // @[quasar_wrapper.scala 65:20] + wire core_io_sb_axi_b_valid; // @[quasar_wrapper.scala 65:20] + wire [1:0] core_io_sb_axi_b_bits_resp; // @[quasar_wrapper.scala 65:20] + wire core_io_sb_axi_ar_ready; // @[quasar_wrapper.scala 65:20] + wire core_io_sb_axi_ar_valid; // @[quasar_wrapper.scala 65:20] + wire [31:0] core_io_sb_axi_ar_bits_addr; // @[quasar_wrapper.scala 65:20] + wire [3:0] core_io_sb_axi_ar_bits_region; // @[quasar_wrapper.scala 65:20] + wire [2:0] core_io_sb_axi_ar_bits_size; // @[quasar_wrapper.scala 65:20] + wire core_io_sb_axi_r_valid; // @[quasar_wrapper.scala 65:20] + wire [63:0] core_io_sb_axi_r_bits_data; // @[quasar_wrapper.scala 65:20] + wire [1:0] core_io_sb_axi_r_bits_resp; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_axi_aw_ready; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_axi_aw_valid; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_axi_aw_bits_id; // @[quasar_wrapper.scala 65:20] + wire [31:0] core_io_dma_axi_aw_bits_addr; // @[quasar_wrapper.scala 65:20] + wire [2:0] core_io_dma_axi_aw_bits_size; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_axi_w_ready; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_axi_w_valid; // @[quasar_wrapper.scala 65:20] + wire [63:0] core_io_dma_axi_w_bits_data; // @[quasar_wrapper.scala 65:20] + wire [7:0] core_io_dma_axi_w_bits_strb; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_axi_b_ready; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_axi_b_valid; // @[quasar_wrapper.scala 65:20] + wire [1:0] core_io_dma_axi_b_bits_resp; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_axi_b_bits_id; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_axi_ar_ready; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_axi_ar_valid; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_axi_ar_bits_id; // @[quasar_wrapper.scala 65:20] + wire [31:0] core_io_dma_axi_ar_bits_addr; // @[quasar_wrapper.scala 65:20] + wire [2:0] core_io_dma_axi_ar_bits_size; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_axi_r_ready; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_axi_r_valid; // @[quasar_wrapper.scala 65:20] + wire core_io_dma_axi_r_bits_id; // @[quasar_wrapper.scala 65:20] + wire [63:0] core_io_dma_axi_r_bits_data; // @[quasar_wrapper.scala 65:20] + wire [1:0] core_io_dma_axi_r_bits_resp; // @[quasar_wrapper.scala 65:20] wire core_io_dbg_rst_l; // @[quasar_wrapper.scala 65:20] wire [30:0] core_io_rst_vec; // @[quasar_wrapper.scala 65:20] wire core_io_nmi_int; // @[quasar_wrapper.scala 65:20] @@ -85647,40 +83268,81 @@ module quasar_wrapper( quasar core ( // @[quasar_wrapper.scala 65:20] .clock(core_clock), .reset(core_reset), - .io_lsu_ahb_in_hrdata(core_io_lsu_ahb_in_hrdata), - .io_lsu_ahb_in_hready(core_io_lsu_ahb_in_hready), - .io_lsu_ahb_in_hresp(core_io_lsu_ahb_in_hresp), - .io_lsu_ahb_out_haddr(core_io_lsu_ahb_out_haddr), - .io_lsu_ahb_out_hsize(core_io_lsu_ahb_out_hsize), - .io_lsu_ahb_out_htrans(core_io_lsu_ahb_out_htrans), - .io_lsu_ahb_out_hwrite(core_io_lsu_ahb_out_hwrite), - .io_lsu_ahb_out_hwdata(core_io_lsu_ahb_out_hwdata), - .io_ifu_ahb_in_hrdata(core_io_ifu_ahb_in_hrdata), - .io_ifu_ahb_in_hready(core_io_ifu_ahb_in_hready), - .io_ifu_ahb_in_hresp(core_io_ifu_ahb_in_hresp), - .io_ifu_ahb_out_haddr(core_io_ifu_ahb_out_haddr), - .io_ifu_ahb_out_hsize(core_io_ifu_ahb_out_hsize), - .io_ifu_ahb_out_htrans(core_io_ifu_ahb_out_htrans), - .io_ifu_ahb_out_hwrite(core_io_ifu_ahb_out_hwrite), - .io_ifu_ahb_out_hwdata(core_io_ifu_ahb_out_hwdata), - .io_sb_ahb_in_hrdata(core_io_sb_ahb_in_hrdata), - .io_sb_ahb_in_hready(core_io_sb_ahb_in_hready), - .io_sb_ahb_in_hresp(core_io_sb_ahb_in_hresp), - .io_sb_ahb_out_haddr(core_io_sb_ahb_out_haddr), - .io_sb_ahb_out_hsize(core_io_sb_ahb_out_hsize), - .io_sb_ahb_out_htrans(core_io_sb_ahb_out_htrans), - .io_sb_ahb_out_hwrite(core_io_sb_ahb_out_hwrite), - .io_sb_ahb_out_hwdata(core_io_sb_ahb_out_hwdata), - .io_dma_ahb_sig_in_hrdata(core_io_dma_ahb_sig_in_hrdata), - .io_dma_ahb_sig_in_hready(core_io_dma_ahb_sig_in_hready), - .io_dma_ahb_sig_in_hresp(core_io_dma_ahb_sig_in_hresp), - .io_dma_ahb_sig_out_haddr(core_io_dma_ahb_sig_out_haddr), - .io_dma_ahb_sig_out_hsize(core_io_dma_ahb_sig_out_hsize), - .io_dma_ahb_sig_out_htrans(core_io_dma_ahb_sig_out_htrans), - .io_dma_ahb_sig_out_hwrite(core_io_dma_ahb_sig_out_hwrite), - .io_dma_ahb_sig_out_hwdata(core_io_dma_ahb_sig_out_hwdata), - .io_dma_ahb_hsel(core_io_dma_ahb_hsel), - .io_dma_ahb_hreadyin(core_io_dma_ahb_hreadyin), + .io_lsu_axi_aw_ready(core_io_lsu_axi_aw_ready), + .io_lsu_axi_aw_valid(core_io_lsu_axi_aw_valid), + .io_lsu_axi_aw_bits_id(core_io_lsu_axi_aw_bits_id), + .io_lsu_axi_aw_bits_addr(core_io_lsu_axi_aw_bits_addr), + .io_lsu_axi_aw_bits_region(core_io_lsu_axi_aw_bits_region), + .io_lsu_axi_aw_bits_size(core_io_lsu_axi_aw_bits_size), + .io_lsu_axi_aw_bits_cache(core_io_lsu_axi_aw_bits_cache), + .io_lsu_axi_w_ready(core_io_lsu_axi_w_ready), + .io_lsu_axi_w_valid(core_io_lsu_axi_w_valid), + .io_lsu_axi_w_bits_data(core_io_lsu_axi_w_bits_data), + .io_lsu_axi_w_bits_strb(core_io_lsu_axi_w_bits_strb), + .io_lsu_axi_b_valid(core_io_lsu_axi_b_valid), + .io_lsu_axi_b_bits_id(core_io_lsu_axi_b_bits_id), + .io_lsu_axi_ar_ready(core_io_lsu_axi_ar_ready), + .io_lsu_axi_ar_valid(core_io_lsu_axi_ar_valid), + .io_lsu_axi_ar_bits_id(core_io_lsu_axi_ar_bits_id), + .io_lsu_axi_ar_bits_addr(core_io_lsu_axi_ar_bits_addr), + .io_lsu_axi_ar_bits_region(core_io_lsu_axi_ar_bits_region), + .io_lsu_axi_ar_bits_size(core_io_lsu_axi_ar_bits_size), + .io_lsu_axi_ar_bits_cache(core_io_lsu_axi_ar_bits_cache), + .io_lsu_axi_r_valid(core_io_lsu_axi_r_valid), + .io_lsu_axi_r_bits_id(core_io_lsu_axi_r_bits_id), + .io_lsu_axi_r_bits_data(core_io_lsu_axi_r_bits_data), + .io_lsu_axi_r_bits_resp(core_io_lsu_axi_r_bits_resp), + .io_ifu_axi_ar_ready(core_io_ifu_axi_ar_ready), + .io_ifu_axi_ar_valid(core_io_ifu_axi_ar_valid), + .io_ifu_axi_ar_bits_id(core_io_ifu_axi_ar_bits_id), + .io_ifu_axi_ar_bits_addr(core_io_ifu_axi_ar_bits_addr), + .io_ifu_axi_ar_bits_region(core_io_ifu_axi_ar_bits_region), + .io_ifu_axi_r_valid(core_io_ifu_axi_r_valid), + .io_ifu_axi_r_bits_id(core_io_ifu_axi_r_bits_id), + .io_ifu_axi_r_bits_data(core_io_ifu_axi_r_bits_data), + .io_ifu_axi_r_bits_resp(core_io_ifu_axi_r_bits_resp), + .io_sb_axi_aw_ready(core_io_sb_axi_aw_ready), + .io_sb_axi_aw_valid(core_io_sb_axi_aw_valid), + .io_sb_axi_aw_bits_addr(core_io_sb_axi_aw_bits_addr), + .io_sb_axi_aw_bits_region(core_io_sb_axi_aw_bits_region), + .io_sb_axi_aw_bits_size(core_io_sb_axi_aw_bits_size), + .io_sb_axi_w_ready(core_io_sb_axi_w_ready), + .io_sb_axi_w_valid(core_io_sb_axi_w_valid), + .io_sb_axi_w_bits_data(core_io_sb_axi_w_bits_data), + .io_sb_axi_w_bits_strb(core_io_sb_axi_w_bits_strb), + .io_sb_axi_b_valid(core_io_sb_axi_b_valid), + .io_sb_axi_b_bits_resp(core_io_sb_axi_b_bits_resp), + .io_sb_axi_ar_ready(core_io_sb_axi_ar_ready), + .io_sb_axi_ar_valid(core_io_sb_axi_ar_valid), + .io_sb_axi_ar_bits_addr(core_io_sb_axi_ar_bits_addr), + .io_sb_axi_ar_bits_region(core_io_sb_axi_ar_bits_region), + .io_sb_axi_ar_bits_size(core_io_sb_axi_ar_bits_size), + .io_sb_axi_r_valid(core_io_sb_axi_r_valid), + .io_sb_axi_r_bits_data(core_io_sb_axi_r_bits_data), + .io_sb_axi_r_bits_resp(core_io_sb_axi_r_bits_resp), + .io_dma_axi_aw_ready(core_io_dma_axi_aw_ready), + .io_dma_axi_aw_valid(core_io_dma_axi_aw_valid), + .io_dma_axi_aw_bits_id(core_io_dma_axi_aw_bits_id), + .io_dma_axi_aw_bits_addr(core_io_dma_axi_aw_bits_addr), + .io_dma_axi_aw_bits_size(core_io_dma_axi_aw_bits_size), + .io_dma_axi_w_ready(core_io_dma_axi_w_ready), + .io_dma_axi_w_valid(core_io_dma_axi_w_valid), + .io_dma_axi_w_bits_data(core_io_dma_axi_w_bits_data), + .io_dma_axi_w_bits_strb(core_io_dma_axi_w_bits_strb), + .io_dma_axi_b_ready(core_io_dma_axi_b_ready), + .io_dma_axi_b_valid(core_io_dma_axi_b_valid), + .io_dma_axi_b_bits_resp(core_io_dma_axi_b_bits_resp), + .io_dma_axi_b_bits_id(core_io_dma_axi_b_bits_id), + .io_dma_axi_ar_ready(core_io_dma_axi_ar_ready), + .io_dma_axi_ar_valid(core_io_dma_axi_ar_valid), + .io_dma_axi_ar_bits_id(core_io_dma_axi_ar_bits_id), + .io_dma_axi_ar_bits_addr(core_io_dma_axi_ar_bits_addr), + .io_dma_axi_ar_bits_size(core_io_dma_axi_ar_bits_size), + .io_dma_axi_r_ready(core_io_dma_axi_r_ready), + .io_dma_axi_r_valid(core_io_dma_axi_r_valid), + .io_dma_axi_r_bits_id(core_io_dma_axi_r_bits_id), + .io_dma_axi_r_bits_data(core_io_dma_axi_r_bits_data), + .io_dma_axi_r_bits_resp(core_io_dma_axi_r_bits_resp), .io_dbg_rst_l(core_io_dbg_rst_l), .io_rst_vec(core_io_rst_vec), .io_nmi_int(core_io_nmi_int), @@ -85766,33 +83428,101 @@ module quasar_wrapper( .io_soft_int(core_io_soft_int), .io_scan_mode(core_io_scan_mode) ); - assign io_lsu_brg_out_haddr = core_io_lsu_ahb_out_haddr; // @[quasar_wrapper.scala 111:21] - assign io_lsu_brg_out_hburst = 3'h0; // @[quasar_wrapper.scala 111:21] - assign io_lsu_brg_out_hmastlock = 1'h0; // @[quasar_wrapper.scala 111:21] - assign io_lsu_brg_out_hprot = 4'h3; // @[quasar_wrapper.scala 111:21] - assign io_lsu_brg_out_hsize = core_io_lsu_ahb_out_hsize; // @[quasar_wrapper.scala 111:21] - assign io_lsu_brg_out_htrans = core_io_lsu_ahb_out_htrans; // @[quasar_wrapper.scala 111:21] - assign io_lsu_brg_out_hwrite = core_io_lsu_ahb_out_hwrite; // @[quasar_wrapper.scala 111:21] - assign io_lsu_brg_out_hwdata = core_io_lsu_ahb_out_hwdata; // @[quasar_wrapper.scala 111:21] - assign io_ifu_brg_out_haddr = core_io_ifu_ahb_out_haddr; // @[quasar_wrapper.scala 110:21] - assign io_ifu_brg_out_hburst = 3'h0; // @[quasar_wrapper.scala 110:21] - assign io_ifu_brg_out_hmastlock = 1'h0; // @[quasar_wrapper.scala 110:21] - assign io_ifu_brg_out_hprot = 4'h3; // @[quasar_wrapper.scala 110:21] - assign io_ifu_brg_out_hsize = core_io_ifu_ahb_out_hsize; // @[quasar_wrapper.scala 110:21] - assign io_ifu_brg_out_htrans = core_io_ifu_ahb_out_htrans; // @[quasar_wrapper.scala 110:21] - assign io_ifu_brg_out_hwrite = core_io_ifu_ahb_out_hwrite; // @[quasar_wrapper.scala 110:21] - assign io_ifu_brg_out_hwdata = core_io_ifu_ahb_out_hwdata; // @[quasar_wrapper.scala 110:21] - assign io_sb_brg_out_haddr = core_io_sb_ahb_out_haddr; // @[quasar_wrapper.scala 112:20] - assign io_sb_brg_out_hburst = 3'h0; // @[quasar_wrapper.scala 112:20] - assign io_sb_brg_out_hmastlock = 1'h0; // @[quasar_wrapper.scala 112:20] - assign io_sb_brg_out_hprot = 4'h3; // @[quasar_wrapper.scala 112:20] - assign io_sb_brg_out_hsize = core_io_sb_ahb_out_hsize; // @[quasar_wrapper.scala 112:20] - assign io_sb_brg_out_htrans = core_io_sb_ahb_out_htrans; // @[quasar_wrapper.scala 112:20] - assign io_sb_brg_out_hwrite = core_io_sb_ahb_out_hwrite; // @[quasar_wrapper.scala 112:20] - assign io_sb_brg_out_hwdata = core_io_sb_ahb_out_hwdata; // @[quasar_wrapper.scala 112:20] - assign io_dma_brg_sig_in_hrdata = core_io_dma_ahb_sig_in_hrdata; // @[quasar_wrapper.scala 113:21] - assign io_dma_brg_sig_in_hready = core_io_dma_ahb_sig_in_hready; // @[quasar_wrapper.scala 113:21] - assign io_dma_brg_sig_in_hresp = core_io_dma_ahb_sig_in_hresp; // @[quasar_wrapper.scala 113:21] + assign io_lsu_brg_aw_valid = core_io_lsu_axi_aw_valid; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_aw_bits_id = core_io_lsu_axi_aw_bits_id; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_aw_bits_addr = core_io_lsu_axi_aw_bits_addr; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_aw_bits_region = core_io_lsu_axi_aw_bits_region; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_aw_bits_len = 8'h0; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_aw_bits_size = core_io_lsu_axi_aw_bits_size; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_aw_bits_burst = 2'h1; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_aw_bits_lock = 1'h0; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_aw_bits_cache = core_io_lsu_axi_aw_bits_cache; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_aw_bits_prot = 3'h0; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_aw_bits_qos = 4'h0; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_w_valid = core_io_lsu_axi_w_valid; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_w_bits_data = core_io_lsu_axi_w_bits_data; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_w_bits_strb = core_io_lsu_axi_w_bits_strb; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_w_bits_last = 1'h1; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_b_ready = 1'h1; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_ar_valid = core_io_lsu_axi_ar_valid; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_ar_bits_id = core_io_lsu_axi_ar_bits_id; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_ar_bits_addr = core_io_lsu_axi_ar_bits_addr; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_ar_bits_region = core_io_lsu_axi_ar_bits_region; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_ar_bits_len = 8'h0; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_ar_bits_size = core_io_lsu_axi_ar_bits_size; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_ar_bits_burst = 2'h1; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_ar_bits_lock = 1'h0; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_ar_bits_cache = core_io_lsu_axi_ar_bits_cache; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_ar_bits_prot = 3'h0; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_ar_bits_qos = 4'h0; // @[quasar_wrapper.scala 104:21] + assign io_lsu_brg_r_ready = 1'h1; // @[quasar_wrapper.scala 104:21] + assign io_ifu_brg_aw_valid = 1'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_aw_bits_id = 3'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_aw_bits_addr = 32'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_aw_bits_region = 4'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_aw_bits_len = 8'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_aw_bits_size = 3'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_aw_bits_burst = 2'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_aw_bits_lock = 1'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_aw_bits_cache = 4'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_aw_bits_prot = 3'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_aw_bits_qos = 4'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_w_valid = 1'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_w_bits_data = 64'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_w_bits_strb = 8'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_w_bits_last = 1'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_b_ready = 1'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_ar_valid = core_io_ifu_axi_ar_valid; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_ar_bits_id = core_io_ifu_axi_ar_bits_id; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_ar_bits_addr = core_io_ifu_axi_ar_bits_addr; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_ar_bits_region = core_io_ifu_axi_ar_bits_region; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_ar_bits_len = 8'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_ar_bits_size = 3'h3; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_ar_bits_burst = 2'h1; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_ar_bits_lock = 1'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_ar_bits_cache = 4'hf; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_ar_bits_prot = 3'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_ar_bits_qos = 4'h0; // @[quasar_wrapper.scala 105:21] + assign io_ifu_brg_r_ready = 1'h1; // @[quasar_wrapper.scala 105:21] + assign io_sb_brg_aw_valid = core_io_sb_axi_aw_valid; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_aw_bits_id = 1'h0; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_aw_bits_addr = core_io_sb_axi_aw_bits_addr; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_aw_bits_region = core_io_sb_axi_aw_bits_region; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_aw_bits_len = 8'h0; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_aw_bits_size = core_io_sb_axi_aw_bits_size; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_aw_bits_burst = 2'h1; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_aw_bits_lock = 1'h0; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_aw_bits_cache = 4'hf; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_aw_bits_prot = 3'h0; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_aw_bits_qos = 4'h0; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_w_valid = core_io_sb_axi_w_valid; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_w_bits_data = core_io_sb_axi_w_bits_data; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_w_bits_strb = core_io_sb_axi_w_bits_strb; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_w_bits_last = 1'h1; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_b_ready = 1'h1; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_ar_valid = core_io_sb_axi_ar_valid; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_ar_bits_id = 1'h0; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_ar_bits_addr = core_io_sb_axi_ar_bits_addr; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_ar_bits_region = core_io_sb_axi_ar_bits_region; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_ar_bits_len = 8'h0; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_ar_bits_size = core_io_sb_axi_ar_bits_size; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_ar_bits_burst = 2'h1; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_ar_bits_lock = 1'h0; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_ar_bits_cache = 4'h0; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_ar_bits_prot = 3'h0; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_ar_bits_qos = 4'h0; // @[quasar_wrapper.scala 106:21] + assign io_sb_brg_r_ready = 1'h1; // @[quasar_wrapper.scala 106:21] + assign io_dma_brg_aw_ready = core_io_dma_axi_aw_ready; // @[quasar_wrapper.scala 107:21] + assign io_dma_brg_w_ready = core_io_dma_axi_w_ready; // @[quasar_wrapper.scala 107:21] + assign io_dma_brg_b_valid = core_io_dma_axi_b_valid; // @[quasar_wrapper.scala 107:21] + assign io_dma_brg_b_bits_resp = core_io_dma_axi_b_bits_resp; // @[quasar_wrapper.scala 107:21] + assign io_dma_brg_b_bits_id = core_io_dma_axi_b_bits_id; // @[quasar_wrapper.scala 107:21] + assign io_dma_brg_ar_ready = core_io_dma_axi_ar_ready; // @[quasar_wrapper.scala 107:21] + assign io_dma_brg_r_valid = core_io_dma_axi_r_valid; // @[quasar_wrapper.scala 107:21] + assign io_dma_brg_r_bits_id = core_io_dma_axi_r_bits_id; // @[quasar_wrapper.scala 107:21] + assign io_dma_brg_r_bits_data = core_io_dma_axi_r_bits_data; // @[quasar_wrapper.scala 107:21] + assign io_dma_brg_r_bits_resp = core_io_dma_axi_r_bits_resp; // @[quasar_wrapper.scala 107:21] + assign io_dma_brg_r_bits_last = 1'h1; // @[quasar_wrapper.scala 107:21] assign io_dec_tlu_perfcnt0 = core_io_dec_tlu_perfcnt0; // @[quasar_wrapper.scala 159:23] assign io_dec_tlu_perfcnt1 = core_io_dec_tlu_perfcnt1; // @[quasar_wrapper.scala 160:23] assign io_dec_tlu_perfcnt2 = core_io_dec_tlu_perfcnt2; // @[quasar_wrapper.scala 161:23] @@ -85857,22 +83587,41 @@ module quasar_wrapper( assign dmi_wrapper_rd_data = core_io_dmi_reg_rdata; // @[quasar_wrapper.scala 73:26] assign core_clock = clock; assign core_reset = reset; - assign core_io_lsu_ahb_in_hrdata = io_lsu_brg_in_hrdata; // @[quasar_wrapper.scala 111:21] - assign core_io_lsu_ahb_in_hready = io_lsu_brg_in_hready; // @[quasar_wrapper.scala 111:21] - assign core_io_lsu_ahb_in_hresp = io_lsu_brg_in_hresp; // @[quasar_wrapper.scala 111:21] - assign core_io_ifu_ahb_in_hrdata = io_ifu_brg_in_hrdata; // @[quasar_wrapper.scala 110:21] - assign core_io_ifu_ahb_in_hready = io_ifu_brg_in_hready; // @[quasar_wrapper.scala 110:21] - assign core_io_ifu_ahb_in_hresp = io_ifu_brg_in_hresp; // @[quasar_wrapper.scala 110:21] - assign core_io_sb_ahb_in_hrdata = io_sb_brg_in_hrdata; // @[quasar_wrapper.scala 112:20] - assign core_io_sb_ahb_in_hready = io_sb_brg_in_hready; // @[quasar_wrapper.scala 112:20] - assign core_io_sb_ahb_in_hresp = io_sb_brg_in_hresp; // @[quasar_wrapper.scala 112:20] - assign core_io_dma_ahb_sig_out_haddr = io_dma_brg_sig_out_haddr; // @[quasar_wrapper.scala 113:21] - assign core_io_dma_ahb_sig_out_hsize = io_dma_brg_sig_out_hsize; // @[quasar_wrapper.scala 113:21] - assign core_io_dma_ahb_sig_out_htrans = io_dma_brg_sig_out_htrans; // @[quasar_wrapper.scala 113:21] - assign core_io_dma_ahb_sig_out_hwrite = io_dma_brg_sig_out_hwrite; // @[quasar_wrapper.scala 113:21] - assign core_io_dma_ahb_sig_out_hwdata = io_dma_brg_sig_out_hwdata; // @[quasar_wrapper.scala 113:21] - assign core_io_dma_ahb_hsel = io_dma_brg_hsel; // @[quasar_wrapper.scala 113:21] - assign core_io_dma_ahb_hreadyin = io_dma_brg_hreadyin; // @[quasar_wrapper.scala 113:21] + assign core_io_lsu_axi_aw_ready = io_lsu_brg_aw_ready; // @[quasar_wrapper.scala 104:21] + assign core_io_lsu_axi_w_ready = io_lsu_brg_w_ready; // @[quasar_wrapper.scala 104:21] + assign core_io_lsu_axi_b_valid = io_lsu_brg_b_valid; // @[quasar_wrapper.scala 104:21] + assign core_io_lsu_axi_b_bits_id = io_lsu_brg_b_bits_id; // @[quasar_wrapper.scala 104:21] + assign core_io_lsu_axi_ar_ready = io_lsu_brg_ar_ready; // @[quasar_wrapper.scala 104:21] + assign core_io_lsu_axi_r_valid = io_lsu_brg_r_valid; // @[quasar_wrapper.scala 104:21] + assign core_io_lsu_axi_r_bits_id = io_lsu_brg_r_bits_id; // @[quasar_wrapper.scala 104:21] + assign core_io_lsu_axi_r_bits_data = io_lsu_brg_r_bits_data; // @[quasar_wrapper.scala 104:21] + assign core_io_lsu_axi_r_bits_resp = io_lsu_brg_r_bits_resp; // @[quasar_wrapper.scala 104:21] + assign core_io_ifu_axi_ar_ready = io_ifu_brg_ar_ready; // @[quasar_wrapper.scala 105:21] + assign core_io_ifu_axi_r_valid = io_ifu_brg_r_valid; // @[quasar_wrapper.scala 105:21] + assign core_io_ifu_axi_r_bits_id = io_ifu_brg_r_bits_id; // @[quasar_wrapper.scala 105:21] + assign core_io_ifu_axi_r_bits_data = io_ifu_brg_r_bits_data; // @[quasar_wrapper.scala 105:21] + assign core_io_ifu_axi_r_bits_resp = io_ifu_brg_r_bits_resp; // @[quasar_wrapper.scala 105:21] + assign core_io_sb_axi_aw_ready = io_sb_brg_aw_ready; // @[quasar_wrapper.scala 106:21] + assign core_io_sb_axi_w_ready = io_sb_brg_w_ready; // @[quasar_wrapper.scala 106:21] + assign core_io_sb_axi_b_valid = io_sb_brg_b_valid; // @[quasar_wrapper.scala 106:21] + assign core_io_sb_axi_b_bits_resp = io_sb_brg_b_bits_resp; // @[quasar_wrapper.scala 106:21] + assign core_io_sb_axi_ar_ready = io_sb_brg_ar_ready; // @[quasar_wrapper.scala 106:21] + assign core_io_sb_axi_r_valid = io_sb_brg_r_valid; // @[quasar_wrapper.scala 106:21] + assign core_io_sb_axi_r_bits_data = io_sb_brg_r_bits_data; // @[quasar_wrapper.scala 106:21] + assign core_io_sb_axi_r_bits_resp = io_sb_brg_r_bits_resp; // @[quasar_wrapper.scala 106:21] + assign core_io_dma_axi_aw_valid = io_dma_brg_aw_valid; // @[quasar_wrapper.scala 107:21] + assign core_io_dma_axi_aw_bits_id = io_dma_brg_aw_bits_id; // @[quasar_wrapper.scala 107:21] + assign core_io_dma_axi_aw_bits_addr = io_dma_brg_aw_bits_addr; // @[quasar_wrapper.scala 107:21] + assign core_io_dma_axi_aw_bits_size = io_dma_brg_aw_bits_size; // @[quasar_wrapper.scala 107:21] + assign core_io_dma_axi_w_valid = io_dma_brg_w_valid; // @[quasar_wrapper.scala 107:21] + assign core_io_dma_axi_w_bits_data = io_dma_brg_w_bits_data; // @[quasar_wrapper.scala 107:21] + assign core_io_dma_axi_w_bits_strb = io_dma_brg_w_bits_strb; // @[quasar_wrapper.scala 107:21] + assign core_io_dma_axi_b_ready = io_dma_brg_b_ready; // @[quasar_wrapper.scala 107:21] + assign core_io_dma_axi_ar_valid = io_dma_brg_ar_valid; // @[quasar_wrapper.scala 107:21] + assign core_io_dma_axi_ar_bits_id = io_dma_brg_ar_bits_id; // @[quasar_wrapper.scala 107:21] + assign core_io_dma_axi_ar_bits_addr = io_dma_brg_ar_bits_addr; // @[quasar_wrapper.scala 107:21] + assign core_io_dma_axi_ar_bits_size = io_dma_brg_ar_bits_size; // @[quasar_wrapper.scala 107:21] + assign core_io_dma_axi_r_ready = io_dma_brg_r_ready; // @[quasar_wrapper.scala 107:21] assign core_io_dbg_rst_l = io_dbg_rst_l; // @[quasar_wrapper.scala 93:21 quasar_wrapper.scala 121:21] assign core_io_rst_vec = io_rst_vec; // @[quasar_wrapper.scala 122:19] assign core_io_nmi_int = io_nmi_int; // @[quasar_wrapper.scala 123:19] diff --git a/src/main/scala/dbg/dbg.scala b/src/main/scala/dbg/dbg.scala index 40b7e7a0..f57c8aff 100644 --- a/src/main/scala/dbg/dbg.scala +++ b/src/main/scala/dbg/dbg.scala @@ -101,8 +101,6 @@ class dbg extends Module with lib with RequireAsyncReset { dontTouch(dbg_dm_rst_l) val rst_temp = (dbg_dm_rst_l.asBool() & reset.asBool()).asAsyncReset() dontTouch(rst_temp) - val rst_not = (!dbg_dm_rst_l.asBool).asAsyncReset() - dontTouch(rst_not) io.dbg_core_rst_l := (!dmcontrol_reg(1)).asBool() val sbcs_wren = (io.dmi_reg_addr === "h38".U) & io.dmi_reg_en & io.dmi_reg_wr_en & (sb_state === sb_state_t.sbidle) @@ -126,7 +124,7 @@ class dbg extends Module with lib with RequireAsyncReset { RegEnable(io.dmi_reg_wdata(19, 15), 0.U, sbcs_wren) } // sbcs_misc_reg - val temp_sbcs_14_12 = withClockAndReset(sb_free_clk, rst_not) { + val temp_sbcs_14_12 = withClockAndReset(sb_free_clk, dbg_dm_rst_l) { RegEnable(sbcs_sberror_din(2, 0), 0.U, sbcs_sberror_wren) } // sbcs_error_reg sbcs_reg := Cat(1.U(3.W), 0.U(6.W), temp_sbcs_22, temp_sbcs_21, temp_sbcs_20, temp_sbcs_19_15, temp_sbcs_14_12, "h20".U(7.W), "b01111".U(5.W)) @@ -456,4 +454,8 @@ class dbg extends Module with lib with RequireAsyncReset { io.dbg_dma.dbg_ib.dbg_cmd_valid := io.dbg_dec.dbg_ib.dbg_cmd_valid io.dbg_dma.dbg_ib.dbg_cmd_write := io.dbg_dec.dbg_ib.dbg_cmd_write io.dbg_dma.dbg_ib.dbg_cmd_type := io.dbg_dec.dbg_ib.dbg_cmd_type -} \ No newline at end of file +} + +object dbg_main extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new dbg())) +} diff --git a/src/main/scala/dec/dec.scala b/src/main/scala/dec/dec.scala index 2856bb52..b4d0c6b7 100644 --- a/src/main/scala/dec/dec.scala +++ b/src/main/scala/dec/dec.scala @@ -302,4 +302,8 @@ class dec extends Module with param with RequireAsyncReset{ // debug command read data io.dec_dbg_rddata := decode.io.dec_i0_wdata_r -} \ No newline at end of file +} + +object dec_main extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new dec())) +} diff --git a/src/main/scala/dec/dec_tlu_ctl.scala b/src/main/scala/dec/dec_tlu_ctl.scala index 95f35604..d423c350 100644 --- a/src/main/scala/dec/dec_tlu_ctl.scala +++ b/src/main/scala/dec/dec_tlu_ctl.scala @@ -227,16 +227,16 @@ class dec_tlu_ctl extends Module with lib with RequireAsyncReset with CSR_VAL{ val trigger_hit_dmode_r_d1 =WireInit(UInt(1.W),0.U) val dcsr_single_step_done_f =WireInit(UInt(1.W),0.U) val debug_halt_req_d1 =WireInit(UInt(1.W),0.U) - val request_debug_mode_r_d1 =WireInit(UInt(1.W),0.U) - val request_debug_mode_done_f =WireInit(UInt(1.W),0.U) - val dcsr_single_step_running_f =WireInit(UInt(1.W),0.U) - val dec_tlu_flush_pause_r_d1 =WireInit(UInt(1.W),0.U) - val dbg_halt_req_held =WireInit(UInt(1.W),0.U) - val debug_halt_req_ns =WireInit(UInt(1.W),0.U) - val internal_dbg_halt_mode =WireInit(UInt(1.W),0.U) - val core_empty =WireInit(UInt(1.W),0.U) - val dbg_halt_req_final =WireInit(UInt(1.W),0.U) - val debug_brkpt_status_ns =WireInit(UInt(1.W),0.U) + val request_debug_mode_r_d1 =WireInit(UInt(1.W),0.U) + val request_debug_mode_done_f =WireInit(UInt(1.W),0.U) + val dcsr_single_step_running_f =WireInit(UInt(1.W),0.U) + val dec_tlu_flush_pause_r_d1 =WireInit(UInt(1.W),0.U) + val dbg_halt_req_held =WireInit(UInt(1.W),0.U) + val debug_halt_req_ns =WireInit(UInt(1.W),0.U) + val internal_dbg_halt_mode =WireInit(UInt(1.W),0.U) + val core_empty =WireInit(UInt(1.W),0.U) + val dbg_halt_req_final =WireInit(UInt(1.W),0.U) + val debug_brkpt_status_ns =WireInit(UInt(1.W),0.U) val mpc_debug_halt_ack_ns =WireInit(UInt(1.W),0.U) val mpc_debug_run_ack_ns =WireInit(UInt(1.W),0.U) val mpc_halt_state_ns =WireInit(UInt(1.W),0.U) @@ -329,9 +329,7 @@ class dec_tlu_ctl extends Module with lib with RequireAsyncReset with CSR_VAL{ io.dec_tlu_i0_kill_writeb_wb :=withClock(io.free_clk){RegNext(tlu_i0_kill_writeb_r,0.U)} val internal_dbg_halt_mode_f2 =withClock(io.free_clk){RegNext(internal_dbg_halt_mode_f,0.U)} io.tlu_mem.dec_tlu_force_halt :=withClock(io.free_clk){RegNext(force_halt,0.U)} - - - + io.dec_tlu_i0_kill_writeb_r :=tlu_i0_kill_writeb_r val reset_detect =withClock(io.free_clk){RegNext(1.U(1.W),0.U)} val reset_detected =withClock(io.free_clk){RegNext(reset_detect,0.U)} diff --git a/src/main/scala/dma_ctrl.scala b/src/main/scala/dma_ctrl.scala index 34c1c005..1b3f75cf 100644 --- a/src/main/scala/dma_ctrl.scala +++ b/src/main/scala/dma_ctrl.scala @@ -508,3 +508,7 @@ class dma_ctrl extends Module with lib with RequireAsyncReset { io.ifu_dma.dma_mem_ctl.dma_mem_write := io.lsu_dma.dma_lsc_ctl.dma_mem_write io.ifu_dma.dma_mem_ctl.dma_mem_tag := io.lsu_dma.dma_mem_tag } + +object dma extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new dma_ctrl())) +} diff --git a/src/main/scala/exu/exu.scala b/src/main/scala/exu/exu.scala index 788c2c12..73a47350 100644 --- a/src/main/scala/exu/exu.scala +++ b/src/main/scala/exu/exu.scala @@ -231,4 +231,8 @@ class exu extends Module with lib with RequireAsyncReset{ io.exu_bp.exu_mp_eghr := final_predpipe_mp(PREDPIPESIZE-1,BTB_ADDR_HI-BTB_ADDR_LO+BTB_BTAG_SIZE+1) // mp ghr for bht write io.exu_flush_path_final := Mux(io.dec_exu.tlu_exu.dec_tlu_flush_lower_r.asBool, io.dec_exu.tlu_exu.dec_tlu_flush_path_r, i0_flush_path_d) io.dec_exu.tlu_exu.exu_npc_r := Mux(i0_pred_correct_upper_r===1.U, pred_correct_npc_r, i0_flush_path_upper_r) -} \ No newline at end of file +} + +object exu_main extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new exu())) +} diff --git a/src/main/scala/ifu/ifu.scala b/src/main/scala/ifu/ifu.scala index 50e381a2..230a8d2b 100644 --- a/src/main/scala/ifu/ifu.scala +++ b/src/main/scala/ifu/ifu.scala @@ -117,3 +117,7 @@ class ifu extends Module with lib with RequireAsyncReset { io.iccm_ready := mem_ctl.io.iccm_ready io.iccm_dma_sb_error := mem_ctl.io.iccm_dma_sb_error } + +object ifu_main extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new ifu())) +} diff --git a/src/main/scala/include/bundle.scala b/src/main/scala/include/bundle.scala index 9263291d..5e34bd84 100644 --- a/src/main/scala/include/bundle.scala +++ b/src/main/scala/include/bundle.scala @@ -74,14 +74,14 @@ class ahb_channel extends Bundle{ val in = new ahb_in val out = new ahb_out } -class axi_channels(val BUS_TAG :Int=1) extends Bundle with lib{ +class axi_channels(val BUS_TAG :Int=3) extends Bundle with lib{ val aw = Decoupled(new write_addr(BUS_TAG)) val w = Decoupled(new write_data()) val b = Flipped(Decoupled(new write_resp(BUS_TAG))) val ar = Decoupled(new read_addr(BUS_TAG)) val r = Flipped(Decoupled(new read_data(BUS_TAG))) } -class read_addr(val TAG : Int) extends Bundle with lib { // read_address +class read_addr(val TAG : Int=3) extends Bundle with lib { // read_address val id = UInt(TAG.W) val addr = UInt(32.W) val region = UInt(4.W) @@ -93,13 +93,13 @@ class read_addr(val TAG : Int) extends Bundle with lib { // read_address val prot = UInt(3.W) val qos = UInt(4.W) } -class read_data(val TAG : Int) extends Bundle with lib { // read_data +class read_data(val TAG : Int=3) extends Bundle with lib { // read_data val id = UInt(TAG.W) val data = UInt(64.W) val resp = UInt(2.W) val last = Bool() } -class write_addr(val TAG : Int) extends Bundle with lib { // write_address +class write_addr(val TAG : Int=3) extends Bundle with lib { // write_address val id = UInt(TAG.W) val addr = UInt(32.W) val region = UInt(4.W) diff --git a/src/main/scala/lib/param.scala b/src/main/scala/lib/param.scala index 79c7506c..0917162d 100644 --- a/src/main/scala/lib/param.scala +++ b/src/main/scala/lib/param.scala @@ -21,9 +21,9 @@ trait param { val BTB_INDEX3_HI = 0x19 val BTB_INDEX3_LO = 0x12 val BTB_SIZE = 0x200 - val BUILD_AHB_LITE = 0x1 - val BUILD_AXI4 = 0x0 - val BUILD_AXI_NATIVE = 0x0 + val BUILD_AHB_LITE = 0x0 + val BUILD_AXI4 = 0x1 + val BUILD_AXI_NATIVE = 0x1 val BUS_PRTY_DEFAULT = 0x3 val DATA_ACCESS_ADDR0 = 0x00000000 val DATA_ACCESS_ADDR1 = 0xC0000000 diff --git a/src/main/scala/lsu/lsu.scala b/src/main/scala/lsu/lsu.scala index 367287cc..d3494a4a 100644 --- a/src/main/scala/lsu/lsu.scala +++ b/src/main/scala/lsu/lsu.scala @@ -318,4 +318,7 @@ class lsu extends Module with RequireAsyncReset with param with lib { withClock(clkdomain.io.lsu_c2_r_clk){lsu_raw_fwd_hi_r := RegNext(lsu_raw_fwd_hi_m,0.U)} withClock(clkdomain.io.lsu_c2_r_clk){lsu_raw_fwd_lo_r := RegNext(lsu_raw_fwd_lo_m,0.U)} +} +object lsu_top extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new lsu())) } \ No newline at end of file diff --git a/src/main/scala/lsu/lsu_bus_buffer.scala b/src/main/scala/lsu/lsu_bus_buffer.scala index 821260cc..38741796 100644 --- a/src/main/scala/lsu/lsu_bus_buffer.scala +++ b/src/main/scala/lsu/lsu_bus_buffer.scala @@ -5,6 +5,7 @@ import lib._ import include._ import chisel3.experimental.{ChiselEnum, chiselName} import chisel3.util.ImplicitConversions.intToUInt +import ifu._ @chiselName class lsu_bus_buffer extends Module with RequireAsyncReset with lib { @@ -182,6 +183,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { (io.lsu_addr_r(1,0)===1.U)->Cat(0.U(3.W), ldst_byteen_r(3)), (io.lsu_addr_r(1,0)===2.U)->Cat(0.U(2.W), ldst_byteen_r(3,2)), (io.lsu_addr_r(1,0)===3.U)->Cat(0.U(1.W), ldst_byteen_r(3,1)))) + val ldst_byteen_lo_r = Mux1H(Seq((io.lsu_addr_r(1,0)===0.U)->ldst_byteen_r, (io.lsu_addr_r(1,0)===1.U)->Cat(ldst_byteen_r(2,0), 0.U), (io.lsu_addr_r(1,0)===2.U)->Cat(ldst_byteen_r(1,0), 0.U(2.W)), @@ -295,7 +297,6 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { val obuf_merge_en = WireInit(Bool(), false.B) val obuf_merge_in = obuf_merge_en val obuf_tag0_in = Mux(ibuf_buf_byp, WrPtr0_r, CmdPtr0) - //val Cmdptr1 = WireInit(UInt(DEPTH_LOG2.W), 0.U) val obuf_tag1_in = Mux(ibuf_buf_byp, WrPtr1_r, CmdPtr1) val obuf_cmd_done = WireInit(Bool(), false.B) @@ -616,3 +617,7 @@ class lsu_bus_buffer extends Module with RequireAsyncReset with lib { io.lsu_busreq_r := withClock(io.lsu_c2_r_clk){RegNext(io.lsu_busreq_m & !io.flush_r & !io.ld_full_hit_m, false.B)} lsu_nonblock_load_valid_r := withClock(io.lsu_c2_r_clk){RegNext(io.dctl_busbuff.lsu_nonblock_load_valid_m, false.B)} } + +object bus_buffer extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new lsu_bus_buffer())) +} \ No newline at end of file diff --git a/src/main/scala/lsu/lsu_bus_intf.scala b/src/main/scala/lsu/lsu_bus_intf.scala index 81bc14bd..12692f41 100644 --- a/src/main/scala/lsu/lsu_bus_intf.scala +++ b/src/main/scala/lsu/lsu_bus_intf.scala @@ -3,7 +3,6 @@ import chisel3._ import chisel3.util._ import lib._ import include._ -import ifu._ class lsu_bus_intf extends Module with RequireAsyncReset with lib { val io = IO (new Bundle { diff --git a/src/main/scala/pic_ctrl.scala b/src/main/scala/pic_ctrl.scala index 82803859..fbf1fda4 100644 --- a/src/main/scala/pic_ctrl.scala +++ b/src/main/scala/pic_ctrl.scala @@ -405,3 +405,7 @@ class pic_ctrl extends Module with RequireAsyncReset with lib { } } + +object pic_gen extends App { + println((new chisel3.stage.ChiselStage).emitVerilog(new pic_ctrl())) +} diff --git a/src/main/scala/quasar.scala b/src/main/scala/quasar.scala index b2becd82..97404c7d 100644 --- a/src/main/scala/quasar.scala +++ b/src/main/scala/quasar.scala @@ -83,9 +83,6 @@ class quasar extends Module with RequireAsyncReset with lib { val active_state = (!dec.io.dec_pause_state_cg | dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r) | dec.io.dec_tlu_misc_clk_override val free_clk = rvclkhdr(clock, true.B, io.scan_mode) val active_clk = rvclkhdr(clock, active_state.asBool, io.scan_mode) - val core_dbg_cmd_done = dma_ctrl.io.dma_dbg_cmd_done | dec.io.dec_dbg_cmd_done - val core_dbg_cmd_fail = dma_ctrl.io.dma_dbg_cmd_fail | dec.io.dec_dbg_cmd_fail - val core_dbg_rddata = Mux(dma_ctrl.io.dma_dbg_cmd_done, dma_ctrl.io.dma_dbg_rddata, dec.io.dec_dbg_rddata) // Lets start with IFU ifu.io.ifu_dec <> dec.io.ifu_dec @@ -106,7 +103,7 @@ class quasar extends Module with RequireAsyncReset with lib { ifu.io.exu_ifu.exu_bp.exu_i0_br_fghr_r := exu.io.exu_bp.exu_i0_br_fghr_r ifu.io.exu_ifu.exu_bp.exu_i0_br_index_r := exu.io.dec_exu.tlu_exu.exu_i0_br_index_r ifu.io.dec_tlu_flush_lower_wb := dec.io.dec_exu.tlu_exu.dec_tlu_flush_lower_r - ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt := dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt + ifu.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt <> dec.io.ifu_dec.dec_mem_ctrl.dec_tlu_ic_diag_pkt // Lets start with Dec dec.reset := io.core_rst_l @@ -278,10 +275,10 @@ class quasar extends Module with RequireAsyncReset with lib { io.lsu_axi <> 0.U.asTypeOf(io.lsu_axi) } else{ - io.lsu_ahb <> 0.U.asTypeOf(io.lsu_ahb) - io.ifu_ahb <> 0.U.asTypeOf(io.ifu_ahb) - io.sb_ahb <> 0.U.asTypeOf(io.sb_ahb) - io.dma_ahb <> 0.U.asTypeOf(io.dma_ahb) + io.lsu_ahb <> 0.U.asTypeOf(io.lsu_ahb) + io.ifu_ahb <> 0.U.asTypeOf(io.ifu_ahb) + io.sb_ahb <> 0.U.asTypeOf(io.sb_ahb) + io.dma_ahb <> 0.U.asTypeOf(io.dma_ahb) dma_ctrl.io.dma_axi <> io.dma_axi dbg.io.sb_axi <> io.sb_axi ifu.io.ifu <> io.ifu_axi diff --git a/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar b/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar index ce8024770e083f75e4f167dc9acdb15d0f42453f..23a66bd79c4d19c71a1b162df023d19fc68dab07 100644 GIT binary patch delta 124756 zcmb@u1yo$i)-4>|3DCH^y9N*L?h@QBxI^O}+}+(FG@jrZ+#$gsKtg~7O(1{M+;i@^ z=X~*O=v+7N}-TIb2nyLZ}EcP=*M8s!uAqh!nOh94eAa+z~ zuRcNV;HaGgG~!(B>$yO)LQ%8bdmPxvm_h?tqfaMkhXiUi{kZ%$ZIu&@(-An?)=TnJ zByX5;9gFbd8}~w1S!B1gYhW4tW3!ums%gCeZC*NF=+0*sRk<^^cP?PE*XR4@Rdyts6d4WpuNt_~pZ{RNB@0V) zw#OUz^MVv9C^E~2xOx1oCmiUfp7@~2VRpO|GG>xc2r@EE z2ys9L=_qgEAJ2h;I#AnTA*GIc6qP7Q3EWN}76`8W4{Nc&)>?Xduz^3uj;6LwtmY1; zZf=fhADyt&F!EO|^>A^KL&)FOiQ7UOxjU-I!2_GI?YI|kdAV(U)t-NG`3Ud%!PVwH z-I-(z#g)Umy;QGn<9PLh##3vL>gd{d5)z2wZK$*Lxe64$`ZSKHQI zZ$~-C)~2{RUHN)1E}#`kiLyMfBcOP+%r_#9GeOj8-)m7=vqi6N#Xeg-g~ua8#$)q1 zda0a1EGq0f#a>NCp6GWyN@w8;HNkQr6yoC-J{s{c$O0k0&MU`WYdB$PQ^uMG!3>z@6Be*BGimwl9?gnsr64hH-i z`SU0HcQ3>F!^=SJaVR)&Bt#4 zvwYT&QfEOvO)SO@~knDKgLAiCliS-j?t8 zhlgy>PIqukmGbvRfYXuUwruIqnWOC$Y3Kdi`R(NW!!NL+&sq$Lcv2q*oE02Z)X*Z5w8BX|dvzjkkSBdd4dyZpgTb-`U_~mx3DFG5=$}O#T^9c<=+{i@ zjFmRow!op*RT`1y27RmMwoC3|hF32B3zMDD0)E2Gh1^uS!f?l^De+FLnju`*m_z8F~@@u?N zd=hu}2OltPx07J@J-)d0EgUIdJ3l~_BS5>91nm-)C7hej`Qp$jd3?oY)2%1kBH5QaU5;Sv$T*!9v zm$M8MwvKrT>A2stQtZg}Y@y<|=$WQ?RO9wQsT|qNYBF}=!FkTQ|HzGcuHQn*CDsQ( zILavUA$OEzMj$vY5l+#Jgt{agB&f&* zoRm)RmYG!iG^Z3}rnGT4 zaO;c!lT7_J!)+}E!TZX%j%0S0f}&^)Wmp&d(B_na*RT6gC{UN?v6uG3%bhBSxM&>m zi0)|g7}3}`9qO_()_k~ zVK?ACPB+n@wh-;@y$B22DxMH4N=6fQ_hw{DY#bhe4$JnuP^-D7GQ5sQJGS^hq&t09 z8*|0hN-p~I<=+T*KilsUjBfA?V1Z|tB4tK5ac#WjK7?Za!S;D3H_6R%M_jaCF}yB4 zeZobpbRHUUIBxU(q#1w^Txwf2Q(FGj`7SzC)Yxv8xeZJL0ql-5W!IcTSS9bZlTfO1 zhA$MMc*r3}Fbp*|6+>#T)*|5X>_4x~USFlw-bcxe065I7SnSMb`bhOp;DONVlFPVq zymlXzJA&tWl${EW^E~P1ZuQ5slax_9o3g2w<>sWkZcA7SPtlh?X|fFhYp=0)+%p*8 zG)GNu~@b#A2&uG2J#VL@(!XmZx4{ALr^{l;S^>w<__v#|df9t;%v4Zsp zu~%d6nhx7^XxxZ?+AEd66UquqQ^d>vVVJ%vw-7Q?cc(#3^nPBU{aCHR*|GCY@0v?Eql?ED zc|L`VRu!$CmmcLs5$AGIr3{#Z;2lwWT{IKb-$_=}l=+l!UTjUQfqOpfL zg5|m;fx9=kKQwN#xY1|z4#zduEKyU2qv& zHv)W|RNNuzz6q*$DRKf-%wBCF8t2;X8bwAP1>qH(oPMOl?P!`a)a{lDC<%?)*}l~~ z(aNdk6BDn*-?n&sF@>t5J(xYeV1|`W$n@=S@{P2PA2Sfix^8#ceo6m)hvAM zfI3V6f-97k*?oE)Y?6_o zyURB5Z6(iDyN{B!uy2&7p46E--fg{r{TeQotm&?o-0|XQg$a1!lIQj81maQ!Z}*qV>-DS9lqgI9jfbBAQ2gX zd$?!3de!`Z1ae#^dvqb`)#s*@UAGmmcft>`hGik}6ZIw!?dxm-dbdWMe4t%2xdr#U=*!d|!K418xDn@oh1r z{1w2Lzbo@UU0aa{$MHU>znFC0eAVl>*|SOX@Nn2?{0tqq#TT)4bpGJ}n}7vz7^CAo z+j&^uhoixXlh@~^BI4buTpL@>PY$^Cyfo>L%TH1D^~4BDH(9^~6GVSqS(VQN!2jC6QEYjSc*mm|4i+piUn^y=}xZu1?Z~$+Pq7pv{s`g+Up~Y zTuVSL^WC`R^4rWhfHcCAY++<&_{2-P*nXEVCD{z6m{=hUL5dUXYqe_vv}Ib{8V02! zuVUK8kx2~!r`dMftd8WWtes}hL<&h751**x_0J-39Qgf-xfz#6>m)oaa0+}RAE+?a z-Yz;-YP5~U5I227L9MH@@q!lTC7wYKWTOe zBRy>}D{jjI{~kXP;NSTc)0z^$l5P%d97WBsi-?Qm5!B%56_Xp8tr!g@G2|DFyPC=8 z?-GnOABMb8zyX6A3iEbSg~h?av11qS%YNeM z+;{Gki}#UxlPGYhl743Y^z3zouOcQWy8Bd7l?T;czr=BiW?uV8P`Y@Nnm;?p|nNq1G{o{ikPShvI^iGhGe*`jYZQ9n;N-ms?-gWny@ntC&{?>*B7Ygtf_ zNI?6_KIKU@O#pm^a^ybqRyBH>1nfCx0IH&1x`w-haseXW@5uCPm!D+!z~4wBXxrxg z()YjlNz8}Gx%+Rh_}2Q)a4b`nDwIxB9ge>R{p6X;o>St`A6G&0Q*4G-lNvAXS9ET! z@KV7i#DX)hXs2ra0kzjJIOLd*H+bM)9~r5nu@VZ;h6~pdF{eE?6=mMp`UaJGY36Oo z$)%yE7j*4Goqj9M20g0N<|!44g?$$P_e2Cn7rLgQY_Fq93?yaB#y)xeCF{hVHj8K1koMrmW@ zDzt@?u>Q9FnMG^A`8x>^c5g-fw{h7d(Pz@1Fl?+QVW`p?&l91fI}ekH$|k}kf=^#{ zl?3}_es7#mrJ0t0EhyZMFsgz`q#7pCO9lHj<4#xEkV(E(=CT#HpT@9!wb0v0-{XP| z>G?!qwk0{?yU5j>f_8B#FP`2YduzF~2O*Ik4Jlp0YRjh7VJGcsK#mPp7JOh(L$EDZN97^+kY`rY~Xe;2_PwCEN@hT|)GXB3#%ONTm)QY#yXp zh7c~S2;|f?DQxpo`&w#PLXa>cEEhDS!t6JU{&PqPT;UFB0&-)9EhK{=D%cztB*n*4 zi$3%%ED(&P{v#`i1b#VMIGX;Ej5K%+Nk*b{O_YU{vAo6Gz(uwBB5!7fI&8s^yz&wm z%g&DHpwUl0gSpF!Kl9@F_qiC^-BzgRdos)1aBZa@)G7RK2S2S2a=z~#g1$XF!yiX% z7BnR!7x$bL;heDU1C9!i#&=M0Ct_;T-+#hP0S2%J> zMDl&{d^G)Qy z_2)R(U>do4y#(?cPiO3+N_gc*2-OY+Ah!kvj^*i1enr7mW9m>BmLDKliGf-le1ZB( zxnOyFhtj=^*$lwyEtws+SrC%waPb_|D|3q#?%o-fzkB~Sb=ChZ@%~_ruSCJR7zQ%# zbqVs_hfQ%bYE^O(PL|-Mm*=cfJ{Ph^zmYqB>NMV21~cd?vZL&#=ng5rW(uSX>c=T?Cd{BBLEqXVzU0FopvHX z71AFFY#PGT72T_VxTlir4nUXzGC0I=@I->1x`G_$m|;Ty#ZvQrEJOszY@F3&Ej^XM z)2vGeIal-nOZ&-EdU5RSr;?U50P$)5AMZQ^d~dLz=zh%3C;K2@kv0!jIK%=_J|_0R zCJ{0(4)&O#e`#0s0dwu&cbReLOo$9(CBm-yOOwbkEWE#1-|-TV^;G+KGmlOJ2f7f! z=6ae9DkLn`C(|Iey3U4e^zX(XoHT5;r*^2a*cuQLCIe#CW-ew74P?T=lSq&u?M;XW zT1^4aLaqgYou=g97C`(GG@pR|RQu=*1R0iYgczWUHmq+?S15b}+&xV(#5Yv=v4j6& zMgkjF&C?;ImgNES{Zsdlsrycj{qXP6K=DhMp^&d419C@5Fj#m`hW~N*)~EABqcRF60nY=#3ci zWM57+5&=jg2=M|LVuAS({H#7?jKrVd3Wo!vcy!FarvY#?Ob?I%ATAcT-9E@wLOY1a zd628l{E_iNzi8nt@*x$+X^1L{|0OB%NdJL95NZxWio61oBG|%wWPgw%>(#Gl9Q)oD zs^EylhQBXIw*AT2(xuVm!3E%LF?&dhA=?TSL4Ke=pW;PS`(b(*IGM$Dn4d=``pzHD znam5({^-T^tse(=^o3bgOmv(dBZpDtZZry|LmX8SvgkybT*MrZI9`dC(k-!Olv@8I z&`phYA#>LWiuy(V)SF4fI+KWi1XY|8H314{Pf&>4x&BR+D%-vFc1PT#5e)hUp?oDE zn~UxhH{ywghl-ZaTr?-?Yrl6CU16?XC#AtYt;aUSO1k+t50dYa&N&heiX|$E$*$rN znfgRu)>>ie*tN&ez~{7BOe(|v_J}=n1Cr7j3RAjw0whx`K46XYENEGZigf}wvX{R0a$5@ovT|~ye&JTAdG4MsbwS?rvo1KV zszTlBTb0I#UObX7%^f%om;MQt*)3SO&PY6`wQiR|R4%#e4?!I&y50%bzxi9VP3!uN^l&=iGVud3Szi%nW35dd zQ*G>YkN3Kk#C;G~z#$#+3CyDLW7E42TfbU>q@+YBfAr4&uapQlWdNd8ggx4VI1SkI z`j8+cIUthClV2XhBiZRd&VfB_5&0iUhex*euP*fmz?ywzd-ERI-ZpGlvDQbnSLBiH zjhSVJi;Gz6kDyiJ@ilEY;az{#Vwk;ycf%#}S%S>}4CWX8E_17X318M^p5Wm|7XK5# zYD6PK?BvTL1X{dS&OE6JLd-Jv97j#Kjc?);I4~~?i7Ujka^tijmC!x;z$QJq>66M= zOW$lbVr@ovK^wxEjU*y=gFP}384%G-Tjz8+!Fk)cI>O30rz&x@STbL6eYrD{)}E>^ zU;ew3K!Ph4Mhp###K{m z26N$K&sF1c6(#fa!#J;p>_9WTLgK7gs712VGKMx7dV_S1>;qjayiBn0JjL_n5&=GpxnFS!6`0Fd%%$qCbr0f^ zInGSbwHW4fjr?ETGGecTd#1%b`{AD3%749X#z=O2px85~ZC47$Q5@j-)=6q9A1cL! za5_lhv+?8pWn(4jePa6tze6xAM^wBbWg;qegPKh$UBVu~n8NRx*wy$TbKL^j7M37n zj#={ld3)=#O^TGlXZtk^JGt^2SQNzvh*%_Pm4}UYx5a7Wxi#+vZn4F7EskNaRNu@Y zzlXT#6vfMT6kvq)53)A{@<8MG2G__3@jk9t#MUQ|1V1=spC0zouMu@1{u;?gjsW5# zM?_VDwAF+|&hmOJWq=>I1R&f?B(JB}5cqLP0DROW{3AgIFCO+RX80A6J))gvYioo) zT&o$(8oW)N;}Mll-|U!Tt|ImqtN$iHhD6}_tIRobzqiZ(y7${BzF+s#_wSw&zkiKd z6KBRC2Aub)&rw5*qwvo+-a!fl=Cnj>a2-kw&(4g_=s%{&;&G7)vp=UfT+w3=#5V^! z88x#;4Q4t|>8%1bCJBr2mGqXvv9jK>I|Q~@N03Xj)Jzw?$s^2CB#Gs8FReDAC0HBE z9Zefjz8T6P2@B_Wzt;ilqy38fLE{LWR~bhYJ7%bS+kd%Nrs}#bnIXv4_$K#wvbO| zAb~zSSHz%eW)a7dpp*x`W&=g-z#2IrhACpZTwV0#UHKw)MwOv~dDZ?$`Mau!Mx>ne znK+icdH#x&>9$(-o9^`Zy~MZ!Y?m4FHLi41%speos0dmC@njWMiBrDUpPWOMASF zu_3`&oe*z=2KBjyF#;`meAouR4!rRg8_z*m|H1lcZ>4T2h)Xam`A=6KJNw zT2|=68M4CJ>Be}WL-%#hxIwk~R^s}0HrC{ydbugX0_WxZnWo*I8@Gxmz=oc{-Aiax z0(zBH=EPABMgF$|j508z;uNxb#pw3Ex;qin`wogi#63)q%h!b+Q(QvLvP}4+o4V;UE2OgUcb|W(YDJm z_U*Zi-&pTw7rpklvMm^qTtl<&(M~(Lf`cMJi7#h+HPt=_p-6E;GQosvO#FwV-RY(SSD6jWn1UfY1BJ>@aldV~$O)c91Vm~-_& z=@o#h_)J78Gvg#SouBV@SRbZZqf~?-r2?50Yxn%dfsYsQVD`}cSo!EPNHl>HWnn~M+OOpIbtl&8kE$g{;@SoX?T3JHqGVOb znFW=m$OoqVw0Go|LZ4{A!TE`H!r4k^$Bxj4JD2bp7mpowFzv@vMSJ3<}Qau@zE)|#C5o^w;l{PUYgE)F_`S4CDi*Hu=3L)QM5T76pSaC`P6L-l%&ZnI5 zJrX*g%Top#g&of%qiCF;spspDEmCq(v052S^jmbm0@O~^mv;12n*>5zmMDdG#U8Qq z?pDG*Q9ROg)5VFG)PZQdX;@vBChfs4d`e=+@~^L7Xi+ZCFPgThRn;FL#Jf+EBxRK{xe6$RP~&Jwx~v} z&q7RVvqoASt-4dZ$>3b=JX#K({THL@FONqnZpkgSn7cZE~;HQdR?lgj$ds4>(I<@vJ& zS$}M^r7;v}BTpymsa%4ehYbyz&o%}e`{g;Y#3(g5(&UVph$LyV?#TNOsBx=4TXwYB zQTrtnM}LrXNN^=Fu>A3g%u+mM9cVnrtMComCIl~YV>~WzhJy^N+Du5fLa3^X$S8`h zI0N~<`kJ{ju}RqBeWH?yb5oRC@rif{_;RnF>ZFedD^P3nUptM4~XK5Q3k*6GJ$EgH@uBK~Nt;DC1} zVz$kdE@JZa71Y|^vRfHe;}9^=9DV?&7SElieVj&y!(@WI^%__xzj=Kn%CU}T2%PQ! zqir@HAf~64lAHJ;e?XYyRgJ&0a%z)?O%hH;-|Hwf;5_HbC=El}Q%Rg0-j<4rbu|+^-sn9UA4#?=VF-Z7LtV!+BY*4(Y@RHgT zdXr|XUtfJyU#r_-9k_Y^izRU8`Ohr`V36pzH?pGAhLY2iu<-P}TmIp%Uzhg?&xAs{ zVD|tDz}K8I@Z#hiiqn;L8Y7xNcg@S)2qdASpB1}J2eJbftCWziJHJOBH&GFZRpVM@ zTMDH9B&`7?4s%VF!-_Jf31B!YaxGQVKwP-%%b>Zy{^WZ}w*VDu$BAKi zPawzmXtut24iE9jKKEz5cDD|P6=*1N3}Q^BmqhGrCh2{$?9#n`$2};rz&vx_bNSDh zr5G{#c8R`lFS?#>_A|W~!2VrkRG^_og9U9zNYR&+SXydq(u=Xd>E^W(;5_d-xw6jr0#kvvm4Ty+BS5Hz<8hp}n;gzN}8N5>`b@HmDMN zj6v4+XUx*oT<43f^cLwojT@AghSa26n9&L1lbz8R;sL8!{C)>tvYLSR4_74b12!mX zY1PgyG#U9)n=(fm8z^`01ae=H_usw1X!=~1#W^c`Mf%e`CFVV`1tWWsHt?Dl8%c=? zGn2bFrv(W|$6C5PESpbblP*;%c@WUA?zJw6_gaz-_qg?XLo_3JwV?#MA_m$ntV1s) zRKV2H?n@RjfP`Up%IO+$==4gCM5)!zrcg=ucKH-=uN^TWlo%={est3a9Q6G}Lw(g4 zR-g$kDJ!Ldtzw-2B<|U4UX}-JAsF-5dur9NXvQ$Rp>ND`%?0xR#e92GW`hz zdOx_kUSQf5RUIo^xDyeQdS0V_r4GPp@ip$5 z>)s{qBV}tIG|t>?^4qTsf}1QFA60^A2EGdxu>XcbA#1u2p{oOa*K5-GflidW%x0rI zq|dtC$77`z4K)Hia&@YbdNeY1YOVbM`+|-AaE3fcfRY-YGHS{nBf5oc>tOzS*I%TV= z^V*WatfHROMN}4-QeDj%@dk?)g&6!cEmZgK#UA-|(j9I~<0Q+IxqS+DyOp(vRBmAw%N2s4CMM=GgSw!LzX zE;>=H#^U_C(#fy1^hztHvr14xJ6?m7fp4VN5G1QTzP%3-atNXCA9(T9r-#32h^0s~}G z26CF?!RHh5y0DzuPU#ey`+3its^LEa7{W%HUTwv4xG&VD=!n5YoMx&xQQF0pA`PS; zzv%6x_%g_f$v`m?NEyaX`Bn344~2W7hS1j%S7w@})N!^%U|(D(SS?vwA-1E)4N*%L zp)kSCgi3BB&s1S~5jz3qvY(rU;yDd{$3*3w z$JZVVAAS@|esFxn^<$z~8`;QYMW2sTL!TmL-p1L^hvh`~sy1Yv8>Sy^Eu>V{nc}I^I`cryJR7>TC*(W2>Z~=RTZ%kIm!@u5-?W3_EKDr3zlKI zj8wLzG*{4XKP~y|6#|arGqt{T95YVU4g*WRc|}7tw->56%jXgJL9aO-D)}vIWZE)! zkUvqT52q6k^@G)tB!gPrfPS!A^5&k@k!>|Yh}G?1YDtv1Lw+5Wqj@2Bi-q_{wIr5! zAHol<n)XZ-bEA=@b9v~?;u z*&1!pCs5Y_n%p@h5p7nBc41apSV!4MZGoMI%C~&>7n8BP({||7vpPvA-%_pY;gqTJ z(SOcF*}D3T&dAd!H}th?wYB`pWObklMd5M(Y9pKr#C=P~ar84RA=3vOILS9gJ_b$( zM{BI3;J}GN85}r8f7j@pKbI6RmRn_^KZ2fSB-BX@+In_+eU8`6BEx6cSB1*Qn&8*T+g^oEO)Lip4AS`^A6$x z&#P~=<=+7?Kl_(0;QKa(rXNFpapHb25JhrjSf9c=pg9p9mh%`leOr!z$R!=Wysp(p z_?6rddjE!Y-Z)S3onkqPiZ^S`IWxk-X&5*5Lld3C7=EU1$Lp%fR(#o1OO`-~?+65RSC_65NedR5WY`7)m+fkra2N?ubULOACfo zN?kI0)Ak~<0WS8pG_f5&^~RQnb0s#Uk1d$0dQTct_uG8jRdEYXqyIQC!Ep2-~9{|^f~wEnfAqX77S zy`baEzZP^T{l8eyu?1XgGWY?Llgl?{+8&n{;)J7vZ^>{+tU$ajxT#v!ur5fJ`4zDA z$+aQQen@`GI85O%vOJ8pzG2SDvq2V%D zo%;uS@pq5Fc?OE0Ml(Qwpct^{aKiip#XQmze|4Jwnx3#T2%m%X|Ev!q9r{R5pshd` zAsvMpt(jq;8H zKPhJIRtF(QyIlnn01noMXFumAJgr6RSXhZKPB;a?hJKg&URe^)X+A|^zBU_F+v%1vQ z3gewH8psjEAh$}3+lU!GvFJ4C05n;NKViF$h{oP-hO$UF4ekMiKO9UYDr~Ce4%GNVW?+IRO6=y zCWCnj^b^8bF>wU6VzthX;!%43{kR*x`vTAuuR5`6c)UjX&o?3`T!%%cr-AiSd0qWk zt|h0hob6u%7xEhjiG7yDBe^%wk2l1kzN3ibBW(1Vh@>Se>`RdFZaF<$p&kylc3HEI zjOCgZuoB5}?F-0O|JlPFW)u4E`Zg2ENp0r(;2{%~i)88Aqs2rwHA$eCm(Y&GX^P=pn1UjgCs)*d*PFO`w#zn^{793q{q^o7!cK))L$VZ^Dyu-M8lgm z`x6k^p%AgZQNg>4F)&?b#nZ^XIsKRaLG(v()wI4TV;dcAQ+ZijPir9&R9_-``IcLH zYP}4*Aprh-KW=^yKRy!5C4$X3igKWv6lqOB7uu_=v!dBm((F4mxHwCpUN|H^acg`&-0t%PZlxW{7 zf~@3uwC4%(HHB)J2nDSWDY#AJV-s0>oqiL{V)gFESC@(GXNeZaFP7o@;J72l#}oB< zawQbL)%m7HV%AvHCot$SLrz$>juys~ldzh*&Soe>UK+y`tk2~|PdpvaO0V{Cczg6V zkqfBv0$^0{gST-yOSWh|Q4XIkyi5Vd7b*b4F#8qq+!|^bYqCP!waLA5z;OlnnOBtB zwS%&~(9TYsbpd;UQu~&OQu)0RhInh==1>1oSP(;Fcb4cLi?w2wqSvbYW|lxG2j8n@ z0CS~`sAT;$>Q8bp#1N_!L~59!b?mZHs#}Xu9oa8NViyz8ZW)RcZ^)HZv%@QYLs_S9 z`B`9<_TA)kb`;K~N+MRug}}G^Vo2RLm2Hy%5ufdXgb6>oN#Z zfnD(G?;##&G!nT#`5&(K7`EA3d9Z;${~5OZAq9sQPyOGRuFwA)(>3*|1o!&p_v;=A zp}GdaRM!tfFx92;2h|m9e1H_1!xcS0k0<#TrVHm^Ocx{Oz|8OIR-^#&Of~zfA<*-{>WWBk6%Z*Y{oP%I#P`h76UN)NWe4sfQH`ta*a0H|JO!*l| z8~R5ycK_}Q&G`_%fL(8jj@(ns#%Y&Qj5KgH4vE?Nj0hNt#se9{Ji`pC))2%$zpU^V zklojntF5YRmxOPb1>U1}nF)JfSr?0ZkSSG0e5FejOiGKK5v+_8zKfWXsO`lQVCz_%uUD3Sawvqh|5OrDL>^%|;&2}2wK0jy z{L#Jm2T!Mv>}Ve&-yVU1g;YHJR*UPJ=2+$X8g}4ZOiCdpU`!Q+Si+{}eiJ8)S_NwL zUrIza(;POG$kd*ivB0m8co8ACIyMdB+YM)jC$dGhzYx~|&A-XQZJR<1FiMvnh(#5& zqqT}TtZ2zSpq)OCGhcNhoKm-J-_{((uOCwP_^@x`XdpD|>|ak_y*4^gSFvOgMI^27 z1q;OaMr?$%W7#b)_m0+Dv&aOayMEt@p3f7pA=FDq*-v-8LhGT1M(tp^Ju5nb;h1Lidq8Dvb38X3-K-A!okwBu~F!uo) z4>D~2Au6HZMQ&lb9O`LBqdIv9++5M$fOD_ph1Zi^GNu5>b-O)LRbQwfhzPRvH z*odj);#ei82>1B)Z#B}dP!5nJl}UgDHdK(0(M(uHj|jI}c0VbncN6_*p3F9RPwCv5 zGDmOcs)%}$fY&M$YYCa|LO@I^tEpmIZLBX9Z_zPUtUBA|o7GM{$I((x(OiFOerpv> zn&OjhOLy0wed9shA(qVAIYH)#iRRU`o$gEV@P`;YW^2zz+IL4c~j|QJgD7Cr#G%s&oY;K5wK= z>CJB*G#lF*b?YxQjo;>>}c{+XjICAVu{5U@xud-ysl=<$eRWv z0w#U|b2wiY`+a#X1d3AQ#8>zz1CRgp)k~*1Ry=eBU$1Y`u(&3y?^z|#s$YCx+3ypU z4GdWcq9nc`p{=|hG+%Z3N*rF2!U`eRcxOexybZWGU_1JNnBl->%t88Q>rH?^+J>fwZGQr?@CUxuBo|mw1`uIj z`A=HkY;lw+@;5GuCRl8G>RvCld7hQL1hK zFRAv_r2mtWNHyFM_M>plqmpQ3@>26<*dBkE$#9fUyvqxr!*o?K=^4ZpuBMeJ3J3QC zJgO~gs&S%w_T#SjkwNY)4_M0=0RNCPh8Q}PE>ue;{mrkq78f`zv5uOh!4X4?$3w9r zzNaQEj4TYd3w=4huph8-m9*x#x#BkSqy^)blS>UzVprm5_VoEIfxH~Y)ITx=>0%5k z%XBF&_jWzos!xlumF;hh22u%Yi@1cUZ^2mIDGM`9_63=EoB!G^7^}n9A1*MBq*@0{ zkg#p0mz09B`Y9PNLY_8~Y1wz3wt5L@ZEoF&Qgp(>UH7igMho!15iXE@BNT7-Yp)hs z!cP1W4))`K`M`pYQ397?f(OzA@Aj^O9x6qRxnKPFnuSi#PPEh!nYfj)ksSfX>fn7N zCeoG#HX;}Q+&3cNANxk+fcK4H0V|2bHVfc!Uw2WB@A<`Q$A63#BzBqq?%&t%`$OW3 z)nOwFmL$0lXY@->)ow9cwFsf)gn;mc0VY{smO1Yj7^^4$3#$i01-_&e!$k&Fb?`r8 zby+0x@9RYw>X5!0G;smBMrJ$Dm zenFh`=gLgrVXLdmr}}U z&Ht2Aw*O5^iTNa@B>91k^nW3xtPn^K7n3L58&I{1q*UyfN8$$WE}>_3V%x&cPU*Xd zS7Q6|RKcx$7w?bVC0-utQC|a|c9&qZyvi4t{o*&<$C_iXZ9ILg+rZCc3YDR{J0+m= z`~}uX$JghXKf}VWbps88c)dNGV(O5b&er}PD=FdMChpJtBNqXF`n41l>mvLk;{f4J zz?=1eH|+V}0eIv8Z>bpsfdAO#i_p?blAGCd&p)x!gfT}fILz?w-#T#ES(x-ma^dFY zY{li;*orO*4~d}lrOD}$A8c%KD9p*IMWN)N&2`hhg5_(8pqJK2_b8IIVnH<^t*@pS zo%wRiWn*3;O{+0djQX{TF;gyL(M;JwKHe$IHgX*uMo?}P2UtjN8Yf-RA#7mrSs;fo zQcQe3?=&;5K={8Z}AwNXoi0bpk1;cK-4+ny>tb zLb>`Xh`LdsyyQ^IY&09NDu_+L* zu&@8TUGjuxJXYtSo`8AcYe&;KpWrR$U=sJb|8C2{zeXoL5(U< zS9^|<-Q4n!K>Tqj=F;O*OmT1GE^6>nOqmY?K55)0pW`7bF&VPi9U9_xYl!+uzoaE$ zRezzAu#ss`s}3L!Lw6sq2xJ_QH&c=slH$yaJq22?;G9&YyhypU6`}MH|J+ZA^aDe! z9o4;)o>*ojthHLha4&d8POqXpp;N`aowrH%m96|c4UZ}jv;4X9V|qRoE*;L2@^Wpd7D1Mf=+bvQygADzFjFp?HG#EM`b=M{S^VeA56`fghm!C zYFr!|LZT`A+x7;L**@^?J^CCG50TOKUe;vG#A-Xz^A#~cz|%6lHxG1aEJEv=^fMx43y zJeIgQ7Fk0u+;{j(DTldE>+wl1?6T7baIS}>Tt#Eu=5*;kY2tImEyoKM*n-z$j=b(R z3Zj77k4}ce;*VgcXj9p@d8S7X8*R8?`T?=*>G6>42n#dmBYy5)!R~)?=#VA!UTqkNv?=!WV143ahCj2BL_du zoq@Z+!gmSlzdwhooY`WK?Q$K5PaoNEX_sU6XS9DpifEwEX%(?|t*`(r!<^#D`^obS zc{7MoeL(^PaEV6%9`gjiqy7cJ6DaXM**bmiE<{qX4zO9^4i|PfGgF(fXLn5d68EBB zVf}1I9qpB%J_LYg|L_4)N`J_eQmUn1QSl=oZ~Wlym}XJ3^feWM{v*-edDW*Qcgnoi zsSYeht;IwqvaX@juiP_4*C+{3%?4O5#ea>^s!)jie~g`VR9jEG?~7Z};#!Ia3+_wc{75r|tc}025(%tedo5>a%2E6IkAV z2opt+^f$jxP-`$9Lj_WZlEz%i_LX~4^?I9UetGF4x!r+IInfr?D{1~jOagDI*%Z^p z{bXJvCZ0_6KPXTCL`>-7QFo3_b)w8cElr!k`cEyr3$GCq{HfT2bWp-=JHxwsso+z4 zG15N~6P3>&$393*u7d|^Gs;Fy51(N7?pR;8ipDeks4=G)jUwL;s{D$B8uY}AB`O}f z7xmxV@cQ5nmx}VL#@x?L+I01>|2?9B@mG!62kYYJ&cIhiLbX~Tp@p)8#iU}V$j@8} ze?Ju*YN~O|iV?Oop!2Aplb7tp*Y%~`OzQF(`X4nW7<0*x`%lDVX5CRfVryurTV6GV z`mtns&Rdj-K=Lxdv3%RC%`U&#qTw6+Y-%@U(IwWC{5OfP?ki3>goN@h_TB5L(AS#HwNm(0WPjUj3F8u{WW5l)O;HRY zgl~3U!M(=*+mL#TK;!-2(xnyws?y(*{|iAT?B8a8FBc(>i~NuO9fKZ+_-`rYwS?15 zmWn^w`@hF<{-4G1f8RA(w#%#rSSjTI0>b}WfKss0mWGs}$?_sIfPN`V1_w@bHzNw7 zeW-B~Iv7uLnwpHs(eHw8iO2|Q)R6cS!ug=2$DqOz=b%6}h~mQUARH9sK&iZK*2+4f z_<-J5J0fO+=7^IfR=x&i8Vw?JS_k;S4$Za35#-^F*L;6hX2dQ-6Etw)YEP|)B7}1S zd12>Ih+DDi_SgQC4(D`G7y)nSQ+5#+F3GDDvLLZ(H%ywhz9DE~Kw8P0ZvHOWELdpP z&cu7Xp!Em?FcjKJ(JOO_j!~}J4Testc`|n&?;R4$wFB9unb4DKILjog$-kAD`ZUgW6qR!;me=8>Zhxa2)#?gmvK#p zEFkbseej4~ep>9FoL8Z%|22>DSAbIc{|Zo`{s>T9V*UtF?*FF%g+yn!!vU?&v;y0? zyrq{`cIHrHXL<7}8sM|G@-%FnQ~NX8%T$M1&GGqz4zCb9RYTf>0+h;s7oZUR zmjI<>gi7*11t@=p?5myk-;YWliTAF(03sq-4J zF<4^2Q+b324MGamT|7C0f@z;>e*pJ-=@ zlu=u#b6PY(EfFg1<900-7tzR0Uql98AGQIP^ObyN)yi7}Lg1~A-lAJ}Exem>VGF=O zIuspN{lp7Cs2BU@+2?s1d&PjSJS3~WPg|Z#QR4M`!naseLgV5wsq!>7$P_jCJtPqR z2lqx5IVG{65!*$_rH+4WrOuayY|kcppYP8dnLnmp)8Ukgv+al0&F{?CkLSp4%_88z z2wiM*jHpVB`07941`FSWaTnLk^H2Kcsk44{addSL#E z(6}djMQ@pab)&6$v@p1C;>(xIYc}7X&T0w7SuC8(|#`u+xw4u+zQ)t zgyMc#U201pbUcOUfHO^mP*^oQmmNjv1%`>C+ijR~SojBkL#X(Vd%Tn&An4US&Qsyr zWe#CK1k`*I6rQF{}!C&{F{Qi>HqZnpZby4QPymEfh(rrp zk?BSHJF|VNwQuWX{RO4l_pyi^96NDZ`<@*&&gns1!0==dw6nLz6Xy_Evh<2(IV#HL zR;GoW$u-1Tpkb{tzEE>SUBjz!#vX@-B%R)3TK&} zK03BSM9U#2mL-XjjtfdPv1VaprCO?~Y$)fefQfyRm1Qd9b-1mpjIjRF4nbol29a77@5DOKe`_zdg{#R>0=5prjji^ zOT*))8soV7Fk`0>afTmAgZ@KZR7HO<0fmtzCp93- zt*#KDZX{N!|pQ2%1HBbRl$%Y zEXmY1YwYo}O}FU7Vb~<-Anc`gj{-}7Whz$5Gr-dOErQ3;f&!UCVAo zh=syH3##Xcv$6FM1Lr7f1cLdExrXEvPoIMhn?rw{wYqu*9^R2JvKgedM_Apk!m!YD z`hFY zW*sV2f&u+SX0s}u!hhcuWHWBqVmVUUeQCGD$aB9*ZD%SAiZx`0`7E6LOe>F2TnV2CSe=506hcmJ4Zrb!6<<`5eP}>d2!XuoRw2=Oi`g#;%VDu* zJLBT)OZ1vRHGJ`!K$ZFmX954EvmAgYDaLiOCGhokJc-!72drlY6Cv$Xq2p-o`X3nS z`miVdb;Y^Nsq0fYd*fk6jhu2JO)7e=~xW|hFu%BsxQPPYLq8U z>{uExR_?sU&i~_%58<6S>eiC6Rh*q{e?*$hyI@+}Qf4;c<4nfE>X1zesq$KORJp%P zUXq}zfa%(GT2|>+!_j}0oxIZKVgWA7?d88odF@_}0e3>vSJ?@PE{ePHot`u}7SlbF zl>>ESc?44S#tUqTS_9=6I`&6*!dS8I-RrXdnm%>-D;>-B_K)nO#Q$?ZV z5t-6#5WxzQegJfTV2a)Zsp>g1HJ>g+zf^;P*YKOW;BWUlZ|4jsUC0OwTD)*uG4&=% z-a3C@$3Y$MO%JX|RGrj3wvi;b@O?ls6bQFJ<`XID+;3l?6-s+%ie_*QR!mrL$ z%X*~Ak2L-GY73mVMI2WNIixYVL9Ec!6;v*t8UEM;&HhSLuVj{gOaaDa7c%f)*$LsR z>}2Xb1c&{<$SfXP!^KGK+t{=C1NehKSYIT5z}?Q>1rvten-&UYup{Y4AV3vB%xndN_OtZ-kIy{qb&Z2!PjXd_Yn3L=}u z3eer4|GG6TE71@Ckx_!`(ZBsu;%Y_T`lr+dK`%x44;TIG75@=1nEqb{rIp(|RqT!C z>S+vf^E`}?dhpWP?>|PUDr2q&V9y1>mwnMH9wG*;^% z77cjN-Mim@2nCw4cy8aEV2b11L8}==o8EMM%fs{Pul9}B9I8v@^r4{%&t|b4Ff~9IcBe2r5aa_hmrVxDSN{>@W*z<0=1{-x*Drb`*K``Z@&{S?@ z{f#klg&adrj&f{#M1RbvjOKh4Z!4X@RInTLx&{*Py)vwVVMcEXhDNP!yC4xiMD|3JosQPcprzn6PWb1C4CJ!Yw9JrZ^(s%42)T`bWR(eY8YsN+fi^80Qr zfIpBip6Ef;wc4R@*Y#w)-S+mMb&VSHnyfNOEph^((|8hJ)6+Fd@6lFTJB(NLypttc zJjNcs4C$sN81H?>gH4~kHmUf|3VzGJgE$PVWe645${GnUiySk_@f|BU#F#aFTV_v> zWKJGjl+TUZBYaaP>|0t2#Vk3aE&GgGiXz>-5|b8JWub9ErCN%ihq+w$g??_Z-+c1D zF`==*JAqG2|dYkYUn}Z0w&mV2$??w?}U+VELAq0mnRGNIm<* zR2_~50x0@%_dVHXCx>prNiZI&EXRJ^jp!N!MAIy$i*;quK+$lXyy(HTbq6lFU3iL> zz~R25z<+ydkT3js({%guP=0(lj!)<%DFT&}pl{L!VF%eDjyFaRE`Mp;1BER>9tDDy z?3=@C;`Whd@@MP#y=AFi+%^kK4x0DEv*Q;G!R^qIl3jPWDUQ2Zd+-z3{NYXW3ky`v z_BBv&058*z*&|yMW$w#2D;=wlZmw}^
  • zb?23BmuE9+mDPrQMF%5L8x1ydEW<1s z3Y+zG_IE177X1U=G!FhW3WbNuXrd|>a_Lk4Zxk?1j2nVuwAhsTeFVivpZ5(_RwTU4 ztd7NVukuz}WKd&?oScw#}ndU!ebqagXY@B{c#&eRf`WO))I4&|y! zWI!^HmZDQN$CRNlwi09|gR~`l_+6E%<3p~NTTOGDr2t9dxb9gcXWGT6Nt+8RqkGX_ z9hO2FA;0fJ4x|EMYGS)gymGMd2NQ4k5KdN%72MBV4_>WA%QDZDeF;IuZC*l^ArA`_ zP75}Jk+%;D8-3tB?Y39f78laqrOu1~=Y!i0n&<8aHvGaK#RsUmZi6BA!4P+z_UbC* z-_aTy>}M7&rX}HKNzFD?ExI@F2DPxi&A25hwyz9X?xZX3kuEqgMxwL~uNZVFYjKfQ(HgKXZ&dsZry&2MJRBODr-U9hkDNWnh;%mIER@0jhmI*KLLNR{E^SUx+JHEd7itQneyqL65ClG zM+65n)k#Vzkn0I>c02W*f1(5-AU>8E{G*}#Hp=&g<#jc?`M1^VZ*2KZbHf70HPzqM zN+la(pR=&8=4#amzn0HB(Ko>5yNK=8UlBJ!=~q@ zXhbPyi^c3qNlA;4NWanxEo+&PX!NM0_XPn<{t@b#cGvp6$K5_5EA5x-!TH$5;nEs{ zA14|jj=qQdkBZd-ZsM?3@S)cG&v-l1>^_*|={|PkL$z=Ticbq}ZNw=07!_;J3!>jw zspdVwkV&Nb$z^r_aAw4VnIEySr<65_2u(0BaJ_k_WBbZ;7Yy+qlH>T|RBEq`o0B44 zkkZ_%lF?XcvEu?wuT7Fx#_T^J?PnwJZJf*`%yeFQmv#nO+tGf2fE{Fb1MP95_M>KV z`KO=Tq1qER3<$C6kOFaK&F)+fo4Ug26UzDRM6#5!>h%`+B2mLnVKJH%Wr#j;pW;&d z%BN`JIlw9kA&DNyQAvE~ASxur>yi9vH3}6Fr9Qv-g1B7j7q(GIA0aSF^~^7tL0&1l zymZk8^(VLYgxMz!NA@+KcKQ1JH(Gm2&k-t4%9KAE4wy*%=gZk>JN<~UcGFzqMgQ@F zqg$CyJh#IcrEBzJeNd8o(R$Bz zu1!`AoA1Y8enFXSc6n@ZZKZLs@wM}{d)^$jUx*{_dmuQ_R&va8Q#7>J=CyZ{H?YOi zkVlJBq=Pvn+j=Wo**?r%*qgD>^A-Tsu`E{`8#-HyIT*|)o5T8_;8Bdyg>p-`!b4)uq(B3~fO$+Whk8d&X1Rlwd9hM%pNKBqyiE*cN z#~ifm-OT0^-H_rf{MOCQk-1Gf<{<^Ms*n7L{}^o*Mxu5GT*kSq+W<`C5#3U?b#0d9 zl%Kj0+(OzmbBv~$fp_XR1Jb$ra<>#;zy)1eOW#>f)Q>#DWj!x|z!y;9lU5+Oz_+01 zD|kksz#p70{B`8pR>_u;c@BHvOG(eynXb8STV~UCTz9_+9*Hf#+0B1vx#K$0YH|-5q`J*#)Bf*&!?>we@J0re|;S*Av6BA%X&0KbccVN z;NsJ%B((8u3(}lH-(7m1e4)U4gyQT|s|421KRu0lXl?UVkI(CZSo%24J_Ydl-p$s+ zGUMN=65SEp(t`xPiIWHgUt*!|S94slo^X{tWZvlJw|d8z&=zYlgX5LHYA&hEz<;gu z^uR3W5}iSr<5zZh8qW?97?~Y6?2Ffhp4?tMu$d0uv%As== zhRBxL4yU%6KPX~eB@6~hjLWzyz~4GVaS0A3Q~IXaRT0V8%Q3CbKB2#ynzr-9B}k+TA+f;#rUF1YB0IS<~crk zG*Z(Hpu7$!E=j_fm~lI1Iw2VleiL1s-+1ytk!uQ z?t*@9r}^i`W|QjXoH;T|GfyCU+#f$@-Z-;_1@6B8PQTLN?EUM+;?!x4BGl8VZV|`S z6oQSu()3Zvk;5hfV{gcMD|QCl!8<^81=st9<+*}&a^XVSZXLsXy~gY`dcLtW5SDzL z3(oAie&~KKr9DE2bjpxVITS@Px4DIZhW4^IBr=!2rqgt)CV0k$jmrkVp5MpA)ZQP-W9DRX>ZHn(%I zYIqbGb#HFa1+SDqxuM$wrNYx)Y;)uk_H2r} zgS4qJd<7E#}DsKCB>S1n|w!i?2h0i^UX%9~op<& zm2}}2Hc6eW?avczbQ`?D4etqCt%sAJsB9Uh#ozTBo!xmG`ntz$=tkY?-?=Lh$FT#) z22v2?G81p%LfI>_c=JAs`nn_Or*lx#Cv2U?0sNQTS#uPSQ73l5Yyxo(nJBBwBdS`s znHOavQqp97KZxp+i;I`woy>p7>_Q-_d_`gWLM7O%4h}T{wha{?9;;ld8b!f88~OLj zYO|fZ!TnBZb&}gzJwNU@WTE#JFDSd>lKIw?a590$HlJFoynlVe;4;%usS)I6V^7Ng zd?HG$2ecp$%I_zFXFAv|RIwt*43B5wiPMw^ui0ebVe8eRtYW@s9+!%uaLNC0KPiUQ z)ds+*Kpcp_vN1d~FGNZm29H`_YGa`xYpzG;i5o6`w4IpUZq=;H9RAjX2}Juj4v-6NLY7sZxqTv0gFPpos*W2rTF2S| z*3Tu`38Eq29@F(fhcZ-Gr30N9_vn8z?w&3-RMIV8o=22R{Wf>M)#!^@K}oTl{$Wb6 zGHnabUuxG0RZVPlH=u$t_xA2GciT3+_*{?fGrIpzYp~mXMoeIUP~bOuHDRBp*6kYJ z>Mxqs0^j$|z3UN*t;cyx3=IVO>%Wl6?&33P`@1zfplBbWo+J;#B}o0o?Y<+VbT4uM zR<|k--Pbq$5U<)xEFzhCfELV(j%Zrw;Nl+4*>t<`1Z{jK3nOwBwns@8_;ZXjC*IoT@Rhi=hfxpH$)NZX~YT$UnnfB zOq2B=xDdcd{cf;EWk)|4g_)6IE>++B`S4NsbB&xp_J$hW;-MT-w(RIuB6SSW!uN_W zwvdU{KjT0&6ZAWPpzpwT_B<4|)E2m%cEjEIYQw%&^Jlis?n@dw~ndNLqGF62K^TvP2uEuYm ztb$Kql#W6(?S4$(G}yp|4+R%>^Ec~UfSrl=nx}|_I_y_HQ-vhddhU%s{}!p;6eN2% z*64h(Ua2vYHq`bZ7g`)QL%H<#9sORWb0I&)xzZjYb{e&>d6%e$6Yo*}`O&t-P02}d zImvb+XV63HC1sbx&ifZy2RYdp0QH(GMF(69u#-Ifq8Sw@4AdWaYL~sTVK}DKW^u7k zzSjtdSn=~@(XOp8;F!feX0oz7{n8L(#oI=4K2v3VZK!EgQZ6e(XD@CQlb}c#%1U5> zh6;)!$t$YNOSX5l@ba>13S#|swH{BE2W4-;aOgz47QQyWvMF)Zn`f@;r3zcMAquX< zA{@_ysvt&-`pi=j=}Ocs%ClE*iRuysRTa(dW|usThz3b_AtoGyUT}4j$Y%_JmK3FD zVdZAGl{NP=m$0H;Wu?H{*zDrqH@0Z;a`L*)S8QnISWnVj*z9U778Nn}&+aS@8g!5< z{7Fn`-3k+*MH1d5S?@&29w&M{Y6Ffwn>?4V)aK%H6Vae{8ZICKxG9!MUl++60#gUc zrFnoJ@7=`P+PV#j&V+e_j$*Cf1-5+?LF=JHBg98-6lP0olx*+gYp-7ukjUlk%IPXdT5+S#OM@|osXcLO zure{Z_;|N-CO_94Axe_>*yJ(MLJTF<-OMP6Ie%JP9-W}Mgc9{CQDi#{br&Z*PTH5W zlCQ6G*zShrYAR0;^g?}c*4 zsw5cITFYpfR7M7oe3X|nAGWo$qAnxJs-vlPTwYl~-AfFV7pScCT>QECnc}TwsdhXy z&}3GsG%YBIJfW#g?1YBR{~5F9Cg#m0S=o;mF{1R0^wsx%jKES3F>ppMV?|nBh7JnA zC^WpJgdgobihv}Jnu2yBzSxpJvWUsKLfuWKoI<>h%1sw^ivefr7tb9sS)o_);U53ymL|7*2 znWhDFQ@1)R>5A5LfW5{0@@00FR8bQt$SO53E9_{iHPo6B^JUpl@cbQWT$Nzt5HwWh z)vAJB9TP+W)G3Qege2vX^DI29yr0O^l~X4PapyrvL@}k!PDMnpQP1Q{d9T2jQSw0%Jo_K8$mS?sCpLq$| zqH0xHtZF0bnA&_CFB4)_MOomR;SGR>nwpX}ee zbLB#*rOhwGoxWFWI01x@cgRezp#nO0=-?T7)B>SF$#7%I!^losLu~=`KvALjPEWw? zk2oERvy+=IxF6IvSI6iXJ2TtzZG?NYVyfA1?qoWLyy)>N=6~0F=H8gyDqc(-CoN3p zFkZK)ru0o~!Qr>*gfPYAjhP)GLC{Y4 zZ5K4xRI-LDd9A$4UYncQD7cU|pCEoMOE>Tk)s^oXU44zSs?uBeM}Sn@SyPDO&=|ng zRTjBVof1S?o2E&osQz^itgKqf!@?FA%kiX8r}+1&lRIKTr7eao6X91{aK&h-E78&L zD^*oROd8@9wgjX28cp!qn0LhCT-Ym8MHZAyAp8{}$7G41>+M+-OxczWD`ugJ7K zf&AZ!)wfpIp}x;i6E2Ql$;WCYyd?y?D7IMb{Hqv*cLWL zq9bZ=8i*dyH39~IKo)llSZsw&PIDxnd2jErOPK_G)(E90>|@u)B)dhfEeesmx+0fHfn zc!QE`)ik+K;iS3f@%fa0f;Yw#mCa}Npvt~HL)&t2->StMx2oG(H&+vkS5tv9u^620 zLO!;NHT+?s?Te|dbraKKh43rub zuOGp&AJr_Bz%10~LYQPJFv5)#LTVhE#s`vV3K9beUV|{_k1@{wqt^dNr2&)lbpi*c zSL6IP79o{?caLq$tsuRO(8humo2=$kve3d z$TACa&L%zP|6F*@5H~qTku?mgJ3j?F~!wfq@Ro#c4|Go9Fs4)$zkouF7b)AteR9j;sl$}n zpuiT^FK>a1`|*}T?VarEA%Pr%U*59o*S9T)Y`fWZVP0LNzq~)MUo$#PE$wDcMS68n zUEIHRmgX?k+%KRX<0bgo8OEpTEBA8pw{b7CJ0D}b!Gdg8_sPSDr*Tte5?$R`_s{}Y zaO1;x8Xxp?CA<6x?xAJ(P;Q3tfE4;~wr{&}BpUK6J9*9yba6X2F_BYA^15d+k#C|E zyU!5elA{%K-GZP$OVZXj2SImIU<3I?{2>(BMLkQ&ujwUHO;!0BAblHm_nb7hMf|bj3%A( zB^eD5Y#ruYOL}bdxiEq;j%krXcRbR$mTGpuvoInpj;TqkO(Vvcg>7~qSqkqoj_I>R z+cMU%k-+T0xD=lD2i*$Ewp4;+BiX`;TPZv?3f=$FnS4J~V%LJT(u+3wdK38GpQe3Qdx2n9Ff!da2rVqHYVoHz3ZyL+d{!ABQ~4Ip_*w25 z9o7jq)is3AZ@nZe=|{K{XQKl-8d5+0x;%SJeX!wk%;SAwA?MxLP?9GNFzN<^@h9>Wq^#HC!B9x&=)5rb78hXETEywz=9J4)nd5%hP<|0K-WS|8Bb)VEv9}A*^+(KN7+!{-1=Oicp#?cGduoSaoWD~ zy&9_RH#i#$F zo-ahhgPE8r!;%+%4x#jTE77viT8*(-t877%N<82L5tHsW5ju4j_=SlW^$kJ53+)Sj z-Y@P})h>Y!0b-jYL>GxRw;uM@1{}|*4uN>=(>zbj$w-dibU{(w9`VkpMCM_|S!;ZGp}{yI1vF}d;{?e*2~NL( zX*Su=6OxYTi*7tExAJJx&Uj|wap+=3@rFlhY<-V>kL`tO-$xjyS0N34<@NNERbBc1 zCodUlFBC@xS!-(|y4s>M2Th7@?`{gP)_hH>Gv6^wb3Dtst~5(>iEDZCibhoeQ_jClt96n(_c5KyS>e&O7o>)_A4zC?IN3?K zn{j0V+IdHqmTXW9*%h0DDH!?E+xWDJcvrRe_2{cvK~w@cEv5N5`3Lp$h=i3HGv-fv zT;nhOFJL*Xd%QZjfYxPya%@{mOGL?x)r>r>s?V$?Dv_hsXEO1U#j?}m8GZBKarJ0J znLZ4MSp{GU^?Gn65o?>r?5?=7=$~vWmadUg* z#av*R*1X+%^Y_#Q?9^%LJXACpe3=N#W_URR8{lgEALG{%Ry9_LhG!`Rr`IMhX{|*o ztW}X|Ln*|Ooi-_{vgOu035<4X_R6O8Y-fbWgyNPwglgPs)f3zDdD)A$b?>$~9F(al z$ab~fz*uX%S2a>^vLgP$rzc3{{N4P!rKMdUm3f`-n=B)&bL<2bOK~dF{`MjxY;g~< zbTlwqV%tWRD2!neUON(Lf$O|*bt>XE&f&WCrR_YxyCZ7Px|1yI`dZQgrg?cCxc%VJ3U)p~LG&mO zd&rv+eHc0CJ=8SoxitjTm2I0E>@;)I-~_OmgZW1Pv(^jNcM2o(Qaga6#NLA?j)VZa zxh!z?69j^Po~w*1!B^TqT%Zdo8#qz~RQ4YM0hNR1TuCP^yqPkmz*SKQDn5xY1U@pl zP#LkHpkzL1W(I_8?EE%K3&@)+gBclJFsl|BU93zbL8DkoQt+;{0kt5ZWIlLi1PnUq zIl=~U1YM~E?yH$rB}(3vyoQ}+=uAS-C5 z6~qfya9!d|C;={SzueF zOp2j1Pf}I)5i;Njser!JnL`2$&Y#tbtjeEt!Pk|C2pK!0CfW9zGbh;&9%%tK!e?AS zZKwsOr43{SLMq2-k+!+BS@;`Lkam`~Fd1;q(PzL$=nNz1fnK6n`B*ser_%9v{0#|6 zC`((G%uBbqP!efhNs5eufipr|$Kv3~i@e#dzz38h zy`CdIKxfE|56Bay;CJZ_t^^n|%ZD%U5%LS@87o6(aKi<7A}aW*a%>z)nm-E$dZxaWnPJwd}V40W)+L~64ip+|ohv1G=DbWS+_{(Us&?uLpI$1{Vu*G^AHbkjvh!Aop!6r z>s6d|LgX4fJ`Y$+hs*Rp;l55j9oMcp07nsc?&}I=RDJe@i(NGxXx3IqleD2;UZG`v zyQ-PKMNydijv$$(8jqK2!oil%-ZuSooqej+qRVLx`miW?-r13?X!MjF!8p?iIOre9 zGAV$++wuA)gufdQ$in1|i*?WO{;&w`clG0JtdmOUle+J~aBFGbGyT`tz4Znl__@Q> z7d2@$D%z?zt!7d)IYsR^a9-c>EHLwDxYeUd+4jWJofG6XcvL=xbHE&TI~AH;m;J7dGCP)EBdXw5o@bf3 z+sS&ip%4iJ7w#w2W5GaM;vWe+89ap7$x9WxZmzp)OFm7lKb2i`;L5*S4v`6ksJHxP zQ1_~DS8#iZ?Hq{nq|GXb^ykdpFpIywMv}#T5rPnO(PM%)o4s{ET=2%+;voOdPl{3L z7vj?F(!~7gVJv8h`StdOS*KrLI#tjc^F=S`LEx>IPNko)_f@ZKR)dQ4p7A6MG z>5>kNK=Mw9#voCMa%8enDRIF*NbBQIl%vGs3&u#bT&;NRFeQ?tWP^ry8Ce!B@mdc2 z%A#4v$VJ6c+yc+nmCKwlNzJ$+x~^=z+B%0?P{t}>hV&A~ zBc&HYX#Yx_d7)R8$IB>y;S8%=INBaRl=Zd}(s5 zH~i`=*w%?RVTD_hysJhE6ABzNB$sK{(ac6u!>YT1{yuUA zR~0WSFOVQTQ_daHJO5AD3fnx@mlc=%nVq>Rq6og2lM0{*yaT|oyC<9zO34kFkO1zA zE57y;{>B|2&JN2c>8ozRbOIgw=vvS+Ux)-bPy8k6%J|l1EXfBVM6SVzH9PTLm~GMO z%dShiamHfCOO}JOk{h!4AN-*$Z$gV$1oN=n&}gcctWS{|p`*u?Or+S15UB9?=-^`= zTf^Vdspk&II^Kt8+6nxE1vaOH$Wes36OZ@TtF7xr|Sh zCY&mxRte{xra;3$5RM`;Ya1X>R!Ly>`V{WZ+C`3D9>lVv$m~u8kJ^O=j-VL!&Y_A9 zdY1Myb|-q;nx~r3wgne3(M99dOQ}RE4GJ5Vyo*?In+BP7DdxY+||a;c52LUAR( z&`Z6P4y-FBUdoK0=V2v&5Qi`R{RYCMa~E0eBxSp^{KA z$KQdN4nE~331T}9DO+&q*w0MXAvhH)ZN#PWrO8MdUswZ3B3ju>o%!j+DnDS}`Duy2 zHf#(R`vzl)6V{CYo(Ew0qnD^s2BZOQmaffTZ(mB%?TM+3^@7N9O!=C%vwd+K-7rZT zN{?Dc*4|nNF9P;=&r|2u@Ax7rx}zz*Lxr(=p0_B)hRaMbcMjRvx6cwE>Q1tUNJ7fL zX(h-k8C-mjL02o2j*7cry5<1rT76lH08@9_`khiXB45RTX<~mBQU0L5?^I_U*n-By zu){Sx2lU$8e%J9ISUW=en#G<#X!GH+)l%VoKU)+_36sq`r=jpz@wl!h3 z8h4PzlDNJ4n8N?M=qWpbnK%<&mJpPY-+Iz%_A|C*zw)#C@lbnWZ)Fuy$OcCVIfmvf3kt zj;kwV8JRV=V36v~8<9#JOw;T8?2Ri7FE1rwYmvXL9^Y`luqAFQ$~lt}+qeOoGLfA% zX)%em@d%+KIG+W@u!{${C_73jEPdV=qy{H{Mhxu84b{s*$6}ZC)0rVV7f+y^MF3dI z_3zJEl5{BhgQQ}`ZDDm?9(p!DCQ)ADGNuOcfcjfZyS2E6HpOBOq?{5UD_9k?7l{ad z*z7vpnCbDb<&9qEr1v519U7GSP*p1?CzDUCozf@}@j||YoZDerR5usX=I#}A9k8(l zk`AKmK32sut6XtLxVZvRP|NBaT3I$Zf^(W=SzK~LHIo(de2+L^D?BU~+XovPfsH+H zjsn2!f_#xi=^~0BFPeM}i(1w1eK3^;&bRE)Pb%@(F zKd=tsGV2+z*`24vIk*s~R^kZL3bvaIP11RZmE;w(q^!=4mzMJtig6gTU`$Sj)TnbS z1bj5!m9>m-(DbFb;__6D`h=t1p)9tFOk<=qt9LSE_wP z&KL8oDI-Ua-6&k4P>vyr!?PiR)>xJ1XkRx+o7pq6;94NQkZ=m4MwgXT22Uv2vd4&C zEC3fky<%{uf_=S_=3_}*G~^;fGDAHNV!YWE3YK|uwd0h>l(SK|9*_ix%DTCrhdP+i z>(=;bn^Obo9x^BWDi_jf#FhoWPq@Q4X5d0@Q%WOBYR7y#*26Nnw-uGp=hKB?Duee1 zDquX32^x1tXv5r}h|#$KEN%-rmWbFe4OH26R3{*X$#CU&I*bkt?uC-yE1>vGgQNuNvxzg_9I$o zosr?v+LtKbFpnZyXrIyI(mIql-7pU%vT-hekF<4+qIx$kioYQThDZX-@{21aSzZWV zc;iU9e(m~E3LGb8$9oP7weO+3abHwUVT}v1@WKX5ReNnl5pI+q6&#u$zw|yTJHUT{R}<;@b|bEvFq+hV&G$fxdFOnRlhE4_h|V4OeLvTaz2`e55I5M|)~mP6jM zk?#ZWQ=(HKrBBEV7+33**`O=WrP4Mge)+Xsqg1fbJXVG5v{P^ z<5nXz{mDJ9Yk`x`_RBSFoFw+=pwRve=YConw*Pa zVX)tO@GD?`ahX_)@B*y|sinQsDP`{yx&E}pIp-0#v$xRG$w#sn6C+=%?yQ5K9vh_> z&Ls};_n5qnr~K`e7OJl5q2A;;UTJ2}Zv}qnvK#*h$Szs$X2W4{C;dj6>d(#9sw7GJ zWg>=%0hMpe5gcrD((=vW%bJ1+2R4e(95*V$A_eP5{Gb6{5CT{5SC#Nv-1o8!h?M zY{T4+Q#UPUElxl!sB40n(SaS^oei;31YbBoL+)cMEU>0Szm0Pz#6ZhA#pHbts##S> zs$D{Vlhs)n8u9oK=z`Y8fmZ=0eFgUVwK1-wV~9?Yatz;52vtsw&=LyPJr1VhlCqw6 z9Jt0S&pT{euW*xxQl0ecIirjx9ibEYFul?**6|HV2+kf-=%b|IBBb!1!+ z02&Q0Q~~0^U(5iTf%P?zW#2jx$Z}{s6to)B`m1D~>K)ZDo0|5}bte1vW@8Y!!qP=n z>hHvKlJM4;(gvaene=u^BoTLQS0@ub%4MHIu(!&J54MDS1M-kTLzouXA>nm=W}XIR-J`~nZbusq04X@N}G#TWhx zV_^;Cf?2{e`B6_X@Ba|?*HLjiPv9sTBqRh2kl=v?4G=s)U~v*$7Ypw0?hFzv1ee7L zWbt6Z-4=I(ySuw{=acX6o_pRq=f1apR8@C%Rae#2$nN%Z@oEekfAxwq(LqX5Gx=#` z@c2|k8>_&Q{UvR|Vg3%Uf_8= zkqrslWMffm#?fDp2G8vbk!*4O2+aMKgRb?IkOMl_!(YVmJsFv?xBDC?SfZCedEyY< zeF#^9&ZSvMn8m>7<$!NshaE$szq??(PW#<^hDN_@Hf0Zt)788)>!t|0r>E{8Ij~Lxm8H78(Br$Q7|w$j?}^nNlq60tsdF1l z_J}d%_yYJuSp|cUgA5+53rHibua&v+1|cVJn1{@g<2y2){x)b5_#4LnrXQ})hlu!- zBKTMN(yfNvx=mB>X>d}fjv@vXy1pOf=$V?D5pGZR8;kTCNNO__p*e}ysEZA?rT3)M zm)4xhaHhFSQO#@rI9IiHBV{D{+t}iUw$W!sx)w!&b6|DYnXWa1=AM{~lgt0K^vDWJ zyev0l&KscGJF)L-xRdNXh2E3NOk$) z%!43Q-q7bMr>2a-==^jD|JqGUd3X7%Q$}-DEThUGiAw(wDn-Ff#JwFystQVAS%#X>ZP=V`x^$hl(LKlE1*x3ltm1FEJ)aJXYd!7qIt zHSuI3q)x-_?>FkfJL&blGNo>5z=YNI!Vms=JKT4&$7goWYxyDIDyPfP1m_^`FD@Fz z+2fv~g{7vj&0!<+s!i4!lU=i5=JENz#3}@4WEvfHpLn~OfZy5QH|>VVMb4iSsk!es zqEjBZ@9bOs2g3pLf9N0NH~f$OADq|wkNzLj*8Y$F4_;ere_lFOG5HTL|NjExqoFN? zdF!vc*Yo-QqxwTYkd5!hqL73O0%K81D3 z|A5H<3t&on?v>g5<3AAi+$&mx7x#)Xp7=k2@&5uUohXmKFmU__!0#>{{|5m75BdLx zs#zO)Eq#`GDs%lw95PteY(-c7hGt0KuBpcc+m#-M(DztQ8ORjr%r5d+CbP*g`K@34 zx-0|?um!HEi`%SwO$rrTM6yb=?P<32%41j;bj}e z!)om(4sw)Cx*KnMb*~_?Ui1yB zO7h(=Abtc;T@qpL{j`?ADMVrXj+~}7BV=xiK2DDOvSr*7ZMuXhH!$z{J6*KeRR)S7 zk@9t?h?qf@QFke@I7L97vRq$Wg_a~^+}+dOV-Xr{_!eWlQ&|kN;z?oLYPOU{(UxVL zQ&J4G+UV;%Rk__br|g(w=n1%qFbPeO+GwRzog6)2+86`AogFSUBXlQRPv$RjV*ktE zl9GNa<+bH2BfWl~b+?f>(zqsU(%IIm_S)`OlQ;g0} zI3dIK7b%aj7@aIQjA7eY%A+<$Cljv5u$>Wi#z51kAz7k0+9>5w6r+<1pJd$5h(AN4 zX;hT7g^s4gpApbBsz_eaZ(B=w)W;mCjp_h2jiQn`@3+&WJYX>kMexh_+q!XQIy8;) zl80)e%?#TpQa3+i9%LmSi{Uu*+wD>}Au$i4k`yYVU2$jjG~ObT8Oo!%acAZ<-eQs& zDx(0ywxiTdLrl|8I19tJwA2j?jkmg_uHvW~_&i7Btu48zFv=Z&#zf<-DY>XP>I%f4 z@zZ$gN(w5B;>4dVO5H@q`22=vyx#`m&Xj2GWh4dFMp@#{q-pL;VtlgUk4mE@@n@to z_o|Z3N~4GIXU}Nv6(s@aDCj0(a?ByDhdvkH5K|ZTQBv|L&Fgq&D6~4pBW|n^-Xrxr z{$n6~PQF`I2Vbaxo4BHWx&QM4kS$jqMjD|o9@o=2mzS+XA<{Ocd11dwYwCcXbLMaa z$g#khoqE^u8?}yi@~fY;talvQvLD0V*(c#~FQy>Bz!i2L#=4Io>y zle7-KFpDgFjM7I}_~9kQu$8K;z5?&%guZrx6P!6pt0YMPOk)yEf2dTZ zhnS3ME5A}zA|jOI9iii)u>~U%OyyLScdpj?uSxPXAmAG{bGa5Wa8(Pq>U6Zm{67IP zDivFCi~YkH=Y{v}(r2}10l1$5s=Ix09#(M7Opp3F`xe=sHBiSoXb4LD%OU_VBTF7kKg3W<*op=N+l=v5Y+l?eU>EpotdYW1KGViwnR} zdY>!0PL;|z?M?pfKhGaP9bo*zLA!!eBOgAz(4 z`MLWN{Hv*Bg7|djU^Lw!P?o!5rt>VZ9U2Jqac7g13>QBi#tAK%iuS1d7ckE7v0WGV z!q(=9DeZZV*bWl}YWoO^M2PKZL!iLnxudi-TeOER)w$oBe4PJ9peXvLJ7TO0^jT9D zE-)f--xV>I`!50+=5T>(s`Efc=;J*nJc2#?MvCfO5CYY`biC?^H;qVmTZ-@0`(S4z zja`!DQ|w9HPYo?YX23Pb;bTKCaGz~cXcvj(u>?(rBNx28PYb}7JeCZN#rp`&dPAR| zA^FCXa(zO=eNV#uHfijb7TQBXZzaxTq1iq`sBVCKFSFtc7d}4YEYDq zP?UWMtQ&1ftO*IMGOG2%&A&gA#uUQGSRv5%+v|^pw45SToTCwAc|O>SlC;oYoKSTa zc;{U)ywd{y2iTVgztxrupOla-rQ$qxrQwvM;slR(oR7djsx2j_3Ki!-#MqfnIvz7? zbaG~Nvbi`zZbmLDN4~EZ!(@cAj$rVR41+F}ik^5uX$It`gBJRQB;No61+QH@c=-A1 zB=q(7TWkDhJ~Ynxp<`O%V|)DU`X0+^Y^iMTIkH6_kzu)0PqrW3B1lPlz}H$zzg;z#?LtN3uWO6 z=J15sXpNB}crjaa!MMaYc#)VMf?p&{6pcz0RZ&&uWJqe8QmT|hYgmd~XbZ;Pl!t;Z zzJ`yXL7=3dL0GgXXgEneEd)9Mx3sC@W>D#?8eG3NsF|7i}oVi=x32n3atg zB_{oF7c;m^CtXMMBBv;jDr13`h|k@&hH^&^C9*wY>S-AWWyc>?lzq$n`7L)HFWr#4FHA0Fo!+pVkEY&>9(!9Y zEv89{>Yjzl+{{TK3QuQMH@G$>t`sxpfm&jobt2~t_`WD1Thff#mzrzt_|}b$9&vIv zbq~@Pj`a68Shd5i2#Ic55YLj}OE@da`-ZtHw^7=aIF&$wciW>ly>Q7)T)sjjxckb! zQucH1M`C)B1Of4E1P)fanWcz3}H4D`bpYlL?zNQ4H2^&uJ- z9XTh9KQ(v+;~ytFdj4xf8yIhUM#UIyKZ`SZw5QD)B~@ zkt-~*P97z;elp$(K`H)_%YR{A)YX)K{+0bhd@Ha;l6j#;^liuYn}1hLXJ>Jx7XDNr zO`k>|XY~Aul8<-aFV)VTGndJV0N$jm_rIXk^(LPR$7IwZkeQWQ1fFaPV3v=)DHfsJ zDbyiciCO)0W{w@E)qxpYp4VRcnNK44RO^%&TROk}1tmGW{b_Na)FB7LzS(l7XmI5{ zuqp#wZzNbon=>62I59LO@12L7Ed$5D~Y(PFCKC0i>F56WUEs^AKy7X<$< zkK@RP_R8LL3DhoWx&%pF%1!gbVQn1(rF&2XH!-~k@$dXOj^<^Kqz7BTL%;$-c??AN z87IC1C7ex{ZWEWd)BFxi{TE%(9vJcOiaCx@WR7kJTL2vPRtnI%aP)_6;;TcMBf7yB z9JbaBUKGK8Q~(UxbNst(jw8XurIJ)X3S<9f7c`$A=noUbSN615o~BE5iAxk|ei=so z0Lb|MR8C~DMV_Ozf#Zln#x92As9dIW3-eB%c4{BqaIg|#&wgzT*X zfaww}aS79O31DkQN%hk;@qfmH(%gm$W{*!iI{6Of9SJ_)9PyPits9)IDyFG@JOp5da>7&|R+Xt~F_=mQObi|Hm->$ME~d5dX)> z_{RYLClQG5a&ea=DpOj%IRrCp$5sREE(CH4bekjT&2eUpospR%_3E_}eEX=TnboM5 zPTp7b)uC#U54hAaAi=sywTmTN*2}D5miTt=WV7oxAZy5_mJJC;SE@}OgVEo`;?}bx#^Zpl&kuf&};a@_y2Gr!E(#B#lUfBv&MipGV_p8{hmzA zI>i)oJ=jSwyMBSHl@3nHfdtzG^(OoFIZiXXf;#xB`uNdn?x<$hqd`>}`zKqdS~@K* z?pDamEJpQw0!T2zGVSz4-@dSEW_h`mbs8&JF746@w5snfsF-+mJqf+0A$9-cHz?NX z;x32GTmpb{EJ!fGpq@_<38q%2tpkb|PBXKB;+$46810e+%k26(D3`E*(hJIUxwxx< za;EhSw4j(teFGN~jJs4@C&Raobeh>#qGesy3dT*dbYfD~r-^6hjxoF5gsQdB>*DT- z%zRmexO*7%XKw~I$W+5 zkeLrn>b=Q+)s0S3?83MLOm$fv5$6yNSzt*UPm&+huk>^cT` z2aei5$wt+B2;Vgg@oDBo`IdD< zD;Nc6k$qKPB&ZB^cAXAX7PEiy7Ze9Aaz|#)FsZ*|M}iHNYWu|d_L)vIH-ljl1d(jZ zx}z0r5wys?s*eJ+2zhpW2$YWAW=98OLsKOU9;`=$dKo~fWxdY|W`NABt6%Q~j#sIY zwgnr8^$2iy+CDp&Ew-iFH=qHuv&Le`%!>x~WKq6-)zi$+Kt*q=`rd)#VEYbcAj`0x z42;O-G_yEpHcD0B2$(EU`wlY5%#Q;uNuYm`Q_Nl99EMeWp&;wqzJmh*^4eW^z*#c> zXv=|4n_9s*Kzn&+jo%`{bW622z*(fL`uxGj!S?^W2m8vj6TmYAu!5C?<2Yy6=TNnL zKowy6EdJ3>0B43&^__ry;F$rc5G>VZ27QdQf`x&@@n?-GZ&|>g%NRYbvsl5#8=r56*o_&Vuanq~ z96xm*lP?3E{1B7u6)kH^Y^q;h;?gIIlq&zeBt5#tc(hu7^-bcz7K}ui%}*#SM*iRx zkC4qA055rJ?5%-=E#NKCz;ubGpLfUst=J%euZ!4?9-nWBxF%T=vW2PDjtb*JVKMY) zO!b3tw1PKFwdY|(OC5tP&N6oK97j^LHJ~l8%Ln^X{nR*G!BLZ}tZO2A2>a^czUfZqJ~+cwq=hRRJrMb=9Y^;LtMWk`A?;`%#l-t0*1`@FR%oyz3n_ zYFGT@R}rsT>b+34HM64bY5TC$FJY-7-}wxhEhlVMjnwBTrOl)NN=AzxxW+$leYv@7 zQ*0YKdqE>9((^=Y4*z95{snq&%+iOn8OBs+p--j-yDV+)jctLR(YYQPC3f51ml(Nf zvfHnjA#b(VzVt~mOP?>5oKwqGa9tBq}cFENI8f>2r%1^}eF22_9r--IShH?FpEhY$;ux*0yqh8L-%UOXPnOaZL zI+svgAmqm^ibpMlJt^+P)9}yCTaprZa^k7fWS1CtPJz;R>G9uUsh1mchlSL!H0Gh~ z1wX1F(QwnZMxPNzPk;mOYoG;-%~-S7z=*gEqUiA3uXe8n%>?@c2L>T2{ydD2wef^V z*PmHPjpUhwWl-?llKX_Jp>fkAKNd;`NRuel3`lSV9dMy*N z)4#7K{buMTkVxU9x)~g&tH99fpL)-B8W6HFghNRI_`K}UVVnMx*bygyR-QPqspmo* zBol6{IQNdqWDozD>Gua6d$*ELy{HADI3$+rsl))ck~V_FYf8lP%;)bmwqU$ z&RPRvtkb-Fo@1qhE_H(1#TQyYU8|li z;9L1CrT2{~KKXp_$9R;>Yl4S9?||DC!EQl`4c#3Iqn`lxl;GEO!C^s#4c!9@GghJ% ziZ-%5(R)#2SByJRtcmu!c4b$eW?h%+?n7=O%uAf=H+g;DjJG6tVfsJ)-=2M!_Uu@1 zG)O~lS}^JEMTp;s_afA;#Yx=4Pa>hAkw=kHp(V$WtVBO9B2ChNz;3g+=nP|*txT!x zYi%23Kga?>)7TS8eMP%Zbo+UKVyh;lyxSM<`W3r$Cm5g7J`n6gUmcAhe1mnk5gWLA z_3n<^hXI~((p=-V{7Eg@vcmEMoV)hGk^jlIQZcvoq0o&I)B;*(r#0FQCzeE#@Qfvw z^LRB%7%L!i^Hp0dgmHN5L0#a=8L* z0=)Puk&Q!1*TI4$LX&TG<(>TwUS4}x2yBU*oR1j|bM{Uuy&LB2nN*S%nv^Ndy)qgO z6oz%)<2a?XFvn-Ss3RD@z7qa9i|InoOzwmW3>%+h_}XAh8P|0B+c0jS)j)+NU$|@+ zKNFfnt7|PL6(W3EFI?;@G>KjJu9!|}63GQ6c2u|;%c)&U(6<+pp47o|R_`@3Fy&M}R1lYU*0(gFlxAYd!rh)=E-36BV3q zxEEL!<`@q+v|UQ7YqK$7^J48MS3$S3L9t|G&W%I1-F$KgxXzvU*;7n0(aO?r(K{BiJlQFP__)w;?^$;|G1iA*kySw!?k3dmNB_C2 zmQyOHjPup z2MfJ_yBH838nA9ux(tfd0(nA~hsH*Un3X)Y^h^tv`7B%?>nrSqMNX6XW@CpNn1?Q& zvNA73b#;6tBDC!-=l_UVc)#!+pLuzGs+VHMv<7>hQOmY#_swA^f$P_djyDr(_J`-= z5P{O|H;+}`K@2{4eQwn(S3s8Q9@lxqqgmssQjx1y&UwVpzDF0KH&D3dX-bII zEr9D6Ppr@oK)0S2ppVx#QIi1GkhyxUCr81tKp#YW$e|i`U4dRDs=oOlYLW*UWS?ee z)4<_%{j71Ar(MVhDNMqIj}m1SpQjJzI{bNXC#?IY+vgwzox?$iS+t{d=v%p|>?Rkx znSk!#L2d=l#lKi9~;$rfoT@ZBup(wy2WtXarq|E z-oyCs9N96D>}zIJr4Aqc#N( zMQrzmwQ6yn?P!11cv1QF-MJKFk8Dh{R3VGd)PEEVkRa_T*X02s1Y_4?=^8%*Z=<;qFlXpEub-Vu zF%kZ3b(N0x5WL8}=D0&SjM9+))@XL66IVs3pBQ*aY0u&?&-7*YegOivzPt{+hZ177 z?nBi|D)^OVGAka+Zv&%)Qbjqk+s{%*f>QaDMsYoWK_bz?k)%;J54oyT6u+JLu|7Nr zFPru40;jB*-heeyRSU+9Wcsum2(hg*W!f}jS`p^;TaZD z7mrHz+s`omDUvImNb7f0h_#a+M0J8OYPZP`7nV;-{vllY`Si= z-$3v%svxUh;NvZgXvi66^qs4;{P=C~)xE34P(1bi7Xjx`)~`C0)cY_U1^FG8C!dr& z6eCs3-)$zzY}4?Y#`Z6*>uir>48Nsp!Uk*^j4raG;R@d4Inv({3Xj9V!)}iXNjv2< z?f>Zx>QfE|7yhYK`fV$;InDTNTgMLqv+N_TXIyhu-AGx7J3N|3S%>Y5!4^v4N0D#W zBNdV@sH4|%NN8Y!qk=DbbuHclsPw>&&o)(MT;$q`;6%!=@hFsaAZzn8mNw#Q?(J5J z)dB9~2#+hGHBN&qV<%B=Hz1UK@jVEqGBs@2V={0NBq|7^{cui=mxdn&Cjhg|=`EY> zQ;)oD*e%>vPk({&et37|z>cm5$KucdM=WoWUuI#}9p)M5VTVEXLj@Z_wWR0oRqB!9 zIb$&mgRs_4radDrm+a)LAsDv(uBm~_{A+GqfV;L7|A#!ANE7nyK!8Oxmxz_idicI` zyYuW4^*NrHM?W-mz@!~B7SMOr5_Qe)VZ~dt%kQ!Cn>Q9HcP80ScQV~N|0pbWG0kg` zI$?e`;5@+_&Q+f)Tl9BJbLF=)i8Xn*@GenG8BL$?;5CZHdb(!+Lt1{<< z6N@e(7oI8Q_uEV^w@%&u(%fXWI&AL`mt{}nV<1+)fS61{xeIw!$lmA2&$aDbw{#?! zIaj$~gMIgGl64mbmKN5yZA=pnGK%X`b}|_1XZJEJSQD2sT7kLT=4rJpJ;An(#PQ*J zR?nW2+=R6&M9jOPN-jnkdfDplX|d= zcIwOn5v;f-Nlhc4u}VitHaX^6^fJXrR9(bOvFrNXnsuV{C!mfxWacx}X0xmRDMB|k zc_Ay}rjvJH((`6ZGKZw{z_tafuvr%%>lF7-UsogV{je%QKY8E1|!rBjhAk-axSwbr{4H`-_V`Wbl2_sKxc;H=++{bbyHTfFV9278vD4$1 zjd$kw4Om{0%4t`+Xd<{{4xL1zh~?RC;qQrh!`~c$)2=1ht(hbdxjn)CwdfL2u_SvU zV$=jQHN33t5L_%Be0~#flclW53cKzzn)QBQ53sIn*3zzsyOCc1(zpKr4G<1&Zrf;o zy}k85Ma?YSk-6*#VA(qLEHrz7?;*OipJYI%HV5MlV=bBdh=oyd^ z3<40=?-sYKrmoX_Hh44`(=FL>E00L5r?}Y?@#JuHB3{(zU!t(lKTwYif1#IpjhoNH z5RxUKhPwCSYpJVO7UkXA<-Oe7ewzutvt!?HchN&h*MrlJL;RP6X?rH7G0x^pCa*1y zRqw1ihFpr)g9@P9o7?;7QmFN5$XjPo-iNn*w0q@Yo z_$yL5+(xFrlEzDI4*T60N2=V&@7xdSeW2GZ-;3ks?`StU(*08 z*%tPTs`+&_*2<*bbC=9U_RV#=rw>B&C8supGj*PxCdZTVf_528(_i@CQudzK^G+iL zFq_BcZt4Pd_D$IPDxO8A;wqlnL%ey|gb98w1!+~7WNQ0P*l$$CSzM)p#?tL8pzqa4Sch=T94*oPBWRc25D69i*Zmio$13+BP=|N82Yfco$?^mA}8-MeBY4i(q zxcsnUPsKUMALaq%IG#3~U2o{#G__?PLL#Lpn%TE_O8Z#q9+kVj#WHDbHp75}W&(!{ zm95^J+b@^pfpeP#4v!qnL$nQEp6Qj5uZ|_?1XfU)>{9Cs56DbY6rCr-9dX7*tGj3V z>++1}@k`ZD@P+bp3Sb1iIUd_0=cFDAu${_oY|a1J21Ngi`@) z%wJ6v#kuuazOYV!+WK#XkZOcik;NKJq0}u6%pI&SDR~ zSEDgEV*zJAc+V+CI0>j8vY4EImrfaHZ0O$^v8b4^@#fT+JRsui{H1-CtDjzks}}3; z#@Kjf_?x7#!!oOLXC+)|wV~6pbG+j10t3r&XW_9%1A#>YvrbO$8A(aygXY0gd$szG zfkW4X-qDpRMfJKG{4rGTxvB;?kFc6fX&SnMPz}U|MHX|GbS>~gw*ez^X@>G)YS{-} z+tb{zaY8yMc8r>-J;EY}xxL5Yk9bg?aW0?cX0uLf`UShfG=nC_!_VG{r$dT7Isv)0 zMS8mph?J}xv*Wsa`Z_nIapjWZI^CNW7yXOct?9=x^B*~7`-*dubNX!Rk`FlRb$~|y zqDwrlpybS_hra-mE&m~9jz+XYO~i|;R_QaX_`)xTxem@FruphRzb-H9{H@RMT&t3c zItCQVo$WXCcn&UYElicc+F_?t#^sw<>m?XhN=h3S4jmcpScQeZFvqziOzruk(8Zw`LddD&haW(CW<` z|8nB0H>!|UWDGh>5Tl#}daE=a`C!@QJ{jl8ks7A+E0mNq4m3sP{iWw;+ytkwWnCliB+{ zh_Ei2H_9!b`PA~MU^ydPy1OOnU8#~NLEwi#Z(bwMZtQYKC$la1FxeDEJ9WR2OQ6d0 zF!ZqCeNrw4s}Fk@r2+cE1I(txx$Ww>7bd3KrruNZgI%f8dKr!fj!h;mfkW83}~r z#pxn|fccawpB2S&#(V>)6%^S@XEYstZ=&XKy%w@&HYMDn-orfQ-9tgW>P#O^KMpNu z=aSt{jd5AFDN|+X>vtSg_xy}}XiHyX_}kAV`0MYfvu9_Qp|5%M{(Rjrmv?B{m9rRP zc7Rxw-J6$HWQ#_Vh78pwh2vdaBEM=F^pPw9810(N-d`fK2(swRwDKkg6#c9xkj?1DECI9UQ=}RHaqKvU8Pi1t}SZ$xEokh!!;L{5CvX5$Q~CB1q8}r z*SbODCMVIS_R zca!^y?VgsjlJPT|?^9NmOn;b9sI%l7@Ym&N&t9lZ%hyy16DqmZ$fgpO*+jUxTV{IIvnT%FtHXTkS0 zKN)X^>{FyuRuv);@8&bp6U3m)cYtt}eL?yUnSQTDr)wyrB@_~rSCXEknf`i)f`>)s4$ z>Mne9Gg8}h)%zjQ2Qe#k<2>$K{r8S{uWs^7Q_xxS1B1Ojb&WRQvFV4kk*YZ{i#&ZwU+O4Sr^%rz!99DuL5pUc!ceNuUESx! zd{Fhziei{&K|;dWpL@53WR>|#G~Csp6aSE}+3XZ%G+gL%QKw|F)eq6@8f`Zh?jvXle0rF}G=Ue7PS zA#PAZI_R`kyzJ(3QxLZ@zG*Dz0`8@^)FYdgQ{^!Rp|8WTbLNb;Q6L&NRlohbg>A}~ zYSw?xS#;Q2%GM45GZZcj%H=lx^EB0(K>LT&c!(9Jeu}iSCfXiRzB%NH=kp8gDO)}H zw)EI~FABV{!{<}SrH*jz{{4U21iulwG1Re#yI8J_{YzwiiM0;}S&b4`}> zyM-9fdpT+EM?Lc;T{V&$*Qm~iMtIVr=;(}~(#-YHH!g9Y?yC8N`9nSReh~g>`Z+{Dk#h;=vI`2>gDWsaeS4#rUpKtOx z*nf@^Z0l|(8YwTlLty}zPzoDpiB;qzJ;wEjmB;B(3b~?NRn!b_-&PD6n}G{K2(&cC zP))nLmpa%1hAZD6*aA$3B3Z^(;BRl3vasL7hU{z~RJ8O9jiFN%F>lzk9I{f`ISaD; zLp+2`#Lk1>u#{)z^zP+7E!{P?8BG{;(p5!QCs4<^v)p2ffJ_{#y`yYseG{&!V`3hZ%JeR z8XKO-O{YS2s^BuMU+3N%*w9Rms{gK%;SiH2kxFEeK6pvd6Uc>>EGs6G@_fKzi{aRz zdJNHDLNx#H@|k@zxeK~Fbdn(alp(!b;PE8bf( zRNKR#5cqzmW7cT@aq9AUg}~H{vfN+Vgfq@F_Ow&9ne(i-AI)Mj180MU`?xY<6mDJ2 zc!sm~3NvN>bm8}(D)NW3_B}IY19U$xJu&;6+3~b0fD6T^%#R~;;2QzOCu9xgI|F25 zTY?I>P-hBOGXjJtOgvG?8*MDDBbYOMX55e{Ajw+b&c?w=Nb|`)fw-qxWD85j@%xIv z;Q}675zkjX)jU(_S&=;|-5IV(Kg@eHSb zQw6wg!TA7Ht^m{D@GP8%jOv&`gt{swv9{AwJBLs;`!k>b|naJt_F>j&}~4>Q>| zvSBVEOENBk88I{2b`Q~6)cvN?_F*njOY$nL83MC`)YqB!7#h;KxEkaY1cNuj>46h7 zNyz&=W<{yeKlyQr!Z}E1RL$}SCx2Q8OiTbt&-S01T?Uu^_jmWs6Dy3bbr7Yr4H(V4?8!qQ=9fmNh40nucq z{KIu$9QrRsc1g6cW(3UmvqUrZgD3cT@n?|C8h`!GY(y(kJ7YI15#7b-$C?pd8|CN( zp6_FsZI3JpLr|NW*e*pNm_>jLdj-|-O^2Oa6FL)BkmCuH8Hxd;uqWxv&nfod1wSs< zDwYb@Osr7ZzzgzcOoJIzYF?`kz=}_X}(;{56)&8!lWs77!^aA#3VTzI1VKV-s&Z^XMxW%PXF(fDA0n$BpQQccSAt8i_@p%8swW)J zS7jKD`;Hk&6IZoWHK+)g6q6JgAVu5#79RCJG)Vk6L03fOv^d_d5S|tLo@^=iFLOu) zNymmJ_5@BHi_cw9NVBawHP_c(QikuXdesSp6ou0k9gzb&mgj=a6nkZdXH$`*`n!pZ=f4VEb0Pe<@F@+C&7cxV^6 zX^VjYdRd%Xs+<2;u@7`N#N6M1FHJ}pye><2i}@*fp+2D0?h)|0-eBH0qO|6u8>uPIHC(dhsZ^suW+n5u3)T4uQ0Ay zu8^*1u0U2iSDvkiuh6YfA9Ebrt`M%gI%Yg3J%-pPUj=*;O{IB=yo&wwjEGxL2+N-- zz>gJ=HVadq*6TTjJ`G^?jb&N<3ZI*G;R)sVoB}+BM+43{@>lB%{MkrweIwGUQfDP4 zQ_bx6!OLSa?*p#4$++S9oYW+^vZ1tI`kPolVzFt92Hv=6wm^=uNuyUKJ9l5vhHj z>zS7^Oz7S>`GSeB(9d2w$&u6Y^QJHr+cz;8- z5ts(F(bD~tCispawFo`~fihpWZ(k+&r1-=>P!ixvhh!sG5%>sp1D-PfuadthRq^HV zEJJcbDiMDXyeq_j0e_j_l!O%}7rtf)fa{JZM>rx*5vm5H$H--AZqZYc4WIYk>d@-E z)1h&}tqQ(>ID``8lCEi8vbM=Mcq+_wuNC z*g^-(`D|^U@K|whYX``v9k}IlG<=uEMAg}xkbj7V=+AjaZHb*x-@LpJ!8BlHizAX7 zqZPw743z;4DA|${MP%q4LU|BgSpvsIW#7O&7yeC~PC0=~8Zz*id?dsz-ObM})Gcu8 z%N`B5uTp3`=&3j8r{W6LiV~QNj9?Nz1Cx(&B<7QBD$QV-h*+D*6(09Xq|fe@AwKzY z(9f?azuDnMf5ya>r^e;Rtv)ffu62ee2GjsyHNkn(rFvp6i`|1nDfmTKf3W8)L@TIx z@zO#+Wr8N6yFiX@H<%m0)AJu$UrDiJEfO)OK*E`)jiC!B}URX_Qf_h^^0 zy63~m<9{XF@JsB|daR#38F)nc|E$_4Z|COk4VJUj4aUBD$ouC@elffFL;X>T4u|X&I`9SpSe=y- zJ-s|d66qLEil)yz$grKFiR&=J8+tC?i1qln0bl1s4EI9V(&r$PYQGn$3@`F<3pSOo zNxmJV&Yw~zo5d5s`fPL-XkU4fier5oDbPo!-NB>)RqinN`ad_tVgeGv1Lx*?y5@XThhndh5My%OW;?C< zZEEE*gQ{Z<^F&Rb2<8;QR8_T4mW&`*wE)MAn$}}WEv3ymi^>CvmH=roipJ0h)tpIl zf3ibX2!sd5u2m)bK#JkQqWtNisM|9@5bQ~bYk;XhoRg@m1q z_pgv+%_%lJF#Guxe+n%w9;Hhfm)vaBsIo$rxn)eyiQUhSk^aKwFWiN0*#CU6kbT|L z&93zD+9}xxEk{Q1Qk*R{UMq6HH;$#Q-t(~5lVY=2=izj7@kxR{`Wk<=>K~Fyi&x4; zbO(R*zxphZhQ0XK@_%vl)j@GQ(Vw`xF76uK-B}#6xVyVMY=Gd4I|K+U?jAh2yGzhO zut0DPgwwLn6B!np4T(4yWi{X>G%13-f}le^s8;zxIZg^?tbnYr~FyX4&J;z zrsv(2>u>K{_;5LrR(7=E+CoImXEM9)2z1^326;QrwQDs*+s4a&b^8LRX3m4i=Kf6B zhuc$-5So#^l-1xST*5Xh-sJnuntR1#=v z9z1-vb&MXS71_Tzc@wlmCN1hIlX-`U@X=jIYW;`d4!_`9B>FG1-z3A)`e1F)0G``= zqReU{LfwPh%fB3;+2o@lwEhh42+aihwQNdZ6i#BED^4S5q-bAo6Za?Qg8+vxB;v2} zFq(c*ch1Dv+AkGC{B|Wg!|AezyhQ#fdohD75+92*UF(c!q*XUYlOGHIS9+=8;zOX1 z7R?JAb-PB6YjV&e8BxI#8L+mu^L`r|qS1j-QR>pZC?;bsadtrMlgTMVlqe}<qIW$Yv?FiEqwG8?VmfepV&Xu zMSj={WE;iFH1h$&FUw$+BslGeC#;fwOdZbC2T_tMC5VST?87=+bJy%N`3>kqF8a$aHg%bV zqFu)(I;u-iQnP0+EK3ZX*n>Yl#=4AkEfxsQ~aew|8MAu226~H!o$GS zBL9Cw_dk+Ol5ZxCSc?B+<0q)F{FMK<-H$=Wj{e{Gvfe!aVb+KpDNXAlHv9kFLM6rG z1*5O?0CBhyQMD7*xRj`+#?U`&u6HlhhT+6iYQ@lP!tsqYH6GHdE~>wPpNpo`t;=#w z4!rE16`tutw(vO-tN31vH#b8HHhX%GyubguJ9C3^Cu_qMVQ=QE`p)M@oNox)VJB?< zKuawX6tL<{x-w+nX`{y`i$EzY96{!g3HDJ@IG*hou^(J(gEaAWj@UZ=N~{&Fjp9zA zPRAmL#y*x)dB`mO+Tf~mjKf|esZ;qF(v72_l-#M)t8t?153!Qz@GM*%;S1AR6q%^- zG%@H+PyD*8q6{DyVjudNdXYK6`-N18x4kN{D5e^qSoW}t(|H!x?S?jAxGXDU1V+zD z#v4UezU_-nO&-m!!>3Kh2O)OZPcDUZ}ma2`wlz3RDgUg8uIOGBRPC%{nC%54s z&y8O*!e7oW2}hM=LJP3tZV~Q}t?t)#gniLga-;v%@ZD(bkM^Qg##B4G5_Ey{a})4I zi$L?ufhM*$r?v9OzOq8{EU=@U6x`<<3N+Fo7EY*ER(5deZn@jqj=yKZ7Y|O2hkj?G z{z0BdM_f-lYICP*e5f@6bUD*4<+^75-V%Eq-211Lu*YfoUdR$p{uj9SuXnKn2BUzh zEvd@XSA7QF`fax7{THH!W{Bu%C&bt z3S=k%9>-AQxuU2!YNy0!rnT~xe<)n0JHxrEaABpTJ5M`g+aT}F_G>_Dnym zbSB}DiJ0t(d5dIZSrC-L??xcoh58$GZF^RPZa67ibT6`B^P<{HL+cL37>+D?@IG54 zYL~5^t%PBq<6tM-rGU?m(*?>!pKp=lMX{|^tl`ri(HXzar;MB+- zvS#~M#Q<%r%VLYr(S+L3)C;N#Nc#G+k<7T(UVn5u)*0j`Edd7#t2hb%;4NW-hjVJx zg*vlkV^D@HHBmG>9!!@h1y8p*C0^Xe@pRU!ue33HtZdiOwB&coeoYMxx!rgwN;BbY z5?Rmp7#+J>3ldw%a92nbPq@Xx`-v9v&0av6Zh;x&9~``7YJb0$pMiIg{PTnY%;V=& zxb-cZ2WqC!PAPDv*f_4n^{%{UU)ql|CCW}ktiV)g@-?;DcSWp#R4ezv2r#(Dtd2?1_R@Jl&G8eDf?7%MkwZu+wKkBD%s<;I2(n`zHC@OHewX>Ukd?YqJczV-^6<3g_ za*Hug^+cIn6UfOGu{Z0i=1$B^j-7cUK$~V@?x;jy?qZ5?EgxcRk&Yz4m@&*K;$+$tH$*L;BBNXAUgf=pn+u9HRbz_WJ*sYGqA$V55QmqqzP*+}1sM zFM9Y21aC-iDL85{^3XPk&J`F(?S_^pL&O#tctg6Dr8e(WRdb(aG66Eg&5f0|w)?G( zha1jg;SE;*gD-Eeuh76iJAq^=T{18W`Mt-HL~qd1wp-@+e;p6RpD6#1%vm2-0t%Od z_#^?ck7D1)tdPKh<(B1|NAeq2(1LU{2iMEB#^CKFq$r|F$rJswV3Af0cJAM-WT(I6 z&%$F8Q0z7)z8u(&;2^SF7!b!<_eSl_BUeA;n%jHdk^H4AM;gT&<&XL`#IqzcD8l_= zL0;k8RLP)AbQmUnAR>_NORm89c_p0eW`~@x@j|1IS6mHvla;_n%MYmr@|7IVwZLJL zi`nOzVv>-efJct4i1^E!rN9U0CiRu#RXt4+5MRc`8$*~0AB8xRafi}oni`1c7x=e$hwLX;zrX&Z=aMcj@gtFnIrmk%wjs9LfjB7Q*F)mBYXrs}7 zyyiEZ+O$>jRkf)53I$gl#|YzcAyL+!{-;Qd#4pw**{m<_I5eO0DmIWK?4_%NPm4Tj zTx*onrjq+>d_P(EwLnKkNUGItXpER*1ZuLRu(iPGSz+o#pMRp{CD&yW5O;8ju$?WP z6kTwW)~WFsYUM8c@mH(D&MEgMUubv8Sud{4*-u?jTXuuya^T9wrd2Ey>Cj3dSuext6n2S+jNyr5rK}FUV?u_q7dQ=Y;bElk zC8U74I;{|+HDcF31*%NgNdn=87)JfXElkuJuDA-Y_W{p`F-)=vLS#U&_FgNmn#U-x^$_2B(U($c}VPO0730ai0xbIbTta%d;IRSHpK8VBBX zU#cwhwMbpyIY|?Ni@C$P2EQs_?7exNX=e?#+9>FJr})^TtBY`W4@^e`9D>9A8wRp1 zT5^%a?T0plDjNq*@zPxih{ zzqerO_Is#(_qo?sSM80)+diIJ?D?`Hp5Gl0m#Pz!=&@gL^Lc-+DM8coX&@g+N;)27 zurW~YZxjwu`teA$u|0SWp6X+I~fg$v$Bd_Ss0R%7-l4 zv3dpHbo@tukzv|Nt!kMb!(tSZ3de`5A*cSIy00v$cY7x`#&;EZ?QL7qe&_82A3R!j zQ3_}jbSg)j{0v+VpoDdUxB*|Y+RUQ|(D>Lb-HSb7XScO&ID$0rQq#bb@a~bCZeN3L zu_0{xOG9w#Oc&BHbS5|1l%??=YpbnxZbO1ef5pD==qj}=Y+m4PQ(oiu*%El91V^Jf zaq>5jci?c$GcGS0!Zc??_rCgDVLs#oz}l5HuFnR$cKrI&9ZlA!rjw2Iw_*;zzbFSn_o&oy_tUP^t&}N|Unqhb z@)NN;ChORZ+${MG5K5B(y-g|U{hIx`u04D_ysBR>t%OlKA=1%pIk~DR+Zi^>i~$0M z{m%{cdGDslAi=;8VgJ8wNHIL=|GJ@XV;ZHobIgMR|KjO+((BSwd&@L==WcKt=M_fAqMA1Y6s_LC5eBV z*1^u>j#T_9Hmzn1f6N5)Hk%BIs2&?@?ord)>8e9g!@tW|{bND9cAy0(8GhHe6b_f9 zexxIv=fr#8raYA_r3%d{eieSb;`A*1RbRnrMx6A9B>!v_{#|fA&ET9$ZuB3>|Fs$A z)dlU9f^_juE?d$omF35`wD7-KPt5-G)vw^B#}{nXAGyn+a*{9HNb|6wWoK(tTR?7a zEB&g@t5rxmEk9wk^(AjP zsQJ04I3%LCt5@|x@C5Xrbp{)b>{A!=={*?h^52wK#+W>@Gc2-m1lrnoZO`rytuC^j zWpsac^g3Gk*Ep)nZ&x=y{F2+9PatqpRN;Zx-=&uP!jFzm4qp>L{Gl*jxHyPwDnRcv zG0x$?WZD`bTy(ATy>~Bd%BqHh7<2nSUF?kcc~b3UCXr72lAZs}={NMz;#~ z;hqNwRrMf@wqW<2Z$$64--CpTLg1cTGzKX)j%aE^P-!>xXsSZspIhCeRbQ|$?02Hm zHj;MJU8RicKTG!@McoDhRv!9ew&9?G$dHpBcf&ss9w9Jz&nWbFd?mLx$t!j=P_r=J zXCBq#YOYF2EW10q-7jzCKPtiBQI75&22Gx$EtLPEsGb>Q&zpszKilmVzah38{o|QB zHH%Pr7Tjxkb7@!jM>%yO`8i+^2^}dyeh^*{V&cAhIlvDkyx^B{-x5L-gfO#_L^L=< z2IIJ41ru1>XWJ1T$nXu%j_!; z{~wP8Viv(f1$@0I8YE_6s{pg;Rnnc$?Cn12p`zrYG|GTlW)eO(arnnqh^p|n`*oa_s%LGFng=Co3krfvK zTYz?{_~{5%3}aUbCJELbsSh5e3Y`8{@dCPq?kjX88B#?`R0XF$R{R0|fHo-@B;`^f zidO}sUswDD4MD>do)R}GB1Nlw(|=d2f@+|e3OR|S6o?{KUg_Xp6_cQJD6N8Uq9J*t zP?dZ7ZpC*{F!UO@o{&q1C|Ko^zERN(vW5--0}?h!Bl)Ww)2Aw`LE6w_pl|{yDI#B$ zUHVK#9*7TW3G9Fvl0@=US*MRxB!S4GJU|0TE&!3c%0debiUq`lpaBj!9nA=OD*{2+ z#oO|Y@yf(t8xBWfg7ykm&_;2cJSaYn=#b6PfS{?u5;Ra8Dt{F>L1^>AQJ0{mLKjq3 ztS+AthemkF;;2PXR-p_^EvA&`j#DPEVRrmT@U=n$6j*#AHy;~^f5_;lMvz;<1F|gc zmGg+5z_Vd+RK`pH6^U=ky;q87O1C$RYf89xh+_&y-b2JOecENfHa**w$1>g8wZSx< z-HpUB?cXg$H*MY>Ml&tlJw!Fl+C@Y)jooEHF%8Gv{bNZemw7i)ajmeNwD>fpl z008=cKHNw#XJ$ZSLwu<*+qPu~5qgV$>M$)^rb**mT&WIQndK7!dYL}$a4~bHbmL`g zsXCjhr7%8vwtmwPEkhPG4rIm?jgokt?QDitWv!0~Qg=3?tS zzu?K~A3REtN8&V`vcvuAVW8mC=rYoVV~^7*0X<3u_>~k~4zBN@Ufm(R^l1sq^O_7% z+kT$D*&yxA4MA%{S1b~`E~i$Ky7>B6X6Km$96h_((a{=ORKH04F`4$OcETHX{@u21 z{U@aKYB|^F`o?uVs-{Wrtae6mPuSd1sbZ2&ek9j0JM*wF|yn(;k-*A3y^8X!| z?p0$;MMz3d&QiKY6^2VuL3u}xY(qS6AZBoUOymDcj|u(`9MqV<6m)v5Gzl{t zCoS-Q5}+;jq67D;tB}>{#7m)j`%yocY_pm>4H162r!u8pgM8z-p@K!z`!Qh{5X*t-yeIM|1ZI+1sfOLQ4{Q-?o9ven)vqqP5i+uH9IDBpI4G- z<^R(Xll`OETlHT#%>O)p$-5ez_P>jg|BIKsGFx`To-B7d2Y-t`40^BUAjs+bKYjm; zu@EOQd>L*C2ETtJ_^a;ssKUxtGqjs%`}dd0ef)zp`p?qkubSkl~S*5F^z`>Xtq zt^xnPfnIr%(tPCU-^!H(0+do^E1Lo|#pUreU%K$gWg5To5x#?nAg{|AC@bC&1f&A# z=^@}t+YM*gnBb~(bB$5{#QyLYWX>_8hB;oBm=OE$)jXR&>>0O8b%0HsE^$z$`=oD> zrDZ{bWpEE|yC_1EU0Dy0hgY%nH-!O8xOFX zCAcRIl{>>j9spPS{w9 z<`E@Qe#sFQ=9gwY&-kX9`rGU3moPXy#3Rr`m#V+uyT$hXtr82orpv|Wc_+h6B40vI z@*WTG9i^eSD=bTJLQmV5$3x~#YCGF9@Nfyyi@%OrAEzC=i-F-R$?&k42s_|7MqIdAe^13E%Kz)NDNZm zx)nB<_?R^IoAjf-ip^nOut2$jzCfi*o!G$Qf}18(fpt=a-nt)Av*C4RBaTq(Nd4W$aJ1 z@pj|B$@O-umDSY3<;}r!W-6|fM+@;RvIS8GodUy)<#jDC(GE8-;7?Y0)TO`9Hfa(; z4HHyD-X*M7Fw2Qlw}-D%Rna+bF=8gIv#EtLq`Uuq@yf-^b_J8U#%$GZQ}t9ZXy)Me zL@tZk;^I5CMOwhvUAllR`!vnl#M}|@dBGBc%ZG7)GT;$a4)T&)!RKcmLhJ52@*V!H znZiRWGQEv2XX5-|EjZ^;rIuisg*en4@3+&->*uL2I+4|zztU%EUrT2+imWXuV#x+K zpH*wCNivs3wF>p3(3G7#EW90heqZkhLD%^n5Fg<$kcd=2 zhW;3Iw^s5jd(Q#T(puy9!-_|?4o1EqTNAoDyCDs}nYc0nA4Of^d-9g0JT+3&7k2aP ztOSV_WH-ePmBSR*9qvv6AHi2`5HQ#}ubD;I} z^M)zU_Oi#t^4j2pc;eh&T3=^UwbzjJAm3pa$I*(tj1Npc>VF82+0%*ITISlNe_rx& z2wHmjZfbU7B|m*v?Q^jEucqc{uSJ6S_XWVL7Nu8eyragSR>D)D%d# zwaeMX0AJZL+O90C-l}PAuJGBeqb$@-{Z0={(-y{a>k9UPWwi4`8*VWfpKdmMK5)Bw z*kDY%8xoMCU|N#!F|NJm_$|F}7A`{R5Yn<^_^f(yoe~K1S0?Fzk{nWg_?mc%Ha?ld zRX8Omg&n)I27jYu{4K%BOiyv2U1dD*WcMtF6f7yQ{}!3xi*06?xo2R-qbT|dQufC1 z^2x<>cp|*BX~d-`2J92CZ)PmkJ_`H-T3geWn;5)?{c|s;fKw>;wZYX!9zZpPADkLz zCehj)cj2XrHr^>_@Mp_W5<+W_# z4XiI#54PyixIeq$dwVEs=Wb72^9p~Y2{9b&rV^?zQZm5~eCLQd(^EW3`#5{3@WB4N zhQXsLye9tQD>>Ot8%9XMOxAW?MnD*&gqcYE{_`E!+haN1O4dFW9`v2 zR>`dMr0eb;qi2mh59rrv3Dd#{#Zc^oX7FM@l&O_{`X*z#-;^w2a&z(IS>`9YN!GH5 z(h3FMKcb48Alk=oKtx zGLy?+FWEuMa&e^hJ9UkN9dC=W>ED#9%~j|Nb5r5h>-;z;Df`e{)r=A)bk_JpO#vcQ zpKaJSweZy3PEE!9)^-J7*BvDjXA07;ZOQV_=?^xCucZh!)m}{z#>g!b1$V+Bg^J%aykFn33)uh7Xdp)BuOZw~ecxweUf8VBJc6D-i5PV_Xgnw&WnPCfQ zYhT}7ul!06i^(<0!YnU!0;4Y_9x>+Y_A26*##voaTPv(0?Et6DO~6tS{fWNSSjqrs zY^7j?&VCbMRJ}Rz3Tqbq%|II5gSZ6GiaSTGC~e>*1<#1(LDG@i2879aB!hDzSTd6u z4=nf;CH1vRD*Ah?lGYyeKEqcjDcRLPL4U8jo?5kzw-rp6v*3=K;4pp{%;uh2%<0~l zn(MYUACq%5Qkf}UVx7570s2xZM_C=d|;WzDDOhEa|i(O}Hp5jG74g-xJ_ot=?*$`n4%N+xTClvFG! zF6?@>cryZhI_lUjH@Y4JSN}k5BVFN0fcEOL{^mRhbsZ;mXCUqgjOJ$tkX;|y!G)5vL`ZVK~rTzWrGyV`oYz;+Drj0ZAr#WRu(zU{0YgeZ}R$H%^*OV$w|)Voxs-( zFDy3n{b=pcb7s^=B9glEZ))GFj}w*zhq0J0wQ@p5ymNauZ55G9U+#UpE1o`oB=Zk4 z6h!nFXl!2Z>euV)-~8={a){Dy!K+jG3vRp29ko_Kdtt>?gS?>;98py=qhwj)n0v~W zS-#@;Y#8f@50Jg%D;MMw{EVRUbz(QtaakSc_x$ZqhU9eNW7PU*gD8p5P@k^TPLWvf z7{S*%-DwbW{9Qy{vbj-rzI7eK7S5EXq)iEbabL@Ij-s2?5U)iorYxEMzXTs!EHSeGnk|}D-}JaT?H+9 zcA}dOiG)ZZ-L?69Y&V9?)#}*%ldpYUcurd~!2`{X-%d=*?iEmKHu64x>&R#Go!X;l z;daa%Mp0eqhdHzNL8>wk>0F=F6phF5CVh0&41Ow3y-)Y8RN1lX=`wtrLhiy?{y}&XqShRFDzaMU6*KV+0ftLVFC|HnbeYL4 zRpe&j)WW86@O);m;hL3)QFn1;^hDEjb$99MY&H2NWz!jYsewyB1|kb`H&Pd#mYZX( znC(`aX@6JdnyBbiP(!PnNj$zp*plSvYnznlkWXLd{|UQ|@JTQQgO0tvWk5^YRD|f@SJ;o>WFr{Q(Xby^)P8Vd`S$jMVj9cF zP)U~AV~q*k=d^3cTZ!2JlZ^=(XBZy7af}xu^m2-ysH#>Rvc$+xxA0c;aX3$H!V^IS zC@c>Ot4cd~cqFHc0jl7~aJ0O5!L2A|5!1N3*o&aX7!pmwrN#ztT>~5Wxe`{5@d2FL zM87h{R5_XQ`FRg6HLEDqy#`%wPM zt`cVp2ksnPC7a=b{q@q;ryl~uvrfU8H8%4~RaSEbxm7mqlJ2U^mRdDQ!*OEFt%Luf5Vw%?wTg?xDpYYN*(hI}~!-;D~BG~&U| zNj^%~5kM_SbwKp#hX=Kv*jPS{Cll!g4&*1WV7{`F*zd6v$#0PPP*5!=W z_$pGs-KKGzm5U5%*CW9gk6caao6c4It0ed zOUA8?*JZleD@|9XB)NDqPd3A?Ps9Af-fi^rswvU>DhdeeqZI)}!z@ZN9_OhOkqkQI z#9v6kRpfb?RmMIxt>s^o(+U<3BTN-d^$peN6t9f2RKD7JnbCXZb_|SrP2n%w+$fLR zHh2@w>nS_Vj5*%>_&yuGhSe~7CdhCwHj@S?Y}fsQ>4`O;rIeO!-Ma^7(vr)ISen|5 z7hf;1;b}r1B%8|2EjqdAq_Y|Q8@S}NPw5H3s1wSQB6mVHp8{=?P_|Z4sM1O|Jvn5S ze%WLxh<_AC{A^{B$t8*_e4J0hEj1Al$KlF_nCjCnD+dXn@JndmvC zyG2o-B{`Rfh32CBlH*}T`PTtLY4$aG=Q4ihqQxlV3!wQWW4SPwh}nzTyRzZy7A@J(5t>jRYH~SK6Ic$p(h(8YOmDeG zD?3%@8Sp+l^;ds)NV|@|a-EjIMh89=LrxM4JRP--poHZaoV2m+zVtt|uD{pjq=DH< zMncS8Y_zuaKwSxstrh^S)*a2qLM;V%fA|xWKUDI*daO!L*e9{Lxs`6NBt#cD7bUfw zDtA&|Ob?%Wpu8F`5=Y6u{#Z=^DHEI)Xz>?a4KN}z><$a$Nkmf{P3h$Z<3g27I*(oa z?(VBqy-ufjLl{bQhF3*bcTLfiQMcj5R&>( zYoL*HNK5K!m&CRt`puzN4YoD_gk;x>z z?8T0=Z!ZAP?UMUyeFcde5Q1?wO+Cz?0i<^=0uY>JLDdP5k2TE^fzXH_&628 z8b5U8n1?S#^Ly$Vm>@Wc`fGtTnE1&W7CJdksQsuxi)$(9wywJDhZy`<*_oT@t&N7M zX&pg_C1}@Nn=}|BY(C@IOs-N*GsCk@m!*wGH9taC^xiuB!cRd?thVo%)tg@@a+y7P zV(EjjZJZ_oUpCx{89S=S?Ywp71&M}BrknWsXX~@0otR-buwlU~xb>!V<3%BxF!=8v zTd|&QJa;GXoX?08QfH%01*x;rHiOjJX-h&}&6i*xzTA#DKtUcyE}$ScD>^ifgdYQH z1jtC3uZ57t&bvTJ6Xwq$q!IHJ5YqVhe8|M;F$XYV&|VPAXzu-bo)AiNZA2h8kD~+-o7<5F zh|OC?3RM8ei#;(zGc9ic&`gV4A*inTEdo^6;+7t&YjsNiJ>?3_1z`fj2P=S(0-GgL zNP*RoAq0!3iUfK@vK%*$4sjek-hrIs+ZzMjXz&~847?5q7B?CauXoVM7U?J$p z;uaRl(RVBf>BNCzllX80skl!yAriJrhk%fQiVxh+=^#CeTM}qp|FIX)BpN(V4C#c0 za+3Ja0nNBiZ6Uq3OSOR4EYLTrTTJN1(6JHleB@XZxD_$~0685wMh2b_9&p226YktcFEf_rJ1d1ok??9df zQRN|lsA={Un)T-WBNeOQ26MZmGkqMAJ4PaUU{3;K4(N}iw8hekzB|{cq`sp0l7hZF z&nZ3|JjEfERr4=5*cX?d?iL_fjkqTa{xnq3n-!?dAg!sAJEU-#Fc2h z#Ki`&)D|ED@;cHJc>?%J(X9adNa!8!JutHIy$8xrLPsoU3PJb{ANwkl^Z}z4GHejL zC2clU^Cd7Jo2vB^2Ais-HZBn(ZxsT1BqVoymE9Bc+Xa;qH&@}-IfILkwcc80uoyM6 zu#3092!t>=`;_wo2VXc4ajFCfn1ZJAX(bvPT_1J$zc61NJ4E+4h6$g?M!-LcgB%*W3E z%zOhoW2cc3=y1@()fz;lNpT|#GAURBh(!bmLX?)tnc^rvB$Pue*N7!DaR+yt!jd9(U~a%izzVM}zG>K7admEq4LFfyP-eR;R2 zivyk889~aixb5<1FT<6Ca3f@U4&ay5CTnm>;M#}4V}zMc!@6W*q(qA=m$8k9G7nD1!ca)nHU zgILQ%C^y^x<9jfb?Q#*XAGbpNJ+tXluPxK9rMg0>`>ZO5XHP_zaPZXZl;p@Fcs>H` zmlKK@`7+dyC{MUQ&HqkSn$^H#jvDV6;{RKopKXOzk0>bP!(zRsc`{1A2j7YG^t=K- zbdZTwZ6NFFYl#miZQ5?AQTeQxF>f|THhV>0O`s50L7+B1*H{b|Su9Cz?O-2O2rH0& zfRR|EZ*QIsSD?cmE-{xfwqbl&Yp5TreO62~>IR7~k6En!uWfb!#2UB?*6#uy`S+#R z@hM+)Xvj2SAARZh!( zul!lYmlmKVuP{Hrc?^H+P9r+@d7h6iKQlC89s?K>krEBsvCtkM`1c9K0u3FqXM~21 z*b_lRhwTNRp`#TL5ZDsT7Yzbi@#&Syy^}lx*ST{?nNgu=%Mzgeic}CPVA`%U7Qk+< zjR!<0$p{A#rl|~9sYsw8|hv>zDKKz^>s7L_W09tt+Ie=#*{Mhfu zO>``m#w!p3h}oH}kWN4=3KX-(8x=@KQjY{xgBT{x+X8Ec?9ri)+#3_joWMc;xyo#*i;%*d<*^FHD2 z77ATnFB#3-2kCCjZe^+a&Tg@2|AbGBc20?P4Q?*>SROgSMG=se{(T?y;)_67)cA(> z$+uX1n>fML&WOvpPv3?8%D#8xta&%z^I5h_wVtjZToGLfJXa#0uW}PyVLkIe63fAH zI~mm5EEkL_wnKgkqMMx}ry*}EBW*ueGuR%!Jri6}A}do<<|&&A<}iNUmdcwv7AE(o zZ=Yqk!2Ls*ytp&ayS>nzqn%C>&}%10j~?S*|^7pN#7oXqCO-OC4!!A@H) z7K=(h%~invFKk`W$f5ZZ{#J1_MjtG-Ys6Q=q7kDZ5?fb37Ka!2_>8?CGdt%-ZNGvm zAFM8wh}86}<(t+w_N9U@frp4+!8LWMG4PT5H~Z-7S6?69c%oMM1Vo0iVsJ~8o6ws6 zq1)@UScQA!^{vQW5nkyy4miQ3E@fI?`ShRB%RXWTnis6?mnz)7{h8V=@K*r`=jC1{ zisX%o3_WOP;q1dJLl}CWl6zw`ku;7ji37$|DVal6?XH=u898ZW)p%`XMg*QkMc}48 z$Z0|uLoeju<(inrrW0w%Egm_pK9I8Xu3%7|8p!{Rda0k;EV?Oto4ff}MfM&4yIJFF{>3}*KjFuJ03iavgBM4^-g6%&n3 z8^0Ars^VgBH2tFY7g^6a?{Hbq)JtGv=WKBMX_tsda4qb#pbU9cvYVFF&xQb#ye0w` z`4>#8iDGFfWwm5uP11F--1`ur#D}!N%3!H8Q>E2smF^^C80!F|O+&IS!)6SMFBw^fE|+b{$FMgJ zznI#>7!<(2^-0+eg;LZ=(`Z#XO4Qvm7Nm_e3n*wx=D-L|ADahQ&7yZUfA7fTK^1?- zmc{(B%?L6&CGEZmhINB}lbBm+G)Osq!0Mic#ng~ua!})X5?M_Lk0AQoNtQp?3-qlmD13wF}=Di+}YG( z_Nw(pu{K>Yr$!s+<|W`KG>Fi~v7%IC_`>cwZVsUEK5Vz%kiwH&2Plm@6f)M-oaCzP&ySO}gj zzgWtrjx`?MR&VmkAWGdb5Y{hyt`U*0N+m+IH*TZZms?V0jf2qDtEhaD>({)4x7tpG zL9e&$Q&>IQTM==Kdt()PgmbUtN>d-!vDQ3MKHC%_Js$oBew8)+yXH6xKW3BB?xb+UT^&N5=!L9dIH29rht+!TDhK9En z^UbJb6;-+OEFa_jb|inrOSK}m0*7?u9@Pa{a_rzLqJD+lCw^QIvqhu?wx{}n>Lgno=^xvLD?w`x$P;bCIl}ngF zN~=qqDy-^;nfuBn?g0Fa8-5_kl<*w3AYNc8q?3@26Tdg#Rcfc-o^EnGa-I>ml`!uC z*@&12V?EKX81@2QMc_*8oTID97~HYq7SuL-`)8-6F$4f_DBxZ z0-UOB42yDYj5PflK4t@k#HSQizHHmi3qjN^Wex)A7|3VFELV327iQUT-oodN%XS69 zh77Usc06;102kY(9YFq9%_j32tL_wTSSXK9J{w6qqa|M+p)l9R41ox@NYoVc;PG9{l z4r8zB%f+M_w@>iZlv7S7fB3vfmA_$07*!uY4;<73s#M#W9i&wTh->107g2%JUHUw^ zPl!7dIr{CxxiUIk%Pv|qn{}2i89m(-((OcJnjfTM^O@G0Sxa2(6tM9y5at0DWmzvC9F-_qpSg~wIIc4`TO;@;I zP+Jy_)wh) z$YCklLX0x@Jm=ic37TwFv)YB`=`4Wi6%AzAOouT>nQ|0nx=rg0q6hFqxo`?|q53_% zH;EQi1Cd*z?ySsi?;baiI<>i^Rh+r&qbR4j zFwA;77LN-N0XGDh?f){NZxui7HI99l@nINg8TU!Vo0afkIB!?_F((!CDJ0<4PW!Gz zV_VJl&#T7_x5t7DWd&b5wr;-{G&WU)mv(ah5eYZSD-WiX=`s)2lr~)1Yxz9(l#RR~ zfiH#$gAlqq+0#u3l^%6}eI&yKgGY7>jExW6je})_XIZ7VlBf(n=823Oo1Y$^{755` z%X>gqNZPU8v1Pp%LB#)cGjZH ztl_VZ&dI6etvA`{0p}QG#QA>!d>c{>zw_VXkJHSl2Yq<{Y;#ffNIo8{-hgKCoM_!$ zu;OO$%sn0~*RWmpNHrd;(Lhuim~IXEu@YtQoM8>gUr{o6juc^Ma`<*gFqa z`E(CswL06{*qmb=$Wq?q&BMMbl8Q9TY2QP{Qjp!F{5fT=JF!+`xNOhE>RiS0laZB4 z6k=n9a0;zs#)@m*V-{;qSYq_}*f8j63f*~)dP~JQY9->eL$uJq{k}0I^|Mig543IS zY-69UvcR7Pb&55`uu{r)XxhTFrd&TwF%vNRrti^NUCd7=YyZ(vX01&|3P;t^DC2`r z6r!mPQeLSzdG_j$W$f=+Hhv{HC<`v{OoF6Rvtu93K3CQg6dJu%FRz<)CSq+$FD)xE zjY%o0tc=+FQgPF~*xZ<)LSrq`UrjJQU%G|D_w+NvOb{|_# zrj<5AkX)~B@BP(>jdM>c4U1iw;w-VIC_C86&Q*0+{>HzkzpRm+p^GFuTW|FQd^SU| zf%A*R6~*} zOmP657bm+T$$kIx9{=#Gxp=+i62dF71BK*eDf;rKRRe-fjHn^2l5jEKM^aUfDH3{EsEH=9@>CYQLPEyxw)-1C#0|>&}5ES!92REU_&y zvMjMISvBTN4w!zrKcdt;DYDvQx_@^I>=HF`QPOnQa~@1o6Aoo-&3=!9|Katn`jrrK z$6xHA*qk`LQyWo?-V!cCD;8ns@`omLVl~IDs&%#DM~OC|xjdJq)n?^BL?g;vzb$*< zx|7VSerPLtrYuG@WN_Rd;9p6+Ha6v0m_U^e3;tZ=Ouo1>H^afUVWoJr**&X*kXQ1TZo6Y{UUK<;en9Qan1E||yd0?F?U3(1aoET7UNc&sO_ zE6st&O9ILA25(C^6Y8I7m;PY=#AA!TE>)%R%`e5dgl(w{tcH#(Ktv4HcCeb zf3auDSZ(-R_qxyhNB-+RS<* zDHm3v54jWRZ3!f+8>+=D4vyY^rkPjZORp;P}3C zZ$07c*T3G&J(WJX#ptRUFxr<&rQGn`85&4N5vL~fiP+mAaf+K$@y7XiYRIQ6+T&?@(^)Q5ltM_J;EF0C4>yqvPi z*TxRGw?iJb_)ZM=4E}k}3+q`N0)F!wi3OREuquDl(L?=NY@<;Wbh5%vo<$T|GTv*u z)P>H3{+C2f4aaCoUZqc$CC>l;g3ie)^p`Y7kb?j7OC-ihh|xvKDqe2k%%PpDK!T*X z9K?BS8Rx{{xD=uyhe~yP5Sj6$R<$Wj`ov0BXYk}|jwOMsKeM2{e_UGJsgk{=K-sXY z0%w@Y;If$s^k*L+g?oJ~5-DSo<~rW@Tl)#*0y|xKl`0MfW?z1oI+_g22sYX?8|mm+ z-a2IN%UN7(4$*4eNR%QMnRa1` z&2eXH|0dv{Y^a7k^I9@9O9r z(y-T=C+fb-&Yhb0#>5<__{P|rlek1?$(mnV*s(}N$?*B5uvxIUh6d~~N?anUgxTZn zgYUCWj#TWv#{MDscL>u|?%-U5pFf_))b635$ulG{r#qxX%+LRg2KS#QZ{9WlW*mv^ z+H?^EBtvvF`oQ*n{!;mpTd)($v}(+}f4{#;yfdz3>EaSS=ii^hSndA+57NLtyY$NG>YWqvyC>1u+B*~z4@xUJJ-ZCb3GSJDfnUny1P{!```u$|NbMfl zia&iXF^6BO)AOJ$W=D;R4Ymau7e! zn38_K%*i=IajMZdEpe*JIm(>4-}YP@!{-9=C3oVSutVXTxP4ahn#1AgdCylG%omN{ ziuxZTr09V(p^8M z>d}}4;Uyy2!`fx@&C!(k!P5FoUJpW%LY+~kQQAfaeHh{{a3=+{VAL;eclB-zP|x>G1(3a)kIBJC-Mu`a~3JKL;2?% z2D?AqO2svVr3%Is6D$Lw7Pz`Yj&#bhS1kjO56`n5aMKjZew*uoTRC+4cUM7N^nxbU zi>|~cso<)%UA`(~t`E9qq=S$HiYidh$B~2MCIzArBJ`@?OuubD>4VcXxH{w$MMq4= zSC~&CD#%7Z$?6J!Hop7h0rVuY|4_E3WbG|k?V`o5R!<~DO6Zm#Yjn1umD#-HF!wJs z%Uh$={^4_gAMWqsSdd!g^|yuMzxxPz)JCk7w)hj+-(IG_%qdi~kQ+!Du_T9hA3F|h z_+z9dU)3~c8)Hj{hA&>V_uC{K-7F4qWiC8GiodFGsUCt*uh1=H1~QhiW+?=0qNsbY)mg04^h~lf3&I6 z>c)n+y7FSQ18-}Xm6BJ%4O)pHD<98kNWwR=clqq4-y~nf>ewY^^Z}Hq^JPmo2{qFP zUYG9>vZ*!|oz0XS4omcL`xqW8>b&ksvsBrCQcC|J{$wh;ecVsGOiFXj6xbN4ThQ03eSOV6$r!!K(|Z;;`5+e8v^ll z&@BmpcpvCik3f73bo-XzyA^Jr81PpUdKW5S-w<^R6N+6KdWzD!T@~sR28&wkL6oD5 zJvSjR*2SIxX}H#6&jzh;n;_hb;G_n&RBd60o~fMKY#trMVINdoaD z&}}e*_!Q_CnZU#z_mLK8W`X-i0E}~|m;eTo0l4eYg8=~UI`rVzfF%P|DRgL>F{%_E zRNn|y3K@(ypC?@HyILTiiz+pff^<1n_`hqV^tFhT=nEBw!e1U&b{{ z5ROgji)h&`<60sJkEU%M2i-Cfbj*NmtqD3-K)1vM9SfjaU4pkEbnNy8DQxWL3j!6tpgY~B@J&{?8yy- z9an{RBlWgdhIRvbg$z+4Xi%3r^k)!Y%LW%`<5$P9H$p2R+FLF#%tZ!{i0M`+Oaf7c z!8#Xvq(Wc;RiXG{FjT}g6ozmC1f>_>2=xUA3ZZF6e?|jHIp99B1A`F}79x23AqYRE zy|o9!q@>{ui#-r1EDTYG!bmGaU(kEGjZldEfRFWpwMbC&CiLb|nA~EIH^F0sU@Z{3 zRga!34HsMN;R6Owfees)X$(-sq~Vc^J(#q&R$vQe;PX64m=FMQ#*LAIry*dKXm7z_ z*e8O=SV31T;PWrgG8z<8i>{8=D`kXQ5(>+$3Z0XI*DUr(NW)JNr3^fGv8PiSzJ(~? z@JPJ-h_;RaSgQ)%pf#vR|A!4F2E%X&zFXj~;{%wDP;W4Ll@@zYrCq-Y!lenmJK%}~ z0VT$$woq8kVo#V%Z4+dFs*ixc@$X&3H#BIK1cW34Y5|_g4Y#CSUcIoj6b3e5KN^mp z_b%p0Vbe>)HNmii|8ZXb$05W0Psm-mwg~}5_CFx(|LTVR2PFQ#I{g3Yu>RLh_`f(L0Qj+od$HR-k0t z?_+xy&abD`6XC&2xv)sda4W40(Z!3Bvu3Pxw?pvz*&>JY-H|KZjTzLQ0cfedzcgmt*Wbk(EecHIqj zwGbTSitp5sV=1-D8kB7My=>9jnugdF9In5 zQCOs;xRqY^vXKtt*Awa)5=V|P8SEAa>r{v8az)7%(dZcxK_bVRYR7gF_!t!?k z9OE+By%*MT4$<`<%6F%)tY^nd$+AeH*w*|>uJ=;}F9m^Uj39{h{5&byT6)=h2J+AC z40h`vZl&vZ72=Y3DF{$z#JEakusezvS4s5z94Oi1!*p{HMsHjGH{=2gc2^KALOnl( z_cWloIW&=DNtP*M+nRn@mFEb%a32PPjN~TVa`^fj~k8xWTQogG3MFhv+;@y=>-Ru}U{?s?bJU7QF1(bDTF4m9AI+bndUsZo zY&X4Z5QK1N?U*?tR5W^b0hDa`J!~%si-Ky$x)Fdqr7B zbpB}G@l^7?!AptnVI$hsykn{4L-;ekm+b?BBV5TRh?k<#!#0QDFjUstQnKYjb%#8j z&+QGY#UcCLxuO42h6oBlg#Aa*R`TUsq)qj+ZHqweQ2rxcBL3sN`>&b#{}8PINBj>! z_kYCy00{n*srerO`hSE=-+yQ%|0Dj#!Gruqo&JwS{XYW15{5*sG*h^<_V?&g# z@sZ)fKA@gM+Sci3Or+-t;6G$&$*L4ak2$EfQwA>ZppSIht>8*SAdU)vfC23?wHN@1 z3*ZK=mocv&kD`~Hw)HhYG0+i&J_YC{+)9b)ZIFh$EcP@&WZ*Q5J=V0h++dgpfr0#D zkB3a{B&d}V5Ut=UMIeq37*}wG5SSoOvdvfm@KJhGXqW8)i1X)N-pVqN8V5=m0{cYo z3j~@0p{5G1B*1EvweL0ne6-#L+SW)yaU1|QN^fTj>^H41FYuogz%~rVNZVRND2@W) zM(cfwfgsMOt>`D^$O>>4f({%2J|9#u47N+_TTA#I6{;TsVTNfxj?%D`eny^sPvM0GWnivfgbOSE_^BIt4g@zyu$95eti=ZAAve z^Fx_KEogu_761+O-dI}SL_!lFG)vkwpAaIB2B<;q{SXT)qg}>_mXP<(NyCi@#QT9c zc+jO#`pOYt4l*>WH&Z3X0w3s%49>9!kcPtaYWG$YTzP@csJ(Rbi<}t*CG0>4NyPP! zC7>k)<{<+&RB)vLO5$H$izv8?0VQcF@5Jd_Q32*i5GXefR7?i0P7s(+NQu;ousS*x z#zhcF=xgs;M%aOj5}k$y5l{~#Vw^Ka+@Y>;nq_mDt#NKnb8a>ocbI~9wNKgy+ws2y zILr<@FWD@ObpCmEJ`0T;hy(_--1@fMHf9Dj8wYBkbyEVs(ta~Ck!^!QUiJSD>QBpw zJ7^#aZJgC_>M9>JK6@EYWO}j`M3C1x+4ViO?I%(V`L{|IQcbKmuL5NmbLh;f z@3d63o`Nh!NpSpT*En{R)^u%^CJgJn*T>OIPF;6t{NQW9GaZB0PhB~`uy>reayBhO z%EV0gtMHqts;gUvR`HhJR_IkViO+kt-VYnFFfcZ1lKJxyQxOFe@nkLNgszn5^QT~y z7-W%%Y0voa(lsU%bm|Vb)yMfh6_#qHDzc<-#o6*`qIqz{qO*yN9=YcAEe5IvSow3% zFe}`gxz#DE5ByQoQsgm-YgkXVGOd_|^x0K5Q=X1JVmOIxI^GF2@A~Q|DjBERp*-;< zOFwVC>43)7QH6OgJ1Y#YO34m?89fj_!}H`=DWK+dl4Jf1eWo}Ny-G`U5{SSE>uNNu z2SX)Cr}N^&q-41mXiM61OuCJgr^w$Kv3RR=wiRs}C24raMmyBc`y`t+YIx2-p7EnF zum?A0Ewn$LrbZ;((Z*8#CAm@ICs^f7@m8_k(B74sh05BuG^VSQg_CcmwiSU;@E#OQ z%ce(1;?-qr^FQd)sM6!w%PK03A82?K@@vxA|7?_fGCVz&ixj9%OT2Z_GOyu^c$<<| zd3WVW2P;saw`?<^&et&_cN3X`a4vwjnL|)1x$rX?s?d7~Zzl8RXzcs82Hv7yC+um; zVZ>IlLOwrjdW?;rvb5Ojn2ok5-h$@~+rNn)&0w6;f#l~YuH<^n4b)|?r8Hg8R+L|t zu^Od>L3LM??R00&KNsu9<>^+hSS7vK>M|)-@kQy1Wa=GmS*hG_=^VO4RKP`Ef$)+- z`KFVLjah%bXQeGqhs7LZCSw$PdcsZB=jJ1%nHIStSjn46i?~J0%tEWUnHo6ig!iUr zcuT|KtJJAC=O(J6W$^w! zXV+fxN9gB1g$xeH?uB62O0>PS5wtypC14Wb51qwLFeXvimC`O5NW}@$64`}i5cXZW zTKoZ6vRW`vfyOhjTMTBsYm+pY;aQ?Ev0T2P<5K!aqFNNvWQo!epu?pP4){iA-Y zx5Se~SVDMgUD|GJyXI7lBX=j6r}&R2nu0>{JgVv^S<*j7HaxOxE}vGt41CUlolxh) z-_D0x&|nk35Vh*}5VLs|yjo$hf0{QatG)0azeIc#JlE$;Y#Q7Eo4aha%WggyCkld8 zKKk;*3tlSRo+>roDlW4W;`0Q8w+=2f;oub~Z`4!M*mUFLP`Jn}FGD(AW3=O$GsDXU zLyWu-_Sy_*U&?`=vAJhf6~TsO?w5a!+%i5Z<_hioT1Fh7AqAJly|hJ@w9#(}Kg{+e zz2FIA9s0MgIa1fEr25cNZ2T1+`^fB>HNb9PV&7|Tlh-E@bQsrJ-FFZXn4czq=_wW^VSZR-tC;p|#BEF@%+cfvgsAe(1WZzG5I{`DuPIM9@O3r|B04= z#wZL7A4Gc$9>>0YBE)X>PMDYSoi!ILD!`a%J~5~0ya+J_#|kx5^R$=UR4Z_d{TcsP z;fZZ;$8G3%v+_&sX4Sj!Nt;fTHdgjVDQo7*o!FnY=U!SCd=+A<8%ZigZy;QR*Myu44AVQJbR5c-A>JK%4)aZs!f2ZuQ zNlbroLG#_^)jyVkk6GDF$>JR{c9NX_kxc!n9_RoPFQr9P~(Sc|Aq7l1f)#g_kK_p4i3H_K>H9eaXHg!*3q!v>Za& z*o-Vvx)lOH&fUmA-@lrn?U2ck=K4eEFK-Y_-J~WLzak|aAN}(Hv+k7yYjb|dK*P*j zA~Yw#JI{8P@j!$TpUU%6aVk=XBhJ1j;$1TYLx`i9e9K{DrCvBL9LpwDI`^)u{!j+f=N=$%aKVR+$+WmT_0MIT)u z$yg$va@9|#ApHtu9Fa#slxw~SG zX2cFSF1gyMIwNxwPr*5BfvA33nEqO?mItGJLjri;=$tAlaF0c;N4NdFsvA8j`z0^; z>2~=&0{yb$Gtx9SyGU&SY;-R1Pv;Ezq6GTJ_zp2d;LQM$mvh07SZmnFSo;F7> zc7>fb{O9cvb`r*yKbEVGJ^JRY-jo?NUGLxR8+oO66%cD#x=-23WzKs$c^YsBLC}~U z`uY+5yre^*@Fn_7w%fo3?rK<2BjVrsV&D7?5a$kIh|~G{j!J_f5OLTRRI-O9ggrhG zMtz|v?4D-sL%J;E!3|=nl+9h+E8sqX`k1yc*Su14Cv7}uHsrD3J;4X$U95^N*CFQ-}BSnuAraHe<9ajhE-t}_MNKY zxgX*_{W?j1oxSaTt1v|64|`0%nZdmo=<;Y9{C+UBKeJ`nm2{T9Vrg|te(zI#Z_qNh z`|K}$xlHaRw=FgKy+XzVGW44#5M;ps zZ+uZ~!1S|YfT!OnHn4BX`71S0EFy}(NeVckg9Kd$kE|bw?s2nXrw3Q2Y>xj${|=M& zj~VSR=e|s28YcEWf0BkDuP(~_QIkhNk9am;`x7`U?}8Q@B!{F8LT~ixx1>^&HB`Bv{wHD;>GyrVie5Z z{^E^@#JL^gpQw2k8!_T*`L8CfiZNfdOgyR_U4!{IL0B85y4;>kAc#;v@p-t}?Cj*~ z7CoJ~@5;?Eq1p8l;c-2Fx)dZ`Tx+J~FFu(5ApQ&C{N!56F=Smz&4&(D$5PUg)9BB1 z%-s;w`K(IP?F*oTnU@_*&|`-J+y$(VTpkwtPUoEcBJUSL&d6;+T}{9vLpk-L09a8GCmiG+cM!Gn{)6 z|5<1{_t)=(8VI~uiWkZ00RzzTbfER4^hWL1PD2%BT0thnnSGQz-`#jXP3E6KIk2{zYO@4NV*K;Ss28(4{7!M*m&tWG;bS1b`W6AV9wg?g$ z+Cfte>sB~&Q#`2QtyYMB25mbC^Fd;x4r_Sp9tGJBxILNO%Re&zO&u;DZxF9G<~m8W z6=xvz8ZY``*f4+-y~_$NrJ!3%QmtRm00BNf{1jBg$`djKKJK?TvExcR5YmBB6uP&A<*Nx0Je=sGMhSMCn4E3nlz3uA1Wt{;4|zb$|Ze!5is`gYnFq56a| zX9IEIxT%VM>tqyS?~%Nc0*jvElsckO%_{t;mbGY?u+MD@lsA$qenOQi9(1=fzLZG5 zjBUO?w29xl3MiHIH@Wo54htx~LLBgs0{0U0o=f%gYjSG(_<31>^NWX9<-Fvhd}bw| z%&a1Iz0{8uw+m=CqZdc{KMuD4`ZiOMAO|7Nk`@(dRUMcA`?kk8Cw+4^xZMp!=WHhb z>lAFWd`_owlVFyc=$%cji!UKhJxg)Is*C)hjDoY!72d`GCAN-9W$<%f<)1$Wn<88` zE#HAUCa)R>8SB_2S#{1bI*gBSiF1!KtB=#P8(b))pR_@9i1%ac$Mlu*P_p}XjVq7~ zP5k>z*O`?|{^u9+(Z+u%Q)E9iB;y}VrJuP#BIhBOj%q_@cZtq-Un76m-YiQM`}nl& zR`lQa4opi>JC{^MUZdv(qVjsgKQ$yARdf!uxCXSn!u28c9QMJP?VQIS`dsvr^;~V^ zob&g?JDJ1Hqo=ey@)k*k;P&C?-H{E*xoP|EKW9I4&C2-e-=Tr0a_6BE*DnfRe>UB; zw=TCNd<;UaJB?bF<5FySw0rlf|9m?QnB-2KNW=Yl<73OCK+s?Fg85$A70!ym&UHRc z{dFvEAI&2ROQ=|AY9Y-!X5;#y_xZWJG8M;wb7ktwTCBZaqF7WK^9MwmuVU{YoZXYz zvg1lgzJ6>)cqGL?=jPAn*6ka`H?n_?2mBw9hL1*5$LK~<>W%x&pWRMYuiD!9_IM++ zKmX*$H=KpIPgTmo!pYlr)7Hs1&X3dbkTG_I!0U-?ztugN+dphbtaok%-He@1tc6FM z%96Kh=9-r7b0s%p7oEuZk}5-%!*@(af~A9Nm~3kvb1@W86QmGsr@kw6zWd-6NVWHq zdwyL*{H7`MA$-3}*Q5Jv9pB*}e$Io^_w4sCzW?wAZKKKV+y_QZOOAuQcA^W= zOduPY*24FEkBb{$dFqYp;@4{!=;o^!q<*wQ(~*x__$t*SWRA8OH$FiKsCaoYkcP-- zGGo3h;-Ghg(7RTX6ZX7m!y@MqK`E7o)rVl2@`8kPg4iluOpI_Qc$RvAs_|s$zY&ir zB#QNMRGX_MaORlNZ2%#M6sY{KYO8X?@u<;m146M6{V zA*B;zddeetgp!MJM2JBy=56EreQXrD2=7*PV>k)_jJ&Udj-<#_QuRa65>#@8hx)!s zAlv;lz(%#CgKY+~Q;A}xA8uEA9(?MV#UzO^d!zZA0lSq|8XLO`C$Fr{pf}`0RjW-V z7Y{G25t#QnGz$-AGeE}8ACjl_smP1Ehm(<)jU6R5DMRnRgUk-H;)q??z~D~9_Ny)= z3r(PUU3qB|9o;aT*e4Y^%({zsX#&~7gshNe4@IUx6up?zfR)5JUGf6yA$6z}EXl)? zHrT^~bYe`1+MpTqrDnMDYiQXlE^dS&6>0+z?qiBG@zKI(mH@Q@05`V6nb1aR7?SN? zJV+H?tO0YvQp+GNUI%WIB(CEN7p(p!;F#vBX-k8(RVxcUhg@+FRYe?CPM$avUY?3j z-nuvFL)ZgTY9u5Pq86j;uL`UEd%B$TP_$7sSFXuY1W{6`h*0MM7I6&(YfDIVPoK%W zs?bDle~_hng#?uh^a!9Poi&oBlhDGm2~p?Jm%UR{uBsqZ2F1Ukv5vjYae2#eKIlno6e`c>SNQ1Dem1tf z=JQ{E;uOaEMLu@p&xrQkz8(8TR-%`pRByJv6STvBmB_?>{&)UM5&1{6X|vU=@QpDo zM}XR_$hK_5or+X0d2q(p{C5(oJ8FaxU5kO&=48hmnP#oIgO*9Toa8?7UF zW6VA|r9(_#yBQ?0a>w!UaYae+F?0rAPDQ?h#9qD9*Yccy)A^$a*-I%IS-0}Ow$V5P z6Sq`l8>E>wB$3A@k%;V7wu|g#pNvfGKEz=sZEE8rZA#)L?Y3(ze`g{`*1mp;dI91M z`|Td_Hd)tB(Is5(g?Mq3x=og}=P<{vt6aNmmJ;Ipd2z>VCWM8d8R_GMXd ztJU~t?7w{z)TZbk-w3vyy4nXH2Ezosy@T6$|2RoQ7}Hgf)g)v#O7yuvWAvidgHW$Uybw?^n*JsB9}oKj`!M(pJGST==-d|EzcD1j5-ES>xq<- zT9J*Kg;T8&AB51dB++d1EnA)Ip;^SMKsJ8S*z34vJfXwhSoF0{1alz%cH{>vAKEGM zZUrTUHCARS<~gW#q58e&ws-f3^Vm(#)U8vT@%sU++eRojzCIs*S}riK1U@Rg!D^o= zS1P7y3UyQ#e9#hIbc%nMne5=6L1Ha8{H*s9_O$ej9BfMLGcxs7e{0!iT7Na7&Y&_XTY0HTdAVr; zwu|xOtaTr4`)vB%WCYk``1$NX$XmF5yrN|%<@Ap@k{sv6-c`+aeXLzbGQYVe4!i&7 zlU0=VE2KK<_tB`Cj#~3SpTh%0*|%3rHqqK!X= zwKd~juNHpA9#z;heR!+=j}G#S$xh!xCAmC`3quUraJL|FCn&Ti^+;S*lQ?t;w%Vtn z7R7-6@l8=*%&u39QQgNa9~QhW8v2^mETp`|db7FK!u#dD%jOVm-|PLsz1t(kOVy)qc{kA$B2(`> zCHgoy;GD7Mx8KDKt~P{b4R2nA5|;iV7X91Pa=6aI zPu)cJ$8t7tHR8Ci0}b6A(nIdu-VN=2L&$!oII*DA_2|jlZ|?4g)JYXeqjVI>KXqrX zg6(R+?uX^0Mf24VoRvx=a`JNcT$9H7XmB}P)w)%9T%nbcwvV$Mu2=q7a+a979BWG3 zRME83Om`Oh>xWLq&YQ4puCl8o$jTMvd)o`n^O$)*e)*!~NUM0k+$y7#c2jJMYNKV! zh4RL>zCy%MTdqrfg5^?xz|` zjt6ADsn)GLd{RHi{JUE?b9vud=}_=&)0B{YIBDr(U@JSqR-=At@HVN(^Y-;@0-K`t7&7Et##| z32^fer$6rOAM&tNxyt;*g=or%&lf0lWZQy#ngiVed#i+RA8qL?sp9{> zfHeNXQoH$m|7wPs;pkGF==-=j#r*hM_B2wmM{lcE*PvIuIh%Ml(%o5=Kr`qX+WhL( z7l=Y)tTszB`UliZHC%iC@EH-Tea){hafg90mf7_8T0_Y5%dN>LL-D0iXIO)`KQuq& z_P#!D`2HslPpe}z_myh+{DeTnD>X37sLne)4<5!WF-?z)PY#xw@~nI2th(-+Du;T? zX~iERF8P`gyYe~QM3Xsv3Mu_acE`j@n*t%>=YeyqTRy_Xx77u*TZQwBQ?l=Zt#wX( zq&8qJ39EP6C3w%6I!9Z%V~=UtiZ4$()D{Km$Uo;rVAP0IO_^;Nl*JUNsAY;4gt~yZ z!kkcWnLdoSO=Lv51(2e3n#VfNY{!3vE2{VewJL?UqTvE_-l2xI%;dqg4&JJ~+JHEd z%ZG7B+kdgf$87@Hr3*$!7)Q5iA)g_u*unr-(hWNPOfs;>Q*VB!jWD3LZL;RTSrS zce=GXZdXqQP?F_1*+R)i}z9@fhag#MYFz_pI4A;(K%lxHt;)7G-1G_fKO#iPHM{O$WOfDwrU$Zz`A8qZ6#iemVF#(`=A@2e|@lL)bI#0qF z3ma(UeUk-LXk}3EQlKSgf94;z`rJ913muWwJ14fp9gsOy`CUNqrY)g>g0aneCYEG_ zY{rSL%`I7aQeHkWT_uvt4dOB5^ro$>Ad9K(Fj=W;M4vjkh;YNLAX>*|a()NPtBsGv%7s#s@B^X%77%0v&7@^$7DBx}L>%U$CGZ^gNr zXRHsuIwl6~TC6ZdjwZM_QnCvrCGF~n$o)#a*rEF=&y~g=6?icidY)nr%C)8}aRk|b ze!Wkc9C?~}Z#VHCf<7@)JU*f@K5{rVQZn{lZS4KkXmN?!6pytmgrS3V(A8 zJOQ-#IM-qX;z%OrLOU&JZgDtvAEK@cJ&^+O@Sxm1X)uCYEJ}1mX<}ilxX^7F7>+B9 ztPcGE;}FK}LbL7hfd#-(ZwVg(zd|oyws7oQViP3NUPd@YD^4)R3-Sw^evd93;QB!ukCP7 zXh8zVym_o&(A;RL8A5Dm2^o+v-lI?UGStcZL{ny^CH@hbN=x-AM0FbLQ>f!NRQI~- z?_O0}MiH~VB#Lm3ZSsP{q0=avkc@*MvZCf8NbVPse4P+&LIV!}pF%fpsQcui_Rj_W zpmlunWmAp^9;nB_sCi!X$Dz_si-9<8#=VH7g>u0*9s5;EMb{Hgr_F9svU0;QD{vW~$7Re}Y>kq}v70UvtgEZEY~i^Iq*n0(15 zP&|6+E#CURoPlqpkS5qW_$y!X4iq!Anouv8S1q+8l1qqCuR=(->X4cR|1!!Zx^eGYIG!(rv;(6# zj0zS6N4_Np1b9PDErga)CDC(3G!eibKj6SDoj8MvW+yyqEuy_06o1N95TeA-(y5jHIc8jS6`E|7Im>K?UGL z|Cire?Hm3j5Q4~5^#5h>LqaTgh}F^lBlrI$ljX8#uhNq3-8md(uWqk#SOKfSh{)`sDaJ*1EX{QGGPnn&bkmTk&phk`6s z8{7Q{Z=0^WyW^3tokt}3#4LXINV-R^CxJ=<=St7=3d|?- zokG_JJ-`$1JyNl~Q`CVK^;aSv3HU^!{F0WoQ3zsE~kxCjdj6Ouedxg;Y{eX9HQLy zW9b6p^g27uxQtJUT%nDfL!pX4wQSDe@V90lTMcixw8Mb>dyB&R<_lYK#UVOM0q}6T z?HLlqLYlIU01u|!MxkE!MTe|Kkw=DoW-TI3XDf;bktYvMN7b9YedH{+7uwf}()s@R zY5}kOwdH?5`H?^5?hmWrGUvahcZNvC7O$Uf(@Zi)53P^nW@v_hm9mckdUweINuQ73 zlUT7f8Hov4Vk=`>P>@g2z{T*-07*)5O(_+t+cC3kRhJ)~ymB$b@GYu6>OG z>8xF5jqV&neYnE7{qO64P(Ob&ttLCllg3IcEC8~g4z-gJPZM3PxP0sOz%xHK-%qEo zv!4gL0|yPi-P5?bA$LU;_n;dO7S5%%kdQKBFr-6B>^mH%IVOGETBik65QJTkQZQpx|k#$Cbszpb=b z5H<}2&G)q;Mb5_L-%V2{`RU%TfTi|mSmQxg@oga84AsuZJvmkq|5ZaJn zUG^iR!mE5YuUMy<)S>rJt@Ubr@+v?DJ;{X-U0wnR2 z+}U-&r;^UaJsTmVi(qm+!zrlAa>p=Z|$2if|=XMks9>-o&Nvhrx-)2^mP= zyz1*SApKYI!!WG<`*7u6?y76mSBjYLX1^^w|4Bwn_hg-Xt?)3bry_e3I$JOi?B%YN zH$q>{9S7_T5B<1w<-Ys>Q1%v3Z3SK1C|X<#qn;H!B%?r}Z#m-vFq5yMu1fMgxTpU0=ysk{Yt9d_ zu@n>)Pkdqf67PfR6+v{P+7#W68Bgv-_p`g>))2D88;85F9sc}_-!6BUp~ohF%-#3P zA+I4U%_UHM-tfEKuhIJI$VRGzXd0Hw3Bx+lXg67OU;4#i_AIJ4LOStY)h-+@enx#b z?}E_R%~rLwMv)NfUy0kpgB;b2Z$|jQMY^zn#j6Mf6CJ@`+ygNlqPkqcIWZ3?Yf9=Z zhPTl#qI#3HvdF^OtIS^w&-CH*XL;RTNcq{Z{2FZgp%Gr{UFT2#xcXnlL{n5c2^<3Ug_S^_h4@GCGQCU9NGcb%Owx$sb(w&Rmc(-!B+ zHzVpdtSXxKsWXXJNcD)U+Lt)Lz?s89MIp?x;6%=fKaauqwop*p4-&$kq5b*5;%l!a zQYd2goQPImc;-w!YKU36^tyI0!h3%3DUOD7`h#a&Wg?8-9v_y?WqYT#xhD9**y>bO zIXUh%MQB7{(wt@tUwUci(qdUceUjJ=)b(dv<8FB7D`o1KFrD$(SCRT1U@ydote)e| zB;Yq?*1i}Iew%6(ZP1jdXld`5p1!byiS->kaJ@3Qh`EmXhna9luYGiWA|Xw(1pj8On_9!xkwE zk!8(}XL8newzgJcNGj;#Yi#6*r!8$>#>Qk{IQOEwYR*d$R*+W#FPSRSF>;WGQ4xf7L2abd@dlP~v~|=L2Vo0|i0pURKiSbr!r~jjvmVtA)d{w7uL6*|Bs_$6 zASx(xKYQ;25g8~W zWP0RI;LU;|xcvoYWnRZ_;Qtvh@c_dY1=ha*&kFj}5n3UZE#6R*zTxE?CDT`~o2Xr|;v@?{+4WNQ%v zvDxa%liEzt&wK3j-S_55-CGHEj37gR{@3)K8wdIJ1;t$IKW_rivoV$Qnq=c6l&eq8Bk) zY%bYY{%n)Xxnfv(cwS@hm4R7o(7PHK-vN(ThUv>Oi+tx9-Q$7R`V5xF(IUPJ%^HcX zEi7Xtz&Tma^KU^0{A~pisRoUmPTo&%7o^wTjbMYcvMU(OXtk#GyG85pYb5MpgZr9< z=`EB^U%fCDo00qJeg@tSvs`BH6aRSc^FY&<6!X9aD zhNIExKovQL0@|&EC!L*4Zf?Y4VmSo`qtnyv7Ez0--!Fe&SEGp1!J(kX4w+fY5>q*_ z+bqldgabf}*l3D)mY*MQmb1VrrIo{e|1zp0hsAr%OK;5y2ejrCC+yah#zI~OdqJkM zR%(ySK9;L2q%$tBKomx6t z2Vj43nm9z@I9p)eu+w4|b>Gw-ac=;gYT)2v+348k88zkg$uoNMDkyD-nrlkcuGTlb zX0?v%0YO%qkT{pe7Vy&eCFNz-)(6;Fk^pKYewutr)}h0B<255Kdy2rm)7ea}T%fi| zPBL&kHZ{J;%`toyIaGQ-Q{UTE-@qi<&{JR0TT@Rj_lHsyaXfjR@e!l1KSvk50*5cI zE|L%ExI5-iic16X*>7{(8k+oUrLBkW51^OhWKE7D5l@+J@k35&8K{K-}|Cfhv%LMoP zHib(cCkB$lI66kRFCzkBV6RbM%1@D(z8%uYUb^9?Xf(HH=%9j%N8!jyu zQOU)h;c%gH^(mKx}I(_pRdLGw=^OKFOsgV0WU98W<2+Zj;gqZ-yUum$Le((QZ#(;b)2ZL`3jyK|HU< zuq;-7Qi5E!6fmcVnzsVDpF4fgOQY2p2q&^u(n_Nhd1VI2N`~TjmAz04dKMxTcPse2yWN^)xh+7OM<(;i?F!5F+f!i(`&e@EbK>OF z>-vs8H5W6Bx3qzHW>$fBtkL_@RZGePQ|5xe*=H1*Tj zU8|;aT14q>HI(I&4ef%|=cppbcppc*jH;g3H4%4mWJ_?am?Lm>pAr{ z%}%TfZk!EZF2P`_9etXW=0 zipA0PNSGqq+R|0Ap3c{bzF-OP?ieUgEJlt$Q*}G=aH;T<>b2h$92AeE9g%1Y6B)%_ zrE~jwu=PB8ufc?DKxCEN;)GHbr3G2RQ1WtN$hAa*u%=>WdWai~;Gl3EZKVXZXgJvi ziM6yT&K5BGd$g(TC)N$F@-rfXyg9UPo#-cbB(uv)ZJ#8r_(jQC7QH%~vR!D)y_0h% z_DA&jQb^P)eY9LW2;u2>mvSg`=6ZDwWF{$=Nx7H1ohNdN^09pM>O{{AdqR9>o%xS zyk8^3QOSuxOySaFEbxcjAUAQ7O>+O#?h^-w1X-;?8fl_x;&!D)a!MB0*D7DY2c9gV zBsex*ixfn>=`|i&$wqXJZv`VoQ)ycQ#UJKc)zPS>)aAGimW|(gOz+0JFa(~m8QBF1 zkh|7rIAS?7h^bv(wA?Wj8z;0K4ob7UyzEHN%W=ni)|4}v+$(Lil;ap}#vrzK@e_a= z-v1J#!2<(^ulvZ%?$(wk+QBj@d$j0TvqaDkGo2jwFIuuKW+_%n=a+n*twAy+~$L6kV^xM?Aa^Bexl~c=XniKtgC8e=|L5nXbW_)pZFfu z70whcW>CWKPwgVeVzJi*c*X?1zve|a1*h-`FvG%W^RPP+SA%N?D-7%e?{#^%VnOIB zs*FX!-_$5$yy8+1%eJTQ|se8{P$`+TPn5@^)N1h$og>FcQLyQ zMmYyTopTy*oUlxp#J=LY_8OIv8ON;kbG2Ms#;81_^csQ+l*_n%cs2N|!^8w1ovNOhue6*4mPNP^_xz8CDHxCfXu+u$kDV?z|Pj@c&mIWnVye4K;+)2z{ zM}>IVZ31r-NvSp@u;k39JC5$<2?T}^Qop=*S+w#B7$*5?e)pmwMAj&RUe9`v0x1~d z_qr5sh@>`LrVgX>6Fzb%u?N|1`&y75eilGFuHUd^fxu*I7Dyq>0J|{XmXITQM5O97DYxA%~4vVlv{Cz zq_baDSFK;^`C#9DQI+RX{z#& zq21vUi7Wi%zw<^WlR4MBgX-*es&?hANBySfOZAzi*>ka%TiI6M51)wj z2wMswOuW>7RPMi ze>n%ZnQhVyC)gpz4O{5icN*h|R#J^iU5$HVO3KiUatBDc zyh+ehXHg{}p2UISo&7e%W9zIIiz@K>4l0Eza8GQS3EL)BB9NjEv;H>+ijfE zbeu4C&3fGoGIA;|bIn;8SDwH!h+|P4qyTl&Q1`8^1>}4mA1+}kQU~u9DKEy;UOfizk-iwdHdwR{7VWZxZ=K~y|C8J5zVy5vk)X}H??VCh-X?#fp>A-db27jX)?i!P9lA9P_M$W{qADnZ+`cP8E!Oljj;3$FO}=K@i-ysHoCPJ zjh{pV4g4KWp<|K7!`7hKe zbnjo^7b3%6sYbQ6YSkNP5e4AqgXp$C-sWQuTQ)m*Q}zyHe|_8WjXc|Iv$kui8AHW) zSY9_(A?PdS+&5*@AXW=qzQV^GAw|%5vQ|BHv%*>)EMP zZP6BmUS~~xQvDJ_PZfD&{iIZ*B7-j9nN8!Zk_DDPJleR`Gb1gt7PdmyJ7!ODsV*9w z;8?S>Te4d+=ObjO$kL0SkG6Ksn}QCBW3V+0+zF?$3LkKs^$S>#fzEk zW*%jvH8sqONj%!*j+AbqP62mtYVf4B&;&=G)MoJ&ss77a+(<45N=4o2I&xgdR6hPI zBA5mf)E>M@h-)a|@&e0uDxYXT!(lqcni4j_1a({t!KKMZ;Eny5V4}(7;Xv!5&2KWCR(I)BXtX;bTf5^ zxS|5J^pPyNtyGc8Q>G*X=~I$)k!88QSXin;$7*2Q6r06wq;!*YZ<)kXOhy$wq+#08 zTUZW7gaZjvl9Z7dxxSKE>_W${akXt0S4ayd>b#l6lT8j4JtSd#(OZNL7K8(NQ(Y91 zuv}jWEJvYZ4cr-<#RbyB$vPJ%@l=x~MGsk+Tl5x&!#d$W%v2X;WKyoL6c#|}SR0qm zW)ZwjYCcgH{Ji76iP`gxLK8Elj!2Um1rIiupS%Yp%um5X1a=y?MdKiYHqbg1gd2IB zdyR{=B;-PZB_?p}iR)&!m_fSsrLKypBhO@3!Gi!+9JgiY(2G0ZIu%46`91HN3(H^N z*cbQIZn1!LZMd$TsiVN;OyN_4$(f=D2@EV7Z7l?ojkP9#$;Nz)GAU8~lx1S2@G05E zO3{NK))!$d1?!8l#({-}Z^=45Vhw0JJYo#+IXpfYc$~V&j9j0(Cy2z!y(YmTc{7O$ zT}29G#bt2F#)(wTt&m4@z(PoW+8ALl$(G>hVdopi(aQSGaXqbeZ1Dy8~h2;*6#yOm8~!(QnIYk#|IXNS4{P zDVNXUma#ZSy-l<+1ni@lEvFU(bg*4++_W7eJAeAUvlpRIUfznL)E zTRXHTh)Hw`*T?@P z!-j^QI`YH7yFZag3fN`dzYh97KBPDG%lTiQxwDh#fCYm;b^7~4mvZU9=emH4xxbI` zhUGZ?Jfr%oDdhh9AD%n`eBNw7!2|dgkA9b$>2&hd?7V%O;ab&syFd#=w|H0;`c;u6 zm+|SH5#X;yNn28pPBo>4iHI>B;(+AiL33k$510^!c3?EW}mF3pet)(#2=CV|JBiwCRedM}x)_ z7?<%v*}ZTtzk1tF>{{`(SZ4xGzVT*7*o8}ya#5~E9v7<17+zy}d6k$=3#TGG#T~X%l7hIrV zFsB#EYqrPToZyMxsj!Y|Xvu0=;@T+xO>3wA;!D6{W@1l?6@#_!0I#|Q+|XceAWY&r zB9a!hF$GcG*wDj;$IhIlf74G{ox)zz6z0^6$+850BgO1h{TbT@va(40e z#Lt8mZYJj9?wee^lRL;)bW!@u#@m395tt=;v*%^*Alj+f%zHd4aa<_i(AV>va_m9@ z{Z=h>Zbl~^u)An|1DMv8$*G*s+_V3J_<-MYQHr4kyNXQgS>sn#3s0zk@!IR8v?#xx ztogx|S-S_CGX~D5nt$2^3@(^!f`3`H?9YMfFUPs}vUE>R@6y7L=j}V0hAvC7&~(S- zFisVg$;&RBG%d>eP6ZaxTeduz9UQ+kt0~(1+BvlFzIj>=asin%j=Am;;9a{`N~0Hk zN)=VGpy^H<_x!CFnB23--#&SaRBm*v?O3kRc6P60_7o`o>BYrZjExnD33j9R^U~IA zku;4u_F-AkUizTwa>Y>+QXV2){B-!?K+i=lSks`Tp{df@TtZ|LLE~w_aEvXBezo^b z%G7jX2Z{ALq%ef}&dUii$-7ze`0MsePOZbMJG(myxon>rZuN>~{k?hYuTfw}j*GlK zRS6HqfnSNPv!@uNr+!C963()lEN`Ig|0$kTKV=iYnIoJ%G?8cSjFPrWU*q;XHJ~nTY(}mpd&dR! zF?B9&uN@0XZ`z@gjME?874L1l_jVsghLpsdfeNJ-FQ1P0VjgbfZG-PP2{>3^K8!T9 z==R~H_TILwzy6#N{TrLZe+(bpb(qPH8cKO*+58r*VRBLZD%jXN_OHM1=mGHs@m-&O zV0X{c*=XaeHTR;Yujkm!FEH)GLrFIq7rhf71l&B=T5CVI&M!2xH7{1na-E$|rjDh) zLJEE)y1*))SdIdwmkff7IJ-%(b;t0wwB2lEDofH!t_gbg4)yKRe=Tx!#!U6yzr*hS z{QUEeoT_pD^pnEZ*JWdkx!#CXkATkyIaZ?!Y*=>k`R7_Mv7PZ9jZ{2RQPZu<^`FD~ z>$Zm!t1yEwBVOUTuY~(ICL1_*ZyCwCM&en*vH#li=K>BV7{?jG847X(1LVP$>2gD! z1r`hXn{YLDq_?Z0-W!s?ItAAFsY75cs$LdboloVjGdlaqG4om={q)K{}c zdyH`2H~H%3pGt7?jTRK{*yk$cCic3QJGj2nvhW_OPm1p7eV({UC-{>*-2RJA$~S5z zLDwng@AI#6--9+G^RPYwxHj&b^2P{ASNk(9Xt8~3Qb0$*^-P%8Bu+uhHV&d&ze z=#x~WGM3%r%jaTc{W0eLQS*~M{BbwzZ1lXFZ*_Ose?tDjxQuWx)wM$g*=o0&#Ae~h zaB`hxW7mgz$cyx3J2M^8XLB$OEq$54u=b4O%F%82otxcfXDC*#*;oAmq3=uE`4fVF z?9HFE$sq$UtSKg#%to3Uf&s?woHDhhNpZ&Fm|%WTn}G!2 zQQ+r&fBE1sb3q(4h|c3KK|ySHVuTl?&eKQ}dF~Tb3Qw!j4FT${BuH|+c3kA*nEF_j zIENOq!qYe&Hcr%mXQWsfS>zTosNm3=5vndcTH~SE@(Q@v+_aXT?BbB#T%76kj3Qf5 zpt#KLnI+hOh_EmHu`l8g>xHAuouuShR) z3edBAiVVMl3XZC~tobYnUE^W%8P?$a#2>!Op6Pqh9RV=pbmN z3w%O&`lZpJ;gVY@^VqZXT$*BE#g|sDOO9m)UGR-&+bqi_v6x!zn9;*R(fHU#P=}r& zYLAR_ZZXdG9c_t*0?68_(P`ReX3Pyk@?gz}30tpQmV~Ld#?o%F&(*468aRR9BFd0X zex*0y58qC>FZ99#vb$cGVrTs}F8P!pe5D-%X(}aNvZmGd6RN=(OTd9Yr8pN*lvdD| zR>&7NUs!8!H^k2xeTMY(`s3LgMIy5~w=t$IH>@qEr7f2ncvRlmK*KdFxN$h1a5%oi zR!|Ek5bLnA-O5Rro$Od3Vl4VAa&RH(8ED}PE*0_^+UGsE5cLfFzS;;4*4;(Q00UFS zdr4xR8n1^s;fF0~0cC%2mvDI7N_c#Kn=+p{i#vL#E1u;U;=J4MmUz)>wW4_%0n4j% zFZH0h{3Gi}6Djp%DmdbT<@^B;|4?Yw>fKZFRq(@sQ5btqPIKbpQ~Vr(v(Kd-l+%|O zU=DNj%N}Nm2FE~7-Hw#~@m06Qy&Jl>nQ4Gp%f=;DfiRA>DS@vI<;{lYe&@mS2_K}6 zD1(GbJ#a2hL65d3!DbeZ9oTkBoFVB2z3U0yBpho)g~551rLk2zCnq<*n10^8{1v9V zJc9Q7l?|Y~GD3HG{Njn?HdD437CuRH57eR+!Wxi-oK_H233eK+a6$4>R z>avX>g{<8Mo9=DlzE;ejBTu9LV-wcmW_@zqXm64KlS{6s!KEn|s+lsGkATstRPcj` zDgn0_!zB;9a(2ei&jQ`sY#XW%M@Muoy`mOl&T$blPNVLAC9~ zjHY}k$?@&v?cMDY!U!ivN_PtU&(^*hH151d5D!nV5F)G6!fu?`HZMKsIPcdJ4vnc^ zyhKZf`dCP6k!y@C&7@15EugS!4ZLSTFwB$P&X8zfvazlEbNnLZ(|V4b%Bc)_V(7|I zS!o9&EG1?Kjf!eiQLtdY2zQu?$51DB2RGZFi$e9KV3ndL&!m)IZxI4iW9!adm{9xk z-I+wdyYpg~?gXUzaIh@JXgW=Ie=rk;;`<9*^m0)97mPK=K>lI1d7{>EAF#b`%8eIf z4}Y>2+Y2EVprGdv+;|bpe`!fHj8S=H%0V@EmwXe%(OmuEMkRPN;Wzu)w5}cO#aX>B zkImwVZsACs)Oc`wy>8(EvDmBh>8zfDQq)}sdfmd=I#*rusk#%CH2qnquK7%zg>Ieg zq8o=H%ps68k=uAs5 zVIrP0HV%eY3amS!*op7fuKS)0qyALV>Y{E8-tcl1k3DQeFw-*joV_|1KDa@rSmD@u z#7%UZ&r#pd87o6-b2Mvj{+6KNw_VKHOUGJTsQBr@Ptj<-*sk020el`xGVEfqk*eDh zVIrppJZS~TKvr4)5)XIh#V~s5Eu>l)2R{-G69-M;d<@`+DRG?IQiX+uhMT;kV6@K$ zB&G^Yk_40HaZSwpo165jI=*PVcjV+^XOWcVB6Xedy4~+o#@)=))z>$G0l4 z&i%8+MyQ5!RnC*6cI1BgplL9}6xx30NFI!AT#193Gg+-XT1C9(7mB9%moe^t~>;%7~$1sahBtx zN=PIFGxPmfQax?UJ~Y0M-r5dmUbcHpbv`6>HSNIa9siV}@}<_{I6=WL!ynv^e3V~e z9{LnV1>6lsd;8^a<~rOXqnd> zeP{FPYPL6kF|~uU@9Lh*a>L`oXuQ8==huw1oqugl41_;Ty*ExpyAlyhb=dhvcT5)D zXL#^UMS9g%M&WCFc3>R~!|}&G`+*SgObV(p)lcada&7NfQu(gD-8rZISj$`4d|&OOSHl_21viD@A?2oT*yeRWHY?GHFQR+HpM~O&&EjGRkA3Y-}Rck7inny|*5?$NXFuNg$A9x>9PLDtP_jZtZ?2JP>^ zu$P`$7RG(|>8>sih@jXE4cpXSgS;Uze0W6Y=mLLY68?U^LNz6-RYF$}V*XB~pMjsL z5km6TQ}#i6nY%b==ewdDVS;{RT;Hhrqs&1thDNUmxJjq_`-=~6N^B}n``@{Tk!rj{ z><6Q3MCD$SXT}O1Q*tERExPE^4AqH!q_A5wTQ!V|zM{VvSNU?ompQUSGrIU2p)VLglw7cAuomnOXrgcW#G_mmp%Vh?`PYv2eG zr2gK!*&gu-8|FV9eXx_k?5jE!12 z_2i;}ifYFwER0mE`2}S;-|dbA<)!e`%0Bv0u!2>YPB@fOyjsfWyfSO)_dk?LpTs|C z(5#eX((h-KVVpq2=_o;}N}9U!3WS1CXQtR^@D@@di81{XOZz9L_OG^bRBhmA|4Z(`2_^hLgMV5a{Ey(D zLI?jFfd7x<|Cd9Te|i5Cp!(O4|DOQr9hlKP$X6HW*Q1%Vv83iFl2u4nk@EB!RJ zCNTtBrS7ItZ%3%0oS&RhUPEwwPXHD0Oh>>`C7+x53g_eecypr(_=G6y8e8#Brgl)N4Ni?MFNLW{69<>B@&0ls18~gQExTvBMw&0VJKVvW-2r_M`qy$M? zX#t=|3L+Nel}QUe3Hf{m^Y);i_e(JT!k1>Rg}SpgS)q zVo_aL3vaR1qJ_5rwF=-ZCR#?d&Pn-gHO>Y3ZM8=R-#mm0R^S!Th>+PK#wXwL3#O>4V6aFqbyKRR=#C@)B|&auo?x z)!HHS+f1d!EVG0T42erE~SdG!RDj#|yEB&w+t z4AHWPkxhsx{|Mq$sI=b&$jXU_ zep1%&U1J78_&{KGAWjFsSC<*W#S0-`RHl?j$ltRB!}pYw3`~@= zen2Y46Y}rDlp5T9tOG!0jt_97Sg-V5zah_7ji&#y}2@+UVqM@d=% zTKm=E3O5iccIKKzW>5kjs5%2`f2vHM9}lIZ0@_%>z1V?dH;@`~G6P34gZiXI$%GnD zevtA71mOeZE>fxoua1he&N0_m0v5JdYdjg3G7a=I_-afKsSmJT8?w5V zWSY?fZS8{kYC{&g0lopu5Ckto^amuxgS^#~Q74X;2a*C;rr&2pINd-}w3PH~mEoRU z(A^@XqAg3fdP2P9ATz?w0?w%o(e~v>Tq!BJw!}*+f&spj8Bo|-k|aABP}Nkas5VJ* zRvmtKs%()P4=v+?@XaY({A5Lt-$3{@mEeL@z?@@9g9o{9MKI8aof%=A1ay05$cFU>WGlmecR@)C zl|p}5!bedJSz<;!wSZS?Lu9@A5lN_%I+9&i@FBR_fmUa`qrWbBA-@oiK2P%N6WK6@ zzAwxODGT_GHY99$lrhTOf$X}B55dk3laLA--#sM}tBb2>J0mF~okpob+9!;jC#jChg+-ROeKYD4b-SSer6 zD_{GQx0VD0!I&s>mMO!ZTfnW^fh(uVL|RI4Eh^xU4{!+Oa31_1eP)PTTRcsD63s3v zs4f_|iy|Z`>&pWueaI=j__@T$fbFJA`$v$AA|=WWK-Sl;=7WY$1W_v zQk*y`p8qW;`mch16CbEK1NtEpEPdHC&kRcB1Jz`pc5@3rpRu3^^1?tRfz zn(x};=Kz}V+DU9*z)i-?rTgxNKyM$QcahTc_tDACI>>}CkWw3R(Cyxboe;ljOV+9j zXdP3B?}V;}7y|F{iN85x$NdPyjtfv@hDh>2h!>P&ij;UaN0W5wA|1kzA~_fi3;yPyl&5L47K%Eo?4P(KSe z4Li_fJ;}5r9!gIIj5~r5qbxhn3r$A#;w-a<6%UgnZ#L;Ve>Uk;Q=X>z|04&?(=_>i zWbt@@R?h4*R`4<9Vd_HBU$hw8w*T)r>B|K@I4IBjs%-It6#)sARt0}$M%Y@w*|Z^i z>!aRRGAJ)Sg7Ep1`^Nk}=;k5=rkW^O)F*X~sKZ--i&rwV$9HK1e0wvXw7%Ig{N&?+8?=rN>C zJOOe0IdQcnY4v~=VR-}bV`WA-CqaMv0HsildH*@F3w3?`t`5gMRhG$&hdS~=7#5Xf zc32VL{?1J;FUoCSE5R?RfbK_-4L|bhnZE|`?k?y}p;9kuPKiTR zJHU)!v4D@FTozUBy*}VNF9SMzbIy8Zp9JOi0a{5WfbT(!a^gLx>qjdes4)ZT{_DKm z#R?XGkGgskWkAnv&ZCBosep1nAYne_*BQaU&!|=sB|)uxfuAK3?$>*va!<*wE0y6^ zUC_@(N{^R+DcI1pGFiprnS)<+Q?ON_#CelAQu#Fe$SXPk`>0VPMgyz9-f?hn=NaKM79YNAEpc|*k*IG)M zo2cHQWRCZ^cLd^o&gaRQ$^|($1Bkz-M%UGDdj``XY8%n)&tD;Hwn@7 zj%nnBa~I3x_ih=WwQSOFn$S#8GC6Zcw1(**eszkO!VuU{p$z_|_SUf7Ro&@2(J|BY zO}B;wu_E5GkXM1vvl24!OPrChwwugF>kmT}L_N=>R_hyBrUd=47JLayE^b*lbhh-0 z3$gY&=c)y@WbxxpR@@36ga_5@=cjFgY1}U6o$(I8aBeHKvlZ6ncocU=dwb#?ek14G zF`BG!tKB#EZY|X0pI8# zz^w9;u@|?|rzi*($kyy!rB+YtVe^4zX=zSjp7m#LgvCpw`Ws}uC*O&7dyXIN-#NE= z{u905Z@E^-r(oo!gXvc9oL_6d=*O+U zXg?J2l{m+u_FTbpLl3r9f;zp+d~?JC>BVQv>+CWLNhi!Ftix|y0BvuezH)8S@YQ7A zyhMeUv5smt+n3qLVp3!UO@xIG!;9R$5cQGs9T+^e4 z*{R@71k@MI4H+Cq=9zo&`)`?;d${{=t(bdQ`EP+G%ss&VTb<@eH2$FqhV96zZp!(|%ubB%S{jN?zK3%fQ?tM#@75(9=)f5kX+NN4z$M z&F_ihwiB7ti7Dni5Z8s-co3P>joI!okagN$Z|>15%?Rn$9DI? zkxeAn_(2>Z3<$}TLJFEA1yB1&y#_{6#iQ6ITf`yKfRGPTNIY{S=V?E?*FaS#X2}=q zl5OIUmw=FLDI}*kQsT6~%4^^dRWO2Wg)aFRG(|$*<0Whi&CBxWOD2gZ` zBufe@VU9#;a_BX%gen-t-b7Jk0U;SuNNjT?N?(B2Kzk>q)fend6hjaYk|Tv=Ge^Gg z-_q>dLNyB24fH7V9{9c%(YO`a-i>KBj=gzE96|}`nWVo3@ddO?Aw|rQQK$VVdy{ly zf~`ie^y9k?_TNHPn0nfO<2fMLjd`{g3HHU= z7{>m4N*p2wc&L&>y7Aqj#x72PHHimKSQ-J0X{i!Si*lelji>@R{y1N{fDyjAIj&M|4@kj zLvjBvCFwtu@Bg7}{+Gh{AIi;tDYM}Ja4`SFVf+v0PdtI&%^l2<%b#9PBlXLYU|{7r zXE560=}+(k*vRuR5<)fujn2hPCSSCF;0B+pE`&BRvM zeM2evvoS3<4sV8Oem}CE}nDd zu)ga2pwr0%#+xt*`M>)54nQiq|M8odz1Nk!vqG{(M0N;ehJ>tZZ?}l7NXC<0BpDGA zLbCT(B3t$-dzSyXH{ag$zQ6zTcHirq=X~}!pU=76r%fUDY5tt9fL37fJ*^vwSXON5 z#eic@St||lxK6(|DwV4Rv$_T9fyKdEH`1}Jc+-o8@Y*iOTDg+Pt@yPiuyYT7=lSyZ zsd0O@F_1@6cIF+J+TbBk3tT@ZI}-w!pVM^&l3=EyyVdZ}4=h1g>Mr&UmX_O>4=n z0^-E(#?b&E;q>*#V5gqcH3dL9er>z}=%JRk9(i2$22UXXB9xtR2SBaaRe;i)-8eA- zbRm5mFplh=)Exs*6@G0}04iF`+kiZ7Zi5H4;#9f|5Rhh_2i&O&)vDmfYm3;6`x5)K z>b{n@8F?Hqk0)40m+s;T1{*v~>VfO{vNQE6S4~^9{m$dHtXENg_F9n z@mMpZer?tOU6j_IF?rnJ29n3@O5i$FWpV+)}%77lGr&YwZEazU;=;AUWl|1=H7e*tr4LBVZ!j^!0D-+~1~k zeB{XEr1s)0$m0NR;A~^v*>SN?M>?}NIpz0Y>3!)~GeLf;Pq1bb{MrOmuF7^~cL1iy zWUYX$qStvUIpixIXeD;+w>R&`wdRB0l0px-ehnU@2id!ENYt$)^vL?xtpxO7?$;m< zJ)rqDh(QmaUxP&Sz~I*)9z7WRHAqDd#C{E8(Sx#IgJkr;^Va~|_u|{2Y}eXMnRLX5^r2dj@`<9fZixxOl?h=1uc|p-Vy{95 z=~x0{pZAk@Yu*G|JJ(92eUq4AT0w2=X>(k};r|LAZ=nV2=iw< zalu63&RpP8QP7-gEp7`llA15V<2#1HF0+7mBe7`e1!Gk>`+<^PrVoZDKv>yW%%u-b_(R$ngqajxt~$dVx%)l(i8)a?5+uwh-4&YxU zj?urWEFcjVb8msOA?r)J!j7x88*W3ERH(v^8u+RGa*zwfAIF;O)e1x4@;! z#tpi96Z6`5_dxpBjT;>GCU&)*%UDo#;~Qwwv3TM(n1A26!B9WySlih(KNm`0-MGP4 z?@&B3du!9B0r~LD)L!uBB*Qv&ioFQSoE2f@gDQhDj#JC;D-{A>+FyHcxO-u*Rl84f zZ-IDkkN%$1>^$+_%zJ1wr7;9k@*cl8lDImkd#mpacc#-}ZffK` zqg!;4P?kcXBnwqmpRlTSZVq86Gp}VxMdS^`Q)(i`k=%N-!Uf(P@gto{<1BQxoHZ`5 zI?l%_wWmb)satu-o0OdG2_5STCGMPWVpCOKh_hi)6(d7hJtgD}5aQ5DxWi{YeAM{* zR)%_;%jvfBMYo>u5vX0ayph(M+T2Iwp2bHt@Ufwf$o-8mWnRnKhk3%8V$pe0i<%V~ zFDt|Ha2L%Ty|Uga+z@)kwWwlZkdekYX!;VG%%#$gFJdY@cc0YirE*I{5B=8L(vZHF zWS2!qqhFFNDj>s>w3#Th%?2P9Tk~AoTRRFrUfR(wTDz=7@6#6;nu}IlPnI;lSi)p= zJ!o4*h)@obwqDX?YZ9*gii6fY| zybj~0w-U*q_MHk)FJZ3iBk}dS9 ze@Ici3g_xwVWepg24@p9{-VivB=JlL@yvxx%v;THCk3UJ2460B-PQ0FoaB|!r>dT1 z6|RO33n>>CG_oi5q} z4sW8b7UXoUUuBG_*HU-zxIY7z{aEq7r+i&oc4i-cCb=B{>Z$2ryQyKD$$h1{ea-2! ziotP9xYuluQ%QF@8=CrJ5}q}dH>H;nBUzKKR0Le6&@ev8{E=8NPE??dh`aomNpi?6 z={080skmh(x4U7>a&9*}o}BXmO6sSq=r8+%S81Qd8WPP;9DA+LYJ9;;kQ| z#X8qiTXCN1Pz<@9Pt9Cr-!Gxcvww79xI<2a`^JSNb5>pjs}vmZp}tyLqI1{SlEZH6 z6i?c`2(za~CN;81acHJI91w85kfhP7lsUv%EMY_ZV0l3NmZfNMg^d6Qee`Tn%j`4n zGsXRUKceNS2U^;+B{Is{9R()8{zb!8CTDIRRkYF!r-7Kl@ zbokZah^r0A=da22?&vD_&zM>)z-V;wzde3ZahV4FF@sw*k@&JUg)UKUk#uSQcK+qk0e6X9(TV~&-V(;K0dfAuWy%?Fte=jHY)ulY{8zP2Qta-{? zshX`KwW0|_*_uOl;oMdPcg|^F$WV%Ue<5{wII>o{m~%j}_0v;MiW?$#W~CqZ=Tv*9 zYI>(;W%lzJWPXfWKUXrWI233&rQ_JcQ#hArfB99M3)>x0?YGY^P-7`4GH!p-mU5TD zDNQyWd@34}hcq1~66)?ODJiw#&k`qoC90jTuw*gLew+~AN!v1RrW2eXIq@b z^*!cI(sEo)rRvCn-j*mO zW!>VXo?gyk%O0V(t4+Q^U2alypPJR1Gc0-fHRi8V^gGXsb1qT$8$r{(YXe&s+^Sl) zu-pPCb0H7hi+-AlTsq-WJz<>Tu{|nlm90{i?^N2{ge4P&M}svl2&D#UPQI^f#{!Q= z9h(#w@D)R5&sB2G+9q$8W@qTydha~d)tE^Q(G+2=+f3MYbCcW}3)B?zPQTX`>aXU@ zDH;3D@v?Q2arY%_iJGiVtYll7`R_@ez78dOs#s^fv2ESSc%#9Q9@HfyhC|^kAPK!& zm74?073L&rq`R?P6XSXrjeH0^FXpZn3(> zY_#vF_e^S<L0nxu%Cx6Eovb{Jg5__W5^xiXK$&!WFjjHQ%NdF5htz zU;zf{&Yw zl@Ei7lf}DJqc;aPUv!o9ub(dz84Y!OuI`jcuc0pXEO|L;>|>(GTB4(pnC^?6-cHUJ zMm_u<8cYDk7b)*1H{N&g!v2wtqC%q~j&DT#k$2vUc=Q{TA4=69B(}byFYNfzyHe62 z*t7CN_?w(3;)SHG?~3p>agarbN4 zM1&!8Fx|!V=cl&=B4Qja8}SSY+T?}V(+^YETuKj3l)L)Ex3@ZOLCmc%%vNgHvFdi8 zp)>BVyvdQAlzPF=vjcIb=V>Kab;hqsQf;`hb_rI_YcF4_q;0(TqHk4shr%HJ?y6YD zs!}D9L3K$sAKP=&Va)kcsw~fN)hS6ba4pjtk&Kr}v<*mnTE6a|^Leu2GhrK$EFH-B zj1u3cL2-@SXFzzkSB&1bhjDRH!B@Qie36y7)_Qk?pQEyx?-?0Q9-ZE4&Ty_CiUblo zTU)IRt{gkKPv6&lN}q67hwdin-JZTQB`w`>x6P8UDY{K{d)w{|>l`~ypHE-+&-g^? z4kKL;jb^+zrL*S4)5Nr^?wW?aYNhFo7$}wU>HWG-?h~dP+uhu;Y-=l+3GrOk+AI#6 zqS{oow=K*t$l<+Im}G3GQ0T1cTPsU>uaNB`x>nHFRT~o|R3x1s5WRE${YLJsf*+KB)H#XOd@+)CftNNUh!W z2dnenGCX-YNjD3^W@R=_?Jv&L7sOUO9+5`JR7a0x-(u@M;4CKT!F}61EM{o!6ErTs zltIGNe|_^=*aF)onbrD3YXjwU6ImrD-9hI4&tLcP_8Sl%)qMN=3_3ol9#HzL^0@S5 zBRRvnd#oc_yN&UJC5MbWgk7v~=cANzzCB4#@LMj;aw=XG*YotdINL9>EN;CHl<5bVI)!i7Y+b<=%1{rAPqnI}01U@l9^HJ#yRR#@F59XsD zEJmd_RrNJQk&PDVcpmc2nw<5BT#OQZH;tT=e(3&gT6J`dfYCB&)uzhl;IY9J4J`gzjS{{5I;56PT*&$h<(=H#z>Y-I;sLV|J~SQn8J z(iX8)p%oPGZ$;Wv%Rm zL&t?qkC%>OL*tA;%)R$sR*Ytd9jv^YOQ%y1PxG{WwZ*U>_4X6zW@=99d*$&rpN8!n zU)6Ms#ydr*mOd;!A|73FiufW>0{LcPAYYp-nD)mnM#KtZ*Lr06PMhQ~4;Q!<24uPA zAD#LkSLi0TU+b22F@wpn@Y*ALgLi{|S^K`2EWy56p6oeRE@q}nh)#>uNH&RXlvW^b zr}dBO@Hc5oNms~OGxe9!Co`Y+?w8TC8|69{m7n+ z16vxK(W^;6zhj+}j>P(qvqreYelOv{C=z>F;A%!$nAJ0K=cpF&gk%4v=QUCk!ruK+ zB#0_ihWF7@e(AP!O3|Ed?$>En4)A#|czcN9o(9D%*SH<$x7nmB`shO`sXfoz>#GH= zl^ZR{lGq~~&To@RRS)71m7Jr89ftQjpX|ld%U#;_Op<=nA(k?%JJiY~9J}M8F@{W5 z{+W_`C?Vp_^x)aB>R5A?k|`UqTk~gHVpZWAmp!uB>>GPpi!z~3WO(DOf^$1R zO_b8!yg3vk>R0GX`aQW2C91k*An`TlhW_(O;d0yrrGS zIoBdibL9ENFV_!8lPTUTe*D9NXZTC6uePdJ67$s?7jS0WX82OZUfSz=Ol-O$XFO*F zy_3Y|`L{J|7beI0tE{IsZ-4XnrkN73@T32r`)F8#WS2X)fFymWQ?s~o!K(ku3%Rq8 zLh-5Pv=gGP#0!MFovy|UJbktgoAt7fV4qZ|TNZby4mOtjqZd{B--Ev$DL-~1*Y2gc zQXF})%au#(#fWcLs?;0ymy#Xkv*7zbv`aKP7&=XQqRwlHbSwIP_8aq4alihUU+cPR z9&z4v|NNi1_5O(m`a2A{^$OB{(%(y!IihhGANJn%=~#Oby z`+ZuyjPkS5nZ2wzypNMq%klH^fp04e#0t}FARmXd;R4C3#xKqEa~lQAqdk>)$YJNP zjVhXqA58}|-|TYh=UCFM{T|__2gtJdD`k#OyN=8~U;IwArLoN)=yCtswQ)L|=snZz z>I})l$A^qNyulu2<3bm|4%Xa9Vjs2Hm~9}Nk$l6!Kbdx&bQn%)pK8Qly!7S5Q!TlR zCG?ovILihM7f6im^TQo1jY3|D3}+$V@?Y5O_vr8#3faI_!hL~Ft^7scwbM;xi5;dV zc0h(?;7DL#P+iX_f;$ugj4R7eKguulnBrZ)$;)LEmlhW-ryXvprK=Tp`aUvIT1$UT z`O1|mI#FbBY;h+Miy&et89aNqv%%sInBx9r*#) zlDUimCG&vG*~-wvro#wF+ELkeY{NXgNVN$$%}M4k`b1yIp_e$6jv+S8!?&aM37bt}1KcvE=JQlh zcTh)O!)B)RlxJbjm6G1y|2RMca`M3J74XY?jYB=k)|IIfXX+lOJ98%R=tv z+9Wr7Ml@GNq*K&zGVaJ<%DZoh=ieis_BrXUcj~5vPWJPHT($}wm!Ml6OL4P2qdKpV zZE11SJWrf*-VR*KDc8JHKd_xc>$I3MAetktwfJ+OKgU{6Ii`p8a>Vnzs1lmK;q1u+ zTY~-z*$%o43n7~yHc3lL`;4;7bQx-pN0e(Tr|r&)BZ?_s+=Dq;WYZCG zbgTDBzr_J=^NjQ-0r{iv*B#`4b{4%Hh!qnwxpe7g<77oU`LsQN4Ew&z@O# zri#|Ukyq|WPVso~#*D1>vWcX1*G7}dp^0?iTWQaOD(=BYMl)yqAgxppj$n>8#uT&U zBwzP{=PgUKq>Rv4U$?N49M$RvgUH?&%cf>rQtnyL=Vjhs>!M76N)8a!DT55G8Ywj5oL6dEi@97(wSZ`$?@Wb7!w_*nFC^qe+LiET@}?9B_t)rsN7>$ey^6q2K=R+YE=U**SjO&8eoKW5s`Q0YYK?md+n z-uw#vw9&bCA>BC{3oczjw;cT)59S4fNj2ZwhAhBcx*g}NW!6=?X%FIRGI2~vJowd*&# zdXR1s_*SRpF0s5(NT4)M=V;Gs7>R;WRG=)eh6`WM{@^T^1nCkDf zjruT`Irj<8p0UQZookrxFzF}Ol>IbPy0Qz46x(?sb&=V!OTw|z&Hrvws;GzT9i|dz z>x&_3syn#$^Ea%z4ZD10?@o88291%}5WCDh>&8rZkW%rIxlneZqD4k*e`&8JK2}&* zuDjJ?-2%GjWBT(E{rlSs-mP>=$idfQn*)a|C2E~UJ^?>Dl?B_s_(ZKTYdH&F>+T<) z4R0?^%dR12gT5^vb=D$ejF9vzbR^U76A^ENs%fAk(^}E>pO}SN3LWWUT+N>*I!Rx2v>S_nLo;tKE&4 zb9>-p#(cg$SAV9BQPqU`z4zS`NS%ZQiSD2(D2B>sY=e8p$|^qXK)M4-cO!G|Vzk{& z$lZr%y@dEsDAqKnilFmbm?N^M{ZaNalY|S4r7asRhH0CIU;S+oax{D-)-T&YdHg;a z>l(NCjli3h4fv)DpBw9XHc%0RkHfmjEq-h8W@eL68ojmtwY^8csd5uO^QFab7QBu3 z@Ok=f3ePO{2>7NimV!S3FFjl+Z995)17~Mp<}B$m6XKmEQQmXNm{Pt)QC{(9HyCSr z+V!7as#IqjurruowW|Pc-!HwfXZ+M|z^rf}wXD1ar~cgY)AUfNItp8|q*VIZVDX0s z+dDg2i(78tJwKE07H%a;YS>)VOzT}*ycV;ity`Gq8a!(`f1|oYlV(V**gW1ZZ*Y)@ z&Cd4I(o)Jjj^U+G$S>>I)eV~TH_D1kE$4`W^7h=v+S&*!GN7TbOs`Mr*?aDvUcDL| zNo2F`bj}m3+#C3*8qm|e+7R<*IWqr?Nnxc(Gt*L5j~a>#^V2cq*}+jJSkcRnMFk ze2*7HWY(*M6z#2#-MASW4*{nq)zet*+R*Ao!I9^Ni2f7OIbN~ z8C740Rgx;x9zmnz&x4JWZ5KRr3=@~G*ln2FT872EO)%}N6-H_Z^GQ9=oXK!2PI%Py ziLfc?{dEBUt;q4ybW3GQO?#DXUPl?iwKdu5n>FmQm>5>7aw3WF*E%nT zjBmYr^|s@6XMEy4d12n~9El9&inCZ_k1FIpTAB8+-yn6-Z({B>QiaDGHS{ulPV`s!O6Uj8@eWpzIVBe|B?RFQ2Vp{Lg68yJUZ_u~9$ zCDbomW_;|RjWfikXX>H&@Nsm8W=nH#Sh0wtuE&txki$1V-({|u*e7?^Z98y==#%*l zESg*Aip<(+oEcr13qsCB(hEMA>S~+ZJug9i@kUgWS)66C{kq;W9hc&(1QL2S`F2i=5U3VzI8l4_eBC4O;f;6eRNgM7?TcNiy{l`2 z@Ml<*D_Nhz8MOl=WODCQ(!aV-U72w|OHZ$^{{;`3?M2?01*-U$n<_e8@Us>RPp=cP z=I*`Q3!#mRAiqr(!5Y3+Tz-QPA<&dbZZ1?uXOb4=dQaDcG1evmuj^|uhGwvNX9TbWI!d{Wxs6{g8J3?bKRrl?m_$q#90f<*MMk*%z!4x9=v%o_&MjVP zq+M=PZc}EC@F>4t#)uF?2stiIT1-xj-mYz|ZLDB%P4FtObDW#NucZ>E3Fak)Y>4lv z-Bmm1c~9-W+6A#dwLmrD?)z#XYN8|$)b6PXnFXo^^WKp?ZGcq}nswe-yZpQwtFchI zg+8+UeE9>u!AWG_WZ$Ug2N|rL*9YX~Q;0^H^6`2^^gGuYpH#QyhVSa0=TjJw|+!-t;}4# z#0`dm5R$pC8;I_M$dJ5K2JP8`#v0{GvRspQYCo3Ohd(%gd zcM!ioL(Z=J6XF%-c75qRJDS(03iyqUjLsWNl@nh;@*4Y=Z@=wAFic1e!|SX*Sbe^p z8HGr7$k*m7*Sru_CSq(r-~0u;K<%b;c>!V+lWp={tvkUo$sj|4^-cP6MuZH;#d}M5 zgWy*hjRnh1<;@Y*llxdXh{6xmi?!k(=eUEL)RfA@uNdz7f)L7M7rikUAog*1PmLVtm)`(G!aaf0Z6NT~79_6~Q(+ zhF4nqFp!=~l4zBoTx0IFWV)XsBZTF2WTlh0>pG*jF~tZR^&2S5hY+r8IQ5 z{PGS$Z8AZLKhOxLjP=f$5ts5AZR2kSA6|t|`P7g*TAfd4%A5G4xUp9RcXW4CE*C{C zWh~Xd3O1szKI6zR5;CuXSepE#>}v7_Rwk6RgdE02FnzY1xKQU%?EAt0^v<(t{1MJ_ z{%z&S3-u0fqwjvfDC-;}aO54)mzrC>P|o1dHM)ZMvf}q*`R>8zF6%aB1XCk>oj+k2 zZxfRv*GSisw}{G#?S_GnFGOWhoD_~EBiTYfCS~s(u+N?z$wnMlyscXa{zBh=V97M{ zlXeWrlwRvkZN%t!afGI50g+zs&)9x2O;8?GRCST~14F0@o+s%lVwtjB0r6n!b4@)$ zdHQn=8$x+Xcp|EfAtDcVhhqeC&YOs;O$|-P_dK)eC7r&;HKEzGMt4LtVz8z+safaG zV1}_uIg;Zg%lke=ilW=P(YCyQ&5twvo)kT@+j?CLG0whuPl{m20el0sD`Jj(*Zz=t z^%6$WF8AO{m?uY$(8%TTZ?Sv!RaiR;!s!p8$)B-xO98k$y7+|$G9%GEegek# z4mg6lgO5J^NNGEB2=f$;m)Ip+9lX|+mp&1oHdD1vw`zFy;E-Ts>)Q67LHW^(G%C+_ zq}~S6Wt|1br3+k>H3^Ty_Jna@4tQ1s47MzIKI@=bjDgh_1r8;Zf}4y+N50rUE= zCU2@ZQ)#VHGYMIi!Te5(sF4oeEO|yQK=wJDTr8{!4}m#}S;4wyLohi&CO*b|+m79k zw+h>Q<-X}sd9@OO7t2Db0uiYlz!w?Vc))DwAQGRrR}pZa8la}4RCJCHNv zxX3T2n;O4zd(>rPU~kCrCx6)$lav+eqty+)UxvNl4PP{`LbB+z?moO?m4UAv|58a>`5IRm*Bw&PFhfO$3}S7_ z>)uP{0WLTxQJ9jVWd`0FYj2#5QkCL)E>liJQvNV~MY{~5-UJ)v5-v$nIz{CSir&Qe zOKu$R$VS5$6}2)Viz2QFI&N{Avh0)kgU2)mC?cmsEnsVsLXT(_tK_Rxs*slnImb9d zNZG=kHJq~$7Yd}kT%{1n*+gm?raon2aYiWZiJUt77#k;9Y#2|&H4C^<>J#}6_SvynoMDTi;0i4ajcwqWvdiFGD_=-z$$Vkf@VV==Y2?R;-4R7GMMbo@aNmeE{^^@S z+f({Ti&E!@Efi;|GjtSf&v53vaAj?MA;L# z=XxpZ1;y0V$`qSGfWS|Sv&!P3>x$SJtlD8O)vvMSl79@H3tep3P$bIW)Q)(mF~G7& zE=al+y03_t!O|OQqkf*nls$>OGZYG)ZD>~{$l&M=x6vqJ875x|-B!e94Vu5=#wtl+ z5?Y`rmLb&}G_SJB>O)aT>W>V4-;k$xG2`MITD(w|Q)-mP~QYUgJvY@cC-$qf1 zWF2EsqOc4tQsi6{5Q?JJQfKBQ|3(%Y+S)*AaaQQz6U`3h+vIOThZ@dU2na<#(c)vK zCjUke5!%>5ZoyL&d0jJ~S)V*F^kW0ug1;#0x|Th&Eb|3&CM0=sXlDbp1#i*A&zj53 zvaGV49^{pwqYaEx6jQnuf<@80k)O5vH2vhyFcXtM2(51*o8n&MaE=rwzLK<1Oj{!o zK=QJHl_aqsG$lbKL;4i$TQVjx-_Ys?8el)#H7e&2@#gxpsZZEb!p_0FG3BZgo!XH& zb@2!;Kdw@DS|^4S%a^zF|w$xzs4W2(0Z7)XQh{cqn-Rx>pV*mMJ;f2 zh8TG_P9IYL(0~Rxi*rILq0CAY)1fL2ZWhEvkFKlcvwosT4yCn_DvG$dNcloj;!+B; zCYg0uM1!ZI^cvF?*A(12HAjTp@jmXP8Wy?v2uGMvM-q}H;R>@(nA?>0)NOgEggLsp z+WK&pJl5I)x?Bp;uywau<|o3$1}{ea zK%;MD{h^6iu{Jl!i6X+N8tzzNuCb^YHD5P~r1(yV5GtpoqWKu=dFM^UW#?MEIExY6 z5soH>rg{srk){Xra}8Hy&k0wEMjJ&$Ml^~yit9x-Kjdog3ekF<*JKyICaHzEcc3S% zPo2l0MUkoU^$0v+68Ci0YTx=bXBaHT5(A7+VNk+;J>yg*Fj_kgR;Yvk&oPyQjJ4nr z5~wLK`hA`L{S)ekx8Nn<;cDr0%SsT12p@(IgMlRgD&Z=eo@NBxzhz z=RM|A;GTo+f8M(U?pb-c|JOZ@Ti~AU?SI|-|40ymf^f97cmF>m$OrdPk97Sn>AFz& zT>nK4CAr9VaL>WY;cvJToL~bD{Bw~*ueITn=*N2^Zo=s?MKNGd)J^zV^o*Z5oF0Q7 z4~niJWP&a_fae*b9s~LlweAxU3_4>Dhcly|Mmy%ws7#Ipg9YKiU=07@20w8pK;tn4 zzkqL|mU?B4USSThOKi)RO?SBgkF1zgeG%+k%p{=XHWG(=+F0i>${(w)>fTo(hTttYQ5 zuk%|jH1Sq@KuSmt1DdmkGjpT3PQY;LV>Y0oI?d@YS^8a;L`=NSAwiGT5HZJftCsgSeP>%te1rvoN2ZiME z3k;}PAI{8zVry|~g*y?jv<+S-O`D&G0cl@{Gb2&UFdt(V+ywXo>Hp?FB>$Lu7mqt` z=GJCz<_H1Tzqv<;8!!v{B0GNn^~PE98{Wjz_iF6Ovua+&Wesz9Srk;c@7Y(rGICDg)Tw0|%JgV85oLLu&O4m4)N{u$p`kl3z(m^zu9Bx*4sGVkLokmd$h zB5A~gs@&jgXu!m!LP89n2#wn2>}wB_qV`bS#jj1Ax9hj0rKDkY1*wZt!XYBZV}kV#U8h3{VK7`~ z7z{Y{AJ-HG)^CDfQ-)4C!fDY2@fGx0F#wN(APDIG0D!>?nX#Z54>%{9V4)WvxXXqG zb$T5u@`o4Al05*T0AX_yP=N;vdTmAai!U^hA6_3VB!EkP`^sL{g|KAuRigA0a5k^tUS5O*%N5X;aCIhu7H(jA1r9W70!<4 zVy!!2?=cWU3Z#^iYJPJME1{4a4hgy*%i)WXBQgvNaxSKzf(pFhglG)sdd1U-fDUhf ze86}@j=9%ZP*Ep26WU|ZX8wZmE4jB=(4Ie>3q7NaQZ0KG7Ubl4JmcpCW(23OAip|b zV^mb2$rxsqd^h6(yX5=7V;yfE3&L@Mv!IuyQBiPG0&Xk;H!LT($tA;v3dkr~|KaA0 zBcMb?gAGYK!r9T>;32?F{uylOX(t&KB#k(hyuueuXRu&HYX~?6BtTDz1AX^BzDJ5m z0nS|5kboQ5tUy>o#3+%T^I}7<#NC4<_6B}AW9y>*uL^T-^NMNXn z0PKH%TyvJ#kbD4~8_n2?pINjy2Mp#~@^@6r+`)$QoQ`$FgbLB=XlzKv3(kk8xQx=D zbUZe6?g<$q+Og5o;V4F?60xC|fD!bJEXwV;)3G6A*Z(CLA26ekg$)^vQ84@is*U!K z9BjzRAI^zpZX@$_)_oxS-G5byqXpPdz4NiCk({4#;(_ls|0`QOFF~8_SDHCO8S-ug zHpJj}Y^+BpizmGYu7Nma7LGE2#4L6KCOI|uMZjY-C!&mMzl;qb?151`J&%oAoqzCA z4dm1Gf8|pZQXD9)ijoeBynBoy1ts&a2oChl=a~4!T`;3BjsyL?d#o3ElQ27&!RTxp#&Ug)s&o$fuw;4l8ZTkTSI`n~aqp6yO8J7hbm}{{-9cb+5st=aG(y5 z@z8wOqukM<6$c9UI-W5{x#L&|4ut$)IQtlZnS>r3=(X2rW^_BjhuSy4GsjrhgJ8k{ zhC5ks(|^W6cVsBy_O6h*?r{~AZwT5ao@uDn@OYxy1YrIlgA08zf%Bpr_1f-h-+E9~ zh_7S+RTgEd<0crw*%VQveIBkvb)>*xiQ5=|m8}KDr+<@nb+d54{ol2bE#L&RGVe6> z!0=e?o5o;9QWXyhFg`Y`EbHd`UeHkdyIqP*!23-E)tUWnD>zZi$+$M%s-1wr9t08m z)kG9L`2`1^vxifm#r^u>W26^w$p(;EPGaR-U!$W*1!Q^=NqPDrZ9}h6+o%!2=`DgGG z{PC&LMmI+Z*To`N!vc25f48gH-~VCa|87@X`;6y8#bB_=rN2qtUdM+Nfi=*K^A#+n z+X9ZrKxqxc{o@ikO8}iShV!GnmmlRn^XCa5HIw5GT?pl?B9a7W2yh z5T4O-RoX#CTzTCS2K%0j@t2RKJSK!bn8Ml6fOw_=P#m8KBHF_H<@V^fJM(6gSrBXo z|L%s{Nr`@&0i^+Sr^tb-;E}9qjjh0ya)9Pe7AaCRM5qe>w+G!qDOpy(!-5Aa{Rv(t z+fa2nB2;f+W^MI<90vp+@U5YowibY%bg0+#zd?UfLpQyF>Z3p20w3%G)(8LoxH=h# zV9xI5uI4U~Rt3o~FF?2C5teZJ)16#^b#ST#Iaz>=iR#oNEkJz3c%d8%5LBp%t6&|* z8v)1xybGWdp>gYj=y{+#Y)~hi>^HN%{=x<@qE9=}%0nX|Lm`1LK?0U=CNvTb5zDK6 z{~4Hlt0$5C78H%B3&q~ncWB81SQy0~K5D{Z7vi#jGby0h(_zxEVFsG}w|7GaCo%s! zt)LL9pb+l-fNlc{GAK(9Ugwcw0|-H$f`N+vkL$rH;^RY!f1?7B5RVz0Ui~X3G1}b! z_o+wJi?`rY`v3CAoCIR@AslF2{!u78xB{(MhgreS@eaVT{=pKcTT+Q3Aw4)1M6Y|C zL0mXJzgf+L43diZSJf2ulsMLDm>N0&1v(E()_|U1{8@l94D@X$3oM~9lK-)S&Q0j> zin<2E%kU8ilz$BlM<+NJ%T1H(QJ{@j!T1ZolZD?1%*^c_p*3?jwE~J}o9%nL|2{nv z@gn^n&HwxK3^LRKOvL+;#zx^W{&S$GhGH%69w{W(c8VH8UOTQlj8ILy&m<{S^Bsc< ziqbotZbhv&2quFxf-o4+NYGlg**lfbat;J==iimKP9z!hO!v6b{y2%BA$S|K9H7HL znHox~$WX@MLT4SE(nm8r|LOC5Y~&{d{q6H;E~NWBpObJY2V|!aA%~*x!5LUkA;eDi+<{ge9CTux`l}bz zawLb&VZrI3!VsW2RJh~?1L#+UChm)hHS5dgvm?~*rwJxHL0*n>dziGnUf zDTO7C66(AMXGG8NpqP=%qJ)%#;f!cySG95||95f0X+w2F*}v_18>QZ{dp~~u_x^k3 z&Plj`rxg@D`AQoep&<-rR(k5MttH3)7d$lX0%}y09O^-U>+ele(48PS3l9pq)Aat) zsvr#Zx%cl7KePOsI;4)Opiu}`QHszw12zs}D%yVx*@41KE=`RxBn9NyLQRa08fFxQ zAS{~+ED50Ajla7nA`K`u@L0o@fdGSk2sQLgp87a$QS_n!VvL0_C@%=kh&I@5j{`q5 z@af1tXzEXpcX2;d&Gg~mT24-q(;SA_>$#VzH*cH-1U0EbFkm;S{CdC&_6X=zqNZ1O37j#Ph z_|AJ2fOsVhG;-&-HX27Eh1a4{FhKA-$9a3AO$sIiSlV+`-8#a-9cMU7)+$}?}SK5 zPmBJPM#IVhTD6DMp|LVcbiA(vnTr~1CnrzW%j9XHEPFT$FN(O)nW;P#W{^K~K(+V> z?=V=U$}gw1J^oau9ekXC34RL{TCh7-Edgp1sP(0Vcy!2$xjC7c+gPAg zi58Kf&^fi$0S4QJj(x!UHkbxa0z^S_Le;lHdP51*4k{{4S_(R-cbtK-6@ z@pYoDBtS3#5S%P>?mnP{ZrZ>F(fr?tA-qljmW6|5z=i*~@FUQS38R-K)b(u`fa8N1 zkReWHenb=CQp(<|U3-FpOeMB1QC=Qa9! zePq(F^$2qpbBBMYa+JAxhv-6{T7&bs=YM+-yn+sTZFAg8J+E>VmjDuC1J$4G2!1fb zAxfL$#@n`i;!80&9Mu5Yrul<$@SzVo96DzUXGb@;WcAmiP@hHYg4fAp;mr?6`v`RN z_VFqC!rMfqHIPCrkf`FZz0>#UDG)ekc3r2Y1b=Vf)A>H|}hQZMcW@rZe`*OEGa^mqt|Qwuzz_@*l2?GNBvZ3?csOCl+oAKJ|8FBaww^rT-sWVakmiJzj8u$J&xL2h*?ovXm`UUbuLD9NqPCXlQ6p(9zKT=1G8thKKeq z&jEJKzv&(@|E~{ zF>&JlKNFNM*Z&b^I-IoW*}n$I6cRbhe`FU;c8&9o`n$+{9sW`lDjrp9{wC>Q>A$Rg zhSB)p{G-!T41B$Rl$;09!^BjuPHL4&#&9G2M@1DF0PKGhm4}h{uQjVG7h~z4DyRzs zO!AM|iZFt4|5BD%6NchHKzUMUP1RuZ{WD0b#VGh^(CXWS@%}#%7sfDr|3kbT82T9h z?DS_aikbhV-kFVmv+<9b^l-8I|7GZNPB0?%Pd8G77h3p_B)4&9z<((=SBbmSj4FfZqW>6dqds5V;jmz4&v@S37zdgWby{X1UM-xMjCa^G=uC=rGI_W9AhwV?Bva@iQ3_jaDG!h<)Cz zl>Zc@-c&ELy0A`8JB)5If7_zPpJmMMUEjo)^owXio?L0%h26*tfK=^LhT=P?q^z2)u71cO@D-MYz$2SuLG7HWptARm z+{?J#nm;n8ate&^(^Dnf4+^~s)6PB`v_G?6OfaK}%;&d%SJ{@O3;yI&MN8qMa1g|6 z>1~eR>RPPCUywHtM3UDeyPCDnV*oH-pkTbWIx(-dRfEQKtt9%@GCt{A(ew?J&)?YU zX86YcO$;Z5+AHQxDEJ{*qDb?m+o=ce`y%G<735W>qrybdc75)X{BXah-xtPLp-+Ut zOnH2s>+`GMpW6ny-^5cfEKUr3wvP2b!?RZxw6vV-sO;Qvrov37pB)fvxCqo&K^EQ==8cx<@qs6W2pAoTV+ezQ=f6sxDFpC2G4L_ZvI7e-x?H-XuF%i@o)UZ6UPPT~l*;4jvQTOrJ?;d&KzF53=$S8iGIN!%|Fa zUw)K(R60M?l3Ee-%_+>z+~;XiRinh0&?m;s?rs^%bacbty~wrplr3)o6o*-J=MW_&4g$qdbzV*2_lWiH%V!9w!=p>TJB0OCi_8#5(ZXlX(`KRQnA ze%#2|l-QiP+8mM<-)L|KQ_(80&$hs;93X9t6^gUD*6YZo>TXMnZli)@YtCuh2@Yft zChaIk%G&#ij#u;XxtXr`mwT@@<$%zuIco^Sg?;1&ORju6CIx2UGw{>51JC=58N6R7 zOS0yA%MM0WuQU>i$KuVb`0qSPN}bs!*+T-Wy@+)*N|jPg%rw2L4^fm)VX0}vL!0>E zX%NQ$S>?0SWvusXZs~lklLz3gOwwD~nIF+@!(DYT6SnRaN+{B`|3>V=sFzCyj$QMnTKt#(HYp-$s9ayVVkcTRM%>JgX0Q zsIAU$I%LXvGP&8-pRw674UMSCq$BpU*UDcgC9+Elug^cv?qSxvOJ90%!&~Xe&C6OA zY@hxVgXpHrARkQ2S19i6?gYs>LxfWE#WGA3JniBUuk!WZHAPBGJC2&}SXqfk>}Xn7 zJD8>mY9#Ir#Uk|zp2*B-)iQxzhT3r$49HOMCsampP4N}k$yd0pK~F^#sqiu;@=ZHZ z@QhZqVu~nj<%h5jhSA4vUhjrZdn;;9cAkltP1|pEy+7A3*{Y%d6`R5zHKaDa695qL ztf)9Sc-b%C8K;_&O{`pKbiY1_+=W(BXp|Chov zyJ&B${rS!Gr>&ehHHtAl{%KMjvA6<*WA%*k5^VdlQ*~t@yyR3hd>vgKF!;Dv+R9)Ip==77C%3)P!5=m zfM+Fiw6SEOgXY7jI%M>tg>#IEnlmmtNZmQ!6zvBC`i#(2r3BLWk0SqZOIc! zmTYKrvIPWY$Rpi?vFC-xOp_)GD(v{w=#8;6^3QWh;s-mlW)rlWqLcb85y5TGGV(3O z#sCK?@u@j!s=J7LKirkR>eTqrEgdAN>5z{gxl3oFlkWXxd?l^-S>p}5&aUYBIZe>b8+rL8`io01dCA48aUx-#$njMx z2X`hhsiK^x&#NM3lb&AG9#L*##57Fc)3C8ll5PfoaJGQaAE? zrY8K_Y_b|EAT+#=*wY(^@cZUG1;aE`QV$5mBklR!-c~O)@pg@pxxq%?)0R7lJdwpd z%Ydhfoka=<(`V>Yd{X2ae&^2Bnz$W9>y31DL^6M^tR2%pxXTQ@%=)5uO4}i0TG-w! z)uK+%R?5EuUa9%AGIRV)yRD}OV+~(tgU~d(x}pNwve1$#+z}!-jQIGiXS(g9X4A}2 zhu7KbjH5jEpWLy7g=W}EksJJ{&45t+9&JEhD}!frUf$7SX{WR4!6YR1yz{ECG)8$U67gQggHHwyFl)O{!Sk z({cFfxYBTstVZh&*KMn9>tTN8$G2#RE6!$yE(PtC61y5buC?*E0BO7d6JNgBd+ep~ z1mN+JC?-!Gr|BG10FADBaG{!%7*JnCON&fVp(al}W-`4QBJG$+Y-?9>@T|v~v=5)* z*rs3YzSWS*venpg-j}DQ(+7~U=PdxiV0hal$RV^RX3BAsaC{v*HvoOCle7Rj zWN4yAznfDMP1lryE!bbu1|OYBlo*H?r+MEoMBL}ZWFUq^C+jceR7r!@i@mXk{)&s` zIXafF@@srNFq#S@sU3z*slG?;H+9dsy3XVsifKsw<&> zp+VYk+2_{jjaXxM_rhqdXO*8FXDOdR(XeHUU*q$9aw|^PWe#m`x2H~3EhtOTj)>$0 ze_^VInRd4G5q4;4imCBF$1i`)q=W9jgMH^Nybv){%KPz61sa|I&{N@HW$bKpDrHa_ zljR~)b)AQYHJR#%mo$Fb3DN4w@6p6YF?d`%4g@APB@-ca(K^Y^XkrT(LDa9Apl0X} zrr1U)zI}|g3?oU6Df=Q+&xcHlm5T-;}ZtWTrd$`9 zn?ft3LW#2`(otuVcyn9P{5EqMEdF`bnRTv|d*n@FF=uc@@FiBx3nODl|@PiA$6 z+Rb&KhzGeC+n;!}W)&N)OM+k#EA}4VAUS-R@xm>cwxDL%R7kAC`l%?m2x#1jJU)bv)6dyZggPaeXr=Oa2>=!+V~(k!nknxxZxCPDqT)$E)`3f-7uczQhTF z*J{@AapEi{T042l${`f`ZEN$m$cJ3v!3?SbG&4EL9R780DIO&97 zf6);u!7OFTH#0X@BukOylgka1sUs7CEbWITcpg0mr?GV%sRn%w+1Evf9(e_;i|ozZ zSdZm17IO+~3J9#JWwEuromqe*82L@4RFVXn#Z-HtWn=l91r*gsUC_AG1R)=hp2P;z zLqoJG$+En|$hS>LSsa3S%3}b$N%=Z=0G1fk^`ZAG|fHnK9Te%fL(FN!u8&LVK%;xk$-m+NK~L zJk}nFc@!uC+5$B1yeXt6P7+T)NKs-Sm~^CH)yJ#l1FO|*zM z^(OO6T&M!tMGktlM^4JcmFJja%+nr!`tZzSm!~7-xy&zEXKV$FXkBhHwPl|rSA{z0 z?e0BA3*O%mQ{!gF?q{tHqK!_@&?PAfb-3Osr8%hbhN=%onX7}88{A+m>ea$)(u4&} zRyX4tqnc-_RoX6)s-zTQp9{?=ahIg1&YtwqL<)IZ#KsQNiHDibYp#Y?<A zqe7TS+u)tep%(o)LH1jV=ddn}{}Ab?U$3GQwwi5qG4x4 z69gDn?=T3>Nd_<+Xs9=ym~hsrBqZe;=>`g#HzNDXh8@wx6bX&=%4@7=rpfDx=NCF| z-bJgEtYA1yQx~7=T2zM0o1}t1EcC-;UC_m>2v7Be>fdfk#yzuVor!FEOQw1s#GMkg-$HA<6*AD#k)Uxb{2(yYv^7^}`>+ z1Oc?}F~S^c8}T9G>Um)G%F(`$*19*;vMg4WOpG%APJ_*!IH}kLI_uql(rJ;20ppDr zE~o21e}hExAdyd!>#J)7U4!o^MYbz>oKnii4>k|Y+#hfV-!hr1j~DZ)zTK{Kj$=!e z^>$u|uuezT#?jiTU%#!?Q9c1(cM4DjFHwmM)Z-b3^9`<0v)SfFtC0+_p+=aBMrL33 zc~-Pq@;Do6ETB|;3HaMaSFfXiB=c+z2#C8T&IVh(* zGK_qc8h!Ruj8M3$6IM7GHn1w>_`TmdE5u zPW)`~L+Y&StR;ixt8&T|7tgzw1dp;YBr8at88=NEHGQ6dLS1)x#62nB%f#1avthWrdH!Q z4SakmNwil2I(iqwbMc|{5tMF&)4mnhFLAVn(d7NVn*VpJKuXoTWytv^b%$jeM$8k! zceaAh6PsVNE?|6rLii-M?lo&I#`kvwPX_p&O)G+L-jma8@qSF=z6*a%Ya3UD zCim*p5q;-?i&wnjJmxvUyGU55t5KwqB*QE~jMMznD6(HOyRXb)Y4 zbOv^%E#shPl}xc##YO^pdf4tIST9!0flp_?*K{Q(yQeE0^JQY*dyoIE;rZ?GmD^wjpzO zeS_ToMQ^o)D?%bFCe~d-N(K>8N?pR%6*ot?5Z5RkI7pcqF?X|=6Pe>*wMF6FE$E|e zKDE7Ma6?!46;}c%sGq)n=>2M7q@w|`x2{viqy$Hq3p5j1vzWOddi$@T3*~;%*2VlK zpQJ2GQ^X_kvAf8PkD^OG`{<=pUhLq_OU^GASFv+FrYFeb*`X2h@!s6r(2ZAir*^M#ZSRG{MNi2J1Wy$sj4Rx8d(h$t9uaS`3PPR zk9ukmP46-i3ud5kq1XI2`Uz-8@U7p3?uIxmF4@zgJdaSNna_**S<9fxru!z7Rbt%^ zp=o0COvg{^yLS?%t(llLm%-CNAkMM3izUO$SLtgYy+Q2r`^U&xo@r72njBIgB6~?7 z+|T8+#awRQp*AuVivMh)>;jhOfGoASu!Ka>)g{h2;dZ+lwHdZCx1M8OcR$yV*&$~; z+88>l$i;g&Wa>f8y~*L(VXCm$W6zZXOOgGmn#4$wxqb4jVEd1u={7}qpM_L1yUizw zPZKQ78W1BhmnNK&d5vzFJ+#N+lk{i=hJ;fa8m}^~yn`=dp{IL_in_#yX#l69E=0SD z*Qd2j>5qlhq0}8%^?^U{&Z|%9%&8(=!k_?qdp<;O?TrF80|ATY3Qhfu?-ziOf#WN;<^2l%5nA(@g=8;<6bz>)EEy`B?3=dZznMkp zo9f&kb?y*lsP44sJsS3)qd1S^@Q>&9Y+2H{9eeuR=n@{oL`+y`H(LY$Tv2+@**x4+4tw+PvQeH3p$X6An)$5z=Wg`*nd_Y{a@g2Lp z{f_2beq(fPeLawH_rOthS%WY)z9Ot9)x);WzGPOdhT73w|V42(!!*eXV35?^q-b-rn}wZc=E`-rxOhE9=EuAcf;@` z)#u&9N_Mx$8-c5_K2x%utUMAGnS&-uW-Kv827aFqwWb#sTBa2{BJHCwIDhIG8# zoEeV~F%Kn)(18%We$Ld4qbghkUM3YNULeJzHs;5TP)E`c_q~K9Mm@)GCXRm2+dQQF z?hP0nFVln{5JB2}&MKV>jwX$&lAw;@gCxcl=YnI^jMVDbS>lXb*sz+BxmYTU&0K2l zBETlBamFrmSo~FH#C?2_iKya2@CR0>;sq-_I%t&{z6wRNk(`u?3oBMlRWnTlHAFDF zI0HP!>QuV$mbA&Fh9E)$Ssngduo=SoM6-^Hw6=0V2QLY3u7E|4n8)kWfJmr$iZ_E< zmVkAVcu6G-ba+YS3$l1gr3)ZZ2E)2U(tPDQebRibI$Kt07ETTlFF4>$mMzGT=Bw9Tuq^4-5wb|#LX?roM1iQ!4H--w@uliY9xK4;NFDE_Y+;OaRlUxFwJi_apyo-^ zydL$Ozj-}o!v@k#*e40;Ch7BsgyE^+HY>(FM~U#~+|7!S&jHOnub)dnn|mUjlQj2) zZzw{-aQp6&x9AbLh${-Lkg6*}tlx+$dMpy-BN99&_yUwQFdGb2JH>0XLW|I>8dN)_ zX*OmF%m=e!85)fd^}T`wu?D7sNh2x|^VkuURnbGej)=eFFYW!My}tzbOMt(Wg(7Hg z)?c*vtF-t_i+^eHFG>9+slU|vms@{1DncH&EM>Igk@I8t(IAxME~Md}X0m3Z2sLhTaaJ%_?LcUb%5FNH3eu zbRA&%jVEm*j@36m>Nk9hlXhPy;Qy{Yh9nYXXsPU*?Jk8ri=lh< z*hs%X?)p(^>mtdgWhl#JIHngdQ=HF9xbz-loXLUt_+Wv_jnnLF@g)xbv^Yiku_!uP-usJPhu3 z)4b#u^E9RdmP-s`Mf0~@dnkJ8DingY0($&v3a`T;>wUvHMIFA+trJ9k-%FEgx1h%> z`HK4E9_E{`i6czvZf#W0EaGbgWAe%9>B6ZzZ)1Q3{vBuW%+y~eW+Xh8=XqYeqnDC9 zY?^3RfTqX_K3SvVeYmn+5~-T=Zca>>EuYh*$fFi_?L<1ythnnHXf$}GRT%uvJy}B@ z>>^wb?Dhb1UmH6uzoL!Sht>X89c1nr8yDm0G!(daV#QtFAqm>`B;dEzd*L;I)CjP6 z;`qVJa_@sxPEe*TG5N}gg`|Sh66KgiQ;$XF1oYH?O?9ljW@1asVL))NUNT`S`?x*7 zb45VWR`SC81mbh?Y3cSzIp58_kcK{*G48{}1cmL>Moh1k_Mn%ps{SmKl2V2uPcvl# z{AhX$R^N{D8Npm-M_}~9)8#=%5*oSn!5^U)8i8kyFWsPB*9z`Sl8;~M?spyxF50cz zCEwcbJo@mRf>?!^CPv9FFb}h8zqaG4G!}HA4=?`@(}cyZN3qX2XreEaOwTh423Vyj z-wfUSDY-d(*d%>}{wn_u?Sw@DSu6`$g!CWXyN6H0VYps+-YQIrXG97mZmh&AiaZ~= zdn!E!a=%)lM;GH2-NDRf@3yG1Z2P1`e_G%TnH&X(;fE#CG2jpnbN?ai!-Cus^^rwE zpI|w>yjqKY9No~hU;8m!Z*KVz^+aN|t-kft`7P$wwUcervFF7Xwu_Q|Y6Tl%;H7tQ z&4ah{ZhU4%^L2)}c9e~<@?~%z%lBDMxz#1)lP~MpiMMzj0X)3-oG{uro$CR9hj{D6 zSBR;&qFHF_(i;EIcWtKgAizpS>e3iL^rvKJaUqA0PJ_-%rG}-i-y>u*+IScRV3^%o zsF)@`B5w4_1#6Hb4&t+TwZ;Go>&)4+VhMAW7jt`vS}OC4A*U+RYz zU1_3oc!?j@dAmricNkrUd=hzy7LjtwdeUm;>%5yzlyKJk5P{Y|%0@1uA+Ui4l_HB* zuUG0Wu9RNjlR;e(qy7z@AZnI~?&q%_;#I%K64T&&aqFDLLF7Sx&mq3Ph}3^(u>?oTxe(g9YW%6Vrf8Rec{hE*BTg@}SNgp@6Dh;tX(Y2fg}N`F{b*d9 zFtK72x{6O2v`5U2IXBEy8@PMa4kgOqc&zX;<={(`LF)<&^Vi6$U`V(mv~H+#AopA= zK|}s!;*$h(0uiMb$Q9(eF(XWJK{BzP#UZGPOk$Tn>eRCs*H&%fy{N2e-FZBS>`$>; zQ~1Lq?z*q9R#KQ>h5(ObyuJ-b)$-diQ9GTNgt@D7H~Qq-u4+EELEJWF>I;FN{JjL} zBD|Wbznt<%4#;APzG}uYgW7iorUSg(Hk1S0VnqJzzyie|@E`ex&lFgG@qXFSv}(yt zW1}dU@tkI#?I?FfVusY&RgHsUppGo~1#7`@+;H%WpC1Ed!hxS320sBvb;a0S+ALXMQTy=`CKkCa7TaX|13opzdbg{-?e4G zm60-5a#$a8$LH!s))2kQlN+D_-e^<&nCQh$CvzE{3_i;{y9^?HIIPQj3~QzR$pPy9 zQ0q=eFeoQ{alBO!2yKb~RmXPP8Ym_7z|xlXvTfBLc8Iz9 z``Ayh-ByNYWa^e}-4YS8bfpa~3o0kcu;h@zgDnb%{UHdqHZ*jWR9ae?q8&QH z-y@`m+ivSL-3411sSog??@7$EW=u(QPV1@sUZJI>R9wca`hZUGn?^9hn%TD3@Klzt z@78!}Z&av_271M*9v02*v?G!d9C}1m)ORMA&h&tG1K`;+Orh7lcom*s1nw=t{%|Dc zdLM3K7{{4acun}B7()404Id#l2KVM<(p;q0er?4>JR+D%Sm*dnMcey6Zb2;d%k*8~ znxM85C^tT~(_9{NQgv?f{Lilpmx@U8^w}=sY9zMzJrn^fT3J1U8;zC)S7&!>5&YUG37q(U1hBiW*l6ST-k4Td<-!DJ z(g;>(T|*^GHa5o`atPQO0Tl66#+>zc@;tXA%1{xaj1GzKrsP#9W zuoQe?K7U%xGlJ4pzM`XTJ(kVYo_a$scfrxRi+05cEcg68buLV2s?oY%?L8w{O!;z4 zR}zzM8tpY<^$lX-fIVV%i%w*c_u{qHSNjN4d05C*U2=&pWye!>i+-GQK^vr%kt}#e z1nNXVr>@`HlIkn4{sQaSGR0@9EXTdOKlOIOt%8h?3sU$PxeM^xoyFq~B!vj!Zgr`? z)fTe)vSi6d`X%41JrkTmMWA(uf65OZ9q2XbrA!(V5J`!v9k}KO5ci~drtPB-hu{1e zjuCm~rY37B%M1uc2jhJz%qmOhnjPHA7=_{}OawZV_tsHY*GJBB+D))p$4ePI6EsW3 zJYCrS=>n`2$};%aWHC(@Av?pH*9Xrzqdwq!)J>Nx@GULXR}ezkHNvVy?ij_NqjhyP zOJs8(d!T`7CBv&NrKZTN&oL`FTUU`J4z=b!FfTKVGSXa6;t$5fwR6)fb8=}8@`G}% zsXsk*m#zRJRz5v}WNn&VG;>mQ_I zaYy+)boGb!$}1_Ou+Xb+-y*=Hl#|$EQo~POO@J|Vz@$L%rhJ(zzvF5NgHG~vwE{uA z&f(9tL82eZ#O3$MiMFQPnI561sfAF5kZ8JF$@QH0?TC=+cqqaltvGIq-XIe2=r!Z+i4J=G3%BBC9N5T%>NNR2Qxj zOtQEY)-;|bsZyWm9g5Y?)BIpdqoAFoJzGiorab&YW`_>(C5!Y;WzaJ{zz@uBT$J#$(Lg>Gxq@Sz2LWsPYNwQe_dG7W0ndo~YgAv$NFeRa)fM znUH9;tsjDQmZjZfUq42M-t1bhHSQaJD^|M?&r&3t=C5k^;#1Sx5dH;Ec&hK}`Mcl<87_W9-gD|WRRDM)B79f%)>sqm@yT~#SOQR1y1jbv1RjOvNQ zq0}QH@bxKiur#^%(<(onbs}EX75$s}vBFG{nSvN`$8g)d&4G2mN6!#j=w-@k`{?=` zyE*-?I{P-_AFw#7?rH6svq{cTf1khsp!h=j#TvFvKp}k{^KP4aYDn#(fCiv;|JCaqtC5=SNAcM(!|_jRP(Zc2`{K{c=o1-AX+Y8N?`N|Yg2#!Mm-4~Eii!%%XQ^g- zO*kC@(E8x@r9U3QCLnhQB z-`h(Q%qyJm_=EA=*P50OiNfpKv`;h|I`O|IgJq1A*ptFe=Cez&q23|9nt9Qf?b;_2 zHow3OKa!0tk63@t^ z3@*ZG&vIUOP6>g1D?o3Ta$2`c2`TtiQ0K0g1l5()z<+QZ39J7t|3-${`-jnZv^l4l zU+V9d4IzZ)=VW!8<9in>lu1yGpoS) z%g^T5RrbBh=qvV}9{B4#)?b))b9E`d3%{)qw?A`UFG%?v{cVk?{m*O{N}Kl0EmB_d zLY9TZY29EXq3O+9O2AClZ78r;=7{bsjI z(U1t)li|iT=5vLE&AF5xD&0lVq0e%E$?yE0?gC_dZ7H_>vT_G?9{g(7$pHdWG&KM^AzMzi@qb3RaYg}jAZkXsM=duZK?t{Q)RmzHrt|Z*qo0DhW0i-~mT-m9W-;sL_*Qy0 z5(y1h@l=@@R-=7(L>rOuNMh}1)8tQbY{OJ=OprpP!T@V;DufRIh6}<7VHBA`?9gJ~ zNCwNI-84gyqtSOD}(VQ>S%| zk*_*TQ$f@!4+godSmyzoAD6Z)ac)AKZSc;&Af7>K&gGnKBz6Koy?8r6Duet|pmAW( zh?3sPjzZ0}K1&D526H7h9qS*C=G^Wr&sEi_=>hW*CBr)ZkzUoh=9EhIHb;e>ukZz& zkzT{PQr16Y%}IU9*ZYnGO&&>+caE1x{FFbbU~ZKMIYO3sOLcE!8|3tIF3b6cv#S2B?+rHw%TU8bYjbRD^$1K8eBz8#!$M zLf#msaqb$85q5sKjDvlPn4f#mt_s_pT!N0#?IuU67CK4^g^(yxJEi_Sz^ zG7}fW3V$n*Ja-Neac|gcZ|>7Qm*2UPe^W$hx1n$fh~rCq2* z(@WP`^EXkO`oXt?p>%8Oer}@QM{2#gbPG<8^Y^-!=Gj?qQ*J|}!W82z709y;5o480 zyC&y&I7Rgk%lX=|`-t06SXhs#dzbdOIv7$z|7A#dN(#CC^!u4xZ7g%}t70ZQt{agB zb~J@vqvU6e!wrp0D*HE)!71PVq$NRr@5k7R_Z+Z1-omq0fZE1Fi z`i}Jb#w?Dx0*>RgY+H5)FJ83sk|?pBb|~0(|nNWkv!2lLk6@90u!rSmF* z9mD0%)1Tv5&NexiN3_i2OkIW$KyeGNv#P++ik5mv2#D}1-1zmvd**8UKYFM);f_W> zYT1ZKhUB82tAQ6Z&bXa2a5rstZ>IB(8NXiDYG8$l2H>P!0-BSO$B#i zKSyMNcgYx_B-MX4W`au_k=4|$rz&QIlGj%MVD^y8g4*`-D!n7{F)Ba{!iGjNBfy8Nl=A z*y3U6@c+{kayv4m`mM%2XYko={PR74 zAKaY*m5|f`kGN=E13q^9YKzLmukE%s+3#t7Ztws|NlG@qZ525^>ZF)wwyVtN;V-z` z3AD6Tn2lHzXYfp8;9#~xXDTaJB5UhAW})a^OEOQ+xpwnUO~NYG3g)5FpB4FNIE#jt zDh}9rE6z-r(nL!6)>nW=bM{Opm_|Ckj3WIKiZ|Fmqlaa6h-P>-=2y>@oMA*jbD_M?qEDhjibT)Jf26yAHUdEJH`uPE2oVvi{4AgeXXfn{Y;Bhw9w%|M9#V@b>U35r%8qq9G_)7_4rDp48_G0!4{$iw5M`SD2V9&A8rsQbv)k#Hft(5| z+J>gHY3Y}CoC@mNhGw&K>6gBo3ToO@rn9INKcp7cmF@8ywCe;HrWQ7o0eB9gb%Nif z+SHakO}`}P>^9YYY%)8RaY?}0ZLAG#FrKZ+xTNFkHrH-2nLWz5#Nq4)X?qyY=4D(` zb9S3)dzj3A$+#ru>^9Nhs6 zv-_Dgv(ap~PKTj3v*~PX`sEAGFrYTG+3axor59(Is`fAbgEXDn^i;*VvMCY6^=gWqzq>4?JUic#;sQbT;?3@{ykgO|a9g2RuMYv)e+CE9or(}uhBYeRq z+Mmd5#|qg71p0}<=o(q+>01KLp#|*Hwc0jtTxf)g0P%apU?)HmTM!e z^i87+5ITGCZ`^Eifgp{e=z}cGTA@U>$1)NXAL^wTw7K z1{Wy61%A><(R{`7IMyNuxK;y$t~hW*I(!UAR(BK43wt_FI)gB*m56Q z?!gNUfyhQK&|@dKO%ugcst>4vgY8f{4PhYxYFLH^ueBqjD9E6GUE0W}xro+dVE48a zG6WVU+sAPjrjNw+hb#ID9c}}G1y1Bw%=$=fl)_Kw@B*c9fHN zBw@Ga6jucWh#v(Bx2S2X%m&_e{GG1~^9RVCSeEAPc~zAoHuxHqGU# zPAEY5?!iUL$^0_4O)I#nYYGtVxHo584rvHAanRZ^@XB-D*qaSLDFHhyhaI|cS10>` z?osB2+NMx_B$^z^68EO%J~Ryxo{La{`orIooHL$Nr|}%$6Rn@z6Fs15P z#V^oCQtBg3iVd;yW#@v<|1hQ;SLWqa0h3&!xvcYww0+m8{AzOR*eKse4vo{ z+Kz}ug`eSoyyb#479cn$)dh8dNPRBQm;{Jb4x}jyFhi}pJbiez1W3Xk&Nit&&&hU3 zora*wMIZ_g8L0I?j~aT(Gz5;mX=wq1YY%RY`tF~Gpw>4<4Q&h5%(RmWl30Lf#tqsH ziq%HS>LY_uff;uIo+sk4m15Y66ZfIh-dZa!8R)4#JZT2V=)!&27I}A@XNf$^MNoVP z76hg|gNh}{YE$^VFF=^&2YjtUCB4X@6A}rHYz>KwRC+BXO@65O0U;&A_EuoBKUjK0A=KsF=KWt#MGjgQWw=lE`j`u};= z=Z1_^e^i-##=qI14MY;BAzUOti_5T%^>ze3Y8TCM9CGO+QO6i})Gk83Gz&Zyg>_g{ z_{A0=u@W=t!~X`mpxO4mk0rE(D-$H1^(4e_@&6jzZ22xin4mO=UD0q;?M z^9D$dKh-?P*bM6@sB%Ln9jf|xL*ACWy!-XQm+sf>3?B)lKPQk&o27sJ0wZTgAh&|W z1ut;uAc5Nc@Kuy+GS{dJH{X0aq6r98a3r5sr^s;U9!2#xIt{d6fx-?^r$1Jd&pJnS zCs#FU5(-gHmK5`22BtqEyGBAjb5tjDRW}qMUg6#hZBn83sTpVAi5cf6nvI#x{+H&4 zT-22F;rpWs?rF8LsuXcU&i~d-J~JaHUxwgxxs#W>Nw6=Wiu6~Ah8CiHT^Kgol1m2t zjuLmMk$KI<=fpjFV|0-w7KC`9aCGmL#yQteBG$P|2iPB}|LPzxWIC?-R=P0PuzcINZV+duzEMrAcITyTA_DpzakbTmo$7I2lR9o#>UoklZY=A)`~FBi7XRe zIQ4cBAM(TZMEanSs7kCavLGBF+^2?;qJ0DT;Q*07c9azBE6oqj67DN42+tDjd*!%c zuje^U8z}RCQS}y3Z9H$da4DMNR$Pla1lQv31&SAU2vA&t6>IU}4#it2R)V_)D^?tW z7k4Xm^Y8C`-#s_yWOjDudFGv+cXoG@v->`TIlEYtmSLDVp$XPupL0Tc)lP-&;A~8{ zJa%wLCf_h12%FI45R1|xOf@IeDHE<^2Nz}XjRl^*VuFs63Byn{ebCH?Q6@lWX5fC5 z2@kYtyRcnhWFekz;_C(gJY|KQh=ulOumi4(@8ZD zVr-b0petmzq)fiwfv2@>r)1+@Wx#GbL`64B{t;Fn6JcOA@YGQ4^lrQ>I}?tX z8#-Yd#_f$J83pL*BfB+Wy5+NjUu3}9b3!NV!fHIwIzj*)17x>g8KzrNJNV}eI7Ln< zw{4i42ij^6${NBHfG{u{cv_-%N;Te<&fD!Hf^0sHvWDm!Dq&y^@HAEJ)Y}eTl>tXo z8vwgob3$t@!fu3-%|}qyPOt*02;1h!ZvB~VrS0Gp8StpwP&ex^8ZWet7(nnc*{v;; zZzu4SQ|%P2JKojA*F7POyf%O$wTl%fM)(j0Jf%`QRUPm0;p?tJRP>{C?qCH95k5o$ zPbJh&jmNu;GvPitp(i$BYo2JU11MlPR$DIMX^0FO4tPocp5idw>e|6`)lPTEyIM2g z+_|AXz;1IfFoK((PQ%c0X%j34`vreg+QVGLkazd>i>sw`PcFZ&%c(_|Fsu3S6J7-uC zn1O}l2kRiCbaSG*-+Kbc=XnTWa)HTcDF?zlAiItM04s5ZO);>f*Rqx|NH^!G8>XSy zM5~KP0AOzxAi(4Tta?^EMrp(T(wr0&8-eUPdH{@EaJ!9x<&858RaxtI9V-c$p^8Fr{SM)MgL>9{#1J5y;fVl@lm;RO z@?ajqjp&|4_IWA;i`xg?4saUEcAPUz2w^Zr{Z5|%B2>@1hG4xG+zwFIT7{K9ASTMv zJcI_p63af9LX>rvJ|L!>*a9RUF*+pK=OYX(C$_r5xhUHb&M>!>f@K6r5&#QWfJiY( zH^-^pc@jXD79gDnry;0_1Eg|>Ng-gvr4Q_g^^d7D42(F!v9BKyo;tSBeT6VFSo#rR zPbymn;S(gm5@rCb-5EB+z;aW|if~$BqIzZ?%C@XC%zCvmFhf0a^gcb|2WyJo^SQR5 z4d02mmMTDMwjX0Y1{L^ zX7m0v%l%)o^8Z*Jeg9bL|26ySAIbbblGA^r+)yM2Kg9Im(scK zh#gI&=rdAUjx$mo>jT$AVaOZWPgpOIBe~hY(XKtYl7YCSb@sP7yk4!ROVLqoFE^s2 zZdSudb>8CsLN8x3D??iEEQmt6RZh-$T{DE_n8a$*Mt>%_0v$qv4sk8%c0GSr@>!;5 zG}@vyvHp8awD+w0Rr57CXz{&J2oXj|Kte{debhX*50)L}apDc#BHZ@$IJ7OmsC^`{ z>06vF+R5rrYr<>*`T21bwmI6#(@;RjY=G$b_|yP<_zmq=uFDmd;%ay!5)3Xu=p&l@cxRwK)ChzA}tM1Ba6mHLQ}+y7WF zYPwMkbAKy_)?{!t zyU5i0;U@kX@MtO}Sxqt}kAcTm{b3)`KA%CAIyttx4h}N5y|k6u)Gc98Mn!V=yQH0d z1S!l=nd?(uNU38)ZSwPEo5@ZoDSlIO5x3ZHe1r38oU{5`>IGT0yHAI_@21!T$WkGJ z?QyA;7T>^Ib6M$2TUU`eQ*^;VK|Kw|{y^q3^4L(mul**Gv9*HXbMLxOST(b96nujr z(^%rBTU`2i`2uIby~H;Ok)n#JPg8}gqkUeYp2y}&v7{q@b18PYP9a>bZBA69zH5RRKIsXiq1ar zUi_M-&tgImS5%_rl%z z873}=Yqunvhg(INP8^v!(S4!YCWLeJ8oR2uD#4+`rbGC#5oatC#!w?DPDu?Qzfj=# z5(0i=wbBV=G0m)_vmsRBR%_{&Uj6xMHypPC;C@iqNN9jTfe(XN`aF zDQ~lR3D39{MSC*bk)@lEyU4Ql-ntLnk?DY~>HY+VXcb%6#X8cl-_pF5yv3gh&+lr4 z+nu7_5UUlYso~?Nl{YpuR zU>oPmf%$#fZJvSTQL0t!OzGrpwm|(sk_Hs%>;9o5M7Y~6$u@uN3eaC!G|lKHhYo=` zQ4MvtD}+F2m|A8uklmfn+GgeuX27PlnG|G~II^JaiDlxANz^%7fjCRfr}H{3v&vIO z7)A7X3r0;rn=}hICu8(^opv5~2{(-5%lVY9U||~_3pdaP6-E(z&Zpxl?I*%gV+^}v zaU+EtWSt|5DBsv>z+upLUP>fyFzaKHO4lh%!H_a|J_C;Jl}b)cD$uPynmxec}@%J?(4fb{qvf*EeV&`E4Jr(9bZU2So=kp%1DQ|H6SN^zT^;9X&V(T8nE=vbQ}KS z$Nfy+DSr1&!?$ntY>bv3iaCmGy>x$-vn-=&UMveinMcGu`p z^$qK>5@Gc>LX(7}>I4=p%O3Rul(~S`1HxEihaUA4lsRzgfoH4}-#SdJ8+-BiZ|ugR z8kDS~<0rIm$#hL;*&j^kT3S=a>3ZuuhkNNUFWe?8IuMdW1@>KF%w>3C^Fm7@Ntd*E z$mag*v|0q?*SH#5G#a-}7kSkx>|KA9^UCpGGG)lm!cHpt4khqjqPLGy0A%Mdk$V34 zuew;m(=}N%d*IV;A1>lm7Sd`pa+vQkk7t`=Q+&FEfbXc5R!PmTQue0SC!M`UKRDo8 z`~%xMt1RF`lyyCS-MD0TR%V8EL;jkmkVwWP)edE9WYi8tr*hra(0{DJPO|yxOYyEc z$}*S7{&JZ(Z6bKpR+2VxRUqvw)ToPo+A(6hcq(df>!=OC zfh6RV^UBK#pH-9at7ZlwnZQW8`~<7}@&(Mx0SiajW8Vo!N!_MMwQ1hFes86n$E&nf zo6k#{@2n(MZld_tzZN<(h%LoSBg8_*{WwMUDEc#M85|CM;=191UPA)*s~s&Y&VA`h zc?{D4z4>*{AO0}j)LlpZEtoKHX&L(+?LX2Em^AlrL)NBg#!Wp>SPxY*Z|3&)^7Jqd zcamz(KdyYO-y1FP?mf6!$^vie2`v)o{6!DkyP|T%HI>Ekl|meg>NvfenaIdnel2RH zm;wbzHJD)b@06ij_?C18i!8M@Ee!FSO55PFrgz2ksHyw>!^Vm(weu-8J#OJ@I~J#w zowKWtTVq?{xmM3F18T<)LrI=$+g?!ga(SGTDEzLsei=wSCe+oh_l`fc&FUE3MpCFy zeN7XUVe+gF_#xi&mQRP;fmJ|}(+i@e;Bo_65C%Kbu+gZr{uRB%ozOkTckI?)!_e<8 z-kyxGgNQG70Trlwp&gJ+O&b#j{~uNTRp!@(1Cw=YU8IS~`@fjmm)3E-p`S025~-`& zssg3Mr_TDug5DqU%##AK2t;qz2f8bUg@v1$?KKoh2lH$<=dD0l<*Okm+q4za3?laB zy5Q=Zs-|REW7aZ_<3yQ2H7oyeS!FQi0A}JP`c<~ZBTTPTfBIA9#aK~ry zijVr`!HWH7#wFK=c{z%Ize$e+FAY0#G{M{zOtSedJhO#{n~r+TueM(H%yN;CLSJpm zz#4ydHBR<5YHW(CY_lbQ#+XxR9_kX%r>3A#Rm?7Z-5x(!j{GF-N4YMlL2U;o=T0bV z(cl5O@a>6eZ;BeudSwKXu8ZnPj&^xL1ARv!h($M}>h`>blqq92ttPFt9gGmm8mu^^ z$TJiVRLBZ-u2<3LAR(;t+_FSokn^JtKlC-1Vp>4mXO?610MNB6aq%XqCo@knEm<;% zbm(J3)*bf3G2jMa^~@4y($saJo%xYBlTF;_1g zL!KB5Tz>JP&eh`S(%voZx4el*+QV#HuVlPCO)O}uAnasRAcdS-_Zk&Jux6g(5H)0% zY-nfXg3Q{EyygY+Lhn9G`&+ZOL)57xq(iS^n27RPMZ-PxMj?{n&ln4v=k&-6mVUg& zV}jXf+bwm>d%voK;3(s~E82hJC(23A2>bx7S0a8fg)=4RIts+&ah@yf1<9N#>G*Z& zTQ+EQ+vgr=3x4jl69%*3Ym8w!nd=rxzChA&(#GeFfApmHrWHn%LB7D| zM@)BbYGy-Qm3|;*nq(JJrT$qnu1?yO76OK0qrnuKlL}9`;||F$veDQKQQD zB&d7agIkw1Omw%s!w<;);*Kj*y@N(wfUGXx+tReY4m)8J9c+2kCP2jZ$QQ6nCs_FR z4z{l%IAqP-XvI_{Rm{=ttxW~$DAZ55>|ugK6c`J1=V)N$1qDAV=V|{2P0cR#QV}^_ z?}k8rx|D512*2zt^)JkJG#`Ecdi&P#!?LaNhVfulTUHp`w0ES)+qLJl4QJ@Z;H(bH zxWm_PGVLN%ymJC6=_nX!>}bWzBv(b3|F|Wt3_vgOrvc}H2#1Eiu+TlZJ2|!yH{U-V z$$Z1UM9OZJf6nUq_f&;WwzaVV|7G!PWH8}_M9y8d>k?{^QPxC@tWg~V?)AB zas=LPxJr4ScWaY6tR zm=~B=0gN8H*uIE4kh=>EKR`W4y`C5ccC~k$2`2o+b`5&}iW|bs(65oq%}*4N6sY~J z=kxaGm;>uO%kYEOexD!fZ?JxhW%jr@e@Y)pPqZw9rIW_Czw3bT?yF4?{Tv*{i8$oD znH!qJtNU~=fL7h;#56HHzR_K}2uKh=;iG@T{<`+0VWMm+&6(N(fD5xF);Ns|lQ|qm^cFXMfLf z6ezu)S8Ez-!Yd^{=R_+t-ZuUoUUKch3YD@=WL9e$Zo)53J$FGXUD{sy-dH7MMuwZn z3_WD;o&Er-$NBYjR16XFB2)dGDw6L6a$?Qlbv!wAUDvwHb;l+1)2L(Qt#?zP&x4;8 z*!;^kDKT-*FG$b$)9;2TSsW6IL*C3rD+n#nlKh{{LtydR8}o$msn4}tQBbX^}V)O zWIUl)qu4e@D_uEvedJA9{2Z_)(dU)Y1|Ed_=Lgbk#>@ok(moWYd3Od%6${S~%XlB_eeQ!YDMhEl{pNFHxMr}n|p7c|v(J)n) z!Eh-|Xp(3w#HoE_SC|uE2?Vjz+ltsk3Bw7Qefd`*ILpn0rPuAm^9;4pV)@bkyf zLGP~(kLSTMwT7#11-?4LQ}2Xy7M~YIaBo>E8*w|AY*SV)CHEGH2;QP@`J)me$(p{! zm-EL@Tn$L2pMSBZ{?&kWDw{S810#aI{U)Aet$l7{{N}lgLzX~l67wt1U$Kb=aS_j* zoSR$`VC#ZKdJB8wo|0F3>7J{X4_MGsb+~S)?3hztUHQneA**Q5GNFa}VD-8(KsP&H z@5V9fSog-JzDZ&spY4A3vBLC=!HrYPt->Rd69aBJx=yynJ6!uL>UX%dgv0HI$Hh7~ z-bxaX#eVCn#L~~b`|IBV#EPhuT}0(2iX8mkNo0+!UfXmKwQ8CkNq*nry#l{?BmvG? zWpTw<4L;wFN+gP?96Hzvs;+mBR=F*5X_hnV_=p%w6fSHlUv1HFe2U_uL3UWl(a|jN z1{z3|?Cmu8i!ODh+{4yK(Or#%>}G%!E29Pz_AMe+d1E_fTgT{VihR%5+~4ax_nT+N zUsvt&=jIwr*mW4K1@-LMUxWRc3(S;`95Vf-r>EtwEEhfM>A#oF+;FAOs8*;ORHXB( zb62IG2znhIz26ypF?H=N-=4hKPkt3!`nhU#G*@ZLbJXX+i&n&3EYF+uL zmGS1g19np@`SC{q@s#ZZJ9}TBxqB1r3EMjm0rt)FdKA@e-Gem77%sTwuf&$4?^B*w z?`5Q-DRx3Lx!DjRJhdsdCL%X_-b_!P=OrM%>*&imqL^jxJ2`uLY`U<`kzZ1@u4mBe zR2^whN3p4HAUjtt>LJid-RUFHb|1Rdc-ZsgX3*OzL^W6MbX#1tu2~%^ROfVCRkgmN zIqPaW{fmigw@cuP9E|%RTf4nfB&4QlP8X3k48U1FuU$JDwl#FECpK}rKukAzlQCDP z3yaTPZdS)nzwyc+e}DS^b`kVy^)b1w$^s(z>{>hWHG4g6U8tBvW14kZecC5>`XQ`2 zt66kEbBB0mwp@3#Y;2dPtfai;TM6a9NZM?gSGk+vF^fV!xPr(4#!9Sxqc5qYP_SA` zsU2V3;UZwf89V*AL14iM$;mh>9Y|Vh zB;LCFf4jVeAs$oTxFpgv*L*lM?5_{Cvzj54Ao1mr37Wi+%9CQ#s3|hDY3HG-c`~E% zk5gzLIrut9*1t!!k-KF|>g9QIyxOkCee*OGK(Q|-DJl6BJ6#s5iA;033mo1h%m~unBPd!ui;Ci>BpYw$J zn18|j$-n8D^|?M42ww`F1u4SKP!9(w;0 zmt~wbp&+`zekLwV6wOPzUHs1o?HAymcfY>VUeZufnean}_r1Fx!_kY(Xxi9)6E?7F zBO@Xr3c=MBQ%qka_WkIbWD^q-mnya8J7z#v0OB)!iV7;d%PKCdxvC+>a&jY{OTY}V zj8oKa*%gtGpg&qOLb71Y-ZR42lxd{;7+29h94vs^HJD3eX+^@lpCi%%nhGo2fpWJj zGh)4(5&8N#_}%z1`1UlD97V=*nlq~Og7VJNk6^2>0bipwzHm?&zNve?Z;?c!sG%4n ze6F(P)la4;Qfc;1c80KMN0IYg&g9QOKmI7q%oeXjyT<=5{v$t`U(`YVsC!ux3oLy< zs>&}0Xky`H4pYuh!gUT$PB?{RKplGA0HxoqFOC_v9bwTMw75#a$ zWs?LvcoGW~({zy{r)&u0Fp+2x+j zBV}RQgVvup!&c#=)A@z_r{)6N+zIJ+9`{f18vf@4yOdec?#jTvn(q|Vws)0c`TLVC z4c`UVl0+AR?;no|jTfT=r-Uk=qs(JF@4xQ3dW)Y(kaAIKURg5AddFnT5H za6j^XmkPkDRR869U1xP@RBdusjX_gP03y1r(wq`sP3 zcT29_vzre1OmzGuZFMJ<0tP%*?|E~;JEWIE zYF3FNIFB@&!pjt&VSI4j1o<5+t3>JqHD1;?ZU?->Ok6l*m4l3`&c4|tgWIb`&Da43 zxaw&|rjDy~n-|Qyj&!}?OVSm^oDG`@>N2S~;&dkojb|{g`c~0%rQgpRl$nE-Ckp9*#GuPe==B5R6b!HIcD>nL_97>+Vs_X$zQ(XGn;qd5Gx}E+XLPp7I#D> z)vLmO?+)svDDpMU%Uj7yQtm0M2aJtng|t8J!E&`4X(j&OXe`={M?54}6NGn4tV^gb zD5C?&48IRbL|Nu@I9<)}es~xe@|SoL$w7{C{^gli><7=!yrkSRnGDpt^pwCBF=`l@ zBJt<)XtU(<_yk$;u?xsCc z??2m;Jt$}z`Bu*u_IJUPjqyU65@z0M5z?5}a5KG~7m z_H9?dJoQUcmcQAB!DJhDdu5s68u47RvkCj$)x*w6axMSC-B^CVePgEkt0gP>FSFKP zq9N(D6|^y69c^+|#!Qw}n|xtxSDk(>qe^mr6@gwIzkaAro<;1`y`i7ruKS+1= zjFs->FIMVJAkNTz$K-jan;6+nt6Ai|p-qIjI@#Wwro!D3Wb&z-Z=mzjmn$09&4;<+ zz8CULU{rC&6lDKlMWi*@Oc!nD>-l%3=+$Xt(oC6T?^JD3ITIJUyZM-*nWNZdOc!C` z^gFs)8|k5UhNK>PuPYj@Yeh}d$_=ay%M&P(AI7mW+;1=qhe9zucvfX``sWgR&r-IP9*9Mk!}{gSn<4LYxR?b~*<*UQ95>jS(|nDp z!OVu+3Oe}BneP-`8OM^Bl*O<^l?|}9QG-g_BsBWkqnCMNS$@js>wSrIV_epsCuc&N z_SvuvcscGY%9`|(d0lO1AEepMy0gnLppPX= zHw(ek^6yCvyD*6FZO0(_>imfvo5a4cD>W2TyCa+(lf?P^iyH!y@zPku0QIPy{LeK1{0GK{`HMI$^u{88ANd5>3gbj~f3XXEZ zxM>)~fhvF|d^w_=ux@ZQe>#C*-h4w}YDc=!oEQM9udDd$M>?Sy^myW%ctUq227B89 zH#xfrAWpc7UtW|G;3kYDAzU9+((X&y5E(G(#BV|YtuqT+U+hUe6GfiG;aWIwf?k8T z{YWAYUKp&3VN|~{5jimf<-*zgg+3i%8FU9wn2;vJd)`79JH$!Qy4#O$7?wXkTY~98ZoRW-+1NrT)g5aX&_dAFgKKX) z^4zZzl;u_s_Jb<8yB&RQUJCy>2&(X8w=NkH&=7BOH>A@p=1u%WlTv1G>L(V`n zJ?&&XFI*W+E<(mY#c(4ujtC0uIr>uvC@<(c@*DU-Pva}f7eYAIE+3qrXCRlCxLxWY zPBc(_S9+7y0(+=3h$`F}l(H_0;uU(2QSGUP3}pr7hJiDR6V_YMcolWmTTt9Prr|B< zh;+RLl_V+~X$~k%;L2o@01pM#!~M~lLbI{w*nM%ADWM(RPU|~8R{%hGHu{`Y-%BBy zYICIkC@Kh9#<4f(<&8eZ#Kk92xQuTecNj&v$I5%G8*hxcI7U#oUS4{B54N748P_L@ zA`e&a2z*sfq-h&;QZ7DW(A8dZE^ZFEG5bRZs)9#;LhJn=c~9Fwy-W_pFWiRz?!m^T zdewyEYHhCwy#moh0+#JS#j-WME+G`e`WUWnmgj%Gg-)ywM2tl&p$JJjlP;5hHhXm7 zg1zck#>h>mt}?!S%juw$-u#HAS3<0TM9W|UkZ#X?mrM9P%LD(iH?(414DQ_(MA`iq zj4dP)cE17;;w)lb=7MJ8yLBB!X5-hQa-q6<_t8ToK=t$z@avwjxLYJwn&q4!BhdZ& zj$&HmJ({c8)<-BZC||Av*9Xs4ddmwc3;Ilt+d~y;Ogf8qjIo?t9t%=jf33(F0Tx4R z;&-H6c86*fzggGl;!N-k7XvhLw1_OTLQ^NXdvha=@gG^2$)K~7De&>0@3BwB$CS&9 ze?~yN>%aRz?VT^0BqI+V<)L1@xp2h!8(o3!Lv~!BkqR<^OD1H#@*#G$!kTMz0-5)L zOj~i9hSx({k(&C~k$ebU>xE(?&M@>~{&r!nW-5JGl_xn7W&R(kMO|QQ=%j?1>Wk)Z;J}fx9Q7bQe z`2V-T@L|BY!SW_tJ`-LB&X0ixH+UsmQID;~2kZ>s8ht(y^*y=qHJH0-K5W6*IbzET zw`3qeC=g&PEVg`iYYd_W?GjXW`}LTr`Mw^pHRsq|QN%R9qlgd#*}?;Ny1ZWspt8NSu=AZ_(c^ zWH>cbCbCX%(w!j3Sw`8-CiNfZrWRq_MkTG@zpuIGBv-tCdb>As?NvuLmW^Dgc?xP~ z8$|Y2DL^%^>QReON?IE7i-EyEqdt=q@uC2!;tl!xFpMova=%Ob(k$RyG{d`TWls7* zLpnV8mj*Semn@WPELRQ3amYnST z0iMffsiNTGw=mS~u^M>6fk}7|p zAHcJUaqsiBe94=XA*aS9NneUbL#P|$(D%_2jW;Djew1kk&ojoaFVGU{3{ac+UXFt) z4KJTSH3n?c=V6IjoBUq>8{+W;rx>q3{|%rea&6LW&b(Zpv@_FBJaYo$n3`ypK5t9( zGlANadHF*oooIOG-=(6iN@#+ca5$c-iO7McQ`LFP%@uszSy>_dD`&6dcl1Ml@ zquZ1q1GeX1w~Lf4MnsbAIMzNB)tcbz>goc&l^!-1Y&T@6WSS8vzvBqmj>tH&#pMmi zZ12}e^Nmqrg5ht+ypoeIBGAqR5$Hu%$_XH1s}UE8p0R0%mn*j^%+Q-LI}&xv76Dks$ z4F98iN$*b>6x|^wRU~!xnm2@035y<$@PxpPP&T@-PpmJX@4gSckH=E@|MQLlp;dHk zpRlDkZ)k>+8a)#s4Zd!4LQF*;za?0dH#|cb5kH>LGrGA?+)}bOjx$v%Hm?Bi#5M#KApNp8)G(}Rp8NTVaAtQe@C>=ToQzDM z_|p&kRk;`VqfA&ayg47fefg4_WJ-ofpo!lf4aQ@PA!#NHx3`{;OO1Av^D26+oq#y$ zn2iXjpk%$Shbot}{)CUwp?ynzVknz-l+s^zY)6xlr1|-x70@Py!P)QMa?t4`W`11X z>(ZZ*6{Q(W89yGLZU3%(5X=Y`%owx%-2Fw2CEOv~p)~_13n#__Sc7>Vz3wa?S+jFK zD>F^ctIiw5QMy@5Xt{wpbV)Ofi#Dx!2!FMt$D>CSK)1}34RW}Z`k!K{tDA6?h5L&a ztKu*JDVF|ESrrxI#in0;dc-#pALL|&kYo@V5@|hFF5y3M%6fq`Bb5JXPS(r3-25j< z`M-LyCQMPJ*bo1M*KcBLM*kC~M3j2~|3O&*(0`nN(E6#$a^wFYo7`@(`H=tRP@b^k z{>x#rWB>Rs_wo$e5&d5W5IEu{@dNCF|0=q;uumHQ(H5DpdHzY7rq_s&ku^yYk}YHZ zGfgBwzVJ_Yvq}67SsB5DZaAYu|=h_H`*8l&5hsZfQSaQp{x!O9|aalQAczUX9 zFS#zh#mntB6B{sb#wg*}W7AO#6Gzc5AxUMHorV!8S0&Om;>tU{|A0T=BdAvyEZ13* za3m{LK%?iSR=(KiZ99Ipkh!p6-U)s{2?6>>Cz`5s&SHjF|Z#>cr|Dj<&8OaAy>Iu_02^{F*s49?37DQ0?w+e$jO z8WA>c1v{rhR{4en(P`bA$zKu_>Wul%e60_sAI&V(vo|mL{g9R<-I zPdaig^R_BY4TQjkV|Zl=8l@ygOA?a4wTUIg1bnir`2k+(4zccp2ADM3E{?g`gVhf8 zo8A=%J8Ee+a_e~OAzVkxri*0#^vZJl9@Q&CZxp}b&qU5q(z7&aWOGbfQ>f!gds@>H zq8HBpd8ROCCI&KRjtHZpS*s6)#3kOF%Xqfq4U6ZrZQ_H?0hNlL+5ziwT6G;*ecQI% zpZ{dtt6Hi&CfbT@C8?dokK**G^S`dKK}eux(HN5X8i|k01>Lyw(;OBIGZ4A)l1(?d%RgJTZ8Hw*296l98Q_xX)|#FbRFjg$RNT|}CVx{@ z`&5pxL>W(@uk-~8#OSp!vQ69NNo1xzQiR%N~aq1n$3J zX)x5>=$yMgYd!*`ZIkx2v25--(aE(zv~2_bMn@jIJkmJ4QBwWYZzLaolY$o>LTwFO z46|)^!YOUMTuXyR1b_!ATgWKy9Qa9fgMMMoF~H&MC<*GUv>&aXR-7X+Qv^Mx^pl*` zn_Swn;_}UBT2R*_lGIOiB}S% zTW^Oy?3dT%o{X|2hAi#{nJoBpm6xM8&(pE^V>LJwlYUtNFWq2Qh!684t;!DOQ^Ri} zHB}w}u;F)$sld5l&k^SK6L?Ddn;6KT^gYDY_f$7xq`JOVoyIiu#^&^G^6xJ{{<6%b zb&uW&>1eOFm2rQd5a2BxQKFjk_hb;&L+d|?l#%KrjJL3+!fT`D;{>V=PKrhW&Hv;=8!iBDA;DVN0;T%T1CHSSaLO3>2Qq#w+-b=dRV{Mu}> zpo7G}2%Aonu-=oGYZ%sAlxO@p=TqVBCyRD|&^xV6&_y?uwxOv&**>%7q}d z3O!2YBe>GObFu-(9Om3!&9rj_Lo!ihFzU7b5 zEst)P_oVQYm!%V06wXXtW-s_RYwE4=2i_#6@BDiaNa=x=QbKZ0oovJLC`#}@%&c{hm?K#6;dfI%M7OC%4mlR^;I=584n zTW;f3ElRMv)TpDguYyM70KW@_0ZZ!0Y+E3n#-U=!7t@yaU6+%KYSY2C z=24c$MP;4W7mo1uo)IC8A{d_(wf6dh$|!UCvg_Z~nJhUboGAeH#S2A**!ur-d2S+< zAoEfAck4&24lMqYK1aPJ#U=V*+5c7y#OXM(q{Lv#{;TK1Jnx%h{$$PMrRI?|mu6$1 zeOIMA=aDpPh|G(R{8g<~ z9U%yzyN&^hy=<`26`K~3r3!iWTI^WuZY3baQ@Q*(&pY3De6&At;n z3|}jEe@(S$+b91^uMiI!5T1C66D}8xFe-!`x^)C+oQoS}t@sJ>N>P~izlL(GF~P##@!05B#ss+EV6oCxZK`UhU>QGd@X1YdH<{(o_b4dvakU zSPza^4|3tbjg{za<(qbRW<`Y$>S$&PLB*95$``6T=VeNf9!6K^>|g#0n$jd;1&dvs zi@Sz*C{AJm#dW+M4_70DhNljb-lUjP=k&S^IVB5&Sq%sd_gK_1OTK`;Thn%LtUV4c zbWI5l_*8$R-$IV|T8oNzIEL_KdSyyNm0Yea`zP7D8{H*W$6ZDp%-)Qf>go+N+WCqv zj5L4UkG$MdwT;K4lX4Jma?q$$Eg$7rfNUmvurZfmJRBbfPF!blJ)NS}*gZ6O$%{Fr zYtX!25U#O0n{q&{R~Q9{o~OBHig=8NvC8zB4vejvRQ<%wuBEH7^4niG))7MWQJiYR zak?S#(T8YK%-tJLa}4L zw^Ia7>>`}+^wSBybLJW2k?GC1e&cnzoznI+>Ujg|{^GU#BhC`M^kW~p8IvNm{&(q@ zT%dcqu%pLd`IombiD|D_5)VDbRM^KxA-C>NISo0VxNzcCea+ofXTRnN00mLR=mXkB zG7m6;KwXu{vQ$jv>i$;d^wUirXEisolnsJAXS$rd364#Z#=M4sxX^*P`=3W@rX-YX z7{FU5S86HGA}JT}eUVK1py%!QjJ8aj)911x{xUiEraKXsdj+oe>im^D_Xk+R z(Pveih_5kuqodZV`BNr%rp8dug{kh|?tHuGUwL_Ha=T~W2s+&FzwT`UWhL#o>8t`! z8`9sUWM*cL<7Un4Ce~SK_%3`MZD=TA-26nDz#s5Jwd9V)7OnC!i&ahtK|At>8#ESx}Nc*?cgD zIJ3|?SZTH#Y@tVCt5^tD=3zf?R%jia%v#}@xa^5<480b(FLhDAdVBBX%l3NmdUFtA z*$dUr?*V%L@RdqzDGFyiPk?V;C%KuA(@uF9YcWq!GxC?1Fm}yWTMz!8iNpA@Q3t-A5}pGb;ypIXzDo$)4bOi`&r7$(^3#R5GMcH)fF4a~|OTamO zGd7r6ozoyNGB0Z;;+AZ+E0+(hc}iozumS<3a+*J_y? zViL17C>1VUYRE5jXd3y5YMmA4&@1(}m$1Pt4M>1BvEmyci`42d>)DuG>kn#$mdYF$nX=(1Z`-3KjV9&t7f3| z(dcAi|APoqp(`h-a`H*I8r1UYLa!^=qI3DdrgBb_kk6l#PnGmxZNWZUn{({&4#C5b ze!QTQ^>p&jZ6C85TO1q6`I?ik_<+e(DyzoKs;ozf+xIh;d`xXBE8}P#f>cCUE?*K9 zy{zp|%S;i<$}FwY3_HEKCzEtm)+oLfTf)!ybcB>o(}i`TvC%hVd~ApWtFv21_M0Bd zZ`S!jWh&Pi6^2Gz+nDHhq4`=k`_KKp@7SZ?2d{*mvWg0Q)BPZv&ODw3ic`H!`QF~z z^y=uVeemNp3(Q%*&@{C#_Y+1D?w5sp8k-|q>gHryZwkCWns51VX9-tW;GGe1rt3Xz zW&1qhWNlXeL5hgUWBT&Wh?iHiqVhx6BvgGSU|&{NMrZuo5;m5of= zj#kzi0Z3+&sEH^QWMCx;hKO!Hk*N>#LslmqRMJRKeN9BA@`F+rw` z#>lpIP&)QZ%P%nkl67r7oRK1CaGlU(15X1ePj7x=A_G+9-D1)FQq`W{gnRhn(NNvG zaht@vs+rh>>hI<8-BUs38t~_z#DudDpNc%V@gGhw;=IC*4$`kKsuAcGN!c#YCrH@V zt}yB^BH-3`(K29b`>eexW)D)ri)MEvIk-3KNTk?2606|n?MyUYLHN7DSD24LF?XSN z$q2!~un-^#62{t{%Z1ppL@PLs7g=TmvKSc^*((ckDL^NNp3!OfO?0g?;7t#37B zpFN$Z4AonWZ<(9Bd+QAs30JfeBaEr6c_|RRdP21JOItL3=6!v8StU6sec%haw!r7o zYf0z>mW zzoHkWOYIKqou2l-&1eHTV9T>7r01xr&+DXsx%a}qEHOj zEqAxyN7++0M2hJ8!YLcxviO$2i5=QXUdfuEbr1~0Gdf8hWBvUMI*jH#YulB>I=fOk|UA73Q(LZSvEGg)PbQui;>8 z_SfQd`GPU;_tUxGtLbc0Oo6M>f*YY4o|x3OsMJUzC%Oz64Lk{)DKCDaqoJW1{Hgck zp^w_*Hii0E1T>kGybhr;Se3E!u|8ilT|HGkZ=&0v#nwOHNU$p_^>Zrd5_&0qdbfoj zO6Z?lu<%r`!zOt+MKnA!g!@3qD20{UT8jNHrXNc!q&tmZW_-FRIeel(*86UVm~jMS>S>q-TVWmE5kUYGmZ^QoTr5 zPuun=insggUD`I2DBh%^VY^+zxAe3=TT`GC#8!Rw{G-yR-II9P^CqQFlTf2}jzru( zV4m)}xPRUT8JxR>=4y)hp}Nb}xM`muCDdeNhyvRlhIh$H-aD9qGfW3=L-kM^(L z*qjqV8gkBuHXs|dA$n{=(LzsW`+8V$EHN}KEFLXf{M-S*xOhHF1k}wbuZPH_DU~YJ zQB#9m4<1y&eBbLR=gt^52;iD2;#+>>Dv#ne6@QAap##>XH{#~Z8QP1Q_mNN)kA2pl z-+%uhEU8a#f*W32Q#HffXfs7b4=%d)!J)H2sJfV{GT_GQn<}Pc8=(B7k%UE~0w`PT`d8edtB{R{2)(;HmG z(w)zbek{i-R-WBdF+RCAl1~5QWvnQ2W;ZP5I<>{`jTL3cJMeW&(A%HbR7PHT+oM>s zu;02-T-_xUMIzYPs_w$5n>#*9Ww`ftY(qc4QF_XMv7)}IOd{!p&-5?Yjz?wUaixh_ z-TNX}|4$Y3Cs7X(m5#6DU`FlWOw(){Zg{2H^0PmGJY7^?8Nkv-aEJDW2kcdu@k>D6 z8E5wl`v>~HsW@2FKa)6R%y3ufx$k{VKG?NSKG@5cLBJ@S-sE8nT_PuQP9y}w(|L3) z7@xmzhduG6s?wzD4yr% zySSrr?;;LseeeA8Vnvg$EA=A$)!63Y@vRe!kJnv@qm_(TH_J;!jq&k~C`3sw1Ex+Q zE5XC{V#4#r=@V2C+Hs9z_v}Z8ZEdVC78lv>`Ph|nO)^HQ!J(gB#UbCV*JE3qT?yBO z9!hk8bk|Geotq*8?bSSX-|x>VCHXjf)8iLS%iY?tb?2Wr@0~bY1~vqAbz9oul4up) z^ppAxd6$oPEpMqS$i5{I@rsByh&AEds*hioU^(PJ_heF>MnvWqf8tmA@|!8>o<+L^ zQfW4!uz{xO5gp^W**ewxD3{R5kBt+fh#VB2FclURw|x_-FE2e?lY*#W>$Q{7>5Cj6xXf@{x3lS6BkK26Jg>PYtB8!I6>Yz>jM5?FXR|2- zd}2Rjc7JF$9k#mT$sPKLA^8(7E_Y}s={l#mQU7 zlgC1va%uzN0R!P9$@o0XWPp+2KO^}6C#(O%NU$Y502w}_vSZAWU#TpSNsBR2LwfyG z*%4&TcZ%_@VZ@l&BE5K0#->>FhvU6#K|z277=KIIE}n9GjDOoG=#LL9 z03G@VES_e0jEB1iAo^dBSiZS}^N^km>Y&TDNQpRUk3&-PwIzcBF z0h_1UDdWuwGUiD^GD0U8_sz&mEEVYIC38NxF5C2cXJ9UzBR}C`U^YLxcQKNf?1s5_ z5uiL^=Nl2EraUks`CO)+b@PS9^Uh`RlWYd&6~U>U@4$&^crq&#=RwlP7bD%&hS=tB z@jDGeY0t6MR*BBKsrhltEeL{MGoEAHt`c#}cRow<#u7r`%}}ALQO{MwXe5zqItM%6fzoC1qj1!rn#S zUsN=w+u$O1De3j{=#5qC=c2s*p%%B9QOjNdxLB7cZR-WASo$Q+#U38{r;Mj{a%>a_ z1j1;BP>U>60UL&BvAWm8$ym71x~V0QS2yly|BrqS))ZMR@KmL-r@eZUKfHhT!S^P< zT3ccnj_)!qmRYiqvWRqFy68S_Kj2(RqLQ}s{p!@DYT`_*8}*xJTra9D-$=>Vi?jQ& zuRcFnSZKK)jcY}GSVwZ`Y?*b-0GHv!VAmDw#|pat0)kJxH|ee##|U9;o%7__LuD3xv? zl8%6R4wOl6ef{;groKF6&cz5a*gaia-{{_bRej|Wv4>R`UWLE@5I&FoO1J0Q_^Wn0 zytFGZeqpRPjLC5PsjHgub|6ZPx524QuSlD_dk$2b9GIFhDKQ>GJQ=OY)rV_1eLpw&(6S~^dmNs;td+L_)G7HCBDro4jn!zUFU6^&|hB6Wn7-ZDH}TEtsC|U#Ni}K zKUf>c$k&iIb%B%QGq`xxA`ECNQVX;eVH0>d0PY(%VfvGO16D2lHhdM%R((Y|_n^mQ zzxzI+sE9~Ju?EAaHi3Zs3~U+42~WM-()Tmh2yjqZPeR8X2%G# z)8>eSIC3TP@MrC)3qLQ7)BG4YjdRL!60_XTr2T&S5+}RWc2=wA9-RreiwDwT(`h^-uz zE6vn#Jh-%^WLvJpyd^vOK^FQ@-`-vD&dD-YMtVL<386Nw8K+!-{-QTN^?$>#pH zfKOtycJThe;M1gD&PwqHnZUQxU44IcX^i)B3De12JB<@`_(aeBp8ZUbTGv6 zV`XSJfwoYHowYYJV(jzoZ`dV+615H-gB{OXiaru(i-1sDpW%bAv5M7D-n2@YI+!FH zQ_T`CflF3I&6iz5uxZ=`0(MEMWT1n=i?1m~YtWd=m%QL_%YZanc{3nLEzUT=ONkRd zVQo0Y^yS{vN}^B&%TO@?cvY`+{X6?;|VEnxM6nuTR7>)zGWnP@uox{X&{hb! z?cK!!e~g?6hXvplN0*;5D#1(9_%zb$C1e5t5s=%ST^(?6*n~CglDN1_8{-wvScbmk zzvM!6_U;mZgTp7%V3%;x;tr z1cXDfX|CfSl>7l{5K4i7I7pg6KrTd=KOh?NjXxk0BE%m6PlD9*2NXiYX@Z}XJXX3b zg)%BJK~Z;#lgeQc6Yz3ep|gHiPV@u^%s6zS3oai#mG#+O1epJl z31M;bCl$hf8>|S*y6r5b7=f>76Kr?6p>R)E{9s`hM}gp;tKT?(%~AlfYlRZL5oT~C ze?MFYMc^fc=*{xdaUj}GEq~CH_pHPvixM@NC>{f0$nw&4kldDn?S0A1gnZ0WD@AJ( zU#n*LTJuIiqPcc>{JqLTV);8Xl*TEVln}$H3FIrKOD?NPcdJM8*9c)p(Vj1fCiuFQ zA3UnOiP!_LKR(4*YSI(#x~oi5zfR-G*AExx|Hj47lbHQePa?4F;U2wj;>aX;A?I$M zKatoemG?GJxxaOkng7gqX=Sz<7ScES$HBDzCNy`@_vsK(xNpYx?|Uelr=JA3e?H$S z6(7^U8Pfa0Trcw6Gx}rnV>&X}KXsbtJ4>nedXm%K5J(hulDHiVW zx;}ZJ7E4^zXBNJd8I_kSvEOyVr8K6&@XP!nl5MB-?vEXwQ>XGtR}&M-(FmWhx{ikV zq@tTtYuU{P1CtGa=k3<{rI4$dukY8V+UJ4c@0mj8<07w*i}|2Gzx8gG2Ep0q{h#pq zT~95Z+sS;RZ~rlFa2eO}BU9_k??4wgogDlilWz1S&1Zjlu@Dh;K7xL-&Yw$b=K>;K z(ESljQ;GLqtVW0i5>dXhw6$EnkMx7%TFq-Nhe!YNy!{ID#h(geSAN2{sr;t* z-gr|;u`)7|)!bpr&{a>r(s|fC+L&4QhsQA3K=S5Xprd!mt#v+k>ALNk9c3<@O1J}m z*==I}``Yy{vyB%U*I#lv)t8UlVao!|^>NZGA3jxerMS+>OjA#7Xe~e77J4Gdo&oT@ zSplB+$DuXOsxS}2xM8!SK#!Q8ei@a!x7-GnGQ$EK1P4Arx%cRn(ap@&T^(*h!A2_> zKUc^4^Y{zwP%quw&vqlfvGa1_e}UeeysL|o+v_nuc*5ibnp$631+}hf$Gh+r(5#4y zJ+RJ=N5Bm z%MGOWY%T8m_vPe~<*X!HCe3nu@RdZoK~_jboI%!&OG3*j)&}LHAK`8{g34DyAAa>D zo|B6P^|Jy{@50}2#s{ya$Q-Hp_g#I@TOsjbPiDejS<*zK>#hBg-$|uw)hT}sF5X^Z zD8KhGZDfLG6kdcjjNgWGQWpEeazZD9E>xrW$%{XgyVlJyECs|vv^fX!Vs&T{rPd9} z5Fr6@+1EK5o_B3`l;Tk7aT63dxk);A5rhK9kP@r-44w8?8a?PzP<%zXH;vMiaPx!W zEtv6}2|_SWuRRDc&~HVYj*;Pwchu$?G>y_>KveLnY9@u=8 z4%etl|C8q>r14GY#RD3bVrX+~#@@}Zr7K3h%|m{_)EswRWdk7$TUg=CqwQodQHq4K z5z!;~OQVFyPmv$Z(pew%6cGofDVRDL^lR3cYEmSgy>sX#Hxo|FLJk$eT`&o@ik~D0 zZ_;|^1QsxZorKJBu1$qhe=HMXcM}s!?_cz~nU*`LwUh-J=o;Rv*0SZ@K|E9<@8n2v za|ipN_LdMJf-)M}LI`YAp1aq9aSgWSJs=2AB2^U&mv?oq36dB4bT;~E%bL5_Ewa9& zH@kN(i)#%p1v(zt>9+KQg`g|Esdxpormf?gOMOxhEG$ zNTai}97ajEsfjXQMN&q}zKT30_zZ*m@GOsSvda(BMjRStMsSI3?(H5F`G^>YQgoQY zK_&+eYaZ0BeSNG}%4VYci+`9&^>gpf-UqeE9BX)4qV{aAVgBKeX7?wNuAhx29=eh? zKht&vF}Vt2Hp?F!-)klab{~t+K53H-XwT_Qu~+M_GB(1kxL+Oay2M=(T58h|Fh+bckzHxah>-nc^sbJH zt5uQl$2~Hlr00@pjv_ykNnCUafp!Zb-3&TYhGbufA(dS8E>k&W=YL(2|6N$$#skA%x5 zMe7{1Gwc5t79o_*eqP+6@#dqo{ex6if}<3dYX%Y{<~oGCZb!>CR9NQz&B4QEYMH)8 z$UOFKj|m7N!%WNP`G&~d9&f`M;<4inKY2I$YgwCqwdIjwl(4j~c9>j^)g4Z1*h`hx zAjPQkVckus@2wo6ts;U(DW6Oj5GI?HD>?z}!egE(yN|dD-`x{>`{XsNR{>k^BJ03P z^A{^Y@B0&6RwFJ*8C`+qM2HOO6wQi(iWsRkid~AQRNn8t(16lU{Zr9ZkjFg-DR^a$ zI_Elnk{Ij}sfcUd0hO|$rpC~|I0$d({FPJ{#h19^j#U?7;d3x|BIq=@RE+eJ1Cy|J za0b`S6n5*pj%-7UndLppVNs*SPblK$)=X_jiP3s#2$SmaH)lh>JQ^nb_2zTm zE2?b?`!7->jXDlkRf;H8g6#lQ4Y8Lg4BWrC1~ZOtHHB4#>IQG@KWc7??-TvK((#!i1CD3Cd00bmPN#75KHZo0YiX$A*i71$D!4*= zyRADD>lW3LSwA62HnTed7#25?y|u%Gn1)f~dj@nb?R>pHd)~V~`W)A>9=ZPc?_MB; z;`UC_61iiXu=Ni?!6h@W8R?9XH?awpK>)0cuto&sO|l)Lh3SEapajt0TsoCXoJf8I z{J>lPuGFFlt$chNkL?c+hfug>tb~GeuR`90zKXl&WI!I}rEEY#MfR0^Svf~F;VJp~ z+j+`27Oo9ag2`5VAyr3i#6peo8n4v+)47i=9lJ8+Z-&HLPl(}N${kukq4Jb2{Vg(a zNfb;h#aH8I!l~-ZpMOllwpoXBAq@iJUFYT05cr7k_n=QkguD9iw8G8gzAn0PXoYdj zr!>YN1V1=OOrPVN6K~5Fjoxxc&*za}MNYrdF4^gLw(U=$?=y>M9py5z`lN61VY*Zm z9Ut(R{)Wuw5yfk=if`EDBcyMcNCeHFj(@Qy$j4ib8656e^l3=`E#N1DxY3yA*tFw% zf1c7I`0!Rf7KSfL4nKb@p?be(opKr?s;gygafk;FR^{JIe}*elt_f0j|0;B_Drr5W zd$AgJ8S|dS&Dbg?%}7=1$GgPBw^AXKoNw+?6}}X78u3tLjNjXO%?q+_h=Oq9w9aZz zn=+|{TYOdPq_Og+;VBjtv^qHvFa}3!($S?EgicrrkB=9n%)vckl8KBRkkla6VhdF{ z+xr)!@7RD}!VIzaIapw&Lw2adqn{x?ptg0w`gCNe??q{2pc$CE&R_7!Uw%ct+{|F7 z4Wpk3BpF~2`17F&e;dKAnuDD=@PTKPA5{DzXFH`7@=ZK_ac*I$VzH+Wt!`OP zeOAv;7=}BVA`h=wO*(zYNkI#KIIPjPHQ~Te1uaO|O1|rgn;*Dcv?PH*T4n9`u#FTw z|H6YkEg}A^^tG@-PA2zg%C_|(aDYcx{X6o&vJ0^QF`-AxKa?kBwq?ceIW`pCe>&X(62e&f`c3$UTE{= zWqxRgI`uD^LL1w+6*N^PU%6O$YI!_w3a=~iK?j5_cNcwl`eiERY557eXJILD*SX1+ zhK4IFH^WKORMkR+l04!Z7&3B@wzck^3L$#^=K(ovE-5QfSCMAWVqL{Pu13gQ9N_&m?#(B!omC|=g0zdQ4NUQAlV1!t zoyx1ap;f^FZ`OXG3Cu8J7zNQBt;Q3?IdQjB+bX?K1Y^kU<6#IyF7bc z#=H1EeWOKUnRuavCK7E&<5KjruwAE;UUAXBlHMge#WKgx$xq3m3cSOidWlzD-7vk< zlc)UMc!?ZT^apFc3H>%@<2X(Eaw1{Xu`46Ur?}98-1*Md_7ZQcd#>bQh%wuj)E5yC zOrHczz-ZEEXcop zn`nIDNyfTz-M11m%>%Zr0}S@cQ{&eLEk& zA!@e|nHk$gndiKDjovzLFxS;NLMo{wk0cG{yue4T4D&pJy?HTFMD_~@{3+Vf!vpr_Sp?y&oqu+{z9xuf5VO! z9nmU8p(~a$mJcva6h=S$T)aP)SQvZrsVj%kZ~t7(;w$cJa@rrFc*Ok+yBIWmcmACU zeU<*Anl+WZXOsy7k`$QTPH1wx6ClST3spEwA0m2oLgrhW&gZl!vIk!p(mT00%k1ER z)D|xK9O@z8P8sGY#F@mk;%`m84`kQ|_JQzDV2U?Y{Jw6fN7e20{J&{Ni7gK;!99y6 zJm#LQpJ;eWf&DcqC}&t}S^3h_k!u9xAS>`Su&?ol9?@idC<1J-9om3@S{-VD5Be5m zQ4RE7N4gmIk&p5vaMqXaHqFR=nN(eMUM(qG!g#f24z2lr3y4UPdNDyUxba~7LsiKA z-i7)cR>{Xbhq{~ebBDSDj_>ru7>cPJgQE;yt$d`qNeQBX{N(sG(DG%a`9(3_tVnmpHjUwE&0tfXZti%4XU{62@N} z4TF+wZwLfywUh_@ZoDem2w5| z`8pKqR|K_&7hD#DV5BhX>rl9s3HRqi6nqXML==RJ3pP-;nsN{TQ);zHEH_31WQaU04VFZHO_zw}!b z?IVcLXtxcsA-}(EiaB$_L|V*T+QyHOU&&;tg40;3(N`J6V>Q}#8Z9NrO0I_7GxzN< zX4^R=Z_f0cHlaoC;vEaE&ayih{sqs!iI)q*(ceZ5{imXu>(`XTY8UO}5CSvq+4pmI3RpDp0|9sh?TqiuKd-`Y+x* zfVYuHvA=Zby)w>eq&@9NW77+C73}2*bj3h;rtNSVW*P#d$#=Pe-Wa>EMt>F0!C0n0 z8tcBI3TVlH^nAg&BwBj&J{>Zj@sKV0D_;JYJK1(Ss)71cvb=#zLb72yqdByxL47F# z=;}!M#ef0hhF6-u@}8F$5VCR`1cRUB${2ji*8D4Z{x@l@@mKSor2d!xPnN0w)&G@R zJo)#63WnnUipc*{!2c)vKRIIw^7Ej^j-Xa41P72q(EcrYvm9T5g#h7*QCL0IvjxNy zI;MN4a^wvlOipZg|5gx90y^q)slNjFQu2QNG}Lld`C+eQfxThDPjRsR2q%&WPVF)}9wJWMC_7wQKr!UK&cnSbqCweY(Kq`VRfjHa z#D1JPXG5g+c~i{C`2DeHWmWAW#mLuZhrK{+S9u;XWBjT9Hx7lBuJi=x~iq%dL|6v z`15&9;%UA!vGPEs=HZ{Ae#LS_O@J?L0?IE#o=#wkORJ0X%9VH;mm=Xrhz65EbL1mF zeKaVx^SNp!7$XSkf{NEb(T<8;CIA5&0|8abHF_GCAuH3p8&kXf;;tP&rq<}9e?r9R z)4hvRU7={ab+UOoA;Q7_1j3pa2ej4C9cF16qtvJ8xIfCRLq-YBV#Yomn_XU&j+jQ^dg zeWR&5Zh$6AKYI?k{+McpL{f8MK#)s(`2WDQlOO?+sb(nPXjIG;Bp@NxtP(lHi78A! z3jlqTQ+0!(bJNdkK_3T($p zu)={{zD<=uBH{YzXv7&gC>W;7q>Ju)g4uvv8vNyI7Xts8EK5Ig00k?l-j*WA!I%}q znK&reOx0W)O^rAMfr5=x&2`WQh%*t;b@X4l_ALx8nto;uxhzfXEJ3<)VI(1!HL0Ct z$RjQcKIGC3bgiu_3q{{P!Sq8eV^TvZkcFHWIO5C;bp2fQwhU&jBuun3Ik(GI)j=qkI`_l?!tJQ?0v$N5Q2 zu*pOYMii%ei-Gi(o%Ikuo!5hw!Y^wEPDV&B=c8TXhkGSSDn;}pmuq`F^eJt^&bH^N zMNK4H8%val`A8-CuHju~rev^(W(Btglt=`+<%^^uaaYsJR^gde;rYO-C(zAf!`=vE zZ5&C2m3Tp?V$zIjQj9H?9k*)dp(1oBV@aqF&SIHs zM`iE{V5*-m`BW0>g0l$a(m>9OKy@Uc3_!sanooy1@I|)awUjujBilrv(!R(5{Fagt zq^~{FcRpqQC*bUw0H0)(ght<1@H85*`b03Gf^npZb5$8oDH218lM_Ir48;GBq$}fUhG1HoB5UJH;$$_@f8`vNrP36*goSd2+dnVg zgh@F`s2}n1rueMFo@Tp1;f#v3h4Nkx)}gK~l*|En zvh9GGnucBqy;vY>(W=j5H{^z6xRbSS%hmFrP) zR2PTlo_N9}{L~j|cCPngPcdOq4ahRC(m#5kfi#;?`3OX7#B${nLc#5kX3eZW$FUfL zZJ}=V$Qv8wy-}c@Wwgpi7v;TtELW5ZJM_pAxm?fs^X6YW_;e`vLdvCk=!=R`g*{fF zoqd&HslW68Ge&7oR}xw%&hhhNoY@B+A2Uf!hq|3hx%3SEWXJv!p|s~D30)y}KOaGM zPN@XjDewKkB3=2w4wbb>h5!Tkb|+0@StV8(%vn&+tZ4S#Qzcdll9&EAh2lU(6-Rv! zmy)wtX~$vJ60(IBi$JaSRT3ChkMpfqL^N3u4$6*K zwGhWJCGc?KWFO$lmH_bSp>the6ek@D<%=vOId<5_rbJ=rP_({CThiln_oA3d?u1Ea zNvJrE6evset}s+i5)N&}c_br*l1hMeGN8h+4tJ?@RUSGbWA{}alJTR|)REiv$o*f% zX}`bXr%y5gMJFWHY>I?)sH&+Wi{85wa3ibU({3cMPzu`j@YGlG_l5jy>utS?sVhs# z!Q##Vpp1U+b!(S$Ssatzw69XlU;&-UR+(}_PS2$n1Ai|yqABxlQ|9fJ9f4J8 z>rtqXV{M#EgxQCxF4?n8m8Uq?u}(>KBV7ek2%V6|`zkK97EsY#l}1M-i!ZW?lppm1 zu8wSSK+f;0GyR zGs%$m8fMbVlkmKhyLtX})MHZNlvAg$&YRH^6!`nNPx6ThLDvpnERW@++>K`$$fOG4 zPH?OIF(R%gLT!_xX0`TZhWi1Zey`6}p&hJKhg^Tbi8Q&ybGe4R?vkZc@fpfe}D&1S*&Y5H!t0&|)6Isbx;jREwCs|f0Xb5c{ zzw}Gs-i7%lMM11??@E5gaf5!Z&=-n=D2jpg8b(!|q=aXTsM>0Iqv=8Q3{BUi1MH??SmxIZF)dr*S zWTfQmJMfrX+S2_MpIv5v?QR!!nIn@#_57dxijTE=2dp=;5Q2cIQjO?};I#%vCN9O6 z3$4v83XCp{P*1nwOyI#Uf~#OK^||bgT1ht}TMztRNhH7Dbr?-2eOZP7 zKnyJcw&mB%mAQP&_01tESoiw}H+$&}Vl^B7Hl<2wYP=$e$3?3@Y6w0!@yxvZPZLqI}`@`>%R^?qbmrd0Kt)-YwleG@mRveGE1K76uv(F~Lz{jP9c?pN$@Ex#e@N zEPCf%&iDpKyz)zn@sq$=>Be0F2*#}c zu)-SZ$Jb-sqC!1nKt(U^?HuhZS<0(7LdVtW$JPKUSfD2fm}!f!LU+7F>##zAW1LSA z0u1LdmN6mPwXEz7?^y38w`-20dBCBsF_BMt)MmI@u? zs2?wkb(0D997*8F_ThFN5ntJ{pRWKap&ll29NAu6_&)K~6Ur-;(6KeZE6#s?3#itJ zuf!;?N`#KhvsY{L|8jrS=#fv>|(%WpvXSELkzHh^A3rx1SzEe{X_Owc{SOt20@v z#43$97Qi0@op=&PJ`yndk7lSfU%(m-382cB*~6%Zpc zba>^1DI+3u_;ijpNws_Hu5)HTVEDXgm=Xva*fg95v`N0O#Yxc8k#%s=fNNsb>wA%l zYjX4Y;A8|S4f*=(WApM^w@YzgWoE+bO$&gSvUR2fg`vY-v%GvjhY-%0)Idyd)36#4 zOk`oJ1PB&&aB>2;5BvHnV)NEow|9WCGT~P39qd?{GxersKoI&_-de400IQoR3QQAw z({L`OyT8!F)(x)7a<8xd3vAxs^`^go!1_(Y;XuTMgA?GR%Y@OQy+aZ!GuS!vH{j;m zH2eo}OFlU10o;0gFLr=r(Dy8SuS$j?K#ixN!n~wQUaqVP%rln|5Y|4lB>{nge|;hC64v zv8|p6G!2VVx?fN?4L1Xc*uqvjupnFuTYrFHVFxEGK$3cJQVhiW?0eyX&0A>OekF{R zIsV@CMidBb-G0RalP(n`O1-LTqHVrcYky*akP(Zr3 z)SNSeumJ*2yE+^waXDvN0VSJuZ@^HbNex))+aBK-z+7U%#t|qC`eM|&x9FWSF+i`V z7q(V$O*#jC#eojEeJ~{jhOywB$qKAK>B3eyP&Mo;4lK&i2h&8r>yLBh1`r8r!3J3M z+fiR}U@DmEOl^UtSeu4Xa3CVt!qyqCNyvz=xDZxm;d|3D&F-x-=gilD?u&1BZs_oB zo#{4U@6|Ni3+Q@$jex8B_JipdFuY9X%u^uz!-XwNAX3gj9nh(j_olqSRn+X983%NV zVPPv3@EZ4R1*&AGREoci*UCeK2Kze+ylm<)xg3a~?Zq66|+9 zF!?V@{ZD!Czmh`JFzzpNLW%_&>_2>WMgL34{!94&C6O=xGs!v-e0`nyobW#->_27r zf5FcGOOSt?h2KI|?Ap~WZcCDTe6xYhSn~hp!u+pA1v$$nvHrF4G>5x8EZPODcA?4= zIMf8iG^+w*-CkL%9)QeV{V~>k`tO7AqN>>*H=56q=?k~`g3%zT_W_>RSbu5tsv!I9 zpkIlc*#PdiCmyfew0J-5EAslyx4^B#id}4+_7y>z?`t9VyObuI#2x7b1slX2@kTrT zW8H|6RBACC1A!jUSof6ryvAAA$Lm3#XaIjw$uKVLheMAi`&GDLr4Z6yhQ$<{ITvA*$wS^ zuYvobG--DyMM(2kdYnT_UkU6_y*|`99sL9c-Uc;XT3FFKsU2S!MH@R$fEPok!%LSV zJ@?9%dG{q(2{i+E9ogW3?0nHJPeB)@#QZZ81Wo=PD6Ibc>ba^I*eqqEfo10lk0&XQ zCmrX>E>4Yjmtl&HM;v#F;uxDEqgS`yE? znO_8=VY#_$Znq(8zuF@t_kW95mOcW!NImmn8_x^K~M(i zy^t+puA^&cD|rc{b@5|X9e+0P=FQ-p#Xl#vq4cgpP3fNp1g-pvHVtvS<~-meBaaMi zsd%5VxAFN~1Z)YI#qd7UJ6V+?#apFeBfNbmqYQu~iX-^6Wo93+HXqA=1r-h6ALNUy zwYa}>x2;x=qlinV^q6EeW>@5EFp-340Iv7S>-=sP+@;@d)wZd^5Aolhdj5I#n{}6e zhOn)$drTg$(_Je2L3-fB-XAiB@NqsCpAeM`f|7UY1LF6EWZwi`UC61&%F4bulo9wW zmi1Zgn%GvLdZlMykU0BcC&(i@UhGxZ?)ZJ>UoqB~ed?6<{y|?A($@CRqvpPFKFgaQ zfACl{N%F3g9oWPAio!0JcxFLch}JdEjV5oNW1BODSMz7tkRIo88C@3X2^kz(nYyl( zQVHGE5}-N1lEJr&c-Z;zmmO|e^{4uN!s$8rJZtwxw5U|b!OqZ>=I^rMse&Ud(Mj;9 z!Xkai(L#2EKm72V9*g(z_l?E5L;3cUj7vkp2%O(gqFcI^_c!NcKgkdmd}m}LU+K4* zN>7;9nOIKEH<_&XX%3mj9+kscuUKFDsa>%WPqSXL>iLCqnfo?R9*NS#pCr{Wl#6ug zU6V{gXv!bo3tm5w`Ou_g;u7Zep0-Z#hro@1;E%=|0X#G7Yiqsr;_G6Y_3u~3BzPNF z8(AD1bmo)WFP#PRzB{u`pR>>Ppkdb=|E z(IE8}*?Dm>1|7LtRGOR7r@H5u86u#^fRv|Qd;|(jiPQIpKDre>p9Aaf&azSSpd}yv~9bTV#VFv-QDfrP~6=eifdXZ zPH`#j?!}$LL5jP(JH;LT^SyUQjE$a{gDn=`6!&D5#3un!U{cYz4to zmw<1NZeJ~U}gl$(7!-Z)FxE6wQPd==%E!^gwd}`0DZWe1McJDJ(393ds4W%mj zUQOsuX}Iyd8txQC1tYeA*rqC1Al3|h`XUz_>_mGwK(hwhW@y4ob0j%=2D{c$cp%;k zxit|{WZq+IYdN5x?i|MZIAAH71FXeM53)c1Kg-zeEb@EK|dwC$B{Z{d8{8+J2 zIC$r!H;fIGAD<3!wBz|BMyc$= z^anc%I>JWf*s8}D4yaJmdQC>daeLnbwy6WQN&ieFhmv%yr=9^0bd}Amzh}aFpMJ3e z+@>W~&f$N%w5V0Aw8-8|@S_nlOMsczZRUV&$KsLmpFGV;TfbLqTG+a_&S?7RQI6ZD z4tP=hj0n#!a#<&}k844VbVszmFCsnF9W^Qj^{g)_V_7Yd>%0g(QUq&`t|q2xB+aLM z-QkwM8A%ZC8D#;8>rr{yq(4XoB~eMJ*P%M&B#p&cJJiqToF!|z5Zzg(J9Rq$IbD)i z_UXV~4Xfx6-$$Vi)5=U;9AlE6W0I2RaW*Vl87GbzwuoG^x;V8jU1d7dZ@DV-M-A=} z#15Lo#Y1&ibw4!ZHNTHlH_x13d1d_`_6V!dh|PKk8jS{mKZfR^>n+kyywP&?(l~5m z+L75)Zj&d1Q*l9po4zmZj!C?&W};K<;Q{+~C!F4yb6{@bWyn4*<^Y z&0p>k;~bymsu%ABuij;!iESN3>E#?n<-V+_V9ebmQf1sFuIfEMnx4syihRFIWVP;B zd)3GaRlcrj;@3`;!A?jOQHKmSrkuZL->$Gflv#eI+16;9zj@i||6xc%7WpeVhwT7fiuHMG|j%8IgH5B5Xln zeJ^9-Ekr@Njmdvu-7w_SB!xyxRDDh=mkF(Xu)vn-_GTGEN=VpMd?TPY1wlT ze!%o8bnm}1F;2Ey7OtY~cEjPb#Lt|C`GSQ249ll_FqCPb|Fd}4mg~598Q8r~vP(r` zAx16DHljUjof%51)!1y6A`d~7;hR?lxaR{b00JB)Nj0xiaEB`R$y zA&U2w1Z4NtvZ!CeZziGg5|GmX(~uY4FpYY0&Z@XDkDY@NA3ijq{PWRz*%1iL>MNtz5a4?&M~y*NR^f)xqE$Im=HVwk z34vJ0$~=O^C(;n>NSR=%vvzSAF=yV-^cv#rM)>kd{FZ%@XhcQW2#|;;zDPb7YUk!f zg`pp5QXqFL__nT#j*7l`9^D>S#H$$cAyFfS;lma3&T_sIei(~;D;g~CkGr-Dy(!L~ zVMu-V%(*#-v7tlX2u5!b8@#b0FV`n%Y> z*zZ@2$a}FAdn$rt0eLA`AqH>nH~ZlPUvpnrZ!?u8;n+oF=x&v`@55@`#|>TCEVV`# z1d0cra?SFbD{sZ6PsNyd@&8UBnf=7+$1^0fZ{Qm!<(jvN0G19}j#hk2kM5t>h$`ow zS0!=;A3eRwUpDWQy=Wu?&LggjCIr{iXM*h-1`sd zNO3T1eiZMPbFxKmsr;(_yt*r)!X`3jbF;VNOrz1>h;CM|;B1!T2a}sHdqQyJqu|Pu zfeM#_RIx+Q2G}cw#y^DGD~3j$vOK3%%~;&;`qdh#U|J}4S5XewX3=c;TSLy)XDrVO zD0Nwm>y`Z7hazr>sl#8{@vF4vHFpEX=)hd&^}$v*$dNNKW6CRaOSXbMf{ue$C1;Bk z^==|Yf}zj$GVH~~eJIQ9$gkiY{z{p8N8uYI^Q=7Je)6pMfXKb(K9+O!V(7-YoRDi5 z8REI@?tBx=jTe0%%PWfZ)mf`~&HAz4BKGGS6SPS_kbmqN1O%PdEs6dyVf!me?zmec4OxLGt#$*_ID*`gN7#ohm97pY^4kA zhT=TH&Cx4##2|);vf5Ra2H9}e!Jbzq`?NM|W-_7)`E1>;Nn~^JqH6rEqIvmj#Rq3z zs%?wvI#S$+m31YD*=vypXvz~+zEn{aJhgbYXzc^NCL+VCzp7VBih1&`v5I!Ncs-R6 zR$4#I(c<;byj*c2d8KYVpqUo~G;SM#U~OR21dyPz;=W-SHM%+}@2`KYx0DcmTME7U z`ohnUr~9VMkw^Td3mg1RG`D*i+AZmnaUqBCd3kSw``7;CwT7`c@a3*?kdzB=_xaj! zqj>SS;;U!%qFudO!nAwEVnp?%F&*c|2`d*G;}ve%DB=qY$92Hl$6)cg?nR8ff;>Ql z^hDpJuzL)*Hm^osDbkdCK~&qyqXVeUoAi0}VW{ALmu$}Ci8ofqm zS5H65)hcyn6K5Y`3eizo&dOf2uLMfhW?CbnF}7=7wkt*QyPT{K!b)rYEFF>CT^met zMacw&@$2_AJX**T>v5;wN9BgVKfb@JY;5Y^x1tn^vXb9tWoOpVfA_Xb(KO+F0g<4k<^vp}&XiZo z+Gm;$8#3HZl++K4A408lar9OK5FPaStW4J&7Y5vvLXXB7<}G(ryJ>9eS%y~!rv(`f z6gy`Ex^82JQBER=8LHNR)*-vjnKrtw&4=@;dR6yeo#x|tZJjFA*g6s2=B@#|PTqax zNjAFxVx0|}MiGhu-&&{64Z!a8eOVCop|qSSIw#GPgIbj%?h^}hVZ4!;Zc(bNjIWIE zg%TMW*-c*Rmm~@@S=~ZLaV%_fbn!1*Vq)pxmeOdJf3U^xo=MQw6$hA3DB|KsyB~Az zyS(;S{l+`p4#q6j&42i*Fom6am$dqoSd?xOE=S11Xo?G>`>1P9W&ze38rT<^NyRyA z+FHrn8;<3_$9Yw$*F|j03K?u^nJ-G^1;QYt;k4DEUh)FY)lpF3`UH%%rUL)ID-~8bwVV9mk(d;Thq&n8(-qf zi|t$6%Fj7SBo!9Ea06W3o#mn9HO1vVgREn1qMb{wPgYeqHuY4UOZw`0Ddj~WLb-NF z67yP(!eK-?bLHq zFij*=fe$DbPvu?v0g7`AKD=L$4LYl6Z~A!I6OEf!+Z5y_=YTI!e)8P2{f>nMM?2ZA zYf%H`b^8HY-19!Xs!9f(Ykrr5UXl=`7ba)Bsnm~WXD^zkyY>pr>}=qrzrRX=OMR?i zv`cl&J&jv?%$XHhNmJbs|3R61j=$jxvycIQ<7$y>*l7DopulcOJ9Dxm$V!dT@c2BZ zXyjj*tKK-XCZNE4aG|MSC_hnlAT-{iRi3>68f;f|?Np^_%>zyQNb}Y_+^p)@(1NQ$ zXt>%`UNwlnsN6Wy!CLMqaB){2V8!X8Mze9)p5mcqMQTD}Ak5kNDyyqKF)EUCw7Re1oKL)9CJ%0a&=GcmURo_!ljHmM%Gof7T+y zvYa>0YnFY|R<|RXg~Snw4p7RW2bcB>1P%vU3yw?mEgV}tRNdM=dR*(F;pcQc<|Xzt zvpn+JN?DqXm$NizyBZwd$`i-)DvpnzP@G3DvPWJ^z z?q_#eooma6-D_H0V>rM6>cLr}wqFM*rZ*b-zDPfH4{Z!r#2y#)qiP4ZUp|+2k?hEP zH7gp_KP5L3ol}Xzt$I4zzSVve9*A18@asK;2;8);^N>0?ZI4L4KTeY?_>Wjz<&|%F z>i^}^FXPhJUBl$KbNWV*dt2Q>kbAOree4t4S@FZp*N0_qgd_-61G;fD!Y-HPfsA{| z{9pONx_1Aw>46EI*C_WSc~K=8uNL*T{z467j6yQ z1wiWpmFDiMJ!5f1Sn<>%xw3IZjQV7mxHz=6G0LByXoCvT@Z+L3HT7&mQ8J1y3yj|g-x8sedze;TTF!lLZhfJBO-m0fv63e*_ zw;pLT^oFRgw82?kg83{Svl%Tn2-mfe0LZv}72JWZ{=0iYTz!#mf9_Pd;C;Bo$E|!~ zip#CM(06Iqp^vIM;$+)&p2lKkFC3k$&foYToUD!u1f_4X2ePJ0J7k4Sux-)o{4eNj6zDrxLHPikmRe0imnE*IOOfR1p-e`x;Y>E$q_Kxy%D zkc#?@5G-lZUy7zF$(tj)HXLC&r3LAnJS*>L5%3O0(_hKeG`nIPUfr?TekIMaYHbfY z)^YI0Rci~z)A z(c~+MPb-H83RI|oQ1SwgXN6-BpsE##0N1dgUbIxqwMMsB6v-98Z&iYng21tK>hA7J z#h+kG%eT*u)9d7M>UtV1yw7*gV&eJaaj$Sk2qn1WoVQ`NSQY5^;<9@2YlB~u=)UG? zW4fE$0rlPVjlS0HRr{$XbZxtP1*loV|H7JUC?>NQ2vq<*@Fx)SpF)o&P|uk|ZT#jB z1DTE&`A!Kv*uw&EfZ0OgslVCPqcS$0zY{smEP#3kigW&ARL@B#ba<4@Q7ait1E&1>+bvF0+>jd7jZe>hQs?19 zR@PEQ;jrjGO`Acd5n{v?nid)sOb*RMdGUQ?<8%rdZ*;rZbL@5|8W^WBr4#um8Cg>> z`2__#Ch7&L(ly_NF$3tIBA4*hDQMVX&-xW3b(Xg-R8$3;HvZjC3#Mzy*!aWH!}R5zN!BIn0P8nlY=HeOVJYnkO`fNO;UWsc1T9im z0J3gyD939k7cOg*=iung$WXa$>QCuN&61NEVz&h)9EE&OH#ngaS_BtCySf$T6dIxI zBiQ`wC#wR_lah7-2z;9Hzu31uT938d&N{c4I4mTts3dM)8=o~hdMf$a2(oVud{Ree zN-1D5RMjIZ1sG<>DjpiYb<1LojGc^L$jP2LZzBVZ5*3^A)mq~5w~>DRb{3SPpfxZ3VE_65P-)slUu zb(x*JvG=zp&>9PDmoxJ56_)_@RUr3?K=FO6?pkN?x$%e-wEqU7vWkGB*@f3ib;0Ym zbgxePxz`H_htS)Ecyb{x3JdtM=8}bp+CsQ|W>M9M)J!uNeDZUJ%4d_Rmu-5mV6Qzi&H~YXkHbyIsn4kiV24^vQFstNSekiD>wQU zr0)gvR%H9b8WUL*R{PdQZW4#l6cx4usE*zfy=HS~@3 z1~^abL+PFOTqqvDEoh$VhT8Bgh+?nQSVyc1NE0iwLe4X`V08u1U*jxZ6>m0X=959X zBBZZ}7O@Tn?-M07OBA%Pbe1{Mt0E`Far;X&+^OgzR<^Bge_5WXyheDRe=ai{zqXvk zAN&UNeOXvrPt&qa^z~01(h35x>YYO&W2HxtW0R7mXzr4rLbEt>;@y&f;cJi8yCUby zU}32GH2aEm73q!)rT*Fbw-mmru#L61@~+?RK2!-__!lyCmNRGK3nJ4(1Q8nocQ!xo zs?47*ofy_gi)IatwquR5F4O`8S8`XZ1GWL9s?w9_ zbh1m;0FlpId`+mmG{N88%t(t;d2_kpvdF>V+2lO_ zly2qj+R5dN1#S!;3v=b<=5FP;qM8ob(Gz8h?*@&<9ONZ-K>61>3pM4vH}~89p(G&l z_|+KOfokR}!vmmQS2sFTwk=#{VJJ6Nwkup=C7G6`KIW&P`nE(}l77D(zxB1PmEW>+ z5RDsXWLna2B(i<@wQgbL^P=A4f_;( z@x+Y%N&eduDv!Yxen#J2(#wEuEhA8v>q>fROKk z^&HJf&9Na_@FTiyNS@rMglc~TQ*}BVYaz;hpLjkuWoq0xAw#AMJJn57hd9?S}yb3`=ZTK7BQ$j^un;6ZK&SV~$o$4x1Z0SFV;|jZCX?FN2O{4OMBK(x%Of>)6CDpsjjaU*TTq~G>udhWEAPGgd@G5+#gSDA(td> z6l2G%P|)=Ew~eSSiLf-FB}JN=%+CIs!K$({hM&paEYZU86kQh=m;7YM^Ka+_+7h0q zJWoGq@&YD>rCTpXv>X(=n}@5sTitSTI%`BKm3*9I`is&i2mZ3VjTSz@`Ub2(| zKRt6`=vOtFVY3laI;Ph`q$ZNo6ApcO(~*@XqtEhwWlWC_`u*epac%O(I+7kO5wnRi zY87YG=jB+jlgNUQGwWQBJ}q<^j^(Tf&tRggNhgM7=3^arN1O(eL2sM-v2NL1bJ7O> z$zCG;+_4bj(w&bDxVhMFMBncyUL;;XVi|DE(>06@kN=|n=_5HpHPCfOOycyiuEt&( z1>u|wdPi;I?hJYZQF;B3?CTg0($CGIcN`7dZ*zIfA;v+#=LXA3`3JAyyU#D%uiBFO zC{=~;4mOON_l569HjH_%V*qD^=087*T*`)SNk;51aLaZN!o+MS%N3LIa5vwZU;|ZP z3__Z4^jg2wPtO0Tap($~oR?(GnLu)cei{B0^SudOufN9#nB@6WBeCggQzNc`lnHm{ zVUr9k8q$Qn%=z6}LZQ!%5jzXc5wW3MdQi*{I#4s{N@C@6mG9Ka(ZMa{pLt+Vi+kP+3Hsuv@d_}e^B<%b49%pP-q z{T)f~nJwl~+~%yeLPGFUmAUuFhQ3LG9}_?B_#0TZfWMP5Vkbmh2+OKAhJCmaf}vgR zkwegDh&F3|HR6IO-A?E~^Rm9pbLM|+NSySQa#79>nimXmyW(mvim#jO`Z3STLE6AM z`6PuBc8A^Y7H^oF6*A8n>9%8UGa=*ZWM@ofq<4!>-1i(i<78_2?V+l^ljI1x8({USg5rXq{bH|iy;Kn{X#>n=v zm`A!6hS#i}JKm!Q3zLr1UPVH^yNF=k7OxpwKwpe88Qn>kAYKlb9Yw)R!14l_-iuVC zl|T?PygqyryaSm7ngg3dDORG3x0IbLkxpnQ6)ZCN&p;ne81wgH#GO)AhdYYe^~p1D zZ&Wl=zufS=?p^L(+~7Z^%uGh}tMfwMyNyq}&`xJEEoXx*XS9cRRpDxX^_J30&z?M(rZ0SZx6_^iZ; zRxpp9Uw8qSeVef-(}97WV-kykBOFhW3LSzgmEvHJ##4?aQwxs=(?NK$p?C_>0ObIt z^8Z1}7Nh-NjI1oR6?%OHKiFU!W?R~fggpm>&ZIJ;z(J3SpazeC)y(jxW?EEtv7MM{ zwa^$NG{GAYbKnXvff;jMjE;%}84pHA_(J$X#F!MpB~D$4ckm*F66!#?PP0zFPThog zfi4IE+h&2`05Hq8q#5redR^F}g1_P^p%H zw906-5$a&uZG~;5OKjJahxCWghm?ny2ii+v*O-Uz5Sl1iAQcOSD7q+SYB+$N8o>x= z1$RdnM^u2F!Q9&dmx8X)Y)(Dj2tMh2_@56Rf1EBFf6k(tp|gvXigg0>e{; z{09O2e<8D5R5WOq5prM)v(N0wzd(w#R%o0N8fG}`8NVb)sg1~tsP@sXBVfQ1+tg<0 zb-#2ZIux0xQ_ySBMk27m@@CkVfR`EfB|}}PjzT5nJSJiIPcY3k_qK-_XFSM(e4VTb z!x_UFeI>jZ0wcbU@QOHt0B=#uXfL7aq8{QFmHeen$?q^oF`vU>a7pO;vqkwudG*7n1Rgx4DaBWGtKO(uG_79HB7$>o zn0K^y=8)0@1_}jgeCj{S7pStK)B&`eFFitEb`jjZ$?|vo8vYnMh}_yg`I!xc4A!-o z83OrLbf@&i2nc@zivbi7rBYOwl;NsionARbiee1Ta9yxLK11C%9jOk*4v9)C2#hxZ z8Eh{_pbBZkkS0v+iAIXn9?=O7-2UMDt5gY+OU#mRPVfTQ3(UX$@eHlb~n)oI_ zQ5^FA;ZtQOL@G`bhCkS(sUHF$oHsc5x)3s0PNxqaI6wS9axMG^2-&SU3l%@)zqGg1 zeQtaXUdiz~V3E2=P;m zUa_3jp<>v?OR4gN{D3)O>p$uH^L4-T?B~U~C?Mw*+622B9!t1cHGT{v{Q3AQqTMci z8r9!THyG_#Q-;&vrIY4-`&-_D4Ij0OZ_lF@Fqo2!HT3h-6dQHz6-LKx;aO`Fq2s5j zrW1a_vmxY{82*mce--Un?bVXV}8K3W@7tV{r-s%_}tEz&BCongXIPRwo*``|U9I;YNN9WPwhE@6<0K6Ue(9x@!LBhjcejsrAY_lQ=(H_TXclqo4Q&iXg+aAEgptD8au6no}-*X1l|$j@ll?)N)k~SwA%CdEUYx=A_*z#=WuQ%Kg6Z?Kax0 zcGPt2Uwnp?xFMk(j0dHe?x|diKNG;elB8~u%r&lmtx_Bte$h2f3APo_OaNeSV4F z%g((SQE@%NBhA`Ww=)*%e`0S+s51AzCfXn|HO(}2&HTgkj(kGq7L-M4PymRyktw^p z!|#bcGvVf5W>`AA8(76J40*)TD{wfw8rS70;oU7Vp22#i^-Crv_WbnEE_?0ir%3$E z5f0^{aLxDA0STrtEn8@l7nAhKT+s|el%5L6P>1;Gn(oYl6Vbi+NZ7vTXnuSDZ?}X! zoM5(r{_r6L?tkML;UPrn|Mtt&SSkX-)V2!(uB)q??_7;647guQuaG;hX=D z^_iR?=zpxAVfbPH)06*8YZPk5#{vwnWS-wo*HU)yHhUto!XpiPB0PHTS z^~RgX@J(`baV!$qEH>3v)m2cJk~jb0ilhVx)TojOlZ^>hXR(jrQ#_pzd6|$sVJQiw zsdkHg?>TN2f2j|hrb=Q?;;pVHpTvlp%$3i=+dy})L*S7b(4H~yDCH*#clY>Iq99wg zfrH>F-)W>>6kYf8`|s(aa~?qZ4kKsVmA6HqUO_?I5>+81w8;1GiSo61^EQK<+|iO{ zkv)PaZ}6pz6Ivg#d^%<+hDzN%_PNm?BWAN$Eg4LeSVS- zG#2k3A|*S-eU$w4>hwK__0VgO_>?6sA7%V5>V@4kP9G*dUGP?S|;+vC+L-;TWyl{3K#K7^UpZJpTPKTwOZrd8k`r_s|AF&sZW6g zxyG2Mx~z*e{m}Dk6w=TDzaZ7s%FYW{Y%VRu!ysi+J0$8|XWUcV$M#q(hBz9}Z{CA= z^jE^JUByyj^P1N|gU=L4&;-AkbhCYJJ3s)Qq#xz~h*AJ`xuWWu3-C|U z5OF$4@n|DLECF#KrNNxz<{&^SuzeFS-Sw3D^#1(mRUZZtb-PLTQ0(}J zT?r?5*j!u-{`tPIGLil&nXKa{drSYR0F_$fh}fjB zmhOc_zZ9&#eU&3LXrhl>(3f{RP*xwY^r=cp#eu)^xL38hAFE8C)b#Rh(@L&rTgzIP zu)QsWRT>_1O->=%%?1*(1qImB6=mdWsD*_h^%XYuQ`cBDiQ6kH8{9o>PPyKPdQ7wj ziZiRWpk}OZWfc&AG9?qHB9Yc|n0tsir>jj>)aqS*nuSsym{WE*mab`EtM0OM(Xm|$ z_k6z^z($l4kufZ78Ii|rwRRjGK}4e9E4nXcN;MGdC;>gpodeQIqS61tZdp#ZhVkbK zYlt?w3;!Bwwu)p5mY219b;%5pcI0xgvJEtHSJkp{nb^7Ak`cw>sMu8)5u_O!>G`@C zf%Jy-8Col>WBAEf*|KLVDi#uwBez8;0+xmTs(0IZ2Y#x_YO8+vmaRXaf!oqxy3%M` z^tEpwa3!o6ITKjdSB2&9^VLZB8fhrp>7xL;|Fyg~K4Mg7GxuYBzvwHf9LsXYzkhGn z0|&~^>2rd=gOb|GSp^+;4?7@|N8AD7uaO*X&#SIQ1apG?_Kv0tL47j3)=x!TYUh#v zhV$pKN9yZ&k!96a5vOMcFyFb#5ySS9i6`|^TiaBOd`y8-v#Yzm_!YDVt+Yk9nvLr7 z$p^c!HU)eh>YkwY{C`Z`zCV~CnU*RjOlPkA@edU^*Wg~qYLjE{}#LG|-@H)!3kBdKPFEP{j+#KwYoGZ$A*3GVI1xY4Otuf8L>2|`|; z>nY`P{na<8XJb20mhHYwqpWz{v$3j3?PK!lL33iY{{hur$2IOYO3EA<{`XREFGeDhsa8?#382Cune zT}3y0&H+h=ptHewbg^#5zg5@QC_@N{^qI*(zoS~y@ibBHUx0V^BBz%_R??KgkBq^@ z79AjW|Nioknsve}8MW8v7P@4%`EFgr+W!pPVx*Q6-5A2&34UwcakCB3?W%V8P~~j@ zSkA7m2dik$q$$tKOdz}C=ziUzW@$gEaB8T#3VP{zlq~D=K$H(Bg-xFHt>-%=o(h#C zVKK(KBV7mfdZA_vgBNa?a^G^#7Je7EFax_+R&GQ;0d3YmVVz`^<=E5(FHnWCVebfI zw#+MA+{okHOV{ZWPq1*6w6CDc%gvKC`^#ik)hANs5+Coe;kqJ*=6VZBZRb`Q+sEkf z$UV&F=)cS;uycAb3ON~5vEaW$wlGn4CA<^)ffdOvD=*m%#?yQhw{zY;(qTV*V*&Cv zj(xfJk!l?g6UK;U$__(D{dyI;Uc2Gw6;Z+RM&L;M zQ;uzKJ8bdKwB@F+AnRMTX`@zkJ^AFG1lLAtL&SY&XM!9-OUN%d{A(8HBd7{Q%Di>q zX02-a>U-WT>H(pu_oS!&B6Co~5fE7MbK8d~EFQ{0OkJtaVv=p)hO z0m;#EwkhrM+5P?}ggIlpdLV50;PPdD^WRJI)2-Zqf7x%j!BkvLC*77dK0bTzLKQKq zSJTx$m@7ED;ht*m17{ApoJW%|qsleeq#6=CS#w^F6IH&MDp4zaHO>rCx2$Gx8O{D< z(wMhzZ6<*eRhsrRTve(?`IS(drJ_fCtK)mqEBnqliU&~BkO53q6v$4NJAmU5iEF7T zxt2h|-?;U`VrAd|+o7jCSeExBG%1;*9l5d)cS*)NZhTCV)=rVQsISdYtRhgRZS!_| zYifvc&nlvQCZ@WTe<3cyXlERgMK*Co#GvmpB`=fKEjZU!{qe2?+VxI7xEp*nkgUmHb9iS82L^lIqN;I2NLvi<^EU!w26@=x&Pl#p%Cl0e`{K6m1fFF@1joXvhgzQd~P<)B>w zi?a|md)7IaN^q?kC}8}X&o0B5KXsOJCa&cAx_7`T!2e>cKG#t!0`js^8F*jy(F}eN z`Yqq9>Mxrm{?tsX)-IoenjUoBoOp|Iq*A2%I7Nw5m9{MRgqlU0|JBz&(Wf_V;aMSZ zwb?_dp_{0s4Ct^EYv>*=aFv*Ew^+@=sytJA8WAHzpY|xQ-q?XwQ>f!gyW@ zWy{biTBeGUuDGU8VH?;3iXMe(9&4Ynle>;m&bf!>LlE z8Ug9W0yEhO0z-GPNH^KC=x>dFZdUcQ77NoQ@M*{DD*E^DMJ$#kq-FUwZZ0BqZdD?v zj(;qK0ofW<>jiFYpCu}llZpvtLqvz%K1@B{Aobe9)2T%pbZF>l+YrW9zQ0s-iZQ6sAtH zY%9!L$56XD!5HSF>`acM3ct>F{+-c^Qy-X4O-65 z;)+gczT}==v`e;2CrNvALm5iiCU-PJ4?0Ws$gACfCN^~ClW6x(&{a?UNXl@60yqA8 zl_(t|TUF?gMWzl24k+`EC=EXd%=te$t%5Xuzlcm+vq&M^qCBO0`nk&FV_E}e5Z^+UZE%m101tObx8FH^+;=a`IgVe z?E(>i?54W*$-Yfe|MDM~coTi-timvqTW6!{6W^gU{wDykA@yfJ26fiISp^7 zl?G&ca|>M_A2AmZf;P=OradlGzPJH=i$my@vdrhS%5x!RS6u&WSSYI*0yXb>#53%86WLOSf|=nq_8%5_bMfsbT~23zBdD zABrBn@W=qXqE$D%y-g?Fu`add;l)3l@_!)$kLxgSEHMJ5iRZQo{#g$L|?MkPnwW;=C>K9 zWJOn^l3x2XM~LFW-UHUBzn2Q`rTd(!8Vv2zT*l~WH@|(jJ&NkBS*tP*aNUxlWchua zj;5!V+m^7S?Dx?Jzl^W?NVPQh8WH0kxF)Hd8A}r#vuxWFx$7G>)vRti&wlx}4=lx;pKr~;Ld~_*?0_D=WG0IvUTHh zn?{ZK+pFD;@DUOSdjEYLkbjq&E-_DQE1xEKzxte|Y1r&-i(IvnIc=HDT?lo<$H>fD zQ(8UW$qu!N{jr1M8=#H!kLF{C+y`flo(zdz*hGDTBM@k46}Su^}DPVj03nHR=T z7Sh*}U&pnz4Zh!(a+0j?X!p`y<$SCFwe0`z5ZpDow6}+NGAv(#Gn@QqU;cNoA5S2w z|8O}yvJLxfsR5HMraH{+S5V~D6&+<3yE|MQ8ZhJLCiQ9N&l5aj*iot808&}Zv5p@5 zLU$>HotnqdX%V|#tXHw@!Z5bwsF9AIc9Vm0!-TJHSKGLX08@;W4S0sbTZ`2Ed6d4Y znjAEz!DQIx;EGJ|*96!~^Balbc`5Jgv{uHUncylGs$=XdNL+0n$Q8*brBR+*o+t|@Ox+oKbgg-eSCqb3H znd-Wl+MNteh3k>v$z#6I-?Fzh?O(_9%ZHcw3fnsDJPoNY0fTScF0%>8>C*($Un-AP zv50+q#{A$bz56*ov5d(OhWz^7(%}Dn9AFy|iQ%+CcH3TRndbsJfWqhgFV_58;YL1g zNzY2E;~MP7Y%WaOuM*(r9rTOa30<7n1Dnu6H?E37x2En$aJ?-N@AYRWBlI3dSZ_?I zb*gW?_?uI%2D|-(u8hrSyExxh&Ef>nca=Z3CW@b9sT1e#w4_(TdGbOfO*9 z(*$u(`*}fA@(incdg!|6Pr7mU4rLfNmd5ly=j){YW4TLRz)oIBnORQ|=d>&W>k*(^ z5EmRQ(J9MuU@cVXKt8Xz2c?1bP?ka8U=~QK#SIDai7A}B1x7;#da9BT)Hn=L3TSM< zOZI@vEhP2e7p>h3BIA%*tr^Rdwz&Mf9`7+N2lZ^biN$H2LI62`yr?eLiZxXdI1)0g9*g_TgPsAQdjyLFv zF(Ik3MFse~ct(|PU%ugI*bZS%?fg2t?9dxKDM&C^oRe3ROU^%>LE%;XGxC#xaxN>W zv`vlyD0e5|z5v|SreenajQw#j^vk8hTAHsFS*&G$TGRld`yT|>`WWQxd{NXrSR&Z^ zJcP6B$Cy60d~4k&eNsPpLfLL4D@$9RpDm1?TgOWrGU`n-mS0`0YYmptiPPsR>~Y5D z{4vBpQJs+{WfAHM&$WL8A5(KAbV;2xN`h}0eixU5M-R9M_>I)MSGf~c&E2-a=?S#L ztrBO2_}=n|X}nu$z3LxgR*hACAOHE?#oV53T5P)%%o7uxTql*R=rLuz{Zy}-ynjQh zKOiAnHJsI->gcM|rf^(5*OGD0esm!Pnf5%^AJS=(mLS}@HCch0RJ31E^W<0PUpuAG zdeh;iZ2`C?iigKzLfc|Civ{!uOq*aO$Mgv(h?zak9GQJ*F%AaP97HbJK!~>7Ib|TQ zEqagb`+-N_H05-`>`3esHCf9dxgp)+vf96AFDH!ULu&7&PW`W zKI0!zhyoO^$5r1cH96m^36|RpxwbX+B#&XMIDtjk@?|_X_zE7|G3w_fm;}KO3ako# zWr@a9?FOr_9*MNSRW)|wcpho(6Bo?4NBIm_xA;Cxu!tnKbx-YVJ?=Rk5!+q1xAkAT z{*H+;Fn46W(;v8md8TwG#NgCTM!t(huB2b6J=;)9=*4?Dt@XtMmh!9FOHF)qeG$v5n_zFn|ZQJ8uZJ4-=w2>jV z7CKYU=7@w_*JSN2#4D?KOj0XuOEx8IFDvO@Lxr9*}&-HYt52J5mh|(#~ZpE9VNx%IEwUIh{tqlm|^0_ttMD6!inlgi%8qwtHp>@% zZZi8R`rJYT9puGsM*&)kPLG~~>>{UxqaiFhBhXmSp$KRUd>9BK{xJm|&Cqu!4jLOc zBnOTCIWz^0_1W+i?3>@f7cj5`zDcT@c)|mv{dmITrA2tce@Y3Wvn{ZWuvOUYs6i^6 zcG#c^Hal+61cx0n$Pcd(rQiThGj{4a+75gO1L8Klp(vO)zriTrF}dL=&|_O3DP;rI zu$2=RXyea)pR$jRG`Ud$ISw3pfE@b|kwL^kQyJ0AF;n`{0z?JP_#OZi=z{H-E_&T^ zmL0Eiurv#T=2IOszY!@=1|M!j3*Z-^;(0KDNI8z(qeaYS)9?aDOY7O6eyARp-Ov|| z4<2%YUZD#n@IBB$#%#wJ(Onj^mUsdErHpJ(>8jeMH^>Fme{4t#s{0Q0L2KWpyrSC> z3NG+H5J94B$2`$*eL$%p-fOC=m)Q+vK^yy1gzCM?4QIi5-ytqY_}f%Xv@d+Y23{j! z!3O><9SC4Mc8z|sm`%ic?Jq6)>)l&wT}Q=!%+J({cl$AAHQEfSRcf&nuXIDHkp;t0fsGRX?2FpX03Iu*pCsJ zEIE%EnfBO@37Kw9W}9`6|CV0V%|@xd|50-Wy@nTwL_gsx1mNE?rhLV}6-;?zKUQJ7 zU7+Xfo&ErdNB1HtuwX(qONuP|6Mgl+SUT%~D4w^0gQQ4@bcnRlB@F@x(w)-XNQ1z_ zffCZ4M;s|gcS?76hmuNnzx(y~{&BPW%=7FsGtcbJ?%vML$JhoP-l8*TM%X)v7%|vA zsRFsFNEj=kc#CDGq5KxhO2g@Gq_GBI^p=R@J9QF-%N8LC!fA_}1mU(tPwM5^M|c}) zL71x1$7%a6sh9gZf;<5UFNXY=2(qAjT1aG<8Yi5=*oGD!UcnQlN>Is@sv2L(^F>v? zk|$A>UMH=$h*XEgWLn{^qSds7PFhD1m#9gs{;s&4uOsf|{6etB8;!=$=UB=B9pTzL zD`*!!dN2Qe>aq&CfKU5y9x7u@xO~8DpXVJV`hwPk7hk~hZARP(N>XQjvtbLTR$llI}lM3ztP9^ zmoBpKO^P`pjg5fY#>blRM(bEtV8V1Kmmu?-k_(&QLgOwWgCI*7)0+7*^+x9d9@`@I z#^wVatU;_Z{!+&4^buY8(=6TXc9E-U$VrJ)z96wXN&9VY$^XPDLE_9fuVpT(Q4<$Q z{J|cnY=I%S1jaDq`&}9QU#4gPzH)J=cXqc~{!NMs{@vydOWZs$AmkX^En3%_(cN{v z;l~v_FPt!bc^#PF&7HMDp}u*;;@`r2a{58|!u(h8wFwgg4>qoxGlb<6FQ!Z(K7ZSd zl;$QMFI9~T1F?aixZi}!+o9OlTbm!Cmm9qVoy6OZN5UwHp5(wl_B8Rzk@s5gxDCtb{TR^q;Yi)P@X zB2?<5XBG6YX0tgDx7v%V;}tSZt`Rzo_w;a?y}@?ez7`M>dP<2tqNi$k=p`j|B$yIT zQVG?bWB5*?Wa4j(A#d#`e2Gt%WS?}#n6(AY0mi1FGYuhh0Q6MeHCvmx-OIv9jx9v@ zc0W#Ap4bQw@BMEp{r_86aJ#+z{9R6?SSKI9@=x#42fVsQ?`KNDVRXgF2!XSf8xZW^ z2z$#YpZQHNVvUYpIq6sNs5E7-Q(QXZ5UKV~E}wsGMyN$@5RIyb3g1c0OEIx@FIfU0 zdWL~&GI**ktj}*Mbt(9d@UDHC-^6{t<7VT4TO5DoXI3;{g3s*!hx{jUoz4{RPO95> znN2=RS9Wz>@`X*Av5@%5p`~953r@N#?sM|G$%v}GA6v+T zhhQXETdZf72I3c`$_#Jow&qj6=YR``K0+P>CWIjm#IMER{+-sMaQ_Z# zM7V!fQJgAZToa=Tm}ulLG0KB~{7E2(_uOjgc33}$^F$niFX`C&0H9I@}#-Y?0i z*H>ghf-*mYM>50REgL~b!b=8+Kq7mX`io9Tcsal0!Yv_0W;HO?cn%CsTMP&}2`?5r zvJ`Vtk2S)R2J$0<{{JIKcoJ=_v|Q^#=$3S_C-yR243q4FX~k zxtp7i;j9tbkrP@F)-G#uxR$XSI^331FhLc~tR_>{jzcg))t!WLv#D)8>+u;r=9WnfZi?_Jx8Gb>|A#3DU)=W;3>hV(6S}xYWq3W z4eJVhuvZ8^L8#Lzy!^-Y#v#R19`@dV_vu{?V?6LBpavS8hRe-qmj0fSGB)Xi;uXLI z{Favd9Zk>EMiW-ibNuGF@}BHTDz4~sb=p13m62a|*Sgp*yuBw)Zhv~7ePO90oj{9ympfM+~;z`b|_D>#2-l%Lnx-^4$gbDS!tZ^CLZ>j)Jn zp!oI5!Xj90U7F3pJn4G`tp&6?0dZ5kw*l`x*+Ynw8FsS{r6XNXBS zMw+O4f5?$u1jUN0XTO@9tyEMG-xYFX#S3K2$;^Gumo=B>m>EETXjfN@R!D6x_T(b& zot>YbCdGR)EM*a=&8)>hFb4AHRrzFq>m9c*7j>+3h-cwktZ1+DgKOFqr|!BUJAQQZ z+H##(4`RC5ITABME2v_*rxK77b!+6&IxP%xl6nR5tR|Bq1FY?^zkVR+jUnrm{=h1q z$i=RzrgZor9;K*2BPO@R|G<$|Uq3-U*F^!sT2tC-En}st3FlfyX}t0Y7?K zNZF}4qiz?=i-G-8{FZ_{d(||x{I^Nt-Or{%&6raO%_(IJRg-+eptcYCCV^4tIhq_Qi9A9YCn~I({f3b!`1~UD zuFld=X6fZDIg%ldUh!G(Ck;AoKHz(Bkp6Dveao{U`GF*2i3H!v$0~M54Ob%4jnMkJ zZ&%M%>kfCZY~*BL@vCt!Q>!(9_*gLEZeW%An0sn!JgDrzrL~yg!A1J!_o4l()@Wzu z--o4fs$deVsvr6yl+<8DypOL*4xQi3f*eKk2L)};OIMZqH6^)nrlr>I4?xI=BBRX? z(K?cBbDy`n)=G=wF}=;?8+Lv^ZzwXqGO+ZGUnM+-{IPDk^@c2bc<{)0RC|X-RGJ~) zDy$+9>n+gU_?m>qB9@m|OKCQ_Wsuoz=7YB4pp7|8Wcs&6?sFmPEd?&Do%*kx2AQ9{ z+_+|%e*|eWyq#KJ7!)wv3$++f=g;S^X8@OY~ zE)!(s>jWNV-M6wa(XOH(i=$lE9u}`at49bUY2fV}&naoDM&8813KwcXb5*&K`c0af z(99(bBvRq1izKr*+#eY}MJj#x%(XO{nD@&vV$*X)r{XW#n*-91a>6z(g$aeNgYkph z<^f2^JYQD(Yu%;iOT==KP4KVJ245I%32aGMY490LCnWC)P2cY9R-9sR8ZMNy*ha;g za<$DVr*L$@TcRd>wYvBL&a|PTdQQQlnPY>9D6vqtv_g}Tb{ z7!T6NJs1Rvxf9K8JAu6*pJ<2K`h_#0$~4<{EG^F#v%E@o~GWh$B+f^jE2 z5qczvAhWnRP03GBM`u@+d-vhpMOd2j>l=#*Y%^McZM6c^noxuNFBA3!mC?G^WS>Gm zg{KFo@&;Y>S);&FO=~h#gIG_$kjzxrp&8f2souO~=5jvge9P6ptc_Pd;Mi6W6{F&4 z0WERU(2^-AM%f3L$Zqr{%^L{1W{)}1-6I5(g4+b6Fx6v%3*z$Y-c)??KQ+m#>|QWm z5tnI)++rHBs(ov<%@K?xOp=vTXPkmOmllj1J6-sgucTS%J;ThRJGw2!8n#wpyN`M6 zFb^pmr8~%_DjMzF_1#n7Z&K@$FY>7VzSp|7OHrKkIjkD6)Yr=<0T+_(+y?m{K63gM zf>|>@fjySDmdyohc~@((Q9h+?x#8cZdtOTC5i|XA+a zCPr!96Sv61c1Hd#k`2|`khoW>&8C(UDONbk_B)zSMkd)wlhjtKrLoS1vr=$XQ4I1p z)O<`g-%tPwBB?C@XnwNB`tsptP4R*Gyyie&s!dBlai-h!OgnD4rk)~iu45fjmg$B< zK1VBJr4M>w?CiT~TAs){l80p`EgY-w(HujB%HunWLOIQ?|{Ps^kv&*DCx z*wRC~KZt^nd*rrhKEe|T%306j@o3{&>CWE}=E8~qSV9>r8>YZy;UK6sqmH%4irDr# zFGxvQhUx;-lxM2XaDHoU6y&X4*qcV4qfrv~`}A%ZnU8<>B$q!DF=`FqW6>S)(u(1F z=r-j$l-gqQCKk|>3A-6`iljg2;uaoyE%l{Fk^m9$~iI;27Hkr?)JFSmZ9dEE0Kxm$v zUYZfI{*>kQ+&wvYy__(7N}BhA>Wm5^-B6NP)H+rbl4CTkDHc<(S}w|zbN}qFPdYJY zH1rS_(u!P>zU;Y(e%4d+SY9A1_Z6Hw{c8fSV0U{{CR!%Cp-HWtMORN2n{+QODOph+ zziNy51;(7BsFtObm9uL_&od8cV3~d|d_u7jBTJj#y!D0W!aK2a)z{LMH<4{kmK~#J z*Qo&M3bCZ(HvIOx_DV_H%ew}FyY~$RZEQK!6f27NProa2wiU~7zuvwq=BoC3^{^@j zpgh!67PQ4@7YH7Tl;4)!vPTT6c$4iNnm_D)f787mQlq-2B9^;ATk79gl{IP4sU>E$ zz;5k9tJQA0P;TRauXR=Vx1L9cv}CRNFAk3oO39PO0=10?ixyzHU=+N*h96!UeObKL zy&i2eu74BFbK!ZR9AO#f|K%guX|b{~Kwrc&5g{Z$W1e;Ax5yh%NYpE%N?<)VUPD1= zL)B$Q^%zT3&C~GWDt!Cmd%N9U=PwsQCL29eNXRZhUXrx7A*IgHEHNpWZW+t1c17iE z{HTyRPnDd*xRv1#97t^h_;TCBjW3UYMqk9{p>+|#J;^^yVzzscCIZ{?RIRAHnyM$)4Xx55=n{X_ZoXc&N?GU~S`*gDrXjC`km_ZfG;= zWh3C@mpZ5N(>A#QruA9s&P!vUCW*8`<%#w)@HToXq9}d6kWJ@e`s&La|k4xUy8BK1WY0Em7Ugl0Ll9l_gw+a~b z$-RD2>;E;dHBHK^%ZJNP2CDx$O-ngzb+=M`<|PAn9HgB=o!Qx%e>`mxsL6(C*&f>7 z)|Sx0*YT6&$%s@UN_@IVB#536VpUp%xY_*BV)rJ@%o;lwZC20>HVn3 z!{{qj&uGVq@lhf7`+FS#*w!(<%~`yp$bIB!lBGZEl6ZbV+z0JumF1zzq5SY;Eie(_ znu=y9ppFk(UNq5J=+o)Ln>`VbEhrTu{X^F&D1gSCa6xRA3DGIsp-HUJ0C%NztafN3 zt9-a-y@TETu}@XB*vt%Mb%?jJdGvmWLX;C~9kBS=UcV7iY)$~q7cDd&$$Y5O#6vZ9 zTn@r{4!92FBKRu7m*snHsAvgj*25p=#jYGT{%rX8rFmp}ASFG;)TyKJ<9#rOOHVmY z0D83JZ9MZDixYVBkUN@&*Jfck*2tBV^$NTH{RCbihRvrWm3x(OULpXwA#hP)v-m<d zajo^TQOUB64oe^v-J3*c%IR1LSkol3TbvTiU2z_Hkak)Tkvs za0bYGbJL5r(~MfmM35a0JT8%}p`!dtSNv9oabfe6eJjd*!DNpwcCwJOw}n|hu;%I= ze%JtKQ`A2Z=i2@M>h6K?M=dWclP&bHuZ>o`D;ZUxahxpevCB@?lz(WJk{Pa!)B`ei zcH2BEV#6d#dUS~3v)mI4C$u~`4~<#3b#<~?cyblH_Fo4!$2>kF7cd%| zGlpAzwQXDDF`uy}3Z8j_Tq6T)-Vmf%wp-vEyG!Ha^Pg{h)WBtwYDMQ&CH0d9+aupu zdIzk3fN}c`=k}a)e&E3WOQY@zaSvXeWyh>>#rumB5=42+S!K-l5LNwnY^)S&IAk!` z(jq)fO52&g;jB-WEhe5V7J%o@`6j5M>buR`xcT-HEX3Mg)u)*++yjN2~;mo}cmU8lmn}D+Ru^YXzoq!{eGS2p%Ev#%~Pmj&0 z?@x17A>wHHjtiEs!*83ILPcSR?L8j=_SLH?u#mj5?Ip}<>xN^j{-h?eFx_jhV@e4I zc~|zWFkJw6G3KDpgd2&a74{vU>%b0w?|u6C z=mirC+)@606Yu52wUgC(R(N{O1A~oDaeMhNB#V998Qb0y5Ee-;+&S?OqBa1s3!lV^ zX$Puf$JyNQ28>G2hIZ%f&d<5r8exWkWz$>bn++x2V9Uz4_S}VAVTJt1=MdOx_f)#K z&kJR+S`NaknT5?>K4{8EzxND;54QJKgj+)juTIZJVXI?PXkNE8%G8^CUBW9PQ!-w+ zOv=>1_I?TbK?{{n&zWJOz{r%9*X>*7tK)M4nCRFPvDYoFveD+=knqaTl(yF`i?Y$L zJu&ZFLFKjey>wx}Z-q{0=ajIvp{XmcTXtC6*c5Pfjs)}XpNjUny{f(1~&G}g&!gd+t1E% zV2=Y+?K*N|qXmWd$_B#jXBykZo$85&WXdAKioc4J3-^S3gh$qG7?i8M_J7&%DZ6?t z1{I2UFMca*^Jgvv5!ZUClo->Dmga^IQTGvycFdfii|Fs0vnbTFmuJwlk$)*cTm>DWzB*=k(F z=f#I}XCl%YJ#b=jb8P)3y z_?*X3NRMnvKP^9Ug8wWp*rzr4LJj?G&IO?LUdJ|wVr{R6wRkb*SL$HsbdP5EhEHga zvqg1tO)9`7ps|SEGml;9LCMfNZ_;ES)4>w0D6yrav-O<0NLW>HVDdNo!#r%GW?;`J zg7=2mbRMRVc2C$E%uSHs44c9q4Nr8g)9KXB3$DJv9}QV?$x*M*pl{lGYp^B#Jfdy5 zcp{`HqD_Y|FLM~yPMbr&!P7s z3XdLtP(`21>{ctiK4<29pEap@7z_J#Qk^#c{>Mp`;2O9TM@eRXo~do?P3vW14U3BzW4-mS;>sp7uV2(;U;pH0Wi0qaezvF}$_>Hgqy1gyG}iL-Y<+bz~X z*yH_%8l!@vw%(q1#hUr)JSFf-mRgAQI87%|y9Xn0g=>-YiIO$Nt}nEccHn_+uX!nRLDI3{R|!j8&gDH)%JNad zBhYLVFVsX*I;}j)a#4N$0xj9KB02#093GM8DG#W|k^Q=?nF3-HVB$IK-(wCt^oW|4 zo8BkO4LoQRH3|p3XID>=0QXb&1~*$JLQ9(So&=rx=HUd%W8<(g`*%|Qa<4#)f!^Fq zm+GI~5(#H^t93hZXKFB&a0Vej?f^|gJNNMgjaN4JF&2$i1^00z4G77&X21n6CD)d? z!o#H3FL8xCNw2kVg(pd``EZ37Nv}O{g=a~%%#IXNEZe$^J3}pmnA^!*7$a>aMJNi>OXgvtb zN9?czb5J_mM}K}6cm7FwEr7ecj(IPPjxC7PjsQ*13^YRScxAPoEPf2KHQOdJqoeI^BvL? zG4k3L=KX8*wk6VQMqJ-=?&C3B4*|JiNFCAdkboy_D77o53o^DU(2&~?Ff&l0YwoC*&~-onsW50A5JED;z0cuxMn!*|Cw)hN4D4fOpg_eu zQ7;3HLzc1@Xs+!5t91(8mNC)>G<1&!`9%Wcr*+Kb7syX@qz(LF4$dMP^3x{fGBNVg zHfF{P=o82ULxVoKpdR7`u7K1t1l+$Ks8r}sBCB-?8XZ?uDop59Mqo(5x|`LyFm48j z07F0@bwQ=VfL3P)o=H?xKUmq2pnKFky~RT77`@EO2k>a-7aprM5TKa{V)C#5XF>SS zA`VFof|5^NnVZqE?F0Su(QkID-1`U~uGLTCC#zO}iD+ZSd zHAaIpFO?ELQp1r^Y%KHlWbOG6WWis-+tX9jvVwYy|xTY2Jca*jkM=D2a$V zTb+a&vt2C2`Po&7T8(rliIEk9`-B>(CW%98DhtRZAHf4Hbu1h(SmwF_791rB3v{hU z29!i{<3xAnqGj|F%)IO>6s?6A=%AL41=vm#9Sc_smL=oF972t{E*5^U83d(OyeNs3 z6@ztz8Xlc2{Q22j&r1F(NLUb;R=q(FE}sh9OFc zFFi^k*vCd-Ab2YV=Rg%}>FEflLM!nVLP?zKVp#)kPp~Qm0nj5vXR95wASgZUBGg#L zEV+M&l34$fMGUm~Q873Ms=%lZfhz2hdqI@MX5+*=FjQ!zTwjhpHXa3QJ_2AT|Cf70 z#Q1H{QO5$q$jN05tK=T6#MMt00IWo7#h@5?40Y*gIp_!HyPG_7kuQSQ9vG*f3OUdY zr-P-IP(u{6L;R5o?L>;7Q3C87#0O3BBRG0*r8X4No5d;o+YPk1_q#0;Xt03&V zUQAI0WbJ@;r_8{efb}CVg|0unLmETs5EDeYlQd+Y1@p5E*L0-GG41jyj?>vK~w0^&I^&r2{U0tcLrSH%KOE z-L_(M9+L_Q3f@!{kP5#2qcbeafSOaVaU+Afc00F$L6>#FV8O#Esr&DKcbCj zy0noBV%xS+ zfa?E@vr6kY6L)^2b1Gq3L5&>J5yvV<%ukJ6AGp3?dHf7_85_Ej(xFM?MSu>oLS=u} zK}qA)M%qGx>RT?pu_h)_^-L37PiF-ktXj*9EcgYL)~L@sg6N%PJgl^>*B zNjNu?fD7;`q}$$iRtx5yQTh#D0`izDRFW9&u@NXmHAUHB>)y8RIkt zkpUO%WL=wKU2E2F%R2TPXl~x>yZ9Twa_TC0`-MqQiiiPnaFArgjj_Y<(qEl@^Pn5` z@EA+ca=lp#$#Y)Qt{kY12#QNQVOK+a{J*c+Dn047+^;OurDR2$>SJ%HK&-^`KBbgbpr3$neVt2WOI7KSzun|&a zp1(#Se=n0eG=aV6;Fc#ZAu~W}9pcL=s7Jm3m8RYo&t;&!n))JvJ@QawAbD^HLoDk9 zmnawhBOc}RMCEzeA_`^70-9|O&A-Us7tVzIqyaO9<-PPe>P+K8rw_K0qe^RXAr8$` z{8nO}j)moKYKwrSy`{tX3arr}$3iFLI9;hvJ(hU#@l?saFDs9>`WBR!81$phECl}2 z2vtv{en54UR{Hqkm){Lw<|4ex?;}Qg;br7)i}ZVo{6OE0CH+}t z+I$})9S)_joUjS7xrN-PDX>~U>L{+Ll}zC04ZztL7g+&}VRwOvWE1sdqRtpGiu_o> zQ|>7D*GIYhh6^Nca7|V5^cnk>_D)RhDUC^Y1dQ8F3ZDQrhywzH1^Zr$!XT+ z@e?EEBN6Lu*Nz(5=|1<+Omo6;CHw={mLq+${s5k$=nOw+&VXF`1Z1KuO#1FHDT^)E zlNo7%$P=iKlerDzvR5ec&ElfWF&LCs8T{*L@#vUeCAErf7%fG*hntfA|ruq6=+EgezIP&#p( zHP}2g3lk<*$L4pV+0HhB28n8?6$f35=kVP5c5BPX9-Wyf9}yT$hyvng!&uAa`iv4F9Ah6t7$AMOiN1VD7Dp>ViYtr%Mw*tefW1P+-@J zdJdYmfM#;)i6s1E*|GYax+7utaBWlSex$+L))1dTdt$Qu-43s5nCCTv&_|n<4RS|< zPf#5v^@VzJ`{t>+HMa-sZ0V+h-pDA`7PA-i7K52m()Bh6lLz{C)Ou0_tt*2_D}$2A zt@@mS4vum#ZaHih63mrbJ#oyhG62?S0xY_CjSRR{42aEmrWq^3Du8~ ztL8Gu<3XJIO9FpsqW`#Ob{E8J+_FVYiC>PbmO5gU7C0ioQ$mBIb#&~CoL;rrJNHR? zO!O?D1kO<6+{odRpH`|)T4oDn&Yu;l`WTw-jYY)qy-1is;XFgQmG(7`vim%5Y0H&# zSK$;I??OGB1uhieZ?XH-%nEoIpx2MR=DVT}TiU@}a|<~*3w4_JuNiwS+Ab+3VtO{6 z?iM7~dWN_53V?fx#zlI(`wNS{)}!=L&OKj#_?s0yuOR93lt-gZTK|qu_=H>J)iG72 zn{Sh81Bb_h>QMI?6OO24h}ZC;$S7HFz;YnGqPuEhm zN+^`pqlI8Usp}QiH|cDalekueEZ~fPlm2F)b!74r%{TF-VQ;RW8ssxvD zN%Jbdd3T1zgT*gYs*@A&LF@CBDlCyQEjZ(5d|48bV$)r2eA$j~f^?5C_m@|Hg(fzw zd|y*wlaA4mhdWlR0_wtM+#w8YgW^j#8Nc%w#gHz4lh0G? zd|aybP@jvO#kCzpgL9*Ls#BD8zcL;$B3Gr`Hm# zCyKNkuN@CkH9y3(LIwi53L8FGO@xIhK0QzKxSSXMvNL7~NSPGc^@}{8i}}juGg`1T zc!$h+7QUm^6~5~|WH7*9lQ(}s?CYm62XL<&)qH#k(zma;q;KB3v4VU^5B%dMG5nFO zq0@Ka1mn!Y>dkO6>l+1U+BG|&*t5Hl`Uv#&U(Y^A#)a(&75bL=CpcpR};chNnnad>?#gOL`Zj5v!Fc>#Ly}&v}&# z@?uGuc)9hLUClA^b3NaV&D7_tI*$=-Iv)!wc2>)zmw}$6ZhN1pJ&`kg;1BGEweM=! z>0l0&qK;D6Ts+3^lPvNcyErD>97si>~@q=7Z%{bo}sO7F}4PGC?HL@Hc8)cK^`M-Pdj`w{JPI^R@Dik-px__3k4%Fa-Z>R&r2%>FgJ!r8zP0bg*Mhd&n8} zeC;-AL22^)-1+>IzEO2+v&BYb`#$IUOr@nYy|3BqIt@iB%&kQsX*LeiN51*k$*OA$ z_B4Zc*0qR6emg>@Prerhe1cuY)e$H^l+e}v!rrGPcvzU~)Kwy+yKjiX%{R>q-=70k zfG(;2CBOBHOI%IB$y3ff3mivW9)~U0et&Le4dzFwL_Qlt?3a9eh>Hj{$`PBGo1{69 z7m#0CfWW7Ch%x&1Pyf(efu~ zr2Hg--{M7O_(cfr7Uq&_;OSRzz~i3omsK;o@0jX#tnI6Lbk9s1M0~Sy>QwxfRUZzI ze;rThC(bkZxSrwiq(48IL)PLb;Yck{=_7E|jfgI%W19fgpN>yQ9+WOeOn`Cvjc2pa zYAfVJGukYeY{k={W}1esy?r#%4fgNwdF|$US-8@2_whbE2G6Wo_W~Sgw;MY5d_{0Y zni!uwRSD&`@ORKX%{{V(KAxgHjZ!=%kNhkeI|&$jJe8(UItCUncY3{Uru-|oWfwE( z7a2n(a0aZS@o;ivnHIQ!wdHp7&J9T}+CB3DrS4%rn!Q}we;sXm+>WheU_a)VNqZB-{3#crAKQ?*3q#fI?xK#`$>ro zIAqm6;VNuW5}B*zKVU|_St^r4u0$@Zr*ijVkXHl#&R+C#>)vlq2tJdO z;}f)K|0*8daP728h#yaIG?_9-DK)=ANf*nrEYX{M?%K~nkwZP#r5A=IxizR;9Wp_urRe#WXzvvzJd*M~1Fd%za!%x2;^j>Xq=n-7E z`0rRG+uekj>{J$zp3(VPe=Q}FYPT|OQOHANr0VjT^>8pHVXo;pl59SC{^n!91lRjG zG_2Ukafvu$-Vb}7T`=|Rri*Ws)`wj`u%&mxeH3DXITJls=EtML^z zLYUuEdWgFN$9?#g@`e$pu&p-+MSdQdmB2<-Qsm$$iCbkJ=>l zHFV879f?$pocp(nWB z*@n`Ltz)fO`}4QWW}(j`UbeH&A(9#-XRpkNn@VC=rDG6~xTsWllt+`gaPsHHqhxy{ z>ZSeNnnu=2UTl#`?YBKa^3IHz!3tX@<{>#vw3fYD+vVFDSe{ELS}H|r8nJH{>e#{8 z7rk?G1JV};niQEP`p>>~#H>k4vOIo$MKtiS;nfM5+|B#9eo-s4EuXMvxC zW=xUkR`WQ^a<;Fv4%WzQqx=z89I<#A7s$Tzc6b#on|!nzb{8IFt|$K#hI2%3`@3SErd5D1UH*Bc_7a4#}~{ z5FxkmWX%3jRa9Iq=|4PoX)T3Rj(s1vNjy--l(#dx;`oMQ#XDZa&3Z{xK|Q-MM8ELM z2NkcI`Bq~dsM%aOAQc&~#5WbHRaAAD(#QQan9vU2`5`5u3Iq>2lJ*d;{-EODr@A0K z^&|ssgyQcrxoxK(2IFfzOLp8#h!3@3Ekh6NxAukltvrvxGEpKM8(O!w-=dGEOG0Bk z8^uWS+vn~4(L4j#SI!kWoW1(!L{y$!6h5Y<=Nc~kFr)_b2otID#Qeh2K6N?X3^gxP zM=$2DHp#bE>mNjgW4)}$o^JLmxmw+N9A*Ldqe0;0ws_Cx_pN8QpmxUP0i2e52y{K8{ z@n4ZI7NOs3+`GLmUA~r){-NAz%kt!p=zm$GBeUgMDL}en z`Ofd{<3A!ywS{?Bj>-={u8zt+t=f+4{;mA^mkH+s2XLdT%%u>+qx7X@qp|#HSe$?J zbh68xd&yG^0#=ieADmBnbjGE%k+iXGnX0kX)%8egt*qr`WW&pg_Lmo}FE5&2Zhib# zRVIC{m*BywRxW*bH{)s7xBR2+=@{5;p2mShHmN^k>p$q=lsS1Fa*JHCj`!@bZYfxD%sXKgIg={g*jUc$-l9 zBky{VGcPBwI5uX6}q!b1vbNeJ9=InP_2{l+{-H> zo-Y&S{OXg^;yKOF`RCZV<%CQX{fK3lMH^C#oEnZKoFvXw1alfBUl~y|Y!O*rE>j?A z$I+Q@Ka=dkWUNg`Yp}44>%9Nj;t~_jmxVK3I;3B_wJ@5kDNeKlytDZ!EnYO@ zx;fn=-aqWQnN2SqmiR%>jG04EgT6xpsk+w`Ewc!Q1c?iP4wdlXE6vpWbqY9e%gETwbUba-EDjbUqY@qiT%Jq84xBz z-{JP`;UtAF1)byaKmoddIq%UD&+PbuLp?Jkufjn*Ur7lnfXs0`4bY`X20{eE!h`|@ zrkYs9Fm+n86dkAi&Oe6kl_CkMmpfI(>q@;d?>vWMK-_s3O8;L^sDE~)+a~buXy4KVp^E;ZoFsBoAm$Ak8h*5|(uEMJo% zt<#fbNN(}(R)J4duk9flh}d2Grd@WJ$$FWbLDLot9C3!5ZztI*DK^Y+^iaKLnHZLlbr!P^k0$kPn7TsFRn?7$Yk!rD|Qnpr95o!%!mS@d~! zG^^KC8`WIP=ELGQ?YFq$rv8`dHjZrIZ-nJ4Z7KmgmHNZ>wDmS+oB(%P<5%<1EmBQ} zR6vRwaBDxn7pXQ)_L#x&iTX;V7QM)1p*uT0_jhvlD%ax<^_zPBZ~4=-HP+Lh)2UiV zj|xF!Z^fAP_>7V-q8}kDAnh7_wCxsiGeZ}qO}*BreN1cP8+5A}wR-x)y+Y>4I&qCi z6)v#0@II0x;hK@@e4^Z^-ovimL#BR~)mT9u;Pb)I`169oW~i>w>Kl%d)No7LQC%5g zK7|aN36HxR@yMjGhcBATe^7qkgjnnI^JCGeSMgMJ@mvNDdI;FW!%~wQ&{Wy9)^@&^ z*NYs#Z1Q0r#H`7(IKQH>XE-vDIzO{XX$o#c&t(viqJ_u34iVIs?`YL2P z2H3lOcaZT#I2P08d50MQi$cmSq(_*Kv0`dWcCcDNX``PTx*V~#JMj4<;_x)M>u}ag z*<1J5;;xh4JaR;vezUT6==y;c@8~%FKx)!*8G%(FHq7;h@h$q`He<8G<$TL0#Q7;1 zqv@lgqVc}NIi#y+lm*c%?0@%qtZ^iI=7E-DY+^Il{v@Jma+cn0@>uY3osaRwRUj&5 z(s1i+)=d2E{OB3OH+(>gw2oKG~E);syN?8?cIUktbMee;=Y}0wPodQ z*+XsrwoEzx!&hR7g_fw6IVPJYUHqTf-Do2OkN1=k^rBy!`1&^taKqj;d#}EGxd1#Q zWNaX$-RNJ?zmpce>BDrSz6`? zYLXkyYFZg~bgvK$39{tnf(~F)6i(n*1rya-U*KJii-~3z3A-vOS>tc7q&Zv`s+&b>%wZ!GY8S(OYXg&zrsuwcCACqmN?RnzUYXy zaSosS*y9+oTva%|!aFv%ZWsaHF(aQly9#}OV{bUhQgMfEm#atsts<2bq!tgl&ukPc3^QELLjUvdGF?^7(5D0)-CL|$R> z)RwXQt$?CW)AsrQ^>roiP_6I(n9aTn(=dnZ$(AjOk_ZVcMk!R5r0CkBMATR+Ehwel z(k9YM6U9)-5Xu&!B1yC=QE3nV_netqM)&tW_v6!<_dM(OS>ERy*PKgeB=OP|>vY4(Rs(<+PPrGD*GGfwdOcnd+Olcy3O=WNd}AKI=3)L z_q$Gehu5iWwsh^JrHw1Bo)(_G%YDBx;P{)KeHvQsR#rtFAEPrCmDCp$jAk5ed>G`k z#d+&ht+IXVmfrAeP01K0G*&8=7U;~pym*N?yHIClXGi)@sivafnf_<02+FK{9dR>f z)}w-gU^BgyXQRu$bskjCa~!o$+1D@%31?fqJ?W`0gxBt`i2{!>Mbn_P;*&bVdtw>iHF+}3`leTxdK zXfQTc<$N7;r1)phbawQ~l!3bC7C(Z!0v|7Q_{_~~U3Ol`DaGG^>cvY-BMP(8il>h} zx;F?vT=U2v^z-Lwp@r+BS5I5vmf2M=V zW9QfgheZEWbaupW;YnhlYNK}$yIzA+e`7vNEX~oOpw%I=TW$8h=qsUog`on4=|fK$ z#hWc}u}r<*8d;6qGs=sI8A&Nwxjy{XmxXyH2CQR`GkS9u2bMXoUMNR6oGDpqJm}LA zJ$9>XAJf!+%dVn5ua?S?_lf9a|atgbO){p_7BH7IL=^1R^B0~bziM+zSr zp3!EFD7M+KQfJMoc1gbPvUjtm;Y9LKw@LQWgMWG;K<+W*}0 z(5%^^XG~vBPdBJ#o?GRh6)oj|w=rEqC41GB-5=At)7cH#26c;dT}IE&1DkZi84lG3 z|6I8JLVI&Wux-wjCl?zw(+}Sa$q{=P(N;C>*rhJkeAmLqy8Uk=cW*6;VWz}TKSzdU zDt66u&HK5r{}t7GWR7k?W~~JJ`~yMqi@D?GQ);HSuk8vM4mx|>a!YgLsaq{BY;*Lb zn?qIEk!BJ0YSY%YCMhPHvRZbn3`~AzjQ;a2xh6WMC2CMTqi4=Bt*z|yTL(2v@74mX zpUYZlr;o8r+h5sl82WzX-1l9ro1UtBzPq{m$hYmz(nS__&LcI=wxfmD^)ur#2rut{ zjI+J2el=}5#jGXU=GB}09*yCsJ35||JRL9FK5Ulr6`K>B9++pG^nh_ZAaSFHnIflU zM&In~kBj$zQQUvuxbNO@*$3H^;#>SJEDy}skyNlEN=i|k)l{%$t?^GggLO}f4ZtFaq~oae#W8c=QpzU>ZC4RWLtbKQ0V-zqKF%B9GdQky=cgtKf`~d$)m&g#L3n5 z79C&1L%!6X088(Ex42y5+%$F7f%;WPf1>g)MsKhFR9S4!KIr@rEne9@%b;;~h5vUk z@M?XS{ixBE2JgB#H)s)VHo7b1W2?<}1{ZJfWqj2M>M7sZNLyEo5P`-pzvIfZ=SU%KG4YZ(p?%JAO@{ip zi)%WMwdy3UN*8rk3}33XSI4RB%<#clyN}EI?Z@WrJz(OPmX%|!W8;*5@GNa3%h~#L z?x86i-#47zZBqTVS2KEV@glRYh1Um}g|9Akep)tQf9Z>>TTIENveru}{_UlopI9Y z(8=;x_`d^0{;13Snf{DsP!0c7mG+_Zs2=OfhgD9Lzeaqcz1_$F(l~@t##;x8uXDGq?_nS{-N3f+_|70!HC!204XM9mib0R~#f?Q0P*&}5cH+6_W>5+_$GYla9ikmBf#H)&s5@lq>D&wd-ScxJs zqMZqmnR9j%S~7{RMb=pB?+|!KAPB2vO!aQ|rvJgaB&I%H-KiL+rDk+M?5%R@(izlBtj$7m`U!XT^uR z2LO!YrBue5eBT|=02w`U8nI5{4goLn0 z#R4O-WC|z2*hgPMIE2{8B^goM5+8FljTl`*=i)AA3N0kw=brST92H#`-_c_bHnUi` zOZsJ840oH4K>$fQF51V+9ib=KvwV!*3CbjAuB{IvfKbn^>Y-jGdYt*eY6p_N=cAH}FiLBj0# zhg`*;MOTTXeGi-xo1b z>LTrmKhA}J02abEK2#q~xOgN$&C7E`iOQa@#FSor_uAZuUguo7sRp?ba@4_RUvJ-~ zsAzWY0msXHjJ>vH$mBT;=bHO`-{@r@yQ6yO+lSk)z7sy#ON5CDZ#2}mS>m#@`ojD+ zV#|{rn`V)7ajwxlLT4KsHqE_YeNCxrkN=hD@9mautVM<;3EP^Lc3+s8<>>;$FErW9J{J z3p*^o>GZrz$+GivZqbgK@Z~r6)NJz@phxG*TG|c4??Rxx-Iy>{)XR3rw8YYOh>|dq zTFOYA3))XP^yPUBkWIT!wRi8S+2=8^x~utGXN*X<^U(GL=~j_n*T0`@_ZYBM(ktjp zf?rgc`{k3?$1jGi4%<6|SVe0K_h;NG=wu)K%0Av0>@jVh^e^}2KX*8GrL|i*a=p*w zkI~5AP19~Ua*@@YjIVDEEaC9021 z<32t9?r!cE>8!pn?b1Td8GrZnONY-hly}FH@ni{!xySZ%` z)uI1=?)E*dF1L~hP5WM|zsL`1YV0rb81ZT;w|TnSTkGrb;R`=6enz;7vmRPiJUr|0 zjrFp`>3NoTQ5>QSld;IPDjSQ90C%?2=9#u=i zmA7x`Y+9mS_{-F#_V8CJgTO7}_8#}hPYkX!px+LK^z99txng!qv1>=YTaDEfh0@rs zq0eWR>>sRK6r1$<)02W@gR#?M<^Opx+cj>`AUO8C$VazZC)tmFe%}ydy|2P1SY+09 z(vItnuiMU@alR>$bp3L3-jf~518T3^PqB7Xbt?Ak{$i=r?v>!zDcln|P!+c7r}l|v zE1_+j=buRpe3E%kPNEK6mT4~+8tLSyp4%o&xjtCsIN%WGG*k7;ipoqcqV3i>5^sO{ z*oDS3%ZN3@UgmjUxy4q9=r+>9t*Gmd=ml@D9cW=!Ft#zYhTV>~sU%(dF+FTCOX__a z%X`PCA3vWNj8qxrut?`V>o>e+SCB`hhcU+pU3o0Vy3cIxl#%0M9%D1QvRTqEhgB*| zeG|!v+^lEudNl^c8={_Nw1|C0)rv!ub}G)N9Z9xvpHbsmeKhWP4Xtbas)U~@dSUhM zyM&Y7+|85|g;UNyQ|t3^pY9cxlmebn`&hO^7d}v<8orrZhQx}UGO`k1f_m>bpUkfK zCz)OKPfBRz{Tj}*%{5W%QTkqoRK?1mIYXrb z=B4fQL{7*29`Z`GJ7l)>;MK;;*}?mUvVuju3g#e#1#`q^j4+K1<-&y9-Z!iCb~q%k zyl-aT$Qb)>JY9#|lq40^8)&I!cELSi+7CHI%9fZ{-{oA*MV64GG%G$K%9J6^xLU-L z*dv6r&vjz8jcEU1e#q`}$lE_p#m&kow(YC-duB&=uEV1J3Ciu3PSI^2w0oJ{>{y3^ z)XK?jvz;Q_-fBN!Ud&$TkdjK6+-~8-ifDVGUB^tzR&tn@I#0>X+$pT>sdhOtI{V%H z#*_rb_8CsWZ5`SLOyBI%`N1ibsGFJ7y0&)hOH5|=iTRQ#1hjp+)B3hX?F^=I_A2;g zY4aFvCQfVHYPD0DjBNe+i;@%Ix18wgWC~}C%qJ&*lrJDEk+C3nrQAi7j7tS=)_yK5K#f&b=RL zg*swOm*r?XGWTaG*iYGOLNn7Ab6Iv;dk%9;)@!@!J>hbNT4IZq9n&^udS?~e`R^%^ zHJc*p^r=#meRRlGl$|!zE5hD86fVMMB@Pip*s((gh1r{j)P>n0Lj@G}`k{Fgw$D&2 zne8#OhRk*y`bc6s4<(S;c0;lx_MEW&6_+JT8!Fz4mtL*V6Dv)x_(!BPs^T)GbXCP$ zQmJ)?9k&4#2^q={q`^nq%pZT>Y*AKfNt#!4eKh5t-B}eLC^rKE@)xUJt zu5C4^SLOdoA&2U3^ixCi9=q4q^qW1noUfEZ4$!yoOJmg6xi6?`HKy;&w@fDc>I?a` z$k&&;KdN>$poiwK+DG=(zq^J?hp&eTsBYDzFU^nLOJ1s3*TA zRf*nr_17-)O#OUcreuA9yLpu>gI;@8X&2c@KiW4%HHGp$yfgco@=tkZM>B+yi*a6tqQV6& z{LJFB{F5p8nac)+7%F~deNX-iz6RjgB+e5@g)mk8!fhJ`A)XmlZiKYyxFV|@d0Sy3 ze90wHswScwRwvPo_kO&5Ju-s>wvY(?kJsVHKphgm-?9e=_pGrnKMz2XbbnvUV8DmE%J9$PztQde^3SAAi zBi8fQaKMxdNj`j)m>(VSq%t15xPZl{$zwe6xR)nvC{DbOI1p2-AP~2=lD^~iai9f8 zxG2u>Rnl^tjsx}9{c#lxJt2}fcfv{6#(9aYfyPEuloJw8*{u1;dYdCeg5GaedAxIK zD6?>RoQ__i58pHl2q@*{AE6w#*F-J;N6f8uRnjyb8+5vWXBvc4;>3xppa3CECWk{MkB8u` zHR(N1EsR|Q&mLUuxf1f|A8c?>fmbO6eBB1(;c<3PtE3F^tbk5V=IE{@d-AVh7)r{J zp7O6^AJ<(Z$N%PK@Zd`{CY%0ogR{PfREv{vhW*G($0hO6>7;m` zuEv^EJ`wSLq5|ZDN%)!ObXl|6_?f{eni8+Uc`DH>Rq(ZKJ@nH>_!+v0KHma61CW=& ziQPrtf{OyHLSz|W>N8Y^V+?3NC^+#*%_N;E_}P~|^c~CbGZjnvH<{l8Kt~TM4U~IO z3P(hO_KAj*6a`JP^TbIop-J`cWI6Tkcl5B03H!A14-%GQd?3lV4t@BRpG z15B=F*_GW0g1Cdd`KW~jwgf-@K16<>VJA)S#~?DoFLbTHp^LK+K@1T?5J&>KfKLIc zC_fAd)~;Fq-xujZ@S?xpe_u4mE(Qnw_eD>5(LZYQe_mV^3oiz)`|INWVJBmUBd1Hcj?AV{!(@I+1lW5^hkzYkr=5g8HAgJpbVf~Rq! zib)8P3o)kuCdW<|L6)#UKX1QH;X#_3z9FF@n#KlxLB0_Yz@-_LP{o3HH{`+E4rply zq*W8(b`%CrViYvM=vzn%yA6}ci8ZRHAjnNw1eq*Ax=|G5Zypp?Oj zAS4+|@qU8xT5A)OO?2%<0Q@35o;E6`|3{?|F#K2l>MppVQ6~gqx={61nA&&DZ;?a~ z1aa*WLL>#;`KUv{GX-V~4=yZ+|Aic5of<5bnh%i}rXq-v0IN|V;m8w|*R?~Su|&PS z1d#j$wP!*uk{Y{-Q*Ww5IG)WjDMk%@Te+8CE5W&;6LLI zw=q8d8vg)qSbhc(6PSfk!L4Fwc7YTLJb{kjW@7?ij^l?Q(uQ;rcvb?D#?}409zh`1UN?Dp+Gmr)8b-o(0JsPMYZJX-yIjE7&al)7Mlm`v!ECu1f+QH&7*9tI>PwY(EtQ zDLN@M!MJt$Bp`DWRk6adcuqvkT5b=5BqfSZC;-ugB)oOO_Vu3y0Q#GuPVzJ|5NSa* zut^TF zax`}~j!VQuwhk{D2d zCv&iF6%uNmdCCTnQ~i)u&ESmc}h^n)XCsX2daTnjU6YpZiG~ku&W4e z7#vlQ_7GLasUoMFadcq?#lTJ{C~Jczc>0JhtFd>_$7m=^9|A3C;zK>KuM{k0@G z{uWioYlZla(cn>7%GcqhD>&-pevt&jgZxaEdxf`4&a>u(Vqj_Qi?SGUGyqAjR@h_;_a<8nP}B2-Tu;xD^NYBx=P#Tpge# zf?ewuLkc_{K&RkjA%=TT%ymMLE02%~fRw370igjD#l6hLjGehb3f!__(DCgVUp{~t zdpuYQTW z$N*$KfJkFPO>oAur4i;9kdX`-1zXY=qBKC&P!;L%yFXT2%9xNCVQFwOiJ<|!2ly?2 z{O@lBF(8XegVXO(bzLl^;~#7Z&JG0|rSXu4`G)&~Gp|uO9BdiPSL*{%f+obFxC15( zwx{(!fXg$FNrV2$l9Pc?8-H2iCAOR`4Rl|klkr}r`wFh)=SYJEui)&5bvGxBW_6x4 z2zZGa<0~hy6^Com;KoaS-+79y=$1%>k(a10PBV!07>QfbK$3$h;)CCc{}2wV!%L^bd&Y+o2QD2=DoE$RsS|52ol2GK2~e5{>=%NiU$%k@7U#&z|JlWx z%b@i{Zz_0S#_tGam2hSA8Y)QLLZVNbkZjD6DEYTRu%PAOh$fiWhy1C)M+ZKTVO8)m z@bGj!Lr+LM3(^XX0`vc&g3M}utt?BuZ507i-X3;1L9!QNknA3RV(2f2cAeY=?RrOK z{8a=Mci>gIqg2p+2POzs76#Z#$q6c0c!w|H(rvinT?toup_u{o{9ec4!exs)RG@DT zAA7oR`PMfrwYhm6?lhWkixrGXVj~s2sOHZtdQFf!x|IqXoA_!z)WMa22UH+k&+o;_ zT8}7)p{UxwKocIN0`7G(3Nq{XYR^p}nG8YD1|h9r+4d1+f$P5_hnE{fiNj>S4U-)e zXfSk9Sx{$6Rs=4!e375BMRImPk#T>C3^bGlwsrh&_yL2*$V?VUL*MXbv@>u;#mXsg zXS_bKd$)MW0%8+?<{Z2U8N+wT0?AT-4y9JWmGzfoL2d;ff)DqOd_M?tayINSf_c+- zMHV#2!+>n7;s<2$UC3QpBnyJ>@-?(Hz?FxkvS6?Q4u>$hN2=h$oJv`sT*XIyNex`_ zy(D-3=37T-K{$z2h=L~IXPMi8S~G`0i`lN zV^wgI$Zj~B3&UP5*m#n1HsU4y}S09%~kLlCQOIpC8+o(%5Y<%6xy&fWG+8%`dj6DxamuN-ix zlI}I1MN_@Y6jEOSP}kG?3baYU80eHAzm327&Q|hzdThN~6*Mvl=zP$*Sz$ z-l&Df(f`VvVLA;|!1&+@h+?@h3a3HXujIh28dMfvW@Fv1QI!T7>-fNEy;!{woB3*> zTER?wH3h0I<;yFVxxW9{-PMj*0qG{m!9ilQg`ftJ2Iqmki19NL7Old(|j_##_a}9i3#4#K$?x%sV zW3XK3{+mJ1Vy;)|LL8F*!eKBS2J~NCS2sfLRYz$crjg%6Z`8w;_);2pRL>vG$^9Q% zK0{C(;j}MUBCXTH|1$a!*clMcrf)nBIqKPQ%F7MgENSE<%tWk0;(bykhdSz~}AW zo8WCSc3+C$q9u|7dPo+~^G_2c?-2pjeSGH!`rtEzRvRGp9PUO?(~)No41aq%P=3az zIM54M_AR7?E4`?i4rYvXz@=4=%i(6^C^X@Ii?fmbughSp8=ZvX>j_m5t?tl)A^dd( zKI7r|epjY6cfibK+fB?oiw-(y?tybW{EdpNHl|T_piWf66G6NSCqC(2{hp_Btv|3S zhjS}N9ox9BtyftH+4$E(sj~~@LB&&;MHpG5*N(CGh6wVgWny7AtXBX-y{P&;jBIh9 z$=36g@KG_mXBQ0c2vESi^@|9{p#RSRgU}x6eV-=-r1ZcBj|qI#68*i;1VOC2ChGlk znE}k6^2tc^hqtS!LTupU2&r*t1t*oK48Vk9acN=wA#a$lD1X8}38}{&o5mOzjMMNS tv~)u=kV3d0fG& gg21HYWLaKkMy1J#yuPeT4C+9!&Ab&rQipFh0I>TTY5)KL delta 99 zcmaDZ`&@Rz8ZJha$!oc6f#gOW@ySfwo={dYw-t~zkJ|=F-sXnLOHMZ8u>kV3d0fG& gg21HYWLaKkM%BrQyuPfe4C+9!&Ab&rQipFh0JrEHkpKVy diff --git a/target/scala-2.12/classes/QUASAR$delayedInit$body.class b/target/scala-2.12/classes/QUASAR$delayedInit$body.class index e87082049ea9b4d0994ddfa53de8fac785ea46cb..b6f388cfda18865c1176a0465d4685277868d12b 100644 GIT binary patch delta 19 ZcmdnVx|4N-3=^Z`WLc&FAeqPH4FEO01m6Gv delta 19 ZcmdnVx|4N-3=^ZuWLc&FAeqPH4FEOm1myq# diff --git a/target/scala-2.12/classes/dbg/dbg.class b/target/scala-2.12/classes/dbg/dbg.class index 644a0789cd37d1132bb7eea78f78fef0e19db10d..81cf221935054e85e72a0e23fdc6d7e0e3edec2f 100644 GIT binary patch literal 275410 zcmce934C2e_5WR7@|H}}CJkxR1xTCjOSU#?Qnn;b+q7v)_olRceMw%@&}>a!x>*r7 zRKyJx6>&oa1VKbZL#7EZGwHG6)L>Odx;dAzQJ@)rfMg#cMkLqr!o^`>2e|4FLU@^iZAi3a`HLlmalc>%OkG*>m7ch zo8RQ{yWIRs9R4Xczs2E~=ehFV;P4w2U*g=%eArcamz&?|@K3q2~rdclp&wpCjMs=3nXXE&nXbRsNaEb^GUt5wU)rj)XB=7dm5ywB5TmD(* zqx`eX-<^-+pUcZ#el?=}v(&$vulzId-Tpb^_~-IdN?w-R?ZVG~I4=2p4!=?H2dIBt z>9kjuTVDF}mS&0H&6ob1`O2Sj{>NSUvp;A4X~oYgtXMoWJKr?t6x8UmYmY?r@-e-+ zd9#@;=n{FRQI@~3&uD4xFgK1jS5z!EF03yut|`sWGuIWITB=7bPG53n4nEM+uyZ(Jo#tfc%~w=`FzmK&=QHQTS8TX(#xY!~udW-Mu` zC@$XHd|*yIUfy9EMty#H^Qt+uiFjVRtY)SW=~`48HCvjK<_nHiRHT-HpEvW^c}B^? zGO@2}PE()R*>PmJd|6+AS>>!|E~-2d%QuWoE#<|{e ziu&xxKiJ>g(tKr9M_i9yoGx2Ev-3dR@Rp{^BTJf%E0c!NQc+QD>|az-Tyvm#S6+GX z?rn4HhPKS#UVQ98^FUr?VZ5TE(I_u2Ju$SecDVhjIkP&Gb!B;Zg)xb9O9$%NWGqQk zY+pWO?L_g?cvn@$npR^*Uh(!r`n2}MMJEQk){bwvYR1}WhpLAN*EHa|B)@GI^nBXf zHlw+D``XbiDlh4jcR}Hq#Z~*O({1OUSu|~SUH3r#`il9fON||gXglV&C(O3;6P*X^ z4s-qu=}Sw_^skzoS75$C#5-%6^NhSTvntwZN7H3zHf-%$eRyMi<%y2{HCLjXikfDt zoQt?#v(|KX?KrcjYJX$=y!gE0;yFBTuF_+&{Hpy;$FC@}p09L0Po%dLo!Kz$z`Dcj zWu<#Z_E!%lOIAc%%$AtBptP`fzHSWX7q_h3Uwe3vKT}pXyKbCtEO2lXwbyr?=r~w+ zCs)i~OZq5L`iNIGN9}w^O2o#h^1On)y>X@Qyjc~Iy5XHy&Db}zzk0kqzj9WgnQvC- zwJk2MXw~z2;;GcU+QY5g9eZ2#mf7e9OV_8S73IYb&Tr9M=9%-_`-jULj<=U}G&fgF zH`m5f#f8Z=hd0g_{jk@eezaS0JGWbNs@RxD_BgAqZ{wcgitTaqlB30q3r*A9jQmmt z_*X4JKL@@!3C0&MoB@8%wyPHGT}8MTSp2OsnoY&;s=hKw`4zDH-qu&?F_rJJ#L=tNqoPf&9vSEA@P`$NFi9Y9_WAiDrZBsS|oWREKsJ z+r9C~4866fM?E)YY%M)8IB#9|Kv`r)%HdPG=5Dl0{|>b0{_4JiMq<0Mzo9qTRJmki zZo}bp*+nx^&*6=iWHxR)xOV8$%_~k6w(HaS6JlfG?54i{&Payr#k{zD$;$G&bh>Qr z+G$(KzEFN!Q_sfEGxgibYmfK$ojJbkVBHA%?UJFcro;US^p}GdA0FAfqQ9tJFX>Or zZ5Y|t)U#!C>B-K6)hE+snVHR2`mVb1^d+Sy2hVRJd=hWlp1CJG=8<0z+X=rDN4XoC zdRj{=;Xj%(spm_3l=&@gF37ayS8m*TurAZza$5EeDt}dBG5o+_1L^f>r|dVz9B;hB zM86v*{h?fOMa_vVn^!bc&0c3LcI5I`m7_h&=I$#zzt~vl@Krw*4MSJxrS0`|H&vCd zJKj(IE2l@ip~8EvfW`{#}DSOp0#pLLxtJw;OIEGZsg#i z%!!rF@$?na4%TJRK1VtatQpy6B#xmSt@Hz}wUzq{_q)q!omn_{U0*x&xuCo@Gq71S z6dtP0T$)(1wkpZ`uh>x2)4!v1)=>GnUf^}NS7~cv?xt-AYkCKY&<@69V_7S1jNlT{OOP$BGjx$gc8h zYPWS&_n>|1SI(`2-E@$>cDI+5#uie$s(yGy3EA12i7miWc&Nr$8IYgYUo+7P{n&PM zu<4jAANuJ}oLRK;P}AXbXXGU8x*PhjadwbgStMn<~1^I)D!w>7&=&;X=8n-cQ#3VK+kiQY_;?Wy;WFpT<+oaMVXluzJ4M0 zuNi61Yj0jzzOK7R+Phvr{&%I-57FPz56Rxi4^X+Xf3p9oLqDlI41ctD>j8Z_ji(*x z*ITZdvvK%}I^;W01HJdB%T}BiEN>WuzethZwr*ar7xRIky=9r`LOn`;sSo|C@6w{) zhE@57c?9#E?Xy}YW)v59Ri&1h1%*8)hP&#~ugGt&9^X=SroQk%eTBIa{=kVF<)l}u z{5jMwrJow$~wEN_?pDx>o{N}O!z#sL_9G<;w4s zWM&o~SaalHS!Hx#Gx`CQH>Y7}%lTsC@V=%iC(1+x>vhY z*QDFwPe;|=B~`?k(4Hx_O!-AH!t^7B#X z1>;F2_5T_guZG}9V7H|-p2&HL>=!&9_2{yHr(1W3Xg~bYHf~Sq-;?r{^`d!CS2>M~ zC#1c!B&c4Lex@ruW}p^cA;(9F_ke?!`ziZb;Dz6jcxzpFiv#kN{iTrnSIg-QE9b1G z{-SWg|H5C)RPmnYOMhHw=UdW2v3Uh1u68Nd^cFbqUZZ5_6yAtyR>c2t!<~-fDyKM)nyEhiWP7gZvHM02(=HIn6 z51QebCy{-UKdqm8qI2%L@nkLATXSAx^U4G4k8~4$Y6tq~ykcWU@!$y|`=wcm`6%-b zR>O`enydCV95c_WV82D?lr5+6zvxVV(fLiIU2MOH7hrsI%^#ru z75i50uj$>Z?7Jp#ej@D={#>}{6Ae{|8v0s`4xFHI#yserf7E4;)~}d}-ybwC!G2un zFmJD-`C(Zl`_=sBmX!yZCR%r_SUZB>H$!EmnE%vGa6c|KRyuf`dDNlmam-8I^%!W~ zzXI*r)HguCCo#_|X8%TV#fH=$^UEvfcNTsh@jTC%VoYMMyr&)qlzzpTcI%$C~H(q_**%*n44{cCw%X~VSho4Pmdp!u_!Cm+P` zrM#N6j(;tkhZRH9WTo<7t3?c{~lOmmE)1t(dR!_)gkpgdc&Pje#-*#qqtg#b{~^bvOPkhj8sXowc+pyGy<2TNIXXDdGmvQ= z85$iJOm7>_42%qqS9+tZgQ@ZHs8)yNjS+B9985s+Bd&a+aErh1O1`qPyyBO`;A zQLRMRruiO4wNkvXmCtpp()X~kZ){|Uo~2X6yGA+&@G3bq7}aL#T4~S|UAr(SgZAN! zEAebyn@)+{TvuH!#J0%Zf!@rKs5Vd6io85sTM$&*&dk`raKEd-3!x=S>3aZGs2Apy zm8s#8;mVq*wm{bwUYRaBR^l!F*l`*0q?Gyxt8`(ZLY?0!xSkLR)R zZYynpMM}xS-l3k6;mp{`U~(+okCfxxsovhP^!Ru+Gp3Js_lzgUySpdGPbE)`QSQZx zl^z=#8B;ugTp^Mi>rG{_zo68cjTEXOm_t+plB2>(?C6oy@iYoeXWUFMCWg|me(1xN zoES#i4h*_kV-v%}Fc&fgEBhmIJ?O zlAq_|oESsbNs@Ac_*`u(hq3Wdl>^krdI3l33&340nW-$mS+mB= zS)Hsw4lrNj1sfT}UKTO{w$*{bLF}9vOpXtnM(;r@*)~2f+=KRn6e(AlytGvmH;D&C zXce!VEhgK=m(<}oNgbZlJLe{KfmYxKcE?mFw4ccq59(Y1Ji}Ej$f=H5;^WHPAxZ{5 zGE90%rh0nN-(?2OB^)-_s`Cj)u*h*5-0V@<3$+e;DW;MD6k!(nuH|A#I=NlfX4)Oq z?&At>S-=ws*-2viib+YFC$LV~s5BT!3UUbWCQ6@0uKq7odY+5Hc8iTys6rqgtr^IN z{RQ&t&ca`P7CtPyEC|mCEYYe>LFJ3QGSuj@^T_NJEi;pXZi`ngObSXrGkh|mhP2||p#gGeJc1+{8XS`& zQMkT&S95Y}+ty@9duJOBa=eFa*Tm>xdNq8~J`dxf4rgfJ)_o;*xvk5Ma|yY-U8(-$ z-jOkQ6ulif89T1V>mj)p4}GV@8Ti#BB!irqC>2TbCj|4-rp$s`{7{Uly}0Ivb& z=Em37+1%362801zU<}{_WdIjA1GqpMzy;O-F3<*Wf!9;7t<5{P`1MIVzdniQ*C+A( z`XruTpTzU)lX!l85)b%_*0;89O|~?5Zb`PZ@7f8M#tcbMW4dcsTXJvvdg@)wk!_$j zoIzV_t0ht(6LHhP#AIxPI|b*#eT2*8JUVwX5P;x3cC>A5-_~hC+S$B*hfT|_%o#}> z3Vh-EtIU2Qvd?7nG7V>U9_GZi0joMYA~-w3@6$J@hu3VsgGzN1-?!oynd19ks|- z1W;&dnvx(Esz>drINLfqP+O~BJ6k)~Cp(~jM}Qrgg=FWh=3VeqTy-lId16&_OKrq* zDsD9#aY+$YqX5d~&8=G`!)yDflEt7JqIfIn>sEO1T4LAjB6+>k7t}tS-F&U zSvhCLy5PjN#Y<6T@k~pLPqc5?4f|I1Y(KZ89C+shMsCniKZP{`OWm**|++?Hf}r;r>dP+Z>L znyhW@YVAOzkRmP3Kuc3Q%*$k4<|ZG(6({#@+p!*AL#DI3hLmIXAmw&#+tu7bjvFmU zS=ez)3q?EHFyY&U9IZbJ*|=h z=y>H4*W6mJey&^U;#i-VuskNS=E+K=DqZ3hQj_%Q`ej&yRG+6z3}*(0(p6aYo9Mxp zDXGEM)ZpMwEW||h7L0=3BP00zFqRtCwb!~4gsl3xe+2MqqMPGMxa-ttI{cvwgM~o;{dIzV%*FIQ z7#K!VW2vDu7K}*~>>8&2sr-pnEW_YxVB@eMv)e?bdq|P(&|G6Kf*6 zw$YstSJYMW|DT-u^nTLL5lj`J%OfMbt*M?PX;}Y!f7?tla&(j^r-AtFvzJeP&!>4L zo#n8+c%%AAP&bB)b|XYo6%J~0r-$>7!w!d&_|2M*=@Z_>c&ZYEnw%J3-dz-;N*dH; zY50G)G@?ox)MROl3~p&el{Bcy(ik(`(ugW)P?M!;EydM{h$?ANlcgKI(ugW)P&24W zxK~(S6hmoAZ@MR$8JtMcnl7(l$MoyaIbDU;KrW`N0%Sl&FqWBCMnj`LDx>7c@E|_G zc%3gd2wS;<49E?w?rHZAOjMh(LD(ew-SI1NPu?tBtYCs05Tu} zHNB;g-gNgwzp~n!eHlT{$_QjYMz9J`$%tDCKn5fri?JFL%Uj1{`t80fAYo+zG9U|B zi>FM)tptIFR12$cC!n}WK;+~SYSHjT1dheklH{Csf8INtl>L79Miw<%fPW15}jOPozG$@ zuG)^sfCMlBH^e%}I*1HN027cVM(+r*?umDgG5rU=OdN};3$&OzSc0ci$4KZ=K&d_> zsdJ2k$bbZBa!(14HPi)KL!HkWC~j#k&>HG|)fp3K zJ(^tU)xOrJxGI6jfCRqQU+uI$kpT(NoPt_?1aEoA257$UZ!gHzPTLb1kcF@9S37M_ zWIzI6+pl)op2&a%zP4ZOv^|jl34Cq8+G%?t0}`Ntf zK8so7SPYQ?31A1FN;@X9CeTFI_)LW2R?`HU$Qrb{rv%3w=+R_I^=M&t0*b2=hzv;J zYy5hr@revbK#lL3@YXvmO=LgLs zO=Lg_*%NbX=x$@68KuW!D(qC0}}XJy1{8_A_EfmTDrk$X(9s> z_*%NbX=x$@68KuW!D(qCCns3zYiWwBmL@VFfv=_4IxS6PKmuP&uXS3Q$bbaCmR{?$ zG?4)b(46*fJoQ5cTgPI?HC}JB*0BI012Xbiz*@%whzv;Jvw*dZ1rQmKz-Iw#9Sa~b zAc4;U);bnIWIzI+1*~-}fXK-S8hsW(ab*ES1|;xVK%-*;L0YnBQ@L52k zV*x}4BtUbz$Bjm(rHKqk;A`ndr=^JuNZ@PfMyI8T3`pQ>=|-oei3~{KYw1R(rHKqk z;A`ndr=^K34OnJEdltEd*P3cD;?{x^=%6%cQg0eC>@=W*(x6qnX~3}4fDTH7hV`Za z!%hP_C=J@yn+6O!4d|dWXkPDPKp*y$#*EMUvx1zR73iR>(D2^k!LZYS4oZWz_oe~E zP6Ik94VvGZ1`In5=%6&P0B;&F>@>mVLCcGtG{msd5FJoXjo&=%6%y!=Q5f#zAX?b~(YuL92n@a$Lqi4};3_8wafr+T{cr2Q3eJ%W)Y8Jq#+xZydB_ zXqOXg9JEO2EyraX^f0I#G_^O4%MNJeFdz-B4|>uN!@@>%P#V7-(3+v0CfE*WP0(A8 z%MR#aP&s}(pmjpKoM1bkl|gSgE<2!yLFM@EfL06ba)RxE)(5@ixa@!)29<-Rw%5eu zXErgD_Sm_{P+B*%iw-soS~T>gahV1^3@X}h8njYqmlJFnv=ZnkhZt5Gq65mI#lVDo zB{Y;u4vfUiz5YC0rb5C&dH79*RtN2JgH46j1HD*Xra}*c%7Hb=H+%FdiM}g|ncenxg6)8o7QN-T z?0_BymE*SqT5hz<3AO`TVDywj3@Z)M0p-vdqc@Gq4(MS}8owRTx}#lAupQ7!qqiKF z9niy|a{P8ctB-a$!FE9Fjoxxxc0dn<%JJI)twGx51ls|vI(o}-*#SKaD#vdJv=V8T z6Kn^x_UJ9gWe4;ypd4C$^rRt%RSwZXY5aCTOOke)U^}2iNN+hVJD`U_<@oJ@7AEa- zg6)8o9KGeZ?0_BymE*SqT86aC3AO`Tc=VRzvIBY;RF2;cXfe_*C)f^X`O#aB%MR#a zP&s}(pe0GWoM1bkMMzIM#IVv39Z(LfLweJ=?0_ByrSaPVtxekH1ls|vMtaL}*#SKa zD#vdJv_ff@6Kn^xCh0B5We4;ys2sl?&^o1EPOu%&%A~g(mmSc7 z>yzGcTy_w=cv(wpmAq+aC>1mR=*>VrRfS4=GSJ#;pHWDLohHyIYO8%lAsKd>K%=Ow z_8Em_*l7ZdqPE&+6p~@52{ek@YM)U^hMgwRC~B*HMj;t?nn0tdt@as(WY}o}jiR>N zXB3iQrwKHQ+G?LsNQRvT52Hgzckn?0W!OkzTV&Fu2-u+>kX%HH zBP9h|42P%T17=*n06Xr$ZxXtdfxg1TT@1C@c21{hKwwGxQfzzHG4mI$2eegTiS-HR zI*5qK?8uw~EkA;t6Y&X45~oi%@Z>=b4kC4KL&6qDo{OD4ZRA18MC8Iq1(h}*KNM2q zgCle{0zusj^>N&faI=UkiY%ryOCUOy9>tgc7c6N%TQTa?2^}Xr)Z=7lLGu$>PUAogKg+&0w)p5o#!fda&i$_ z6RD@dV4<`@J<>NgFp9m@vM7A}KRD5wuEGzgWY3Y*@Njx?98H`@GRS#>H|IcU5xFRG zG39>=ToFNZu;FdZlF5Lu0Ou7$L35pgCMOV)mPjj=upW&^A3wJ?cVO$g{Skrv_49ro z>wo+Gvnm;A%N?}VhYSjXh_pvG6I6V(Ai6VXFMKq8BsGq$?`Jo3WlF|q4?2xI5sJ#f z;AL_VxjeF+3f_U&I_^A@eItXtcrQGOS1BU9ImsSXtUL*U!4{FY9fxQai^6_nwfc{Y zscNn8_1|;!mwd{fP8usjz20BFrYgOr3d;?^kl{clcOVpvQNkvqs8R$1p6F_F$g=D zj)O|vHG~QC3b@4Jg$%fPiPzjSVvGe5yM+wCH#m`}pacAEgkkHkxgF zK(hs88CIN60U~m9Jjh1zkkHCf z2Euf1@{uw^d%N}y62?xI^DN=Y!PBRxtcgsTzN!WCJtFeC$mbJ~`-04rPnz*(%G}Cz zLVKt7F3R-FGE>ENXDT9J6F<-mF%ljWrbSd|FlVDkA|1cu@ zA+<+n@8L%KkJXfGHugk1aoxp*_XaX`%gzsWU?RGgDUy?JrDS zEwsNf^&+ADjj5Li?P;d25!&CGdb!a4!PK=v`zKS^3GErCUM;kLG4)!ZJ`kTB(%dm$&CdUo<-0(;Em-LgvilhAuM&lS)?3^ zK=gDH+&Qag358aXg#1;MGXcLYAZQ;o$Jj%~oC+)tsv>gpA50gXsXVrb&a2Bx%&PL) zAj;h6bh|8aqeJhq5Tmp3vJ$g$3v7Dm#nD`#sd*06;{q#!G|X~1XOCqThsmhp_rfH- zr}DAnl7KwrywQEgQ}&`Y*^Aa@FItzqs8Urn)moE%uJzfAHn8ZjbLdqaPWAsItaO`Q z&Pu!42`l|(C#*D_ov_kzcEU=_*$FE>pR1wiAgk)^T8~7tv|kd<(tb%aOZz3!EbW&> zv$S6l&C-5JROvOyZ}GW})}fB9)C+^`oEC)X2rm{18kT)WK%PU;Ib@Ip&kD{yFp}kh z#$DGrk}MSDRsF|Ho&%qA9^X0e*~j#q10Nqt#zJS+Fs;6yOT?a}oV5|0gDyF*py8I! zv{dI`vRKe~WgUIlzMf@*rcag(9gW6PfsN!GqRB$50?h0zXKQkTplYaNB5UQ5n=BU8 z_)5$^iIXLRY|brd%^c1pXH6Y~9G5#kZWp;|a}JR5lurg3^Dau_X3nlpbe2~GZjH%a4MTImvkGCwgG{BftR<6@YSvIKOC|RzG z)$T#Yt_0;-rkq_!Q%c`JN1UFsV3MShPgyXi|EPlL5YTg$YoC*P&g`67ZHNY4r>dT_ zXgWjHWji6(OOjsG32AE*wk#~9JE{{~8D+2>jbq;NF1VR3Im;M=^>UefWm3v!Zuw@2tSiHOkq?)p8S^wJ66f1D%9@ zxGiTB*n&zXd{lBBh09q5`bGZD&AKuJzLGwJHoNERfGz5^eI_jCT z3>urHkaGew3kJE}Ne4r-Xpr`t^P*WMXeQw~Mw-Qf*7~f|rNc9_51kIjM^0MXX^7p zYh&t*LfgR9mxQ*Fsjmoa6H{LkT02uu2yHV{-xS&wroJt-4yL{E@xCf5!!a9ekQaXO#MP=J6Y~mLfggEleCqmuG$Lfg;OpM`b>=kiyf9boEdp&jJX{votOOg$sCBva1{?J!gS5n4)8QM@7SX37*= zkIW^SC$wIsqC!ja)40(3m{%aQex?eAc7&;ELK|SJSZG%=HA84enL1BsgPhAup$#!r zF0^5$<_K+ssd+*h6UK2wcCyNW4nM0o*I7YprbPJ5})UdU9l&|bt( zTZQ&wrrLz|5~el^?WIh$3+)=Fwg~NIOl=j~%bD6Hv{!I`+l6*5Q#*zBN~U%T?K+m* zE3{WJuS;mJX6g!|y@sD26xwTGH*a=H!yWn zXm4U_NN8_nYQ$@&@>2)wS(+A^j@>*_Y*BQ(jUCwJH`WWa)#cwok0-D@=c0k(fedz| zyEiB85z!OTlL@URdJ5ZeoMXXv9T^)rL7O+D(dQ+!<p6pco)^R&dy#bV3kuZ%~pjb4ZQKe0t;l7xNnsJa3bYMUE< zbpk0~gKq#tPL}F~|LA+8w7RinL94 zorr!gdQT#HbM!+lJ+};G#-mYe@hjwg4L`FKo=6DAiVb)7*wZ4X0#dngh8MD$^7 z1{@gT8-wZchehrLVJi4k^wSAIdL%1I?LZfeK8jCvEcj=+r8pXWOxG&xu5lJAsgcje zqo0dn_h3F%t0zPIEuB7fmV~XIJCQBpc|7{11oHYaZZ)*vc?F}jP0%u zkIvo>RB5L_dUJGr+QrkO=+~o9B%=34zk#8gd)2ql>Ct~yx*G7D9S9py^NvlV29a)d zfQyv3lA;Ui$GDUHpRVn2f41;n*M;YSsLKzjE00~Q_5ypq0PtLrJe=y^X_Wd0Dvw>dvN;eI+LlGQqS0q3*G%3+ zNxB%4^@(YiHOczKuruhCL?4SFS8V2%xgspGl{1_^K}p9rDW+)0IB9{<&u~)Q^{uZ_ zNfDOVN!5j@VmP-cx*=OJfXjUOPDG27co^X^p`R< z2dM+RYBV+v?QjAYgJLWN5gq+Kbeq>0X(7 zorql!yATd3R-tRFoz^5byH2@NR~9?d$6P(oYPi^f1nN_X=_BiI5j0k>G=@-bP&7gv zABW0DKsfCRTRk$TXlxa}74)k&iP2E#FK4Yl)q11K0pT2%u=gffY7~2hftgAtz9K=J z0F5)lu-LLm*2ZHEF=RRD=)gM@@W%e@9&gPgV(Stp_#(ea)?z-*%EUbRc}z9?h3bU< zO4iGIp}&@?4G@CE#YM?ur;?T3_!djoE_dqmU*l%48`~6XhsTN0cc&<=GDZ904>`qn zyZ`^H(2m&FMD*!cCq5RT^9u8&#|B~0se~K@;qBY#?158TiO}WJwoaUcee6MU+bD@8 zZDU_Nwl{`f3(x0vxPm?-bA8Wg%~WX~O4;HAV*7%7%tgy%2Qe3o9fIk$h}dDuV^$2m zDOxx;e9d(FY>M;RoHzDgE0rxU`t?{kf$CvH@l_1y2kJ^yrNeT_*~D_#WW13n ze6KUh?um{tZYU<#`U-Zf$J{yMqXv0xF|GwBkyo(@wj*E1IUX1K8`+*uQuAUE|7#FK^&I28OIvwt~ZoA(Wz7q&AuGj%-;&EF9E+d0EGsxH%=8WXWMu|>ZbxlkVR z#^fY9Dtzx%B(t?x(hzTo$KD!y8^G`lLL&AK6am&dkteYRhWkbAX69k`AFqV#9vPOa zZ}@D=8l(OT2A!$NF%SlwmdP;? z-qyzB$UyI4TEsrhdcvOTyBH8wzfLoH%%xqZhIa1IX>n`JvmF&yW3@eEE|Jtpdvl`n_DO-wFMrOko0T&=IOqheQ~3P%6hj z74I+y4gs?o@F)vTQN_h1~MY9NoHIZ z`o|@fxCzU$jyAv-g>*YoG@eI!<7%b>`lcMe`)mQpTPNbtcq|dmkK-qBk@s0NUVzy+ zr6nN8Q-cG&B3_7o9~W`#qW>fpI-M$M`C1|jI)Rm|3}Tu+Ovrh6wa_2obeIBvj;V4= zWA$_*3_4qta{%GXft1=^9gANm^e=My`IN%)21NK$)C&E}EV-B@El)v&PZH>ToYh#@ zlWi1VDfF*#E>)C^)oqCIrNQv>W=@0D6Vgw{sUFhbcPB0X9*qM)F}r++OI|zLXKJI+zs0cIh5lWpwvfMp z8@Mr!#nc@PbsH*0r@czG6JgMqt{em5t71Kty*Yo3f4xlYqwm(qTI2f}7-miRJ+K_Y zN~?gte@N*6#~2Pny1TljCKiWXE(l%Z+dI&kIf7}`1@T^V#W>cF^u1X6aIIn39=dN} zY%DWarBcNE<3|$O`SAf=t8xazU}47}u%nE=E`Ah!K9186BHcJwJr>8D$Dng+StlTT zIw1?B>QZx!b9FO9{|Q(3I3>1v2@$@;WRzp$qf%GTV zUp8h_uUbisyGL<#qqL~?*0aKg;hAPj-5b^7n|0;*yi}6{+U&H*@3jJ3M;CcdJ z^;IIg0BX^{f5Vb*B1x+s6XBD@PrTo= zi9;cC982>rWYJn;{G$mi636mn953IiKXH8DgV2v z6@%8><6lq2zZQQ2%eZ#l*0@g70{N>h{>`{HBmONc=2P>{h<^u3Vi(~(L3~i?PqRJz zA70I(nW2Cm(%8o{m)?Qnn7xSjkLAoFj<4hX$R?h5)a*E?LnR@k!>i-4reByt_D^kwFlTCyWc3b%8JzFjXOpB}^?4#&V_>3S$*hn5H0UV=S>$7&XjV zE?GUX#7beTW)`L)km`*kYJ}0iEQ~9&EzO)5$Q+UO(LCHMQA5pePjhXZOcs#pZipx9 z6KnAjT`mVFnnd&k(W^-f7fs7gTs%GhE>qLADE*^Djr>YxXL@V^)5Fv9{bQm9-&Hzq zchp_l9jT%2-qhA~Ce@ef$&8GhiYC^>+2JRwi>GVXxL>NMq!2hV(z^*q>4^?`c!$*hzK$&0z*=B+61!i7Suf3yUuOcXuuVkY%DUeU7&}VP2puB& zPG;=}Yfp)066^cS>H=$ji54N&&zN-(tV1PQ9$4BT>6Q{$h)Obrm)nP#!prRxQ+T=E z%@k%$=8@X!SmG!~Cz`5ZvX&T)5$r! zBxq7}1O=Rg1|!Q8;G9P1>#nY*{Lj!sgjX@E268m}IZ_)-yhyTWQkHlLvFc)pYlsC7 zST7ew6L*Jeg~9JQ6W0mjGUnm)^FHY#6Iie5XX<)kwDQw82x9|NHwdGhsW%I4faPw) zHVvk35=I9hUSaHJ>ONuYV+tFKM!A*`2;&Oo zVPjF6YxyAN1vD&<4o-}V#KZFUe&UlN7UMELjWQl7(PC7_Ax`^QVWgP)oG^Ns`hqa} znR;9pS2FcwVGJ?#Rbh-W^>ty4GxZH&9B1lV!r)gtiSLkwl3{#L82suc@qfbLS1gGi zVn-0Wupf(9F{Aq_(EY4LixWD2|C0Enh*k5$U*qAEC0c^I$_cJ4-jkhV>JQip!_-qE zwuTe@843PUq7_hrR@T_l*hIzDKd_04sb{c>im7LX!S8w!{}INuvNi>}FkZ!!DGXlG zFUS+d>zNl7#tlrxh4B`q3WRYJQ-#8K2UF98aWhlJ*mxsLE0`f-mq?%m=S|BmC@awf zfW}&xRSwqd60ML}yJEZH-wWn}b^i2xSV$4L#(O!x`NFu3sY+qo!4%&1K=1Xjf+fP> zm9&ColGP9^SRo8v`YBjNU2}D;pjsHbYEn=ujE`^*tA+6ZQ}x351XF8;@i0?O!uT{( z7YXB0rY;f27nr(C7++$lMHpXYYP~R?U}}Rf`1fwXCSmaJ-Ga@+;9s2usMn8Jt`nQh zWP=u5jvqZ_(Rialf1+TAT%s-5DU6@8>~3NFf~md2_%%~_Iq)LZ^A*^_#1ys?y@V-j zC3-1S@V3`51#kN@rtk|EzbWBP3;KoeTh4EQ)~v9j?&U0k#n7YNQp0GLkrHhhwbUrH z#=sgc(WZl?UCWg?j(tx|ofO6&ILp(*_!CoSgz;CVt`f%InYvmS&oK2OVf>q^mx$On z7jX@Wcv*>7j3P{(A6_fW2vgSyGs@Jfg_&Rq-t^TB0Gn=J!TcJxNRC50;e30Jv|<8^mxR%Rdtd=PsCQ!hqB2@=FJ^pdn3o*# z&;|F!3qD+c*`c{JjbB6y@QaAkxL%eH3|VhnA%ou>1s^SV0B>3gK8Eca=Mat-d;&vQ zdT2Cr3e%@^W{$icDtI_i@L<6wb*;sj54R1bhtk6tYo4)9ZWgj)XG2OqGovR8KAkA| zP{AY9BcB(sSJRJ1qu{g9>SHw3!^)zNJ31m7(v#Ms1eW?(2?MboOa zRh#WOj!FZ_UnuxuiB?+hI2w`; zui$YJ`xdjl1=hDqv@&8n&8+W%_5BiUCRp(@X8jPXAC+jch;;$8FkBR1xF{#qB4+&( ztY4LAv#AazMVdFzcE6k1!i0MW^PNM^;zIgo!Pf zGGCD=%!~M8RG61C6&Gd;Qw7*w%5sImY-8RuY&2zFv4}61olVS`mM=<6v^mt-HnG@D z5nsU%F|r7ZEOY7MR(?nu7_4PvI;2yG3y@`8hzo%b)4iCOFU-qXwvy%-SaR;|$zbWN z6dl36C{5gTLM#%Cv1Bcl;ElikX;fe+;)}B7T3j!!V~G_gPb?QJg}IZ9sS@TMrm(nK zpyu{ToZ%T2_z{J>c~Z1`j`Jr|y{Cj&11~04i+W-5d`Mt%$zB*gf%93@LeLs4sz>e<`e6!%<2KFw?tb&tamc2AFLxKS|zdWVAfHv21~Ss#JY!BBVdh|Xp4w- zKU>H+SeX)SF>)kDdTf}T7#&Rawr_()JWrfS zh|}WvSgNvqfBB`N;sx-q{2D{NkW_lLc#$xN83BGs;Ag*VZ5prf>}A3{#?M|s7)}WB zN-pF&VP^ONhQAX`y%vkokhq>DUN6kk%zvXWpU>2rAOXvN3rpN6%&VDylQ3V*)Z0a* zoS$O!yM}of{a(QoM!!fm_UORoU8>;qFL8^wl_1}S7YdddsWM5j{;0SeNypOTqe8r& z`oSIIPGMfhxqbjYRjd@!kYV!Uqfr43+(TloVX=FKc|B8D^YkU49vv0;iwI4X#Ye>h z!sIvk0?V2P-WiDp`N2cN||0o`fj*Vo5c#I!?PMB}! zM_7`~>q+69VIdypXLwzjM-!=PA)a8lZwT`}{P0`odxL2_ z`3^t%t}t)qC*P;MCU5|)5I^8&SOJ80Lf$_X=It!>Q?QPWj0^E|7WsuR@8l=ge;1Ma z=){v`J|7jo5$4_e=y$^W5L17cq&#k*r$pqXl;NKQ9RGbR`&ZIYW~^I?r}@#}$>(66 zibard`Hv9)ls~`3Gtl#qzLCePFh%PERh z;OTndT#7>VJZCSwfTBMXCQsZ8=Tj7#<=K1TLW)A0JcTb@B21pb7cLVfPtXfjkWv4c z-$MiJ4N!U>}$Q(HtNpQ)`P z5@%|ghzO>(qhaalJ^4n12!k%z;}{5ouFK;X2!k%g;}{5ouDIhE2!k%N;}{5ouBGD` z2!n2#;}{5o?v3LZ2!n2i;}{5o?s}6kA`H5pG5f-{goSRgk})C-x}S<;goSRQ;uv9} zJEb^ASm@>`ju95R2a02ag>HM|7-6Bin>a>T=!Pba5f-{{iDQI?Zc>slA`H4OiDQI? zZa?A}VWB&YI7V3L#v+ap7P^;+V}yln8R8gWp}T}QMp)=(AdV3hy8nk`goSSNkuf3+ zx~GR@goSS7;TU0|yLC85Sm*{Fju95R4~Jufg>Jp!7-6A1Y&b?(=%yNu5f-|4hGQU1 zc{g^H3{6sq1BHr%LL4|$92DX}qT-+s2No3vg*ectI4Hz{N5w%Q4n!&r3UOdkaZrc@ zm5NJzw84?%M41@X?6|~7d*ak{iH|n8skp>Pd)8E3;-k$|DlYNS_9+#Y_-F%_ic5U7 zg-XRGKH3?i;u0TiZs9mlW)1CgQE`cncDksz#7BEUR9xbtZ6PWy@zG8X6_@yE(}#*n ze6*88#U(!4uc6`+AMLzQafy#MEpVJDvxfFBsJO&O@9tGx;-k0lDlYNS>vR>D_~`An zic5U-4qL?~K6+EF;u0UddRB3XkKQJ$xWq^Po8v^8HRQ@wT;d~VuHq6OxpNhl_{gEF zxWq>;UBx9na_TBB@sV3safy!{yNXME#B`qd`H%B|aJ!R9xbt@qpt*nKd*tsJO&O zgM*4od^9|$xWq>Tgo;aiG(@Pl#7BdKic5SnOsKfTM+1e5OMEm`sJO&OV+6;EGHYnK zP;rTm1`HLK_-M#bafy!x4HcL8XxLD3iH`;j6_@yE=umNqj|LAFm-uM-P;tV?_m$E3 zAt}Tye3C-k!Y3)jEqszf+`=a*#4UW1Lfpb9Da0*&l0w|VCn>}&e3F_`*^8If{2DgR zFI%yc(h%@E)p}W*?qxA7u{ke7)hkGQz0z9TV$tDuc9vj1Pdt@XUi<(I%7 z#Ok^8>Q-N0bVs7-_M-Ps%P+bUzibBOn`akvQS^bL+e)-0MR(&D!_I;J;Z$Z~jMn5^ zyXbW`c1h4zf}ImX-RUvKDe1sRnS*<92?NK6*YX{_TDoUod;pm=4-b!I<8R8Rv8R7$f8RGMP8RFx98RFA^8REl!8RD~k8RDaU8RC;8R8Ru8R7$e8RGMO8RFx88RFA@8RElz8RD~j8RDaT8RC@iD#(@hQFx@gcqp@fp4h@e#fZ@d>^R@d3UJ@%gxX3|7D*V0*aVdkW53Xd8AueQ) zA+BSPAueN(A+BPOAueK&;m=g~a~1wVg}+qcuT=PJ6+WrL->C4nD*T-af3LznsPK;} zd`g9XQsJLf_!kxaRfT_3;nOPoy9)oI!hfpp85RCZg}78f)(2NA$PgDQP)OG)$Pkw) z$Pia4$PgDP$S|V9JQe1vFsj0s3gaqFsIWkVLWPAYEK=b#6;4-Su?kC6I75Y{Dm+hx zWh$Jh!dWUTSK(|G&Qal972;9^Ssz@9AVXY;AVXY-AVXY+AVXY*AVXY)Aj3)(E>z(n z6)slc5*030;W8C2SK$g3u2kVF6;`RRT7@+#tW{y13RkOejSA~k*r39-Dr{6?lM2_V z@FEJ0dvTb-#rh?hQS>3y>I`NE^a6L~1A2$1bu3?YpT2GDibu7)6?fmG?_M@T-@E)i z{fhha)O~t->+)qQ?$ZbMuDBZs%%XeoZ-J&itCd9c)~G&O^kFdaHJFihvgkhidm(bp z)0XI0>PNvX(B|ud`Vf3AO4BdZhc&I}BcSti{Zef@K;dP|l!7|r0X1fW8V>`77dhF2 zI-ZNB-r@mu!UlCR3>3CEO)03ic|e`EK|L=F6gF5*DX8~)Kt10EbyXNB?DfhPR8EF| zmj~31BH!e&mpL5Y)~%?1NHJL2K6CN^S#0bb!`}^S7s0D zRk^r?FL*$`+6MKSFi@|}9@O>!J*d~)pxzJ$3a@#ywW*w(=bt^Ay1@qZrZ7-=#XO~; z{^bGn78}%!VW9AGdn!Tch6mJ5HmJ9Sfx>I`Y(eE>Q+kmH)H`fY?+gQlm-yL&dUpc?TAelo?NuJnNVsSWC9VW56K#h^w#pnhS4`ehiXUrjNnj0e=O zZBS2!f%?r9gYqsf{?-QdyD(6{pJGtn<;6ePp#B&J>ZvIPb+xDY{$zvta~LRWR-ICp zaE%AlUu{r-3j>9Hv)O{mWth>t9{uk&sDFfk!WQ0aLFKd*|Soq&-YLN|UaTus2S%b>$9r96+O)a%SEeiv+JbO?%HJ=yM3LDhQFi@+q29=wk z4|+6JWrL~?16A{!f~vJa)rEmt{hWeYV}q&>1J#f%xgT&x84TT z76xiV)}V50J};<^HmFTupxUzsmD4Q23u?0sYD*ZXj;ulD<`S;=G~ZSmRA(5dZBq>D zjUG^!+n~0Gf!dKhsGR)NJ3XLw+MsrYf!dulsN4+Q3u=!IYHt{*ec6M`$5J3o0j@y3GSBX@fc(1_}ptWC-eEeNF-uUn*_0QQy?59M+dE9>L}m#pH=FW;viA-f zVS9(^RLX2Y<+SE`kEi+Uy~9S>-eEelGFwnD%VmA)ArGjR+r94ugP3AEv3-XAdf;NuC$f8*ET- z3OEng-kUY3+y>-tcx>tx8`P~~pl-_=RBoE` zf_k3~>h>^DcVrJLr{3oUW$&^!!gg8Hq03o%^xS?pctP2_tc|c;)^zOia|p`bWo?A* zvZj-#vjml!p?}k3=pVLi>b@|W`bgHGax-)AKeJsk50EAWCNjDaa7^%&8v0K?&G$PS)bGPU;l$!BLFHysfAoO* zqYdh*Fi?NW8dPpJamqP`TNZ7u4TuP)~<}`g_)(a&w+fd7AGZHmHAw zfqLdS1@$i*)U#or{{5VS`i}+5)IvbfMLJpbzT6D`Z|>$Z4I7jh1}c&@sN4+Q3o6eB zl^+HQ*9&E-soeaO7gWp!6%PZI$QqQM(@(6YJ;Z688)cWFi_`Z4Jx-a2DLE^)TXRKnVWN%dFpK* zP+M$J9burhW(`Wu=>Vg452#KX)V45CmrpUM%^pzOZBRSHK<%7jP+L5pcG;kIhk@FY zH7IjmE;i)_W$)ZK!*=e|MU+|2r*gBY4v(hno%?3k&V9Q1a!NsM^??wesd_vwnw zEJ2w)xinv=2UM?ZQ|U09>dPKfPByj81FGK!btDYbK=z=H=Ax+^JfH?`P(xv$hM!YV zBQ~hfFi^*)7}T3Qni{h~jfa8CJg1;0Y*5F;K%JOkP;d5V>ZA?oR2Zn!&nc+q*`UsZ zfqMQFgL;ccQ&-ubUJwTA>gN>H3vEy@3Ip}xDF$_;M^i7cLA^8#)HTm3sF&HGULFSO z6;llAtsYHXYlC`a7^v%>Q&6w6LA^Q*)N7^~)J+~uz19Zxx-d|}Y9|!|=_Y{M=)dT8-HmG~TKz(S6 zL3utSH}ADUeK-u%eNzm|^LeIepO0>aosVv2e?GdI(|~-pr}^yj(ao^)(ar47N6!t^ z2R)!ZVK?7{Va@l@6gQveLss))8`LMmKz(Y8L3!?*F+XjCdL#_gXQmj`y`JWK)CTq0 zFi?+8F{lrFK-oL@&9I&OX7)Sxb2Ie&JfQ5I`)1hAeKY%=`?-PohzFFtbKeZxxo>8_ zb3Zpw_j^FuJNM17o%?3?JNI(~^-&Kfd*{9xwsYUie&>E}pdRpmvUl#AVLSKD?04?x z2I^xTQ1;G!Gi>L+nf=cF+(3QY1IpgHZ-(vMH?!ZlpBt!8ctF`Z_sy`K`)2k#_j3dF zpa+z_bKeZxxo>8_b3Zpw4|zb@JNM17o%?3?JNI(~gUU@)pLJ_060t$$g@MY?8dPqY@`8%mpkiU5;#q^rO;e9~G?lPH6@-Bj zS%b<=Q(jPoHmIVrgVH0@BGYj_JzdgVh3k{)TIIZD_eVXx0 zKtWm7`?IW!ES!`jP%gbQca+N_%T<+*;RW{v@Ekl9jk@CFq{QDy} z1Q)xBC2frsMP8-tk+xhEd9~Ihi!F-0hKi*eBd-NT?Nk(b9jH7>T@NZ>f-28P^65x8 z9Z9DDKlZKzK8m7?&!+9&rLdt71QI$VKqw(d?h{YzjQmOTI1TAx`*%3rC zAZm65y$pYm`Z|J^!(TyeTY8CR9vK7_1rjTeOo0T%o?aE#ZH>4Prs}pfXr0)AUK0!T zH6TyTY--JHu9|rah^YtEVN=j%A7_lKSZqg7w%H7c2(+|pyQ#4SC}xW$t(IHAWO zA>-{qJD_2;W3AW4qb08M0`eZQ=J$)sY)12Fk)Si(7IaW7kt>h4Get`UmD(2chPg@| z4tmqAktU!}qEaPxThQBY!EkDO&=K+{DNJC*Hu8z2P@NH};x=4D%1Oh*F2|NY@ zKm3;h{}*&zAn>G1;298j@?Q%4B$-kN-za}JKH%HziB>!%X zyje*8(;Rt=ko>nf@>U@^#~gW^h#V}LBWDT8f#%5BLUOP3`QE0`m{BP7R} zBfl#oS29O_Pe_h8M?NAXS2ag|Ur4TQj{Jd;T*DmssE}OK9Qi{bxt2NdM?!KPbL5YO zvQGe`bPNFHF0d`UIXP6^j6_O{IBmXEQPc%pVNl2b#j{LKboN12yi;z6k z9Qjuvd73%$H6eMrIr4QO`3ZC6--P5@=E%Pb$#cw+{}7Vrnj`-yB+oNP{!2)H+8p_B zA$fs0@;^fIbLPl7Lh?dWWC;n$i_DQFA$f^8a)6NhqB(M)ki5(sIY>xeZjKx*B(E?> z4iS=HF-Mk#(xPLUNWl zavmXhyE(EVB=0mwjueu2nHk`I_87Z8#UnIjhzk`J3B7ZQ@+ zGDj{fB)?;hjD_U)%#n)-$?uyZ7Zs9^nj=RE$sd^`7ZZ|?nIjh$l8>7sM+?a(&5>h- z+?GO9{#6&5=tB$rsF#%LvIA&5_Fr$zPfymlKjNnIo4Mk}sPh zR}hk~m?Osu$={hH#|gr%6NdDCvIbKM{Wh2&s!xbZkZdj=qt%#rH~$&seWA$i67m@fSHeM}+w#3C>HAu_*M3W?{Lv4QMa3e2_CsWpSmdvMh%6=+dEF0@#l<3j z_d{f~Smd96h>Q`7{M!$aCB!0g{191EC^A^`Lu4tj$Ur|tmKKW)_CsVDu}Ikuk!8gq z?S6P%btRNPd#}ARQVv&)4h>R19%;$&5ieixk{1915EV7UvA}fnU zVn0O2i$xaoLu3`P$YOqotSS~6?T5%}Vv!~M5LsO;vXmbp6T~9R_#v`}SY$arL?((w zR`5e)O|i&0KSVwt7Fo#;k+sAkTP(7wA0q3BMOODiWL>ey8h(hZCl*=L50Ul7 zB5U~}vVmA+9X~`i6pO6qhsZ`^kq!J1*;p*Hksl(Ph($K>Lu6C2$Yy?sY$g`j!Vi(n z#UhjZ5ZOX3vb7%~TZ%=t^+RNmSY)yvB3p??cJM=FYq7`_KSZ_>i|p)&$hKmUUHuT* zPAoFj50S}Yk=^|e*`ysNcSmaPYM0OL4e8>-xsbY~M{1Ew|SmY=_M0OX89OH+`9%7N>{1DkwEHc9n zk-fwsC-@;UO)PSvA0m5;MNaZVWFN7}Og}{S6^oqehsb_nk<B93vLF!Vi&S#UfwvL*zKI z$W?xb94{8R#t)GhVv+0o5c#lJjMA0p?AMV|9RW9eHVv*r~h+HEUna2;2YsDfX|GP+3J!GBx zI9%`f``bb`XpamoCwg53su8kLK;EP!Hx`m>hHMs)w`$2vgydSnoU^p#rb2RUVb0sN z zn}B`vw9keni{^JOhLO-Sw}Bwx{zdke{(L%tPsbl+>qeT3w$Lh@BDxv!AiP4F(L zZ6QBt$^C`o2ZiKcwB&Rlxw}wd*R4?cU?DlLmOM{L9wH?Bycll2 zkUUgKE~w4n<@)%*xWwqqhLh@K4xxALVMo1nfB*$vWYlY|R>(H6eMvklb5KzAhv`EhP8Vl7APHpApu% zzn1)mki0-hPS=wE6q2767JHDEoFgPZC(L<>hAbf=`FSCEn3fzYBrg<_hil38gya{5 zn0C?w^ovOJI2JO zwmIl}mtLOO-Kc76>~{H)tf05!4y{5Vafe_#6`c2r3ZW?V{d(aj@Gn$IMgdJ_`B8Qu zj4f}B`%Qa!gFe#D65h5)vWz2HPRa`U@P=i1N;gY*A0NrGGRbmsR?x{Clcj>?OnHj? zm3qxVUE~FYQCs90vDxy>E%MyJY-zF|wUcEzJ8yC1oUY{**T7~RwD`v~v z_CYQ7%h^i)E%NT&@}AUu@?Pa(C3?GjAX`4XUw#YVE%K3U`9u2k<81i^SI|?Qf=b8+ z##Kaix?kQ1Z{W^BMNj~kmn^SDk}NxO;0>jo?*LAd<@L<#9UrE3Bk!Xvf0&Dn<1J8o2lF;63u=iIkCOqf$d(@`;qx zAR@DNFb<|tqi`@IHUx)w#Rl&h zEA|sAOV{E$B52_}nN(qSvS)kvDr6Lm{^E)Q}t~%2t6IQU4#}`JROj& znV|Vd2gG=N3!&FTqQ$ItzNeJY^-f!BK4mHWl*!&|t7?8vpymK|WEF>Mqk5_vgKrv- zuxvG{BSvxl>gq~)9}bjBQs_(B@z{G*;ly8vO|@5TsspvDdf->g2n2Z4#$*&%3{Ew%@tv3r0Hg0gLC*|xs$tv{=~ql%)hyMeUbY=e11OMSu+ zK4o+Ll&)r>MN*sPsJ2noQBu(=B6sL3o%P3GK^nn(d$O`cS1GS{dkPub?V!b@-i2rqVo0>6eDJnf1v3lux!_)>&Y zPS^Tv&ytS7HBGiXuTx=OSe(AFMO$nyW!sk1&y_r@h&~I#+G3CAL1FVlN>7)&8Y;CG z{;gM{S#f!kvijm^jobs;jb^$wDUVV~UmV-DK}v(#CqqFss?VdO=u3WaBp9A_Dr=K!eZS*``qi?3Qm9b1` z4_mR!%pPpm=9)cR-*3zEH4H^5ub&?nhNjMnQqLect4u|S*H6h+Survu=w{Vfl%yyI zUH1-EIf_yUvi5icJQ*8=>Y~=Do$Qn%q+(JGTtAn7mafUpfDZ#M1bm?mm0m+OG9mFS zK53T|g2-Bet*dJYlmm06+$KFRMS{^188GFb_f5}pSk{*a=J9l8%riUk%#d1mhGBH- zBSoO&Ql5Z!C56Vu9uVMQVrAP!V`ClYuXdBzD8;~V>~+9`q;MFH?Ex%Mx(3)@z(S%gx?z4k#*Tn&^Vt_H$r{6(-DcUu=j4L-k;sBgxckLNe)G#07GnV%~p^;~yn@|LhO zp-%gbuj3X_>X5~7EpTVr#!}|Y^a~|2%j=t zKjr^aeHYLM14*hXHI$mDPG1n#5Tz!Z(>LRND<677$}d%v3V6mHkUYe8ocNYh1$^rS z@hxKbBL6t)@ejL2N;VSnu!Ev@HP%_MB( zptRKdSOgpO44i>sgIS1;D>gP*PO&A^pmK(Oo?}f2(@Bf?28N9cvOM+W#!^KL>q~Q4 zNk0#>c@@buFDB*{Hp+q<{T1fIiB8NbnrqDCTePU@pjXt$V#)AMGY&1P=&xAXxVA59 zG}7SOzNnEKkTSf(wnI^+y?)sE6jEpKsr%`t{*2U*8xlq-1@)6_syA9cB@wI%4N(f* z!PL3e#!X2+7xp6jKsQRMtS@X4TmL8}N*e$!GgI+jb?}_N`jV;`BxUlTKam|6XT) zM7wEkHO{bwi&koztVXm^D|18PXvJWz;vEV{D;To&RAeVNE4r#TE7BWs#27gmXWcPI z&EY%bh%r6nh%r6nh%r6nh*8WAIbsx3Lyj2jkRvdtYfyU7VA&a*9ego(^49I2bnyo1aIa?l~+3`3nK)P~^)k_w|;5|-9V zMFI*+g#)@vMFY~LqJi&7QGvfnMT1I8Q9(aTMT0}7V!^Yd;=!Ax=#WRG7&`8O+bK}S z5ZjMr+!NFV#yvlgaZm8uFjx2)u%O^9m{a@$SYXJrfc*+sNXTTEKU@P07TV>jqVdsn z&-f^)ClnBp1#WT$1G4VRN7quO<`0DTyW&u6tP7S>+UmL>SG)R0bbKpgI=+=L9pB2Bi*IGL@hw0q36rV>SWjsj&>^6c8rSNgs3(=7kqDv*NDZ;)r8BS+D9WCXM52IB$Y#$^B2hpiRNP*G zL?RMS1X0Ic&=Yk6$!ah}oq%V+QiZD)NYG1=rn3 zjbBwTZM+qXjMwW|6}WP-ekI=Y;9g%8_*JawqQx2&&3D`oYuc}3P5V`>X}^j!?N_np z{3_PRuh4w73^`%Fvb0oPO62?sIdk*5g+oHN;+&_!XK5eicRh zN=gL3Dn|TDDg}O3+~rpR&xrgg+T&LNr`)T7>3V)u$*f;3XZ@;@X}_w(*)R1g?_Fed zq+gj!rOrpx-{ZwI273w3jG>C}jKQ_6XD{X3OUwGcQq{DtRQ-3pQq{DtR5k4@RZaU! zRnxvw)ts+X)%uEr{*dfanCc^RSuc$95p`LwAc^==B{2TVXoD1w-iKwpU!|(*@?ID? zL22p)zk?H$Ax;22glKn+y{u~RPS?)TfCpR#4;{i`)rt~0D|5$qnBqsWrd=b~RT^%s zp@z}8iyKJQF!D5Sz^}m-k_LRY377v@CY)&6gcJXr2`8F1;Y8CWoM_sF6HS|NqB#>z z^flol=o9oQXTs=Hu?f5G`Xr!|QVsN$l;|;CNFHLZKuz~OFx^*ea%*r zr@5^rYlT})q((GL*FG-MRug+gRs0s%RtF<7REO?BwpV7wtCN{3LK`Fdeq9^rB~%cV zLFHBD#F4GPn{R5h2TP#uw`s(YL~aJ751 zcse%QUW2X<+iPyI*Uh#!pr4Idf9_7#UJO>3)$q_=$p>>H&ngXs2YXZa2fL*0%~^px zj22sAre%jM-rk9952FQCuh;kB@+MxtjO4GDt*26$DI58nJc8ifjHRaP#o01Rbs7Gh zf`9I<682Vt_N9ApBa|?<*lEfGy7NQ%0-v&xZc2WNnr5{7!Mi$`#x0hSN_<5s;GRvk z5zZz>Rp@OH*7z#)HrQ}%ZwKwrUeTzhx6(kj()u~H{>s>zM&K$V&#ojH4sh{eYux}80O}Z%crp`*Hd-cFsI}m4;V!>HE5@(hEfnjM1U_sIq7?ySd41!F+X^{hW_Be3B zL#|<|1UKP44%`*~Ol1wLpHe|r$F@b((Rdv$G{`YW~cOXrOS{kfvK{n+lKp#esB3BA$=_&Ss;Ws9I5 z_95AJx)yIA;fs2MTDnqPzZ%@MI$dkm5?TPWn^#nOrcWNdqHE9Y#R<9ykr#H5AgLdk zE%lemNCTuXQo7oWyaJXvl6E7{fMt$ybt95!snb4MwZeXGD}?x>YlVZ&TH!d>Dh8YG zbOv)xt+m1olU6w7Us>T0b5=NnE1Iqq4mD?mL;tH4!W|)2wZlx?-mn{PdxOFDhJfu2 z1=}0O+1|sd?M-mo-dCas_=v~$B%Aw*zxmYm$k=6)+8K=C6z>^`WHR0OR2{ou6*baa zcRP~H3|K9jBD7^RAS97?90!yH$rn@PVsML%I+?G0sP zlr()2+FJ%=OuO|MPOF|K^r^sDqa7hImQ=f=1tuDNtYWlLUbU{VT+wKQ=fIA5uwdH0DYLt>;`#626%+`p;_V)i&T$TY~&G3Oett0S7wibW-=D^q6q~(YED6q za+%#A1x*A6d7rT*3VKOZ&@v+hy{sx|zAL6miW*aUs1}sTmD!^pC!?U1CKNQqTuhz9 zW#;KQ$!zjfHug+4>b1T0I91Sdl7ZW5?GR0^>|?$?pFc6(HSo%W2AYEANmJ1|=`pqQ zBMr4iZK$SHO2auD_P4X`NA}ArvqCmN!nxV@ z4_%uf-3m||vv*4D$6=RcR>&q#N>XB0^-2>u=yorSk<{0-LbiHRlfkRUER?47&JdFl z`2c11ym%RBPH5@!1?= zQ@gV9RazTCHiuavmrYxxv`^jN(oNk?koq0n)X4(9zNeeIy&(1bx~V(RP0PG`j_RiF zC{X4{x~Ws>BgMSzW4ft32}*KYH+5$kzIfRubyIf{q&}^ix~rfhpXjFUCeZge-PEaq z)aP|mKPV{41vWJ`UUf-Ib@=YuT);&x`tSsiqK6>deQAc+QxMNC#WJH2(U~>wg~HEV zA=leGDQQY|@FwxORslQQbI1Z=OKX5ohjldBa>Q$HS^WZ!*`Cm8>lU%`ynmn6P zVt<4E(e}T=IM*|WY$*MW?tsCADNxZ!97(g!!I4b%d2wDfd*%sI5NL46iP<*~2@Rf) zW%hAH!d|*<;|R^QJm)%$cPq-*6%{m|odDk64Ldd5yZ z$4FOJY8BuPxhIH40^i_~KfrLk&s!UfRbZy5~72h>u zwkp$eiC2!%L0ny?8$40R?SetmjfQOOb1ab3K%I<75ltFAAx}pCKKhxFyM6?lA-qjJ zBUkrNHV^5ISi+N!lY{sF2@W<%H#5`;fQPX2+~!+6==G- zxB`QIna}`Yd?`_u3;{qqRYJOHu`43%teV@g8>+nJ_1Vb zcpCmKVC6q$Wc`b>WX09;l`uW9*6|!>SK=z;%H9^G77~x+Y0EFf@l4BC!BxEJ*xcvk z-ttv(RVr~2uF6QPhO45k<}G&e?DU~tR}KSo<%Fc7wJVeT2lU@iw?uCQ9=C7vqRz%^)@XKa_GE!OI4_YEvKAXKUkiD5ue*Q67h~Z+BVOXxA*)Gmnk(%s0{ESE2K`$H#Kz0I^xF(KqqY11H)Cqq z95?ssjcTI>Zb8$1h+8n}TH=;!I?#sKlGhmB;b2byMQd_zi6opv^ZpnoF?qMbtu!qm z7#yxK9TV0V#soUE%){4ku*sHKW3-bOTB5wGC0gUww3h$Ft(jW3!EICx!)-?Jh_<*b zO?LvfWzx07?Y!yOa;`PpB1R=9<76uF6i#L&w#V&r*Y8>*r{^qvtx;?PEyTLC&;fU# zWjTX8FlFh8J8H^u)^|p=&SgS$aS9{76YeC|-8zFXNp1u{Yjnn)sl?B4 zXGUTd+(l2K(N^dzxgzu-WEJ&ucaQy*cV)A(_b14=EAC43{Tg>=^6iGZiSylJFxYei z!gB4m-i)fm($`}+z7Gjz4Jq4Tk2xK%aZ9W4ek0d<>i5hox=5656c?U_rB_&2@$_g# z)E>>X7W+Lne#|x+_kbj_YnpYzUKwZFhw_$4G zKu3z*wW{pMfTd$wf9Ri~?lAr(3(3FHZ%n;{RVGx+o#+pmNOphwi+;1Yzx_kM(RF9{ zigpkqfi60`HKwiupM}L}INxY4ye@>SajWZ7XTADRm9U2%kk9tIcGvchGi>AUGa9+M zd@B{F5{nE)IF+%;2l0bqi`-{)mjryv0s95uctGw2Ec=v9lQDOnGD<%sH?7{MjL=WX zt?TYn9@I|>?>o3-@wKRj1a1SbD%{tm`Us8cw6qLvR`+y*KWyO3&t8|A4`uh`o0ei4Nq_6+`&z zgZt3TZMYAUd0*UDtgr({r*^plc|Y8bN_60UjKu!9zn;Va`o;iFP}|i61MmPUH4G16 zq^9F^RY|Tvq@W278cj7_O)wA-q|zhsKt}o?JV=$EtwgbIe9+)@OTG;b<}xsaHW-Wt z)7%w2n8|$z9wOG*!Q9(mC>}~B=EXx9iNo+PJ&DF`P#4;O-QN<44(UImM>n`+$@PKq z2Z=nK?Y?2O4g_0;Lb*Zu8%7S#1)n#JhAhm@=ScL1QG0l{qL5_lY5%Za-SL;JLXLk} zJ2-4`Qv@DiW(M+yjczR+ReO=cKKcH}^`@bPUA-wY-FuVX5b$(7q^SS!6nMoXxr5A5 z-qr5ehj+cO!==y?K9mnMx$wPswAzzGoz+S6Ki+OKOKunoJt*0wu6C!syEr4!G2eaL za$_(*IBGDARp)WT@edtQK7=2lPEZOz#5lolJY4Jq$BiPjE3}Qk@IDymnaki2jKq<6 zq*o%%c@!Q+)0M-cm~^A@XjeK2ckF#!8KNH!UBPM$9z&(a;W3Q#v3RUTdL@DM zNHp6(Jg?vk{gj+#A2-_f=u+G`JdPGQ9*<*+JRXnN6uGLf$m{eA!p)41N1_+>lWNLr zu(bqwou;l{Cj)2Da#zP0Ot~M%4{I8H0-iwA)xZ;&bdTUiyy;loo-i_Am&PXIiBw`u zJdu(3D1KCl&cbVlk7Ji{xM=KchJf~ISNpJ39>#529=*xXO$ z?i#c3EGn@Xp2bLI)ZCv*M9Da`KxeI=d(ev~8c~#GJYA70Qoz30;7vhCfdN;g~ zk^TaHL2RvObGQFRcoCKOAYQ~sT#Og%Ni?$mEV&(MA+!hl>&0sMoY9Ia?41kk&8IfF zbt-6MAb ziKt#~QN}KR-e~U1-9G=+Xg>wn{zNkE?a9<|N8)FuGd*wA;+{bf|oGvwiGW_!$Y>_6nk{h z;P@mGhP`9miv~A^?CtoJ`tMW=9m=OHqwfLaaAxhP`%vEQx(q&`Uo?6z3}?fin^7{? zfL|zibW34lcECrXFO1%ca*Q^dZzaDlSm)*|`GwI;o~z_VMSqj14Q{n}^xi5W`#wTP zd2eNO()={e`%@RvM*R9nK6ZUXc5f;O%^-I-)cZ8--3Ykh5jug~*6=QtsQchWHy^$i z-SU4Czep{AB7Tvv{Fm@cs^z;nM!`V&s?j50Fr~bK%veh*MUGqx_*9@K^pbyEp?jmw#e9h>gHqMN%DF(Zv!Hj#kbo?5A zjn?#O{2Ei!O?Z=6cOHl0UdV#38|_BmN^;#O=!3pP7jVbCfMkPn+qfC^b)#85x3Y5G z;MN)0%hQ{TrJsX;3t3C~-Jp}V!H~2s8IqC>prOxs1|6I6W~$Fccr&BVEqDuSIo@H! zA4aPlp8aZ}3q8`e;;mHr61z722FlWy=Q20qN_++HWF+pwyI6(50Cbh_ z`f`qGN6q;Uj+$fIQFC%})IlC2v(56D!EU^p)_M)z&D8pJ{5o4})yO1dwDsUfmOKOu zxPvzkZ*aA*_pXs7Dd8}{^W11!?YW;rUMJ^0fo%5y((QKEX2VQ8- z4T_XxE*0F{vW=jfB71^Oq-4_`E=6BA7s#D(6e-#1l8>kOAPY=!58gvn_!{2BsBkad z>oG+eoGsx~DhGG_Y$uhp5AUOrHsgJar2Tlmp`=j$k@wx9TT^MA4c!4AkRAGZcId(F zp{|$p9)|Jf2hb*=AJaoeR>Id4-mlBy>lyFYbMW<3^{YJqzJ9KLwdKH9vLVVX>I{7S zhJNk3CG=`nkD-Bj4&Vc{Qa|AXOr;LugRF&tU-@=`QZ1wLp6jt3uW8mYh&!P}!7hJc z0s@HEf4dfJrFF$(DE%RPh?f2uKE#y%4g7|t^uAF6vKX#Emm#I6zT%w-0>R`{Uy#z^ z&Ff^IPI?k3{b78Vmi{+Xa^YG+2Exm0$tI^s`ALaG&s zX6S2%DgImdEn56P@LNpr-^OqA#Si_w4ck#QL()-@QmFk#K{_m{v>zG!4ZDq76-M@$5Bc%^R_&uidNAM9%>3t`D zPAsH9jEd8J9iyju7~Q{*->0Py!0$7q{{Vl$mp%;Mjldtr5GmEoUH4%y zr}hS(x~6qs$E5C$;-j?mHhh#R{fGENzVyE5m5|aKyb{r6_wYzQS9*hY{BgRkYczIY zbpH|lh?d@gKVnM%G5%OndgzF?y04eJ?%}Zoru6kp>%OjH@Ki2S`eXPQEqxe1#+3el z_g8_tVG(@k4VI2L-Pbc|_s8*ZT6zT^XG(tppU{-v_W?ese(t&t%gdI& zzG>apGqn3Gc{m-fsGElh$L<>%G4y(y4!Qfdbc4xQL8lJA!&tKhL3B@eMw3KTqb^%|Y<*J1*Fy;Cjf6lj5 zSY0p>eyK=mW38tDXLlZ|#oaA6}^(ZA(rvolrDUUl0lV@GDGj!l zvpYVdWH^h!O#Db@cM01zdsd>@bE+ey4&0&B^ayBqB-Evb>&#G9p&jXcOSan}2bgPD zk2-N<4HB~8kN}@lojF4yso;ng(AV^nM)&Uwe7KJ_TRx` zC&AYt-mi1u>u~Sa9`JQE{pwnHB8P_1AzvNX#7>5M9Zyz^`mOeQQqb%lI<& z)`#(B##_I^-?+T>k^NzhWQR@84x0wb9$)(kzCu$^$5)ut-{NmIskwL2Ja2H*j(NGr z^I8+I&!BmJhrgqF&c@#{d47+-XY-uvDd7+J2by{w{((t-6<^h)=1REGDC~IOiLg-n z76bcCTEZXkk2KGx@sCWNKjEL)JfHQH@MrupP5nInnMwT%{za3TE8z=G#MhbBzv16Bskss^GU}$hI$Y$_%Jc;m zwSULI(>#~q-FmW%gTWWMzD9mWwoTu0cz*3_Hcdn~n zYP7z}b@fY)9(3`J#FuIwJA&v9x(I~&LU##EoZHD?oGyX@3DW2SY++}1*ly@mMyoOA zMfTix*CZ7r2Ln5pO5Q{M;v|Ov5+anm-`#z~Qw^&8m$>ej&PGAL3^HUY{~-B`lWzmW zCX{~|+C_WVp|s3k!yGfVT_&uj9rCi%a=bzIw#33xlyMlVYER-J#GD4~RBjhhm zei$HOLiw;u3??)mxfT~yO)h7T_E%df9HfNP9FCE{xEvw?i4f*+g4h78`9!hy?KHUk z&S=ufy-~)~qIn>%JhU9A$X{GJ6hIVVIX)3+as}7xdE`fej7Tc~9QlirpBIq4LiwLj zO@6MO8mc;7Db#5`ke83<^#%Eh%PT)1`Gt9rX(AcCvey5Kur38aMgc1SGWm;>Ul5Rj zLiyiP`TQ;4S2?RALue8g3W1D5RQ~tmFHU}8Knk<+T_YJ$j9g`ONTxSNa<3U&Wi*B2 zV&p2LwRkQ@u2Kw+M)AhTRX)=Rq6-Z5#Z(tp$zPl$3UR94}uYL}p=fwb4`j^nS2Tg~l3= z1z@Gu_k>VswK7SUSTca2}xyz|Gpd|}D(WFd0TloE*_!yk&ehx#Eh4B*ak z21)wM@%+?aoxxdHVcgVUoq^fAcB(+|^Hs(A+>iJbM5gtoj`$^Z&8vc1Eog&1s6kq9 z@Jt!ihWF-_XMtF3jq43&1iZ4=8}3hI1O7T?sIFJIyk4l*4Y{jTaBFXa8MTJ}qpMc7 z;2R9IO3#M(YBkL0mLJpkZ7{9h4W{+GUeOPa2KwEYyM6^xb)y;mh9l4~_wJ2IX`|`- zY%toR;*E3bgmJDI#Ij-}&V`d%b}=r_6$hlaD9(kGbE;s2N?Nw9uNp@;aq>N5)@YCs zP34ohVKgT{29Ow5zH0^3J!VZ~O>48^n3Yf2P~UsJqsq;~nRf{&Q3+aFGJ`C^m9``x zB{ij8vp+mEJ3Ne=d2ivy?w)y0DPWhPlF96?6eqbfAf<(p^MIbUbC0dUnRgkGQHIJV zGpaJ2{IY>sb!+Do4vfW>n?4a+C+8ys#Vv1og}k%C7)2Dp2`k zHdKL=9}5UfmEhRTFm*-T)k1KBgO47wg>%F>kP%1alUYO@C%+;f6@~JPiss$h4ZD2R zZ?+5P-IXA(O0*orLo0FRs0>JDVL9L!G@p9z;QZ2KkMSTQp2{a)7|+SC0!S61{1PBP zUzq;9d#6yRRY6`=niuhcs$5>x0I4R-tF)jlyM%SA4l=4!`NYzzbMg}aNf62}N9FS| zY&U0fo)}gGWYnPYiS^as$jXPcSy<2ZiH@%eXR$SbU6V>Cc2|>=`~V;iu##cL zS|oXoR8VrZStFrycUV}&f!xMFufbr)HJ%pEb@$~y*AHhxQ+g~v7-*2-0>p>gWqwP;zxE|O3^#Q4Gs{Qxp+Ws&QRNJ5R zzx@WyPbU>>N4np1^Y72S`Rf`s|9+z>8rS^$O*j9(NHq1oH2;Czn_n<5IbgE+8$c5` zpv_Mjy8+ky4FPFrs`(EXoWjfd@B!2M+8>Fg8R$zji@n@KR-W-sBPe?#S}#(IMqIrb z1Jan*i`h`?4u?(Pw}J4eM&%K9GfrM}K$!g3@5CyB}<>?BTJD?nN?^6U<}qm-7THT>3^ z@<^)I9Ip)^ZL~bK9B*>v@Qk(E0;esNN7!vSdF=pc$IB!8C22X5;kRVUBdL-(UVA{= zYk6up-V&Ch18_P}d4%18lh+ZDjv9H5+~r7t-%==#q)Op$1~U+!k-E2G_Q> zUba4PJz_g&`%HE^nmf8W9+aJ-A)$pri@>#C=-AKzHwNZ2IV8D2QN zLU={E4i0}LoP2*X{ABnU*%{F$qGv>J*_o$eo_cv2%FaT&3mqwR6s{Kw{Za_>EnK5; z^TJ886KCN!@jGxmhrh=^%FZJ3MH&`qB0G!jE_$RWq>tJdwJ++R>@4<1vE#)~!S&l> ze-}rxvv~dD$;CU$&gih{V$m_OGp1ckT1;QKj*odFW;R^kjyWB3R(6)ySYlrZ;Fma2 z;!=rkWM|2aCHs|3mz|{^D3w&I4P1Md8dYj6T(^`uRO(IHS-O7d18s?JR&>G{Z`&yK1_C2NT|@PLQA;LuCS!SOK|UpZiR4*kv6JipoCM3YMWkPB~54gUR@EVLboe6IyoJ@drsZqE_g&H6?v3z2! z#JX^uk+?8%FWoP5!jpG}GeKmfn z@v_EF+1bPfFTaR_Yn3LAnly#$V@(z`0efsZtm&ktQ)Fke*PFfH>_gevyh!u7=9S^P zx%t87hv9m<`8UnKlbtQ%TGVX;cHOc>%W5ra$j+qxN#l|phU>E!mzNCOea}lix~y zS9Z1^+kSfcnXG>7Zej#9@U+1c@Y$EzKGmYpeyDJ@c3$<9t6bUNSZbJ^Ls zS?4aDQ)OqDu3ZLo84A}Qx}vUuva{>Ft}l08Av;sUQ;VlU`=@56&QAru>{+R2{hp2B z+P&wno+IFTwC86%FT(ZjUJ<>ZJ$sGn^;oaza9!4GQ!mhGTE4W>Y31PBD6L}})F&+~ z?aegsm$Zv%zoda5_3qw#SZ|QiCtsh^eIQ-mJbg>|g=@c}{i^h<4%c!0X7`&5*W>-Z z=?D7j-?RVd{^Q`fr~k+OkIT*h(E}0&)P(D*0k01LyGobSqtc_{IwpN)`W(0(OaD6k zitHR%ePGLht!3w+27@{c>MA>jEgQCN*ml`D>WNWHM=gWvrBQ#4%8{L;pBue)^ai;8 zFeYe>EIY>}kLf>VAY4z5`F6|?vU6{ee#jXM`dTGoEen~^~r3I*(tLtT<2x3%v=T6w=&OWo|m0d z3QegvB_6KHQ~FPVa!y$`W!sePa6LKY+bKWDP81li4M`JcNu6?n7oY$c{+CxG32K&u zg4ORXe0>gj1qHd2c$3K-7D#`;F3XU*B?@6uvkWcHvf3buL{w1?MHt9r<>r>oS9V#X$!8h>C83HpsTOQaZe|`#ZKMoT`6kwet zRl5bXV{3S;@?&Z#O+yK{sFrL^Z)KjY+AgL8_$n7o%8zgF>hPPQOtY+?n zvM@oU@g1NVRy}u0d04kfLQU@wEwP%qlgh+u>l|u+2WgAd*qv4`#tmEEVJc(Qb|;pN zHMlXT)g7okR)cqH`B*KULv8L*EwY-tlgr4sbGtiOm8?4N^m4KWnuOZl;aX)idpDGo z)$TOZ@h;FVtKqw)ylPnJj5^&VDrVJuH{SOWjL#Vq2>%O23C%i*2!c ztzJwgHRv8|GPc?7#k#Q$Hx3QC2V0MA!F#oSY%3fWOf2d$={( z7QNT&$+RkL!Wwyxw<_DR_d{LT){RD^?}OH5TljvdFI_tJK7i91jlEAAnr-a+sm{I+ zFs()7@1r(n+x>p4x5n9DLl56)4bL|I{aAN(StA-favwH5+x+)y{n=q%5_ z?q?ma){u!N-^bR#TEzXX2i7X4qAB;eRj`&}>0+h(C?L<74U!E#W*G=#jl|N&N~#ZL zwE4JYB2*=;nOHh;nF-Me+vBuFGc0RSt%bE1OD|rFA$nl~%uLHwIGcZNiurUvLc}sv6f`%NNh<&M{0N089im0lCCMS=49zf-<&i7 z3bts>x2($0s#wdibY*N=zSi}OWnH;i7i(dbzH+xPt(DD1&stVy%F0+vvvg+8(umIZ zJrYtHdfqZP(+0;HouxPPMo091e5Ch5yM4SxdBZ_}?s%=+HF|goCx|+1dd=qL(a#{Lco-8l|Pj|8A5- zkIXpnWy>V}GD+4fEnWI&mPD8QIMQiZr{C7eTBxN@|1DH&r5n*o%SvyEm9my<>GZ}} zs%ovaeDnn`5205rYrSFC%37?Y*BfWCM6Whtr^ISu_OwH`#l!#b!dZAp>Oi#GGT|F) z!mJrvy1lVxY-q}(&|1rsZ@4M5=4|Qr#+x(IueyW~jn-Qxd{a!AHDgQ1H^+>Lj`<~r zjg~dvG;3xp+S2pQvuLeVUqG8ItG=mL&04ml>zixYMAx3-htvXXu}u4>n>K6SmcDPk zd3yu6>$S|C(KgGt~xIlor|J%zF@gTG}4&lHCDUa zIbK8BMe8})=xxh$?kLY;y~k>sJIi}S9+ZXNwLIvK^B~rXtoFI{yh!XxM=VdeBRz@r zCaaC^Om7l<)CZPF-LW3UdX?2qcdl27J?lfuv+iimV!g|1t2^7f#2)ss5@!?z;Bl+EAK@&RT7FSGFP7j%Yag)N04OwjH^)lHlzg`rZ0X&m~> zYS(+FUAeYJgVEPk+ul=c%e8OdE9e`meeb#U^|Ub=8C&h^JJKZjx!eB*c!tVl(6^Qg z-}TL@XO5o7imW!i`(0MAjoFFsQ1rd!&G)P~6ASQowAIe{u1Ax0W;*DrmP_B$F3q~N z)z`5(!T)Bt{C(x}tlL{{e}B2XZ-@Vf<^A`a_p=eeYXAE$0%!&Re_0{mz6=3u z7_e;M{tN@!0l^6Lj};B>+i1W>1j`QY--w`%3a?49n-Xs7-`^U!-|O$%y%TELg5?MB zHdOZmYw*|_JhS$*s?PH?ku2BHj*NRSvF$Xh`>g8JLxP%SaxFBiH@D{ zwlYytEL*W`#lTj0dr6V?v9m}O%(!Nzzyngjt?=9kXd4%WK zCd&q`sC2t*5RQv-MML<6fcUdi-wKFUK)j8^1W!=3?9d8|w<{=;d^LgbC#j(o7_Go~ z8v`RTN>6aKY|#piw=+2U21xXt)YuA;R)D8@ z&I+YgD7`~NsVAIzy8ZT+ty;Ev2ivO0UPq16*! zJ;Q-cmd#o=dl%TO$8O26z_M9uSa7Ee1N6${z9|rqg;3DPQUXG#EGme~p$e!mN=}&dC?f9I2xxvm1b~li6$zY(4$Ikxb{Pt$_O+?nF!a(=&{IZXnJII z^mybyXnNjX(Bt`vqZ#@0qv`n@p_%!|q1pMzqge(1L9+{PK(h*-LbD5PLr)goh34Xi z(NlOMnpb2SnqPD@db;Rp^bEWgVL{YzxGq7@Mg4)EFV+`5S8N`7q1ZySsMrd)zKWI> z+lXE)whOL%(96XSq2qV|Bm)o zn1&9-g`k5KXQM+E_o6o{%|VAN2ckDC=S6Q-E)Lg3^ls&5=)KAv;5rz6Q2AkWwDRL{ zU5Y-gd=wpvx1-}#A4Mk;FglsA5S>aaflk*fjm|vq3p!n^1Ug&096DF0Ai7wm5c;%E zUv$3C2DqL?pVcji&ev^&F4SEJe;+`f*9$`z>LsF!^+%!4>n}lHH0XjZHkgLKYA_F7 zYOn;ZFQIQ5tVLHEWW#kQ`o3XpbhXh-=*Pz2pr0E5gnn-P5BjNzgswFmfUY+igMM$e z2K~`|0s6D~67*N|Rd8L4a#}`6sO3mWYB^B~X!!(Omr21b-<3jIek;jIc_mxY1Cl+d zm*hxVErlliB!#uIOW~~wOL<$BlM1y;luEX0CY5W|NvhbYpH#io82CLys@LjasaES> zq}pv}O10W-m1?&gCDmycEY)ro57+Ocy2(?eI?3y#y6p!`_1ga})$LGDs@LI5seZ=^ zQoW9^N)0<^NsT%lfa__gSxT7HJf$#Pt4K+m-j-T*ZXmVpa#?EAb(YjNRhHVNPLPtj zSCBe%?<95XK3?k7eW}#B`$4Ho_pha{JqkG__ArG>o)N-w0@ zrA2A$q{Y44N=y36($aqUq!;@=B)!z{eQ8<$&eF^Mv!vw%3Q5iZ%cKJ z-;-V)7$>b7R8v|#Xq>cqNKGh8>sIJ@lEhenhymZbVIK z!^nrE^&=Nb8%NcXHjEl5ts9joZ5nl6+B|x$v}N=qY3rC4(zY>2rL3{>Quf$+()MwM zq#ffjq@Cj~O1s84k#>(?A-z8SZ)s0PS7~oXcWLj0D$>4*!P4G|Riyosf~0+u;-v$V z6Quo<)1jX!sH8p^#Ux^Rt>N;z#?o>fb|9}+|~!MK7c8<)`0Z|ERXFo z!1@7}*Y+-8{Q-+~JP6nT!16nq0+tR~zR)6o4Fs%UXb@n704orh0oY)`3WxRqYzSb5 zLf-~#C}2fGw*WQ_FdQ}su!jJP3L6O6aKMU&R|ISXV8z1=0yYw`V&Np+D8ORENxIR1 zMTbM}!^Z$tGW-p|#sXF%qBmgU04p8Q3b65jmCDl)unfS;=7|ODVZh21ItthXz{(fe z3D_fml`BMaH4(7bLPS@O0#>1L5@3@6t5~=?V3Prh!|wo=30P&k6|gCQRl+|4HWjcc z_!Gb$11!Es6TqecR;@@Sz#a#zYEhE!bifjdl6+?XRz2zi#z@7)JNeNQEg@83LLCW_6 zV9iQ=1K1+KT9)`9V2c53Q8FE{C4jXm*&eW^fF+e`1K5jzwJDVd*h_%5E;SagWq`FS z)eEqf0c%_8O~94|*1psxz?^_3mnL;v0a(Yri?VV6Onysq|vNUIi?rOaNf3 z0P9lvTfkNW*160hfUNEVV*Qz%~HZqe3;nHUieY z!b^a?23W5OGXdKKSkDT70k#>i-W9$AYzts%u@eB>3RvIRet>NQtWWHFfMo&JKQ;@n zY{2@(l>lrzVCiw8fb9TmKwKtZI{_OMHyE&8fDMfM8?fDg4T-x1*z14|u9yti9>9iG ztOeL!z=pq0AM5I=L2>Suo3Ye0Cotl(eXO~djqghRfumL z25f8<;#+S5Hm1sXz}^CEe3f?qdmFHERTl#G4qy*goeJ2yfMrzO2iSXnJyLZIU`GI( zP%RR$_W^sf>Yspp0NBK8ivT+c*yL){0Q(TIN!3dM_7PxHs)qyiF<_Yq34k2~?6HL6 zfc+1!sR=y*I}X_63C#gJ0ob&JEr6W_Y(~OTz)k@+J%RZCX~1SC5Z?!XLQm8nb^8Rc z*)>Sr&H^?ou`Xce0DCgAEMT7kHYag0VCMmQD)Di^J_BrS;$p07pn_!_Y1YLPrH1NK5KlE*iI zEv!ZIxB}SXS|pEe0b5kBJ7C`dwzOU|z`h4;NyE;7{Q%fY4I2P<6|ff@ZUyW|z+P_n zB49rOwyaSwU_S%qZ1@9UzW}zp(d&Ty3fRg@UF9G-(Rh-+--eQW>y+09)6D^fNhtZEOPlOauaK zL(?h979jz)sp$~F0swoh*@u7y0=A{uF2I5S+uXb|V8MWGYhD)%-ia?11fPeiASTVB1?j-xv`J*sc~801E?bXUiIZg#-3_%V@wN0Nb7PFkpEA z+ndxEFa@wZNf5&#A_3c<1TickFJSvxfsIGx1MFZcuC66$k9SN&~yEk0jmVq*-l3Qs|?sDol^me2kg_%O#rI`*tsr40jmnwXI(l2Rt>Q8T>}BD4%p{i zz5^@)unS#R09FIAFS^bJED^AasSy7nY6A9ED#X8t2LSsrbpc?t0Q)+15@59fyVSE0 zV08fdre_>rbpgBFa|B@Z0QO8Uc2-C&ZzM z#(@3MYdT;}0Q;%e2*8>G_G2&bqljjJ{n88kD55!FKc|%gtOa1#(jozC3D~b`#14`G z`z?*wK`X$nr$N6T(HgKn(xBguXam^qX)tDpXbae1X)tDpXb0Gzy-Asq0sE&nDRXLOi|B+BB&lC@z&ZmK)UOa=T>uO0Hy5z3 zfQ9rM1z0!0g8O|3SSnz)ejfq$AYgL;ae#FP%+Ws;upWTf`yU6aCtzXycLLT6u+RZD z0ZRicVn9*AdIJ_dU>{(808<960IV-ydD5c+>jzlg^gzJ+0~VP+2e1Kv490UHch;eqjh4FRmspss)o1+2)RI)DuW3=i85*h7Ft4O;@( zaKMU=S_aq%z>1HW2G~fzijB$vY!qNIqb>qA8nEcm8vq*vSjo{(12z`05@Te*#sOA( z^cBFy16FFxK)^BpD?6q&U=IUUX3P(OO#rO?m}7uF0$91R$$(7+EOu;lz#avx!r0dU zn*>=AQMlrx<0ro(K1lVlAYGx2U&jGA<2GR4A zfYq9?AF#QA)t#^su%`g4Gm&8P0INTdVDka1H;J_G(||RcMB4Wmz#2>@WnKVSi;z`6>s?gA`LfUOf?TLjo)0rsT;yCT4T^~FL939zC9 zEIKsCqXYPh>LqlfAl+C2HdBDTB*4~du#nIk%FKE7h zsri1H`3`LzRzOn*f@$>uw3U`Pc!!+M1A$PPWdHZ94huDfq#5kbImZAJ^eS{sw-KbI8XR<_w?&tO3_Uh+PV-aMJc6} zQcCHnlx`Eox;HIj8q-{Ba>=rc&2?zrXYSp7Z;K zusP*7oh{gkZP<<-*oj^E86LoH{2Y7mAbx>|@GyRfN3a*a!lQT$zsBR(hu`1{Jc+09 zG@ik;*pCDFEuOtYx;tzNYuj7yS6W+j^cnfdi z9lVP_<2}5Ozu>RRKT$~4#(pJoQRW95tUFGKfuYTf>Tfx)leNZa4JqiO`MK1Pz$wD z2X%2K>Y+Xw;4CymBb<%KXo7Rl6wNZJR~~3QSJ@mb&=RfC8g0-P?QkC2qZ}R3F%vdn zS=sIomZEoAzA9gUCCd5I0^Ev)ScKcK7`NodrLL)4NcB^LkXodcAq`9YLmH7rhSX0Q zk_jv9?{+NDKbDrIANsW}$~P8#kdR&d;Rs)FFg<`5~!#su4n~vR#=9(?WV9y&2Nm>77t^ zGOFMdR7EvZM-7~c(@+zq;|$b7ZPYB?ZCH#YSc+v>jup5aE3pc9U^Uj@POQZ`tj7jy z#E)?oHsNmEgM0B4+=u(|Q*6c-Y{fQg#}4ewq)Pd>F;%KCCB#m#bBN0_g}rzT`|u>5 z!2!I0LpY2hnYc=N4f!)sD7=Mt@jgDlM>vX4@p&e$mA=H+_!i%1iV+DFa6C>zY z;(gK#%)%VZ!vZYA5-i6`tj@%(lGmkJI?7Vdr4CB_+iYPQcH#l-$;2JfLwE#_;&D8I zr?DT;!32vY72^LMTXo5u(ESg}^1dAqEG{NX7cVgLqVD28R?e975V za5fd3O@%QShY6U7$(V}inYd7LfQ3@Kr6(`#s!o9d3Td*Cw z;EX63ZNZ>YCgE!3Sd7CBm}ucTT%#P137Cp$ fm}zycbcHk;m*X;w%A{JUcBr^7e}j?#ZzlX7cby0d literal 275716 zcmce934C2e_5WR7@|H}}CM|8#mL+YvFWK6pNebm9O-tG|-IuhquP@0<8k(g|Ub;X< zaYIGi{o#hFh${%9>?$e(qN2FqzVG{j8zSoed*;ktb9?*#Ps0b&IdkrrneUuAb7tP$ zxig>p_x+#HG;LMML%J62?e4F_UsTg|?fjl217n%Nx~hTUY-V&gJy_L|=}-5Z-ZIiV zKA4GWCgcYPx~uRP)e3a2+>+msIX*rxnn{kG9`4zZ8Ovm&T2$BK$am#fPkJz|YZr7# zqG~kLH<;j zy7|fuiQnbsA9CbRE55XcZdd+OT>9yA_>IgjZI|{;e52(A@-Lq%<(YrN;Wt`*;Exz& zw?@m=r3=c-btO;yLWkez<|iC}7xUwUGg4yPfB9)Qzs%v68;qay6Pdw$=%KvP&7bY? zyWIQ>9R6uHf1blHH(mKJboh;K{!)kErT7y6O6H^Am7jL=YaM=h#Fc-&lTV}K%Y2#~ z`7SsA5{G}<&2Mq|^mlwM5?{WBzZvK9U z-{s~Xa`>keU*ha$KIyGo`Blm9bNG!ee;c{N$*0RLKkUd`{#oXu{Iks8X7e=fKD zvy@lc^<)0;fH(&W@sed+ zOa8FKKkd$E)RjN`ZO%vf^OmI4kDGs`lTVkMf3?Fu?cx_m|BrkMiYpck%`P;}`9(GQ z?Ajwyy?j(pCOgdjqSK6?&s7NmZ z|Gb$sGmNqYGsM2CIZb_LXUCD@@}+(KGb(2#jSH_hxUlj_tk5tzTFOhe&(=!{3NAh` znOuErSv+3eiTdm*9O_TDBnP89;(GMr%#2ktJ1?&r-rQ7qWO35CB4rpY6%{qcp@kKt z$1hLrDJU=9y>)Kg(B^sDOKT1$j}}B0#49SAjPlayCx`ac4!2)DXI5vbZbm`D`7w!e zX9w!pY%EVyY+p8Q&3NgO`01*O`c`9FL1}49pVFRKdvdUA&DiFvr>&WCsCtNSO$Dx& z!ril==QHNsY02dFHOIQByu(g;7Z#scRJFf4({{m`g;QqNbq^G-ub7v<)Yy}VwqxFV z+H5O7*?F+;Fz4TpSyy(Zf933gqJn6ANoP&6z$j>&Rnb;^EHmTGhAmyI4sWclJlV0o z<_eTkaXe|2b1~Oz*6QxA9cLC+?Qe{q7hh4jeGbp3tM!;HziNNei32mN=NG%4Co-E$ z&TN=+dGq1+8PoTU?5`e9l`W69m@Nr&+4SPldAc!LSlY5;f9>Ic+U2JN>}}mVv9aV( zU8ZzNY2!RcPqW%*%snYCXgIO1KC)&=;#t0NXy2NVuDe28aArpF?7A_+G2g*a(q7+j zvg2Ugk#&crpISb94e6sy>0?P%GHT~LQYJQ5l|$btOW)_ss)*DL@4R~2zM=iqW9@~N zvx?0^b5+6aMdcN(dco0nI(>fa;nwbsy{&r7+=|lD_VwwhB?a-Jc`bU&h35I~{ln!A zC)#IpB$E}T=Gu6=v^cf;@Wy$fAND%bk9OPM&h3^=ml;#Y9%t3{ZQN5@QHowtFjm^N zz%!{6xIKoHM;^ zA@Vy^aPb09x>l7A_LYoKx@mhy4p#Setvs`5%UtBU*?C?e^JTk-p4%5WdM@5rd-=)1 zxoi6}n>seGAe;wW=~|n5Tbs`88J=6$XD)!h+FzX=D6HJKLN6qHte8(vY>bWs(%k-0j=Qno`%!o`&JA6u)>_)rv??8L*ukJf&B(@v- z8+ubsm5WE_HXP2(SUVH-9Nu_IcH`EAYlhZsT7I&)U7ymQ5F3kUH}&;*MzUlt=EdcU zSCrRfGBf6`nX-lK3+1;p^=#}sQ@^#m_C$Z*nG;(N){UUwE*|P?I^3T?e>r&Z;gLR;;cmmNVq-8*YVsky&Fwma;QGJ+jqE97j7^=?7YCEB6)ecbC&Tvv_WEUpw?U zzq~d(ut_u&AF9o+ODtbgm16x@Y^dq!-!XmGPy+^g%U>E%NrsXq>XO|kw+;aQYj_usB z{N!@7tHPSvtzFeUXrKBObL(I?9b~WF?Pb$r3#eUHKRi%IcD8zaGw>82sxejs3O%~#LaIDDWE`3}@T@BNt>%TErLHw?mGq)BgEHZ9+a`M}WL8QJIpJxYG5 z5B;idT}f}l%0j~||8yyfJy($dpa>1Ae7anH%&u6pz<^4qJ%HqSUyUwnCeg}DO$ zz=<2>q*tr_In*zupC4YgY3^ksvl?b#ypZ#bf~zo2U_P)svAuNQ;Kd^wH!YL>k@K&! zh7E3HC#4$577- z)D!K|ytr!inhJBb$8W)JpII~l`y_q9j-7T>?WFwLd6r*;f0|W2yJ;-7>CA=|bJmcZ zyV{@q8@FRRS+&36__|K{ye?BP;En6>`-kd=)36irqnS4NYfs#mrbn9l4z$4UcA-CS zGNxB}RUbmqvvOtez z+u#S5U$CaTYvEeV!|E*ihTrO)IXrs}`I%DI)8>sOm)9L>tvyp;HRqB(vz_(2v$l8d z(EggCb)6lHs=0r_AJmO)?wlLz-?tj=EBgoaclgbwnsp1a4O`AHrGB`P?B3<)ql@%J zwoT4Y%4z&MDaVZ#V;c1vIWLj@g2$ttg3{WpolFQN;Qg&arzw~#$i2pe8%`V%|G9e z^$f^|>x=wpJlVfygysPw`)YbOVqQ{3`3LaP@26uvvJdl@V+W~yyQ;f4mY_Zdb@bl= zKI{&DneFZ%=E+^Py|7dK-mvUz?bteu>nkw-NERGVuDHDR*ufpsPnBHG?VWbdr^w#m z=ckkoo)pxN3zLwS{tDw-LFNGatHbGn?H2!(fE~rRFW*~oP%j*qF&G7Ynm?TEoZCE> zs%1M_x1osU5B5Bc{L%2fB^BmD_xzzQd$fM}O#FV3^RShAv3nlTP<5!GueAj8RGxRG zUGow2%jNr4?yu?H%j5nmXa3^MYldglU4i-9aaX#5*8R(AUe-6j{luto=1I={>5$YD z#uee^9K-s?#znda&TsADI#c^c${}?IP3jxMR-~OD=5Y z-?Laxpn0PeNB=9c*B>^?@l5J7S>Rn)!0&$YD>N=0KGrHIisbhl!JptK3pEUFdbwQP90s5V8{rUfL{ zwezf$$I?AV)BTysmXVRc%BWVRYg2rWqS|!4-<8jGte}?6C%Se~PzLS8Sy$rOx;B*(ySc8qT8M3ty#u}3BT?;qT`TeObZvf6X*;u{ z1H=8U0xyD=D5dWKRH0t4S5~HnM}{kFqS}02TX04CM7nA)J=~8M?I%W#W~#PivPVXG zFG~;i4razGJ4Qy1jvtF^3xGLXG^#DqwL+HHwNmwNzH;Fw*wKwt&l>5ra}5l;^EDt~MY0@X4H71cFkU0&| zBAn+^ymu%yI+jgA&C_jhXY&ae6g|#nhK`YSgB%_~)5Rq!HWH}Lu9DkOU>QEXtel{{ zU}kt$TMI*RMagblQFNCnPPC>mRkPa5S(U0m4lrco1#20^9vCtOw%UQgLF~90OpOg( ziGGCkvaNk!xCbo@DN?gEerdHRZUPU8&^}%{TTHf)FR8C|>zn`w7hySFR2GXkDS$W9X5YfMPuJb`h-TBX5AQjlwa zUs3ujad`r%((_ylwp(o2LKOn}Xw5)A>@SdCcNYGtv+!ZrGlKApz*60=&E+MEATL`$ z_JU$3R-Iadj?^mdkdflIz#+NL>a5POM`q%8}1@>_~Q{*0*ijbs3aH zoQ;=3IJxt(6dFxfjGgWKp}ZJHnJjAA)#A?BigCsib7x#k(k;7^8&ey$cB}_$+R@{t+L2Uea##BvJO^uMYTJ%oq`VEu-5tB&)upW^TU*<9?v%PgN0`8a zRwwY_119j$|0nQ(WC9QUegdyPfY$(XbK`64Oty5i0bu|a7z4OK8Ndb304|UQaDg>| z3$y`T;PupNOLFICzdniQ*C+A(`XruTpTzU)lX!l863?$s;sIaD`qtJhDeT(VoN8&` zwG%Fl8IqpDbl0x7)ZX^>)Vr7?+dy$RgSOUIOQc99;--O#$=C*W3eJQ32$#uubna## z0Ks|eXxrGnwbO#MGr4|;P0Oy#8A%)peDV4%$yCek4VE)VaC~Q~9UWF!5wdtHL(1+< zp-W?6-jLk63mtb?+m0Q(x9v*pXj|XDqpcM^qPV>^*?L)9s+N=lY*SU-rLz(@i=32) zLA|)tEul2$&S+<9ZxWcMSz>KTm&>ySiOU+Z@{@X1o^QHJEP1S6chT-9NGMS>n^Qrn z$;#A2Z=)$D=Sy`Iiqp}V+PU9Ri)=*zg{Gz`31Xpo)UJxNwX*}Ywd%FAwR3%{1NwIa z*r8cScJ4~@qP#p+ZdgB<^P*xt#IGwU`Debay&Wd%xiEWFQqRQf#mKL9A->@6@t?b!~D0{Y| z(wyzaC`XruTpTzU)lX!l863?$s;t{@%t;zMN zU0YMTcebUrb?n{=EYXggyKCX)FcARd*o_rYc4I}Q-IP#_Y_zk5a-<)`9)|7ZiM$!-mN>< z!)wTNR@abn>>i}tuC2S09pt#ta+HM~x3o~QqYV?jUFh*@niMD4st*+@npKfdG1kPc z_APBYQXOp@k$)S8p)&f`gjd!oIe?B=EOyPUknKe&UBGvT8ZXq>E zpQ@v!E>fShj1OlAhB8%H037eZ7cJ?**7V@uPAthpb-3(!_s9r-Ka8f2>Dp`D2twBX z+&=<%UD3_)B;0lSSSI|T8@@_v0c;j}o4z5Tuh%zDDbz7%C`cbWHh3Bmuh2X7b(9-C_#9ty`sQcH`0y@hsxqo?hsAH%x_);@TO~d{@)zZn zMJYS=U2%Pfz8i*U{r);hKjvckUJMM!(xd6243>^b6JxTy4!ByC+ga#c`hG%o0N&pD z$;FG|`a!f(efQPKl7{BmqxUAD2xMVmO+?o=x-;U6x@!LalXJg*gtRk&sRDF)WTdw>-E$-Z>!0Uu zn+ZmajuPcG5TAYa^2zV{G>@dS9F`YvR7ZcA*p1<$-3Sp?g@c+X4d)$)9S*1Pn>7>D zPk0mKsY(oLVq$oCcTtEcX;2fT;s4#zh$?AN6QwaSxTO(Q(x4_vW6W?%BdVl9O_Zj! z6jvi6s-!_ply3A&BdVl9O`|5^USWAr45ekgnVwX3a6Cn;yS$nm)2~P8bQM|yxtOvF zkO3LNSY}!o4IS%I8Kp*s2k}A2>wLLE*vbuLKyGM#Pw|Lb2|xxUK#O}4AZ{f98IS;t z?n!{Sl>lTw0<^m)0peBykO2v(=`D@)X1d4wmDS$t%LsB-Mj!(+f>n4*M%+pOG9Uq2 zjMbP}-Z~!BKj_N>5>^%<1G0d%c*;cFN)TvBwXh0z0*b2yL{2QB77brQAbByCVczY_ z#IX|+56Hx4C$ye#l^SR#wJ-+yOg4pviRqv473UZY$psYWGn!h*Xow6*0Lv)t85zyU zzC|y4u>1|j^@y)v$ChdXZK)Q9;nA*RGxR8+V4uy@IyOUOKmwS7rv%4BY6C5#7G{vJ zhVRU9O#hlM1IJ=WbYh8hK8vBaYC9qW62Ju95bGT4ATl5UOhB3#y)DGLC*DHF^dI;# zaV(}T&|>Of37%3NBcVqDrTUDd&M^`q0}`OgJta8SP#0(obv|pLxTU#3YpC;C1I4Wb zf!0vxvj&P=2?DL54o&Q-gVXx-Xkw*T`C6aissthf68KtwmDBn}1|&dp3TpKcyzd0r#5>eGQ^3abK5VU^DmC~h@Wped|Ed&^!Y{hlgymakODJV<;Z>ea9Tyus&} zGxWYNW?)B$+rmgf;UF>~3!lNPb_|BdfCR7s=Lg9sc?)BKuTaNgRtH+lYM;eW+(H>> zF{^zRLvbrXpvA2ASq#Ok1c4T_+GjBow-N+e%xc(yN7Igp(4zpw`AlTBV3UyF zQ`|}r*wXdBmZrFsAh4zDeJxFKD?wmO*ZW$U;#Pvdmag};G{vn1fh}F{YiWvG2?ATX z!Pn9hR|$xm*wPKYmZrFsAh4wyd@W6JD?wmOH~3nb;#PvdmTvI1G{vn1fi2zOYiWvG z2?ATX!Pn9hw-N-lbc3&@DQ+bQZ0QDHOH4oy^?DPMvoZ>_fHgh~ zptzMF&;r)@EP&!xfyj zn&MW1z?N?GwKT=81c5Ex=xb?;TL}VNy3yCt6t@z9oF1^ug!U|P4X-uTV8pEjCD1`> z(4^ipVAyFu2c?1BRUjbWj?!tv3xAb{fz@Y0$jh#ehESE{z$V z^=Ab+J1fvZS)t**#e-p|0UeYEZSPG3hMfjd)Y zE<2!yK|1i;L5<4}hz?5Qw}Tp&9S|Lq#%~8TE;}GPD2?9^YFu_ebU+$fD)i`p7?$3M z4oc&<16nGy(*)ZAtrL37aoGVq3@XQO2ed|LmlJFUv?}N=$7KifFsK~A9ng}XT~4qa z&?2C>9G4x?!=Q5fc0db*b~(XzKudw%a$I&m4};1F_s2JLc!je}MLz2&%!gB}Kz z<2MdkA+*a0HV#@I^p@i?4tf|=j^8+F$+T{e>0j&>u%W>HOJq#)bO>M7<$q#N~<|cnOF4Lej#Gq{ara`NSHr!y-kn4!< zv6>imnqbqQl|WAwiD9K7Ish9j1}5Yyp`mnYU?gVl^XK6*6%r20!*43II%t<0Y$~)g z=*8+X6?zy{4y-}G*`rrU^j%5J?D6N~G9Fq?49dlCJhX;rqYXA5S~V=h&iM4HftZP} zq`b&ohD3rvWx_DLX7E0NxETy{VYgUa#S0j*BjNP=zwx)Nz$9f zWe4;yD2?9^XqnP3C)f^XVbWWU%MR#aP&s}(pv6kNoM1bkZM9<*k`7AaGm6@3$0#Hnl*VTiwbhPMNIEEu&nRlE9ixzR zP#T|6)K)u2A?ctrKBK6uc8o&OL1}zOQCsa8g`|Vh_>7{q+A#`AM~9B?;3ETOU?a74 zUV`^4btQuR&ozr}kqMV6V264@auF$uOe@l2k?HgSGcIm`9e3b23Ek#^O|?b1!=V=2 z&gonY2rO=2f{%XmZu}N-JwU<5i>*&Mn;{}1b0Tw#w898>PQ=G8Nt{FBz>`NhIEd5< z4hdTrc`kDDw2=oT6OoF@JSuHIeki2J21n?W1cHj6i&2jI5pEKZMUlmnW(h<`GskcS zz=ez3&sK~&e}Xn)7mzTrTkL=fPbMNOBUMxqx^ki$yMg*MseU@aVEW?r{VoMqCt#S_ zG~mb5N4is4Y(qyAIEhg1`L1#&CKr+VNCOoH%hS6D__;NSZvv*;9}(DJKkxUk{-HA|C4hAoii^%rK4k~yjUhBB?NcD{j_Ts(p1YV_x?BOJP zRk89U1O{6|;&vRO`&smWs#gDzQB|$wzW#fT{!&Q!)0rbCvQXt(nW4I?9nE?(^2VG# zqAH(`bW`P_z_QU3nG-l-0UvG*WKZKW13E<|O3S<{DmKo1Nl%+7ikcqbtnjhIRL^tS zK}-ECBp77X3?cK;6WycGS_U;M9O>(m$GRkJCS;Fu=6EhfPmJQ6o{U0x(c<Gg`up2Q9J0X^C?n6Ok(;SCRJ5 zNDT~*DGivTW0+W=`ig_24%hR7R9%l{|)N~Wg=TOfPz zp$)1lPsV`3I!xHsTeus()fUI5dMSRH(;{I_9q`QO+;#1R|D&v&t{PCPZ2$q!s2dw( z+J#hia%j=94EFKUIX_AvTx~Sl_JC#!$TF-rp8`bW=E%FLO>U8W%Ta%d*73+)+N}f* z+T?xQChxb!8JrZ)vBOKm6YO4?dOpfFip4MzRK#%Pn zWs$?)9BM5-`B0OfW=EAhcu-}0>ZgueX%1^eUrEg(^3lj$RLi?DmGS4=o9RoB4`wUz zTg_a&q#YB*vq}Jga1bnM7m@oT4^X}zlWsqIa%2n#>tL=~GVBvs~+38JrA+>Du5 zqf}O)Clcn z?cJ2sH)zuspMU4g=v$o8xAFZWs#i&WDNGgck!h+@p3Pt#@RO>{9LIESvFA{q<|TV{ z?SPl}Kb+`N?&nW{Wu5)Qi0FsZ9--aBjrJp3+>xXZ5G;hnA#$=?=rPjXy0RM zyU@PR)J~!OfT`U=`yo?%h4v$+x`g&)rVa@0Crlj_+E1BE3GHW0rG@r$rh0_-3#Kwc z`z2HTLi-g{148>XQ%8mN8>WVY_FJY#g!Vh8jtlMgOpOWc4@`{_)OkFFqe=zlOp*_RYbwc|mQ`Zaa zS*Bhsw0|-6TA}@$sn-kbKTN$*=o(Wu2wi9D%|bVrdaKY)rfw8^gsGc^Ucl5lgQSMWGxeCzXEXJAq0eFJ zaiPy;>PtdDpQ$ek{Q{=GD)bAPdQ#{YG4&0hS1|Q0q0eLLJ3^n&)c1s5$$#Z3J|=u4RTmC%NYG3%!Y{ zBB3`kRV?(iOidB`#Y~l|aZ4VJ;EY`I{53wCjYkPNxSdU?V=D_Sq@gBAXor208w)Nt zi=cDB8_UfPk)y*xSn9&FNI4RL=;@Rz zx7H)k9PO7xbF^O)&Cz~IG)Ma-(H!lUM02!X5>_gvqjjhwEA^rvJEsLL)Z zf`(<^5s>E)bPgG0!Lx$14~%5FpmEoAjwA~Oc~$@MlIOtZoX2+#eD*PY=fKCulCjWP zHB77T=Mu3eDQ9g2=b%f@D`>doGcDEmmn;@EURg(9wy$TIpy`t(Lr0^rRA3`HhiJ0U z$^bJv%h{ToAgCJZn8;drOZPr zIt28b<=W?@o-;dVRvV&0*Qu)KESk5l5eRz?{tN8^}x zybEq-OU^QeVEtH9-iieIcX`I8GlB*;F|u=8^;w0KF~}YgY|hAuF*!w^RUf_~?5yY= z+dC_;bB%KLakbn;XD!OH%Rnb#A8yOp1h$}(2_KbQN8xf-fqv0{LT>J&A(Kz{nXt5K z5Hk7ni-t@-{h}e0Prqo$6ju5TbY3o7 zV9X&uo+joT^5bcuaLA9RiNYa2p4`Ipdm!Y;lV3DP`=wlSv|kd<(SAuZNBbqw9PO7x zbF^O)4bkg_la$#nTo5o9!Li45z%mO2ximT#na>(#nIM;D$%MN!ONxd}IY0#YeG($K z&xbd&T+lqvC+C{M1`Pout&V!;EQ7`-Dde00&4NL0cf!HYEE=Re=e%f^37ScGj*(`u zptU~hbm{Pn>_ex+F}a7svlZqHb!S8EoK!8_GsrCk9B9qRf*Rj@&Na&gjl}lx*DMm$ z;;vJ%&#npQuD zOnpme9ZY>kXj_>2p3pj(`hn24GW8>&ZDUkF5!!a9ekQaXO#MP=J6Y~mLfggEZ)hul zqj28ACA8hz9@5(Hg|?TeKMHN1)zZIr1>p^fp=g+j|R zZ?VwEnOZ8e6HF}^+DWEX3hfl9s2193rfP+DB~z<}b`?|gLOa7L)(Gu+Of?DZYNpl- z?fFbyBD5DUb*a#<;j}G6dm&Tnh4vzTxt zZR3nauTE&oqGuA?N)nkVFWBrGAFgyR->a>qT}IdjZhaNubSvqou!FvmKb)9p`M2@x zz+lymOn=)jl~Eauz62TA-p}{68OPE`uZ>4v8hshMR^jbbAM7@rYGr2KGa8M)5=yXh zvDh;CtK!k?qp!xDpxCT4LBhU_R9%Y-watybHh~nc!&d^kMf43+=$z;q(Z>t#q~dSz zY|&khjkeC7GjGhdXI4JMVgAuKCs3capfRjkkgB55x1B}BHI+4Rc3k*PaMet`^DNTU z)F8{8*0hd$Z^t#LJ!!hCc2{~7r|`F(>dDBPYopP3V=5N0 z<=aLx*yRTkydS$9)!s72la}+bc=Unj$8~L?r5)@l&Wz$h$*QrD@zI{lz;NG4)lNxv z3=E^cJQ&mBgvkX-U2M=!8^zGyKAnhuGWrm<1r7}HEy8pS#6ow1Fcmx;eIx-$kLCoa z9q6Lb$MErv1^+BJ7e}L?*R=|}Yn(+&YUJ^F^b651qCu%zJz3g$>GY|yBy9EElWZB! z6VWdxkk?mmzo7-sD;SM_4K3X}l2UhNY$ir>*2cO6e%K4M!rrR{r8wr zaN0j&4#LTvLZLl$pR9=frSNwog}plCT<5>LJ0U)pi$?#UYwc&-73}>Mz_-EP=Ty1! zaH{{LQR-P#9{Y7=b093XEsJnPW7@=;$r~w27sIkXF%z>US)Uko23;x9$D+s;+qz}0 z2up0`3};SK(lJhoDcUF}EfM1<^*b{>4L z-63s0b-~!ocx*;&me60oCCnE3io)T5BH=d5l%T^t4HP(jX{&s{pw9&G!**F zSu1Ed9UqX&91zZN348CPrAD!57?`Pa;(HRb3DP(-42vzBq&XgIimlbPK}QGPnSeL; zU-x)xCK0$)l%J zmEHI%OV_qJb^5Pyv)7Gnj&;D}#J14qXd|i0H0_K(CDu^!4}Rt&!>S~#~pUAyvZiu2l>H}+pE zl`Sy(_1KXFs)r55&u2hKNylhdX8>`oAC^PTWh{qH#v7SBj?0N`>ve>2S24NPSFmf% zx^u+m4e|nGTo6nmuVN8wN4}nOJT3J9V|%`eniqp0H!ld6gTTC9UI0y5yq>do0e-b{ z7B3X~n>mXYQx+IXI13P73t5dHaGV&Mmfy&UuM_&)IPvwA7)Hd2L3k6xz2UPF`>U8ZcL|BuyHEsJHzQAC4Gi~-*e%S%>_1)!*F7>U*WvI1l{H5FZ|rD~h`ld{9}hRi zK7iFKR@<#unc_-+Na*k4`raY*_cC=S85VwNe1M~Oxq2T#ipK5%WST6FQ7;m)`^d*U z6T3eVyEpa$Y6gtH+zcQLI)jsAAPhQPlVczZIyaMJAiNER$B}{F!HkGK!g_jC=(jT< ztbSd^=rNaeIWsvp+1mTB`yG#(v8}PkNqLxvPjUP;epmMb+7n#!FI)PcB>!7Cu0VV> z_BB%RlY|66pbR=ZlobcUt2k&fCePuPM)n<6-gkje9+;L*k7h;e`^^0T<@rUjZdt`2 z3;j;k@K4FkFyJXepD9eB4LVF!>W~P7j!fkk2!jqlw$UsXY zqdP?GUp&tK8_Fj=(;Y`5u1Ph=@oj`;o=gv9Mcia&MCc!vSmK4SEbEX1d|OEOBt_#f z${Uw74d93iT5z%jB(GV-6Y-)%JdW-2T8Z~rG+u()IHe^ZC(?rhy&^sp{XRY=UMloY zbD`6yl9sO}!l1KQxym4>*u#XJcUKGjVNQoB@aLGCOKGg0PJ}_Hta1(@d^wO(yQ^dI z`9go3(=VVDmNy{6m!ekaPq5@tlC(Sp5k5(v_i?cD`6k&$aUlOH=Yobb=vY-o2*Q^J z!^@jFO#@b1rJszGlyvUhDcj}8@f)<1UB1I5uOGiS9$y>B!e5jcI(2eX#4kmL;3X~I zD4L>`d00$s;zF>PI?fapQ?pEM68bk8c8Acv!&E2v8@PcR<5*1H!BBUgQgrUCtP~Lj zo$ksp5WXs68Mv48@4~V-QwQh^c4@5#85m|wg*~tw!g`olX`z3gG4w#XySk<(7RP$f z{Ln?dy#u}3BbZiQ81F|{jN?;Qy4$kCwT5AP=)Qr`(d=NAN)f*zel($75FgaFDrYbZ z7IyprJId%A;=}0k@e#s_6V_w#3#KCAN29G}8`LnC!bc8*$gG z&#WP27R?L={Fuf*p1Jf6oWSfw#D6Mh z7IAzX_fMAoh0y=a)USkLGW8q0zvUc$CyYYo{Xs;&82J+A`X{{EWaIg>Fyj35ue6|J z!wyC5yI&a7Wi)}g1WiNJ zW6r@Iy0*u`VY|r*tL8bb);jq%i-ehoV2YS1z#PP~5{wnuT_fW?M=GNUEY?l8E9pF- z!4PwkL@bfOTV46yEP;6n4i2y~@tTvr!+FKf@QIQHGMnN;;h>BrN)w4PniS(iEPT)| z64Rquv{~Xj*P5h!i5E@GEK1CRF17uP32$f*Ff~^gGg-eE2xBf&Sidum)UAmn<_V*M zS(U=5WNM)>mN2zg7|WSjDvT= zr=tm4!orVP7f;t->VCbVl0x9fNbhAhc4sg%R@pH!a&-Jyvuo8~&Fx(rPeOSec;L$E z1dz@p;EBzNjznTpVhbwWDUbT1c^v+*EXsA>Fg`4oTdSe9O^Iz~nx5D$&+4%nz}KZ^ z8(2%NPGa{fG3)gi^4pm}E9?@{x3li|0LI=jG{SZfy_s42!8%Z;nZ)`5vkrlkD$^pw z`USJP!Rjf~3c%7*(m^Hga+~I5$P6#H(@f#zb~jUax!uFmFxgjabu4iV;}lKWFl|eW z%5k?ER2F+uSn!0aW6AaJ4FiLNu(ng~?(IB~R(54PaXN7oMyDev;CawsWO)Ld=c5~T zS65U1*U&?RnEVi{268VUR&6YCtz^;kEb($;)x{Fm5epo!t`|l#cZXLCgWq;0UMq|w z^RQmiFTG^~uZfQ^b%QY0^V2sAVimc&o7ONd?A&qZuHqx&V${i;lh z6FPnqllZNO)$zmM}RzwL}S!2&) zV--`_O4KH)A`Kg>m@rl?RDuVN}D3|`wWN(kc(%oD=6fvFN%uavm{@yb```_V z=7BXIKlIDA5^#<8aDIz~@qVV32;)|!mPx(W$BI@6gV)rGswAr+R#YPlUIZ$lMS&x$ zVnwTk!RseQ4Z^sWb7&OC157mw;}c9>ER0VvwN4nHVJaz%N119B#uu1s6ULXA+9-^# zFx4)MCz;wT4F2U?v_%;F%eQE&F!=Xp5$g3LmfML9XtF_zcH`#{Sv1~l(4Q#UD;H~v z_6g&sEW2MAzhLTeVf>mYY$bXT>ls^#Ud$A>61{{eEc?HdDR|p!nS!@{8B)XPQe1Q&4~ig;z2R*E7_gCD+Hm<3F| zR+urSUN6idrr=HK=Opat25hq8O1v4HteASMFiTkOMq!pRb(4rap9^~@3VT$T~?koE2rGWhjT^ogPe@y@mAle%`{IfSD{pT-cD89J6djp@@lGe_Q^DS9|j z^ia_wy4K>%jN1k?Lz&^MHP6^8w+&gbvmvD)oY5Lc&nOM-3dpjzJdiGR~VMb8vdK;n?F*-h+9T>_~(X?t!)h2t6qtXEK6GdMx)20{U zSEE4(^j>;a+;&>mTj+@`haA6F^dzDFx`;i@kiQAY-zwA2EBcCveVbX|1?zid+6-bn z!>k{I^`kOvCRp)uX8jbbpOtB|h&7K{7%qx1T$B@Q3A26+*6+%+*;I#_+@w~uTSiW0 zdUxZ8bF}D>=-|6M+jr4!xw8lX`%^`Kju-u@=r6)t%y#-WY&+yW^t3RSG4B~+u4L+2 zVb(B(Rbq^LH8G(HbCqP_ho9NNR799fOkrWzJhG}fCLnl?%vU6Yc`-i}!n~BJ5@EJ7 zH5D68S*}c&8<;m8TTYobL&R6g&L(C}DHP>p+8pX^?JPD|#H;urMizmQWiCDJ|WDPjQ-Vr~}`i-ftIWtY(W0*lYRJy|T^O-Dy?FHaMLac)fs&uI^dEO&hT(zbXD}`9kwQi$Y zW7;A%3X^9>qFtE%3}>@KPYAllyRnZ$2wL+(@tq=GFRf5)gO0bCY3Gw+?qk+2uy&Ve z7Z7WlS^L21D$_0`*7KNkIamkFw2O#!Ewc`Tl`hjNi1lV>^@5ct)8-ND?aVp?)nLX^Y50uHagqK~q~T z=|0vuDz1jFNaI(>T-REZ^aSg%b$0yNV5YZyD=gv#;+lkbzIY)Pt*qZ)eyOOy3*rKP zp&@8=7B3Ok3Uh=J;D-bj0Ay=l$Io6V%u#;!D#CD5h}Uo-uNCGvKfv&Jim5kZc^VQo zu*92$c@^{DBFw9qdK)BQ`EO^5n}m4{^WQ1V7c+IUh|K1v82zqg9!9@cFon@C(v96Z zuz8m%cqL4HP~1w@xec!tEHzSPQe^#6aXXTZX2y;Q@nPx*cZfTMc|GU)QT$Y~Qb4yhIj|7>4X_hRh;Omnw}p8NKm0EG-e3k#=oKcw{y>=T<0n6&yvA`Ttq?!q zXLySV?*updb76jvh46aOJU%ie#IIT8H^Tf7KlvSS%N=#%4`e={5PuZrhxyS{!u%*x zf0>{>ZlJ%3$V(~1zY942dsy}v(ouG_TZm`*(Z9&&V4jLakTLm>5dV=szlt^J`AA=^ z*Z{gCeIPIf@Qcb~i~*6sG%8gbBUpbgjti6D8x|J{^OLfu;$pwq$R;hGk|>@^t@#j3 zl~ELJ_y|YOqbS<#F^BVy>`c+}_OuhI5ilP;Gx?WsCQK+8h?8TK7{i!f{ z;$FOnqR=eQ-iwz~6x!q|eDMll@)W+fN|-!BFRme@ewyE+SJE70OcbwT-K@s`I?`G{ z`U5ruTcId!;OA?E$#eT+ERGdGd!)a$!sKaw@g>;)E14;N0{6}n_Tm;{@;tkEy)ggE zO4uOGro{F%Sk_ZpSeY23=LhF%SmbIL9#%2Hh*iF%Smb7{@UX z2HpQAV?-EqlN-kf3*FboF~UN(uW^j9&@E~lBP?`R8pjBWycUgicoAXHJ!brju+VK~ z93w1r_ZY_r3*9OvV?-EqUl_*-3*8FFF~UN3d~uAh(5b&1BP?`B7sm(--MGau!b10I zag4CgEm<5REOZwZ#|R7EY$anv7<6Y9#|R7EOvN$6LibE@jIhvcQXC^JbT<^o2n*c+ z#WBJ{_c?Klu+Xhd93w1r2NTB#3*D|HV?-EqhZ4sK3*CssF~UOk9&wDY&@DzBBP?`R z5yuD%-8{rG!b0~8ag4CgZ9yC(EOaLj#|R7E@FQbH7<6|J#|R7E(8DppLig=(jIhwH zIvgV`bVm-y2n*eW!!g1__u6obu+Z%_93w1r7Y)ZinDV~tC~qYM8pMG@#X%ts94Zb9 zaUfA~P>2JIii1KNXjB{&;=rTgpb!Tl6$gblFsV2w#DPl1B|h5f$Z?`f3~P2=;-lSh z>bb;6TisM#;-lSbDlYNSHYydD_-G@Qic5U7l}g1WKH5yB;u0V25mIr9kG8pRoG7z~ zcD$&##7BExR9xbtT_Gwi@zDkm6_@yE&xeXje6;;T#U(!4)1l%LAMM;wafy#MW2m^q zN81-TPLx?gI~i15;-i=MDlYNS`*;^B|dWPDlYMnPvKy;p02ZX#9{A;ubzhA#UN56yg>>Ng;0G zlN90>K1m^N;gb~N7CuQKZsC&@;ubzhO{?t1>uY`uo8gzO*jCaI@H*9cS)1u)F)Xn; zFGAHTNPE4~THIpM^-dAdk`L+H>jSd?U%##Oyvya6z#hcvx%28)Z!5VYQF439ho=;l z+=*W{gYwO@3%V%zXvuA5+TxPC@QY#RK>u($J3gAh52aRl^+xf|Y`W*@mh`b*^g0{+ zCFpy>&heq{%&6j&b>Q>N!9BQ`gd`MmDp!14dNe(h!7q(5EdR9QZmLoF6r zx1-3ldo?8R94b8R8%T8R8fL8R8HD8R7^58R7r|8RGZ=8RGB&8RF;w z8RFmo8RFOg8RF0Y8REzQ8REbI8REDA8RD=28RDn_8RAd?8RAF)8R9?y8R9qq8R9Si z8R94a8R8%S8R8fK8R8HC8R7^48KzZ;&;Ml{AOFh`pZ?1bAO6b_pZ&`aAN|V^pZv=Z zANpZUuWANk7=pZLoVANb1OM?#mD#?#mFL?aL4!?aL6K?8^`z?8^|J>&p-y>&pdO!x>dO$H>B|rw>B|tG z=*tiv=*tkF=gSZu=gSbE=F1Qt=F1SD<;xHs<;xJC9A6MZQRrndKsD*U4g|D?jFRQP8V{zZjBU-`oJMPr?ES;wBTXwg8`Q3W=-Fn}aWlNXe ztzWTs`5j1LmfVMbi!}XTT3J+IAJvbS+z&<})|@r%%9020??uSDKwGRI)d#^X(&p(y z`Y?PgO4BdZM>MVEW1tIk{ZefzK;fmzq=LHI18U3$l??-h7dp9uI+>5A-s%B$$_8~h z3>3CGO)99HJfNZao*xDZd%ki7m6xI4;Q@7x4eEtqps>U2 zIRy1$8`Mj}Kw$&ga|r5M8`R6fK)rmDLEYtPzE{|wt_uV8%G^P{Dj%2dxChj$ZBVZX z1NGY6LB0OJ2lWOU)EmP<;dO7WHkFt2{HsS(H`t)w6b1^fnkN<1zdfMdVuN~X7%05t zo=i}B!~^O^8`RswK;iXzuAuUqng@MBEkx6a7c^*&?*q}ZZ1`7LDas~BZ zKAKwS0rg26)ThEgeL8ngc^Ud552%N1P@f3{^>FT>9?eHn%RHbyYlC_$4Akdx2bGtm zmU}>b-UjuBFi?+AGN?Kas4v=}z7z)PiAe^v#slihHmI+JfxQ|Et>VyZ>uWeAj2?O=pNe1Oz zUi_U6>i1!w{xHd)yvvJ!v_btT4AfJT4C;lR=KHe^>MvoSuxWKtUBb&ep#Elq`g<5C z?4QjQR6fIumhyo5hYjkPFi_aan=7cimV&&Xp0z>!D-0BN73T=b(DLx;UQHRg12 z49YWgF^X+aC1IeZ7l`S~d?sChQ1`C*_ca|UHB{O>ij z$Og4I4AhdGLFM-jdB|f^OKnig!ayz09aLV!j2F}j8`R1$P*pjD%Fob;J({YvLDhtT zs(nsD)!Cp{g@IcAoPw&iK{bSdT9Y%V{0#k=r}-LfP)%W=nsW!0m!ZGP18S`e>f$g^ zxo<1U&rf+lt+PR08U`wvv!?RveO^#4HmKGxQ0sFBmESP)T2J$}*`PLrf!dfesQjAG z3+gf(RC^exO}T^0YnI>zwb=&M5e8~Y&Y<#h32*Q;U#AUfYZ$0)lMLzx52)=ns2yRT zaGq3-lf3*4{aqeVyKGRq!$9rH8B~6T?gh2i2DL8?R9Ehx@-lQUsQosm17V400EDTgOXHfYypBL1)4eCS~sFS&a%B%NzLD_qUjj+AL zbVTB$+SF~H=Ck(>8)18g>5#@8LFH#tUQqVlVIyqsFr62fBdGjr$_vWgJ8XpQ9j4PM za|M;xn&(G7&1df&Hp2D})8Uo5f_hm#>r&`>va0P@ndIdZi8O`Y=$h z${kc*F5w{$D0}a)5w>@jP8ZFw`SSZU;|29PTT`zO)6^Ss2bI?(&kO2}HmLs#19d~r zpz?DGUQlncLA^N))LU`~m6uI@#$!`&wL!ft4AhM|gUZjQyrAB0gSsgU)H`wqm6uI< zLA}!k^{y~bH|Gp0Kb!J`dbbVgmM~E7$r)6B1M)XKHuYW`)ce9fy+3DA`Dw}v>H{{Y z4~BudHFr>X^*%2sdzZBlw#%9hU(V5^=l8?G3(DSQZG`QzrsJQVLs0fEYa?uzHJw16 zBdGig{hJ;`zuUH{d%|q$-kd?@XXsu~_t~KC4+Hf;?x6BAbT6on*`Pii2I>P@j5EL4Dc=^-vh7&*Tm&pRM28w>*aaunp>wFi?-?49a*cpC1lhP@l6w zeLf7-7jg!bpG)|*M^lg6puQLe>PtC;%FiWuK|NuE`f?bkujCFYFPGp2^;H|x*TO(O zImw{D<7qy7Ke`dNADylqnABm$3(DS)ZiMYer&|zm1eKpnc|qCx(T%YE=yV}Nj-c}M z=->4;-w$k?`eB$&{pdLb^x%TpnhqC z`c)XHU*`-eKSTF|`i%|hw_%`gT=1kC`p-Pg_j?=EAHqQ4r`^^crE<>x&A>}kGdY*7CU1NH24 z3hG}rsDFon`p`QcTjnK+b%qy=G&ku!$2*_9aLT?OB8!REwn)` z3Im0!t|rx{nmwSF*r1k%fm-&Qf?95aS`h|n(Nw|4XQc}RLye=s@4Wo7Y1t8 zB!jxxqp8(4sQNHa4bLg4H8!ZmFi=gC4C)e(rkZU~Yr{ZY{G5Wi#0Ir44AiBQ3~HT6 zQ%M_COBkru=M>a>8&q2us11`0>QaxUHrk*r3j@`jGbnR&9y3pUqX$%n4QfjmsLq^0 z>3JPswAlk{s|{*f7^v-&464HeYKIMKXBenmlMHH$2h?sG)SfUfvSgUZXMwtGMwu|W-lfx04hP=ons>P;R{LpG@4Fi<1UDX3#MsN-RvMkg86 zn?0Huvq5FUK#f1ApibDJPKJRxHOZjf;?dM;8`PCypsspOL7lNdJueK@)sqbBtsYH1 z-v;%9Fi_V#r=VVFgL+XIs25K%sJD4E^%5J@OT$22`<#M$nGNdYVW3_y$)IlZXzDr} z)GNb4UH_bddX)|8)nTAsGs&Rd?$Ok1ZBVZZ1BJVnbDg^6H6VK~0x<1Uzs;~yzv+_a zNd@%|kEZNXzs;~yzv+VMNd@&z52&};&G*)@=6l;DgYtaxYu;#sdV3hCnb5XYADU!P@AGKtb{o_kVW2)d$)Miv0d=Ph>LX#GK03*uJfD%9ciEus z4g+=1B!lvNo@v_Wqnlypqno*(k8b8QAm8a}KKp!hGwghHGxzh+^8@t}52#Pr&G%qf z^L=uXo6qwhtNAG#)ThHhJv7OnJon9*pRqwb90uxK+d$ zd*{9xwsYUiedm6DhJLRHl)ZD`4BNSH=Du@3KT!91K-oL@&9I&OX6`%p^8mKJEc!@7y=T zcJ7l)ZD`4BNSH=Du@3KTx0afUd*Ep;V)sm@2@$}67qWq=>_#S8`R&!Ks}u^sQfhbs7F)(ut7Z& z2I`+VgUU}+UQo~4p#BvG>fbqo%1=|D^=Rrp7O0380xF{C3@SfOc|b)B8L! z7cj9c3Ak+@40>baGL@y(heKzjBK5N3pTbZIG2gIwxP*vT-&5F zcL!&=@4v{hD>%!`|BEaSMh;DA@?|jF-rzhZnr(_|E{*E2+iX)Xs>4zbnX{B|MXn|E z*(LP5OXx!h{j!9k!D<-}E?{Eg$pS2&gKS|BBWD3U7M$lqwMc`IYB}Zx4bzAmlO<#$ za$esg~8=ctlIPK_V85g`F7P_6nU|-DW_`7mZNId1s5@~YA;bm#3C;x z?a8WLtF1xR$Sa~sFF|21#ox8EO0SGuuL{g+QT(TAB}-9Yd8E9cyzt)04Z+1;#*(&1 zOCqn*_DEYU`G4$P2YeJo7oSbrD@oYUCy)T4C-eY;&yI2ql-<#PhGkdd})kEt3lx*&w`M-Jd=Djy%XZJ$pp{}&r z$dLJ@S|TxI0sKb#DKcas{1!@oTLiy_QKfJg&=nvmKvAHu0>zY&MO4A1Vh>#|R?Oc+ zpC$J2c<8fKOIcoStg{)*qvr&J>5h;CVu_qSzQGi&5HxB>$eZRGbtvSp+af7oP-0Rg zen-e#hhaMPYRJ3fSE5XCEs*JBc{b#nJCb~& z3uT79X!ZNyhTX<*&KPRko>DT@-`v)nmO{zLh^NU_ zm^LsD3du#y)%>QA9A}PvNJuVWj(k{1E@h5k zh2-|;$Y+G)4(7;bh2&1=$mfLQF6PKz2+7^dkkUj(k~29&C>Mm5}^^Ir0@Dd6+r!*Fy3LbL4M?3BbO7Be=tWbFC_nDj$A=V{@EP4qLBQnIdUZ-`IxIdT;t`A>7?L?QWa zbL6Tbau_m4t|lZ0nIl&hl0(dqlZ50jbL1LAvdtX1rjYC~M@|-!!_ARv3CWS>$hC!J z#T>bgkeu5TIV_L(9Mk!Ke~u|EuUO=l0f@{e7I`TEk@>|UF9#s9fLP>}07MoPi~J@4 zkytG9y8uK+i$(qrfXG5(kv|0>vand>&jE;x5sUma0Fkj`k=FtcSwt-IdH^Deibehz zfXHHEk$(puGEOKm6a^r%xL9OR03u6>MTP_*vZPpKSO6kRiACB15LsF*(h-2jGGdY8 z0f;Os78x0U$at|xB><5LVv)H65Lr$vGH(DP%Zo+k4?tuEvB-h}h^#0U86AMgN@9_P z0}xqREHX9#kyXSZiv}PvQ7kep0FhP2B1;4yvYJ?AsQ^S)7mF+tfXF1V$oK$6))0#< z7l6o`Vv!XB5Sc6%St$UKwZtN;1R%1uSY*`zMAi|DtR8^Kx?+(v0uWhGEHXI&k@dwQ zYX=~*fmmeS07Nzvi>x1j$P}^2h5?9dBo^5y0FjNwBAWytvWZw^(*Q)KibXaLKx9*~ z$d&7C9mSk=?{1M+P9WyIAC?07Ui>iyRYx$ev=6;{p)bODyu?07RyXMNSMr zWN)#^$pMJ$BNjO|0FiygA~OOI*-tETMgSuFi$y*XfXD%2k&gu+a-dk`>;Oa#5{rBy z0Fi^mBIg7ka)?;u+yF#AAQm}40Fgt*A{PcAa+p};;s8Vr7mIu*0FfiaB9{gr@HpQY>i)03yeW zMQ#W{H2LXtDQY`YL07T9ai~J-2kxz+5einepxnhyW z0}we+Eb?RkBIk=mo(@3d0BBEb>wSBA*e9 zyc~eYC1R0R0uZ@WEb^NGL_RAP`CR}apA(DxApnuf#3FwRK;&|<$e#lcxk4=R*8oJW z6pOqTfXL^?BCiJ^a+O%*p8<$`K`ip`07R}9iVQ;mh+HEU85DrXwPKMW0f=;oMTP|+ za-CSDEdY`0#UdR6h4m(U)GWv3du=f+XdtuT5^hzTvJ$cmX_Q|NKO{kyi-eVEF{+w*1Stg zZXzVt7Lxa9$*Dqe9iiHLwdAHka$O-gTT5;xB-azFyh2$1O@=0yYorL6;Lh@-XxwDYm zN=QDVC3g{$TMNnOwB)WravLG}yq4TeNNy_($uG6!?m}`qA^DP)+(SriFC<^ql6wlt zX~H&N(UQ}J7P?u&Y{fe<8V> zko=35JWxpPE+qe^B@Y&odkC%lyOummNbV^l|Dh!h7m|AkJ?t+nd8Ck>E+pU3k{=S1 zdyB}jq$Q6PlKTkB!CLYJA-S)R9I7Qx5t91}$+DI_T}bXP>MGf;CC?I)2MEbdE%^x{ zd7x132rYSzkUU6Ob1p4;u8=%fNRHBy=LyL}gycM0@_Zrr0U_D%i{Tas$wP(Y0@|7v z3dzHSWUM7G5|W1tyQ+|uyjVycAtc9W$xDRf2ZiJ!TJm#3@<<`Mn3lX!NPb9Yu;NB##x66SU-wLh?8vxxALVNk|?qBv;gu zHw(!R3k_CTOWq@3ay+e=@+={_jke}f zLh@`Oxt*4LMo4~KNKVs|&kD&;2>ZFCmV8l2eo{#8tR-I-lIIA?UA5$Igyg4$ZSJlm z|0E>O71rESOTH>3&l8fl7ocgB|`FpTCyx8FBOs>(vs^5$^}P$S8Nn(U7;@;B|N6a~bu)Dypo6Tez4eq2uC*LcMrLv|Dl+7DE}k7Ub70p2dZpCx}xKYp4epWqZd ze~ZpewWZRE>rqfwZA zTK*ioT1JKCGpH)!4(8kYg@sm;X_Vr*bX6=xy;O zcZ&y$TKqq6i$_6=iGMa#Tl`gMu&+T;XogXXu5c~-#?y!VSHjI;oUN%ym2bVOybL`> zoGl3=<9qn`BmBDx|9*vk9?!cb=!xsu^7SnFuWitde5eE}?e(4t#CuA6y+!Lip)#r< z%PVC0x@?2Lv2L`?Yt+)N!#1UmenNxr-W&S5yrOF`k=(jG$&h@Ob)>zOs0hKz>_JX&^D!- zzT^{WUBQ3k3CW>2lu9jvLm9DQILs$DgqPaFNNTpNSeC71maPmM#x|%)9g>2P6LM$_ z1k(UC291a7F7!5f&l_CpP}mI=qZ?eoBsJUeLs;EFX(+^b){wUT~0kB~79$*y#3qw0mFgg-se{C89@}D{AlzRBVIJKvNiFDmoi}LL%weP zP1+pK0Ay<_=sq$4F;U-K81+zS1sk33DkXKJ)7F|VSzNzldtbA?W`0*-<{7Utqr9ZLBa4wgyL>-<3aE;Trb7vfWCUZ3hfeX0(`RYw|Y@epgB zXsm(7Mg88{*2O)e{uUAw@v{TaG23lDp|jJ$1|eCtzFD>b@M#chyAKsb-*yksezOhZ z2~G70!}*d;^h>JULRIp1%ZJ)-xvrh~f5^D!0g)9(c-#|dynm$64ZsAW#NPiEBVOqJ zqrKv1x~GL*)nFdWPYb_Qis?38W~PPSrhlt#y5E}TTSm98qNb1Y>ZX||+8%}$PlWhm z=83k+I$?T~=ZU{it;uo&=83i`)V*PzXq)OznZkMEG~Jd=hnCFLwB*rS(~`frmOSQd z$tg_E#Gc?Ae2T50uRdB|Ss# zMrhP#__tLl!itMhO6iNEEpkt2Up6zeNl{99eR1s21|KAtrUQ&JrM!Vz=ojOs0C^*yQD}dMk)%|^U_u6SJ@TxLC_aLUwWrX zTak?{NIaWQ+UmssgR!5!{QmSVf zMwdR4fRNR2@V!kw%2KHtOeuMUXmN7qA(qM1F#S&0;XfxfCWpx0=5sZ zFzFmDef9$u8uTS#2LQ7Ly$9Gqz+hn=d|5PVzv;=V!7}7k*xd6d)pFT@Kd?evRtEiRy>=$HJ64cr1s5B-v#@g?i)mwcZu zIZ(gkF|WM~Xp?~?RhH^YDc+#Z4||AG3K#T^`Pj;bW=Z*_a#8`$yaS4d*^ZOgk}5%L zoglGA9A6Y4Cq40Dmr*1MBl!nkd}w?#+Q^0ceKXp$3q%{GSS}ey8;;lNY-uaEYbF&k z3Q(#wKNl%vG%|1wR>)u#V&l{megX{Ykqc9*kyu6gAh+Q&@^W0m~+#Wl?vrC*W=)})45 z1@2(#+-u{OBo~FF2tUz{RVwHUTh6vWRw=AslIw+7BbUA4O~)~uzryfm8wLN!iUp<& zuoU9rvxrh#zxJ8M-Mc7x<7 zdl3B@%CqX~v*=8MtHSJxrX-gw-J`o__sK5%r+T|R+iuUYM`YP^i_B0;N!3-8H$y3< zjc!RW1JjyPN|Js_*oV<{VkxDsesL~|lrq{1@-3)JaW3zk%+P3O1L z|17_iHl5!}o6c{gP3O1Lrt@2AbNQ{bHopZ)aj>YW3i~MygW3gk@aDBTaO+8`s+-r~ za1Y%Zk+3kM`EKk=B_%AC3aTTOrkMz`2}ljI=c6mIVyLh^KgmQv9gxjlfMlYe1}N5E zkYpl~P6S!Ujy+i?nCu2a)(Kh&o+{k6h&PpWXj)*RBHm~^#LeL2IsethxajDDdN)!N zR|%$_H^InxeQ}k*>BYvC_|SuUe^C%uo6(w;c6^FPgrp8s!LQ!1BdEzSQxO+Enpk7>6G#OWLcZ7+nil*bLBImy}u6%cq zy)*qvTq*B*#QXQk;uV9vgl5H1#ec=1ZtK}g`H#}Feyk*#j+MlJ7AuLSVSa;a96COM_~t4i9VDBVI46&Uu+{mnMpJ?W7!cX}Gy6)THy5-%jTSJtqD|qkiYmS;M z=8l?d79KT`*q8B$j+)pjdc|*tV|C=%Z!LNP*6`&CaXN+>@niOP75 zlR%FCmf?(}Su?}Iaac3M|IE2xNqr?wQP8IhSgI7l2R2i8QMT^dLa_Eh+n4F8(30(%*4 zw!%uw-hwY}aI4lA^}V>dNz|_<^?P+oR|+z^k-w8i5Zs5cyrp_`zD!De1OHCJKlf1y zdn-Zz(lfY`N;s=_AEk!w`cVFoFWEr1B)>%MV|4n#w>#K}+bkoE_=+^Zy_#$zTuq9a z(AOZX@lEJ!aNyY94*DTY(U_;NQct(h{0hFW!I5Lz``(`G%k}TZm*JjPf|M-5`9bL$ z=@02|Z@`grgi;;dfMf4k@A8{>-hew_?A>x$`gsEr&JDt7+!OVXdZFV|I=UwHmSUwo z(gmrnXIcvNhS@uiV3pz_SUZwnmHvilX(zx!q_1IG+8Ho%_xXe3ZRCb6z<7-+Ce35n@d{!nE&=xaqz(6nt+O_}*~vy%FBY z9`U_NUf-MS_PtA@3^>K(dy>ul#NT}Cdt~mC;T;Sf;tcPZh|FMy@kez0f;H4AbHnW@ zt}^)A2MJX~bx{Lvgu?9Z25O)ip}rA^2C0KQ-YPu=Gh+4WN)nt6z(9{u166?Fd`vYE znlCcYEU$r%!+i)CNu=Js;DiS|?eJiyFAt4XD(UB;_H=NVtERdq>4ydMCrqqa5$XCO zw6_e#nhxu+oK-zt=+}U8Mkhk(=}Gt6?->&vK29+@DDU;Iahz(j!*9TeyeO{2;qe{4 z^LN4yABzr3>hrG9;Xn?vFQFa&H+1+?cSQ6Sb@;R14)>3Uxn7+oa5^*Q^p4ldOc-dQ z!Hm%6n}AN_D!WM$F##gN_s}efh~?ghSYZ?qD@_?_3a7KjKueiGg158fdkVf!25pG+)iBlH$#&>0S?->wikc%FS&y&Y8uB8of%1}Cy$oknIUJH1Z!6lXiyQGzXr zxm+n?;njjt^Ef+@QtBHPxCgtW`P%Ib`!3KcO;OmOT42=kOT5}I;O{8-Uf8YfNj6nA>RF+l^)#XhJ#XqEs*TuX zhM1}pWolH3dsrgZ`ld=8Um9A!SGROCdINz`3QA|QrR7DWHTC^k(_Cw0Fx`HZ$SKu= z4n=%52iekUHU36xDX8WUOXR9)rIhe%`w`vJtp%lz>XvRJuXz;-(B!mk=`I3$ zpV2MdRZ#kzZs~3UP0q8Wsq=cbq`U#&U0Vx;$d`URL8j;-NOzaa5PJ&p+2wdS&{D zQqL28_A7d4SJ{KkAaIz0z|62K)SSug2j}eH=sh?`9{#tdGVShd^k_f16Z4%qW#K6L z8~0oX^fu@zw-xNzPM-9$|A6hxlYS2D@I2|qS)sSm$gC#q$ zlUDF6b}|)&<8WUES@z#E!@g&!e^6=!j-b@Pa0Ek*#E}~6kE-o+;as%%4V;T9u3*Jm z{58gi{SD4XI}kYMR^}xeN`IqA2;jjKXlN9UBGo&Ba1>L0Zk*d&J@bSp2sF6k#GIRl zf(FmWGUvFVU@zUiafD@tUES_*#%DPq+-cdNbOy7LkDh%bWuU>r(2)lU=jRIRp4EKK zfrAlO)Ziuv+k}AzXG%#Eeo>oHNWTe#>6}QMn;dx^UYytC4eArL%rOjQsNtla(cN%ko_Uf2Bv#SY-R3#j52f-! zDYyQ%J!&xJ!}+NG#c)1G|NJ<=sz2PTgcp>|&*Lbr9$sR!8%C#3;x4e!yP(MhZ~-d3 zBrd=RFNh0zjKd|JVM=BFR zx0b-8V{i;rHvz{m>c-+&p^b+d9b8d6tq3kc1(wG}7=cA`QE&TI7pv&!Vk77p+R(*{ z;bK&HWn7FA9*5&J!V?9;brV~bjqU0YMvkt|F^c2jREui3IHN@gTtcHol0XZChjMr= z8tHo`JCF=l#_1Piv+!`Gp?<;TnPGqUT(~4IN!wQwmt@*k3YYS>k9Xmb2E!=l!Xu6D z$Fa(eGgoGau;x#Fe18gM{uBds4eJ))gc=hNpFfcYiq^OzWzI0V$8;A#gatmOTsjk?<#( z{%N%OC!6mt-j~05HlENU+$(xEfDX#xm4)-`Hlr%WaMAD;QQ)VfVy1t_}#eW~0ZOW`1@|9zJ2-lWb z22c5MCv(s&qiH1jYz>q&FeejH#F7S2?UNOWpM7TMY#+e^4PRH!&e1;nE2U9lLmUZ!)U5@^oT-F8m;`k%5r4T z_?w}|pDzipDnDs_NL5$e{yBt-;}Ma%!*y9Uuey&Jt2)XJfW%`e?yIVF#)UvMnb$MGh*SKW{&%kmm zn`4x+FJ#IQWJ)q2xil_Krz7)mX=XZ72A5H%BkGP8lq-wN(sB!NS*Bb(dCx0(J2k(T z6ouv*9iLL?vI&^J?Aoyy`|j&GlgnY>`>xfwtlxB#NH=&ql9}m|k_OMPx6hPwk;J2J z7eRdc((62?3Vg&z^p}yT!RT1XdeaIJK#mtS#k5Uh*h%3^bUyds>Jzoh|@|9z2UyyUpSH_j8#Fe-* zBe4puqDu5Gl>MgoQD}kbo?l?tCz*0Sot|I#k9vNgVJ+I8U#OVv`Gq<3d;!w)tKc8p zRrPu8q8xf2lOaHhGn&$<=T*+T<5;HKxhcadmN%mzZkuYEP5v zDMi^XS!%k?OHH?VNe*p}fi}a-FzA{LZg6Kgc91ohT!evl`ru+}I!MAv)GE7h5@VGb zxP~vxsl(L7HEFp$xF%CB87KS7vF%@N`@;T+0(s_FbxXjaOH>o^@z9hNzD_({2 z!M9dvtzQy?uQb?nyw4ZkR-Mk~JxhYt(Jf`qJ}Oxh!&IXlu178SF0RK|us*Ku^DtVj z0d7Fcy^k9(Crxg-ZMor!W#5;YMuVi9KTZskYkER3IoxPECu}s#33Sz- zi*Ml&lRdFfF*sceJ&~aHL<`)4w(xwXoXwRa;I=Brd(^>+Et0*y^er1Q_ zY8d-o9kkQn+&i7Haa*hKolEL|>Q&|zT@(m0xEs3!wq9Xh#WSKsdq*^NFZL=of81#_ z?*U0<+x8dV=$9#1Bln~M{LQ-y`ykvq%z9pW%nwaIbO@Y>HjoRd!5< ztz+9D7@wi-F#jbR$$!yLOuIt8OlX$7&<$EhRzEo<`pM@06ih$SeP{QMb_gSZZaTXy z=G_NA3!BmK&ZYUG>K?auf9kBy9$q87L0{VMGXi8f^~lQSY!=yPG;>p9t1IqGJklA8 zyD}cx4R;fJ1nl2&dYvJMKNtT6qNS!&KfE z_Z1uLfYF=8)I{D7_oEVX;eL$7{4WiLuk_cH!fY5HG7koUxdBjakj%sR?jfUn zAUM9{g!_%Y$?qYffaj9WA)_e^bMrY09Wv?<&ruXojQs`zI79E0)CM`Z!Sk@eO%Zsk znrX{nqgzWKc}J1M+Ik&-aigg-MjcI=<=zo`L%=ie5LJVDN-;mmJKE<6;QMFT>5{XQ zALRp0E&M26#5~wWXRvRW%#>4n2SnY&ssG8+DD1S9YWaS$9c%G7FVdk{ZJCC1|i8HpqDNS{Pn^F#O{TCN;^h$%M; z!#CWxzjTr&D`y4&x%V;U0sVBSCackSG?iWnk7lHg!DBSis|ch=p(hN)v;KNa8LwZG z^Xy|rXE9a7jm2ZB%2n}LM&)sMoJQs9LX|h_E5faejzyuR`b9N58yqcxQ72U$b;jfI zRPP#iJfru+_+d?lPrwssxnw+nDK`;M^p#_6d)&x*Rf|o+lc>bncoHLVGM=oln4pu7 z8@*$aGuUyXJFBW5Q}7h3M_oLH(PJu}>eb^lrHE3HZ=L?veUMj$&~*tcYk1C7{hm|Z zej1)eHK~uMF`8uH3EoU@tgoYV1iYLJF_I%AL-c!tmEXf~ROXVP+w@Jy!MBlrL zqGvYl6oke})1h%PhtSZx%N(6FTK#bQi6>3_!O0x_pq1JaPvR%3JzC-?8GFpZb8@!F zDWgaJ)v$XCKSiat#!oTQ=i<5I{ySxKgIrB1^YA<>u`Qm*NSu%7>q#^?Po+v+fEQ4S z?ePLe;zGR8YXLa@$9~Oo6gq7%9aA%d@ky%F2Isro=TsNrMO3Q}coC!3V!W8qN|QQI z8=O1W&sV1n79-@Kv$GR_s_V4D-Zy{8@U)RvbEmpa8@zMQ*_Dm((?%zPxQux!2mf!Q z`v24TX=>;0_-V$@&){dgcGjJ3oypn%m*6E-dQZHBk-ii!6?^NMoc;e<{4AB2j-O>D zK8K&vlW64snQ}X@g0m0&>&II8tkI4uoSkzH;7c3aaw4S%@udxp%aGDT_|gVLJ1ISs zD;%jMUPi<1LA;C!x8-=bH$A+jd9yycXz)rWl7@Xd6c-I{3fbH7CH24O z&B+jX41yg)SL=SIcL# z|;1{UGNAL@b#MOAUo8z_)nL1l zCK%O4#J&+ijpf2FTI+1=VrpH7*Dt zGxfcQUu5c2xV_P<2Dgr&I`4U!Ot~k-lKW_tb1sP^^=MVPI=_1fzeH=Ek6&VH-hemw z+=6Pj5pSgB7UGRexlMSJuN>wvl>8xZL4brw&u4G zt{FT-Kp&D*?;>(;e9h>gHqMQ&DF&yb!HxTJZh5GI1tVYaPyH)XKtHtP?>hc@@7(%e{zS zWyiplM$<;m{T%WYcfPL$ko{kXBR>ceBK52AeC2GK;}Y~G9GZ6-MM-w96x`dg z!IaalltcT%EBY09{9BizBq!bS@u&~7z!hJ|uTvB5z^^kV+>7^mT+y~uP+vHA`)m)D z^ag%|O3K1-Fp{!ywxOg5{*m|H&YduMgo%sub%=m0=e{iG;a8nU)il6KA!b`JO>{yct6@h;p6{&AA{f{IS}O*^*Ma}o__4S-T4cd*wSnU=Glk$ z(MJ7-_c4vykN2}4265#-07|ut=6mX6IX>5{WsrBABf&3!XA?p#gIzK>O6%4B06svq z{{tUjv_FUsYP1(*g<8r8{a7d3(^&B>1fjVmpZbE52Hyrx*6Cy<0o%Wc-=y0Ah2Lbf zKZFnQ+B^JDJV>?m@6N-M<5YXwR@S1mjc&BFBZO279?R6X45R*Ge3+_#10QD8KZ1|& z>W54IDY>@M4Mn&5?A;@1ufaE(a_ZMMnyxU~zlGl-+J^_@w;1h@;-kFwf;+fU9TUd? zlQn)F)5fo@7=6L2&vo9$Z&U3<@!O2{@8EYd+WRm3KzpOd{Z+?jpNj&5!4jIYeI28x zdKlZki{GW%%lKVJ`}gpBy!PRCf6u9#v+cv3tnKTXwtXFwwtpYLPqmN0?=#wefIr~1 z_kUkWlvLN~V2|qe@JK$Bbm|)2O5$u^*J$p-*#1NOA=N$?{*clBBm9v@d;h0Kq*ejm=fwkHY*X8pdNNx%OT ze@eB_hd*Vs{|tYo(cb?7KB<1rwhu4B+P=PN+t)Mn`%L*EI$!Y~9x4>SE4&cQCE$$} z%*?T&X68u7w`2GiZEg%c#x(ahKJIBQOuf8fVe>8A?--Mm${m(c=V2%C2`aWYKEa4R ziBD3o-tQ6(FGXKv4vS9y7M#?=;44PRHX}T!;(ufSSO$-ud_|9eJ3A>w*rhXYy6Ac} z-OyCeQIRUc%cwi&-XqT=ecLDeMq^%fqtSa1YpKE=Ta#KCodc4uFv6L5ZDF(w=Dw$* z1^Z$_8@$XGy89G9Mf)WlpJMvuG(OGrOL&E>@I*4(;~#O9S{iKV%2zyR=b%_AFsNsO zuU7jx{+w1@4S&v5dj_9js&#+0tb4!k92+OC3~DB~R{gfArB-J5;-RF$tcAU~3MCD` zVV>RKfRfF0eaiiy#aVooS|kadWh`Jlsc<-0s@W=%S{t44Ww(l;q`{NxKK)t?^t*sB zQ2kQy1xCLw@s}F?n&>2!HvcNIv@uRBBsVnG<_0w*VnMmt6S zmLvOW!6>Pn;g?-_+Q{wv0>@x6!fkD}Qw)xmvpcO)J9s;Tnf%d?Jtb@tzB@ZgO5;v4XY3c?L`PdjfcJ_U22p_xqKK6x= z>AsH-!^eKUj|<@AAm7Ku@NuZ`V+MSD(D!jJd>rljm<}Ju(~s)L6M1O}o$}R(v-V`l zHwpg8&mF6+Rn`Jt6sP{5388 z1pb;S{SE#`Q~D`(7+h*_(~kMVlcic0us=@g{1$&p>zs$bW$OG6f5+Cj(4*n^_v&Ls^@y*0nY92d+>3Ip%rxUG(ZK6C{TMJCsV^Pk!ShhXE2Ml>DZ9_=cw%yz*CZ z!!ccrf_xcd$W;De@*5}L28c~4|0wi}_GO3C3kK)Jn7Qo>!gkuBE<4rZ9r7EehXW9Y zP!HHsq|+3(ovVfNogl+W<$p+i$T(>_Ui_`Jrw~`B4`cA z$!}Z@k$^-BYk)T%JI2GFPhr--*Bab@XDqpv`<5F|kLH59a#1}#C%Urcxfs80B|2+APlb;)q+(P*msU`oXT^f3Ax=v`*JRmO*t?MiD8&_9eK=KOf zQm44`BGxO`3)_+pWaOjrzazhK^78|dUnu`aYAg1ORHCF8IjZ{jGp4B_k(pBG)7lB+MqmwH-v&_Q*>GC8$#&|Nufo# z_!dQ>=XHtxO)}b`Mf5qDc_Llk;ZSFX*d@qKh@?ymQL(aHhmDo_m)wNYdYq=a&YX4c>(nA+echvw_>I zCsiQ%`I}-(&ae3Oe|NF8#ndZ)iC^=kpk52s2w^qfV(?5EwTAEJlxKrj?2TItRs_7U zwiupIW0l*i4AqSaHR^?C-I}vm1-JILnlWnxY!~X7l~sJJfmP|d;eA#$yyeFXep^l3 zcdKdpZc+5pqk(<5y?jZQ}rm(`dUTJ3`5dh~ie>JGi;KXPr|V*u|-2 zvI;5ANiG3M387?osiWUIDpR=fE(tP9Qu$=1QIeBi3XoDl`2|GzIm_J2J4@Kk(ok1v zst1{&m*(^+14tR69?^n!zABVo7G#vA^2sP%mXjY32rQN0B_!eA9dWgXVg&Mc3fG7U zAR~dwC!U+Y$u9>;IidWbqILIchC{x0{sHe^0*7U9(2(^_d8n&A)r0t5c}|ZCfK(9b zQC!f@U0hsx{IMd)s7U1#&#B1CuLMXXq5M)HKTo*+x_h_Krj&v|lKHIPw_$|shu#>uY^NOe{| z?9IY{wqJJKD_q4U0XvCGCN@vvB-a3>1}hnMtVNRF&`Nf!(_Q6e8-_ONT+u8v+hA?% zK5$F-Cu)MmHEC-|uh!&RlMG0*rZsf`Jt9%5$1NqZ4Zhlou3liCOPAvQliX~@?EZJQ z!E`vHIy;_Z8$9|SQInV~f{fnqi|=cC*#};wY-N)EfW$3WvJECX>U>(b*4>x$T30Zi z-j~x_*S+af3p%bA?Ns_3*QvGPZ?#Qz>OO=0BehduBa!T{&`#y%>iY~HGT~P)`wUK2 z^PRfS;C;02POW3usr!sh&~u%-&tMebw>q+;&>VeF(O>TG&$<5v6aW1t`@atKaUI(K z^~i5r|JMbiuBratpJV^SM9|y+Z2avv=zi`Cr=u$Om! zmCZe5<(dC90NoqVb~PryaqVgdNJH8#=0L4G9TF>~P+ltejpH=}q>+~A)#DJo39Oyx zk=h$mdCkagoV+H0G-2eq50KKameig~c`e9q9Iq)LO|?9)9*2c`klLG3d9BEAoV@0M zG-u?wPn1$UNbM~suMPQ)jn8|`8U~R zi?vm>Re@_OTQ6H5xV~fi+;&cOIZishc6=whoX1FnBX zL`Fo(u82($uSaCV^{>dtNcbGtEplk&2)Le${4w%p*_A6h*N3@2kzEDb6zpBFA6&;5 zoL%rqxV}~JWWmp6S9G)JZqYsA`cU+Y=ttptAo`Q&W3sDoo5H;dL;0A7F=;WKWLIpL z*dejQ;F=M;Aa*faKZ?B&dr5W`SyklaB0FSP(ep*G7X4Lr6>}6TR4f**J&Fx4Hd1!Q zHH=G(1Ag2Cag*Yv!u3+zZ*kXUSMhg>e_kBgS^Vb`p(Sjxt3;C$olA6s>qjLnlmNLU zE0t_evJqTolw4F2>{ar5DQBrj*;QsvnH6PL$*!_T%AP2DT6V?9##f97`^8U=e<~jA z9RGWQBOyX|CA3KBk&q787ZSE7WWx1EIi*}4*;Q^zxw+*Q!1eud=gM7>T@^p6c(LMT z*;T1jrKC#9aBW>Fy;5JeZmRTpC9rGd^vWYEkCt6k3REdmB>}GWs8jsW{XuqBt5PkcS`)Z-t2VS6^h>o()n2a# za;xvD{%-XTWLHvjQbJM%xDHF2l9U10y-DvUeI&bTbf__)#$ef1b5G58YeKo?=;VZC zD3?4ec}g;rt8=Q(H+8<3UG+b$f2sagva3O2gGLQf;reKUXBs>!yBgMR*s5V$xNdKF zpy46el@gbdm{J|C%TqR|Y=i5;luuKR%dSTG8`HB%+9|awT!*JlO`Q(cH&Q=H{aAK2&1`z8>07d^*|p~O=HarddBf&u&7q%L z6lhVV1=y*@r!6kE0KHl+YPq)MdfC;geXD-02FkA1O6y{+OThKL)@NIvmtAe+137$_D{E8D!bA~r#+H33$91gPNkiZT^;*& z9NlpoTu*eo((zl_)#>X_e|EYdyE@P8ytwlc+0~_Zm#SToWLMW0x^C|Z{ogH5w-Vh- z%dVdLdVbXNQ@DQL3-t>5~WVB3MQWRCrJkxDFaLdC)Yt9v*ah&{^3v_~XHs2Y)TQh9?ehI=qGK8dYdirBR7+oiu9x zs711CblK5$M>l}$%+X6nFN5oa(bq=*A-l#59g{I;CS2bhb8Zay{n&)D^~a{b^_8(l z#zOt$@{B7pE&;C7#w{KP_8WJ0+|T2FlU?KMjqfnNGhCk?zh(T(vg_eN4^Ms=^qE+D zV!MeQWY^@{liN*(>(p9P+fD`jr{$SeW*W$u)?!-kY5m~3Y}&SI(4J`@PrE$rYuS}i zJflWNEx2~i7@08|t{XG5GY-J@LdLZW&~tj?^rq8Wz;)>KjOjCF7YdGi4M~$8mpa@C zU4(*U_+MU+Bw2RdK%w5xD!%mwa-k4+5nnNx!-DDGugfx&Zi>Q~(kw%Zv#gfLreU#6 z(cir4y@(tFDofY%%b1LUAyA)D#kj=_B zQ`T$HaVWP5(X4p0Z;_Jlq>d{>MD8O*){0|FBB7 z+JD1kowF&5{ui~g8vI*6tHmZ%_+M(lY7&SHR-1JwHUMo{jRKX!do?7$q5&$#Dt9wv zX%y^$;%CItosXeXH&JI+@0%@`i6`4*sLV~) zn$`TjknK&*MNs^IpgODmex2t|8$RU;0zD-tOEpa<_V6E{cs(Tx)!CK^Y>%k_8 znyCJ5whC*RJ3$vFi8Q#-KRQ}tu5_&I8Mhgy-fPi@x5p&=GaGOH9m~`EJsgb?9cO(_Lh3*5Y@Y-mKLh zL|yJOtFxBB8+8vM_IA{wXHmA^2znfKy9+yj?S#8keU#P>Mgd%?zMI?gVf-AtjpMLyBFKW2HaTmz&+S|Y!BY6?PGhf85(wv_9EMp_i`JV z-VFK^jkt$lhKKBaNGb~%IcfShA^UemzhGtj} zf>ZkpT`ipFbQV1GzkihXw0{~%FwG=&$4V~>{Sa?1<wYtwgY2mOdvS`PWI z9g=lQ%Z~rvDTy7KdEzR|B?EFv)-5et2IiK;mi#<&wdI`wdnfCmmOTUaP_374L2E59 zy(wPGda7m9o8zfoZ?)y8U+^* zCl$Ugb`W~ea^aim!mJxxw!OJ-Z0O1lp$(QR-*i`I-PyA5&39*F-yrI@kd2F=O_mG) zCoasov1Q}`#*K-M`7MVnmN)-r-pqQmW#|9SqqScB1=?nL^?&NstY=%c{%<{-*xEDw zkW$fh%eDV=*Jj<@viE=Q-o6B`el2rHw8Qf9TjJ%cr&~6^HJ(mv&YzV)b5WM%@VCt2 zS*N$`e(Ri`*xfUefsK@%mdoE#muKDHvi+@fdt!Tj<7JoS{kPov*$A-gf9oSa8wKB@ zJysOlmMCB&!RmwC6A8X(psQXf8SS;A;kHGCCn8emp^FA6+vkZkn_%;C=(sEBIu5bAU2Au z{<-s_NE}J;Sdnx`MiLuMRv+D&(Ik$j_pFGzVk-paGq}6|SUH@@?D9uBstvsvGgUAFr6p6Xk!e}i4pCdn9Pi={`H?} z68qfk|AIVI<&x+dD}?X*?$mQf&tpYaAK(2UtJlZu!gnb8&Wh%HHkyeCcp}>B=X*Dz zNk20K^bb}@-_s$@hPBn#_jXv5zUBw)pRDM<=cAjAaI3%X{Rr0#;6Ga-eqV$*8|GG@ z-ydP_KcN3=Mf-gd?QFzb{eJ&Myk>yEW`+EH74mG@TYZ0jg}wiPf8C1y`!4#~3}E&D z{g(kW6M#Rh6mVar05%O+K5&1g0qum~LG-tk4er})z-9!?5ANTLpv?+fB{)q9H}&st zi`?(+S5NOaEnl$W0KN^?{lFSLwg%6vU6q2Y1aV(a8$8L8B#9Q(CvHgei%zUyvHSvj zz~>jOq%bR2SU$nz1)p!ENjA$jEZ<;!gN!Ra|Cl5>EdQ|lL*pO3kEBWAmXBCIBJdI3 zPdZAGmY-ODqT?sLuS}8@%U3L4G4K`MU(%%9mcLm3l7qkSK9erxwS30%852Ik`%RjZ z-|`#FZ%q1))^`e8zGL~$Kkyyie{M+8mj77(^AG)p^P!M5sj%fkmJj_4AL9M!loV_E zk>yAK(vLV_LTOS_%a<%)3c#0me|kZRv;4{OrvUwl_o-G=3CpJ}pSlS?#rsv7RLb%z z%dc*dU-7;*Nh)LcmgQSF(YJX2N|WL(|FZn+Ci|Dx$I4kgX8G8E;A6a>O_C~DerEaE zf8=Mpucb+qEMK#H?LYK2-rv%tDwe-l{`MdH8}D;zQdP_6ET6jtKBx7&>XzSGes_!f zj`zJ*QVq-ZEZ@6@zQ_CDJ}KGqKg<7avHy8|@CI^P{&yQ}Pwaoc|AX&ISwa8bdP6!Q z)wZ(WeeV&sD9^{vEcCC1yB7>QGQlB4B|R&u2 z2l=MQKgn=z`KC3Tdxq0H<;o1}&8^gFrOrDnb$ZgLXLxUE`KaZicc71Y{FDsymXBJ) z{GBqqYxRKPUj4SO6sfh9O086Sho(|bI`s_uZ7pB5eDx0YRgb@t;otIAYxvg=yLZ}W zW*X4mO08CEy~9(hC%t;6105`%wS4w2@L7-Fl4*hEv(~iWPMZek>EpgB5V;GXkdsn% zgitAzAC*RBQA3o5QqXkN2yH}-(OJ|)Du`00cBrW|4K7xtU=vEK1AI^ z?Wjj+L)0^L5=sv{ih9c*pguMy>T7F)`rC)00rn|qpraxh-yi+qDzMW>;)MK_^! zMPEhhiynaMDYPN37TQ?+O|+@R+h}vi57CyApQEiMzk=&GXh*3gD6>?1xOPN4OP52h zm2Qc4m+pf0l(E;j zw!`%(dZ)q(^lpWVaQzE?P%#{RSg{~nE1^#+_CudmT!D^NQPAx>xH5(>s3UT>Rmw>>lZ+m>la5~)vpNGD(IW~bWDBQMuSK|2tL1E|cB{fttyZa0?be}Eoz~C7^?+2T&2*`5+k8@; zw)NopmsGFa0;z7h-BP{w6QufS5mLRhWT|0VGbtslBV30|scEaErfHktdQfW7v4_;M z3?^l;A!l@Qbx}{(qlbGN^^QG^KgAtTH33t^lYy`r03FCNXvTHla}}XLR!&xnY6Osdg=N8lC-LSAL)huUrDP6 zG?Ug0*eID4g@q@81nORtUHDD4{Oly;9BFYOt3OnQBMlC*dH0_lzMUrX8Jzn8Kn z9F+D=dREGwbWqwqWvR4p$^q%X)FaaVsaK`!X-?_Tv_;b4j6%|pj5X3*(-Wkl(_cZQ zB6lMc(Lw4Mx(J0ubdf(tL7^F_a70&B6-i;-0N7Z-Vj@Yoaex(#AmzpbRwQx+U=ITp7uf}{34j%g{28!`fR%_m1K1?Mis$+S zu*rax%C#4;DS(wM*blI&fR!oO3b1K_l`i-sU>ShL7aR-Nbim3M1Pe#Z0IXcW!+^~M zEFrolV2=P+AvzVXM*%Az{U~6M0ahvcLBM7KRx$b*V6y?M61@+w#{sKcnAH0OU{wo~ zdY=R=F{Tq>a{#LzQy;LW0IL=|46wO?)rjo`*gU|JViyB8AF$-usemm2tY+*bz!m~l zJN5&>76DeP$PU0316H@lO2D25tWMEi0ec3p`bEzHwgj+x#bN;=G@ z6(>Hl8nBkdi4Uy-tVIbMU~2(uUHm7&T!6JI(G9S5fVC~r2(a~lwJAZ`_99^IOOUp` z1X#P0jR4yKScj4o0NV&yT1n#fn*i%nlKA~*z&e(S1Z)dnT}oa9Y%5@$%d7%y8(`ha zJOS9tfORc<8nEqv^(cD?uvY-<9#7(92VlM8Nql4i)-#^OU>0D#<4Fv@3RrqV1YkP> z>l^@&bJ5?=u97+}+?9s%q)U^A+22kZo3)2sdf*h#=1sd^HyQ-IB^)&#KAfIU{N5?~NJ z=+SB<_Rjz|yBdl8vw+R2M%s1`uqUdKwtWHE^xv|s_z2q0$@)jRRHWuz~&|u z1neSUPbFmlb_uZgNe=+_KfvZCeFWHLz!oO$0qiTl7StFF*cHGQ*Juye*MKdmN$U6p zuxDzLI=%($>10yJcYrNTCUtxd*pg&Y#}9x#mrUyT5wK_Ld=J=9fGw|c0z$=u;&{*3)nTlRyUXl*zbV7(6B9F*8yAGFd48v z09(`W5MX}-wyxne!2SZvl~NtBzX5wOr6^!G09&834cQ_QU>j1NgG?#`_EO4mz=8nV zl(HYNV8AvuiU%wNuq}=90u~C`=Eive3j=IhqrU-@0o&T7KVUY%wl`@Fm>sZ}o9qY7 z0oaZvn*ehH_DX73z`_B`N=*SQ03~H7wlj4oV7UN$HT7e_6u@?+z7ALvV6QcO z3$WaP?P>Z7V0i%B-8>wyynyX(_A6ld0DHYT_GYVrfW-oKq*YtMiU4-FbqTxuVWdQrAT^L|x0sFAsNx$SSlSuDDgkyf?Fe9%0Xxxg9AH%dJKeE2V2Oa8>i8{SRRKHG@fcv$0QI(TUvJPMu zyOjp4E?}3tMFCb1u>bY^6tMb$UFrD-U=0BKs#h>z4FUV6=eK~R0QPmS@qje~?7Lol z0Ba1`x4j?_MK%HKhhC6}B2xkTJ{<$r6tJJtg8^#>*pKNDN0H3|`#BxrD6$1$SJQU` z))KH^(_MhI0_>M`;s>n(yOvJ;pbcQZ^@edjvMpfOd&9UN*$%MZd&8U|vOQpb_J%n_ zWEx<9^dUNT0POGHMCXox{nckT3Xkk0e=eauE>tqIGhjFR608egL4BV`36Wh43=t?11$K%+dc_!1@4Y zA20;4zJP@fXa!h5z?=i#2CP3|kps2@HUO}QfoXsZ1WXy21lS@(h{=*f7BI59$ZlaKQ2nIt$nczzPmJ0N8_o6&Ut?@U^4+r7)$K;2w)Y)68k+0Sov`YfIS9SrE$3c zn*~_KaZ3Q34Oo?NlK^`hu*&0p1MCUFs*XDa*pq-Ij_(ZE9KfoNuLamsfK?m+GGKE7 zt11+2leetJyUn*e)N zfE^WJR|MD(0_?g!<}570iVCn2&XOJ*z;Dzp&ar}W69m{(0&I-{ds%~pIp21^2mjuu zwr_*T=aZVxXEdMBYd&Ane7>Uj{2lWd`Z_#XqXWUT_5eM?+cP}!xs&E|H_hi>n$LYT zp9g9_KcM+MLi2f)=JPn_Gtqe>+m6UrP-*buE{-0K-j4o`ZH`wRM;%ujKRB*C3p)Y%isVOi z^05o~F_!$8LVnDGAGQYMug{W?E6I;F3&Z)x~WujAySG`PD&}MbT1vH+bBl5M^nRy84Xd5LMD@O8!?QA(J&0- zHq82-f4-mJv)4ZRto=UwynCH@zeQazuxL&rD%rcXn~e!h1O`3 z2inT**9GiqVIQ9;4XdD(| zFKIv`EOoqlu_XOiTpX8#uw1zU_c_!ulwhT8eR02XP%Ib1fP#}D^i!@f&=k#-Q=~i4 z3X^S{Cap#nWk=kF8R%f3lQbQ7;xcqj#8$C&TD_!QVx{y%Dl{qBktm!T;yW>Y1bjTc z7Yfcqd7Om`sEA6ajI(hLs^DClhpMQC>ZpP9Q4_UL8yBDs>f%DwLw#I?255+j(Fl#v z1ec&GE=4mmM+>w>E3`%%v_(6#M+bC7Cv?VT=z^~3hVJNr%W(yIq8ECj5BlOt^h19P z#sFM}ff$6VaSeuGD28D;uElj2f$MPtM&d?{!f4!tF&K-RaSO&_JZ{AVOvG&{#w6U1 z$(VvWFcs5qC#GWt?!rvmjaitDIk*RNF%R>x01L4Qi?IYtaW9sk1ovS%R^WcD#44=D z8mz@H@c`CgJs!kE_!S<;20Vg|*o4j4g00ww?TJ`6{WZq2g~cIjo3#ts;zVu_(j%>q z+l%M$0uJIO9Klf>OJpU|8+aS<;(dIG6Zixt@j1RsWGkhw@hyJ9PlMyi0ysDi4ffm*18dT4+~iR=L>{Z|(9&Cn8U&>o%81>MmLeG}P(QhyA@5Ddo% zjKUa<$3#p@WDiSIFby+sH|Ag-7GepOVR<6kEO}k>rDs_>=h7LJ9&e+8E!d97uq%;m zlOD&DcpA^(Sv-#eco907*THP3q>XuP%CS42*0a~c)v#rh#!*D$l zx5phJuC_?njFEO8E6tE*$2lPur>}#=T`}F5_oTH-JP;3N@sI=TwXEpww%r4lqn$f@ zPw5Ku!axg${?ZzwEznYVqtqI=VWMpl&>kakrGeg38w^tpmHJ3+r5i8=x7aowHz`M9 tG;YT@1GnO4_&-VkRx|(r diff --git a/target/scala-2.12/classes/dbg/dbg_main$.class b/target/scala-2.12/classes/dbg/dbg_main$.class new file mode 100644 index 0000000000000000000000000000000000000000..d066ba56db6c0174e992fb22c15cc4369d0f7466 GIT binary patch literal 3845 zcmbtX33n4!7`?Ac(uP1wOABRRf}~*yJ4g_rEl4pfXxb{G)@kxm2BtG%GGS8@H*nu@ zUw(q;xIp31bNm6yZ}NEGEX|Zg%sDn^wm0AVmiz8^-}KMF@BaZ{H+~XWSeTeh^BAAf zbTbi!5NJQAUDVQsW=^J0PMni@CyGXa)^c7mwDgfuDT-!3a?Un)NMK`if^J^4&dck-RMP6-T$s zoTJ%}fH=X--Gma%F_9S8?8&k~=b3CZWX`d5bCR<=Lx#GkJN;HcvW~1*W8N|hmQCO3 zsfwdb7&4vJ%T8aVSd=zr=jyRL=o=lYn69hs!GK*c9eqls`>e~dFv{SWL;MM}9LyUk zmqP-L$=)&IaL|2>V;Ppl(10F+CaHIH~k4ShOi zbpo}ZAPwz`EDSOQfhB>5+4M6irS!bvM^&X}G)-8AD+2M5cP6+d@S_rZ7$`=H<1uVf z`6UGw&wEd=imd|O$r>=IsLd{$1=fY*)U=IbE4HafX&3erakQbmm1ysbV=+3^-EP7W z;)_eH@r1m<36~Q)1U4mm{S^O;IHUDh2AIxb9}Lu{P8^+Bq7pqo3Re>yFH6UfHZz^m zDPHDH$rB2vR1Nd$P$FmzZ%#4*^U-B0zhiZo24&S*r*hnu&`irLR?LJcFC}*FC2xtT zFAi*?sDB$pe+&bvWmfylJ8Bk6mTo!;TBySlJTBitx8U?CTNY%I3iyk~dI2K~C z6~{r1>B+NQq_HbqcC<;E?suQ4L6b6yYz#vx<`U*qRUJ=6GwnDT#}V|YR(_@}f@j;& z*GL(TsuN|m!;6`dwyqiaRV6!7JSVWe>d9BvtTr`K(5SImQOi4)eI<(1R7A~iLSq6q zlA$CXA6{hDFqbVm}rGk7tE7w{5`ewn?gl}ZLB zp>wtwX4jv#uR!Y{9uopxa!Pl`h=yTt!DLaZ7*2v+S-?sKGxfTr#V&zm^jy?!+cDBd zOw)2ScbkwG=zbDs)nuK#3Aq;-4hUP#C0u3zOHHrg;(fz7&cjfFrbx*WHyy{ITy=#P zBtVW@XQ31$xhN=+3l+^!nzADMuQVgesXIH}GmGu6U1|(X=ux~~q?CbhN8E0v_AUxa zbvFlwe-*DO0eoFxmES#HZ-gaVsdyA`kfq6>+dLyzte4@<7_Q^3IM!o>;`cjTUanc$ z=d$z|%i#OM5co_97%vN+Stbmq4->ZxuRQ_@^h^h;A)rLJ# zN?TSp<#1(cLfRurA-Et}iyvlV8dZbO{$OlCmTZ~V99iI3kyrI8&DN$QcLZ+s@j=s+ zcE6#O%aV_=oK>;&@|dn1w)X`Xdh__cEvLTy22FmS$U(Dcv5DOg?BH5AG1&;ut%_`H z-43;b^4g&GPxqPI6K%e5$GT|Kif%0B$Ssa^@~Zx#GibPr<-fWQ zmu~`Ja~ALok7n+#EKOiDws6wC0Nd*gu!6vdz~u_pWgD^d5J}UuSMY7@`k7(pr~Gii zi))~D8++;oopY?lAm(99o@{0&dfV2Bfjv-gn)hBi;5ILyrDkybGzZ?E#=F(v^V_e-bJ!lk4czn{s^(Tyj;8M8b3h@%F2c7w$M~WZ3)s6o z_>RvFh~j%*SMqKgEjWc7MlgyoJkMhUpU^&sA82t{P`?!3+~~2?l?tH%b^D%L1#X{g YP>L&73Xt90i?HA2lTZv0_>o@l4_-2o?EnA( literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dbg/dbg_main$delayedInit$body.class b/target/scala-2.12/classes/dbg/dbg_main$delayedInit$body.class new file mode 100644 index 0000000000000000000000000000000000000000..91c2f4f12ccd3f9a4947df580e15e98e0642ab59 GIT binary patch literal 730 zcmZ`%U279T6g@XzYtp1iV{NV4){iJ@3&w&zMFbTKfuss)`zo`$W4m=T8#WU$|4PLV z@IfC1{ZHbVBrhrWFmvbLJ@?GHXZH8s?>_)M#67`g=%2Q@UJq1c8ljHWM28&{*@mBl z6AvQT9tA2^t#oW`G|;W5{>Y{(um@uk*eEd%JY)q$a@?N+1%*a3wmKD5y9?}XL0%1q z@kFrN-07aHcd8XDbJ}|8pX5kve4dtt(15*gbd#I2e1eL=Rl zb5h1KswGrV6Ra-^f0n%0;W0<^P#5Hm4?9PKjqZOyRI`dJMO?-j!S*Z~(TT-*F1p3} z3XdaR@I+8=E@InG+BGLFhZ`h(o`l*N6d8Ru9{4&vQhv-zy_?YO6O~3TpBF2AtAe*Z zHJs3NUynx_m_ za0x|LwwOI)6vn09&sh43l}}jzFa>RMFSt+g2RC^x&tSNU4Q9g0u9M19^cXXYwY^`+ z%g^1tFJ$|M&5x{QacjCu0T&6^W=(TKwomm5ZnGgzUs=fF4wDS>XfQ7G&&;@c#pxH^ HWexuT$xof1 literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dbg/dbg_main.class b/target/scala-2.12/classes/dbg/dbg_main.class new file mode 100644 index 0000000000000000000000000000000000000000..0d99113b0dafc5deb9126088a1c905fc0405dc43 GIT binary patch literal 773 zcmZuvT~E_s6n;*-t}B$WIRuA*4n{J(pklyiW;7iGquGS9jAY?L->t8uWVEJxXTV?J zpYcL3xbVUs;Eyt%4nd7JIeFf5&U3!|{m0jD05-8ou)Ut|u)IDML1=;qxfAhTI0F&- z&R*|C_LT{pU@>QX*cSsqSZa(PPAuOJ*zdFxb35=up+>Q+5{%xtl0(ABG<6@h1nHKx zxX~)7HRVjj-NfW=Uy$OwMnDsHoKcCa`Uw8nwn*~iT>s&-SER5O`F@H zWvXYg`c^CN)+z00?dR)VLoaRRMJfO2!|Lp&xlLxFU=u`?h)5`{X zk#}xm1~-#Bw+LBzCi|l#Wm}1uOX-!hmp0~cJ0UFaNZXMo`JPRwjw5eh#Nt#c8S|9Z z^|1&Ob@?uqeW9esN;?|GeYqP9IIwtd*~(!s40;3UhGC>cQjarau5OMEXh`wj1n9g3 zUIXh(tj#bIW9AF;_byQQoKSFw#o!$w$5ft$L;piAUXt%JS;H(!jMt%a<4~TGvnQbi irX-)qbuO^@X{_j2X4GI{g>jOpX%=&c49aZK4d5p+nV!A? literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/CSR_IO.class b/target/scala-2.12/classes/dec/CSR_IO.class index ce4b42c632b2c9431cc44116db45adec9fa9fae4..b5fbe4cbe5ca8cfab831ad3f037eaa5a3c8b2f15 100644 GIT binary patch delta 5805 zcmX}ud0drM8V7J*IOlF$w!7jEXkGvA@iXlrIC<)mlbe#|XKYM<8TLX_;_>NlgOZfTYrF7Eoez6! zQj^p(b`hHP9O&J&4YW3k0qKFT3Q4hv*z{Sd?Bb{Nd7u#)_!$x^@tjE{W#HY)Ga}T+ zD*9yNt9c_c3O?qlAKNn@&-W5hJ6?d@gvz1f$PPT^ztbw(cQ{nx>&5l5osZX6kw>~s z3j9*G3rSg|22%fBa&9&$iFA%+%EGy}EUTDK+DNK=BD@>UOw5+kYe*%e4$|n|a&9>( zpLB;5lq2WjNZF)D(tun!H;0t0sytWT&Adm>M3FX;sz}Li8b;fV!# z@@|Fd8o#=&q~Lt{)WxJ6QZvcFK+er0rIId?tc7wehO~uLL(&)F+=wE1xAp28?^Z_Y zB8@#DpBhgpBDIl14$8T=Nx7t}q<~^MH=mS7s#m2Pl6RYNNKS7hohBI$%XTtp1F4+U zO$z^3o_L>hfOMBM{D_=eLfWJ1$0PD?1CPp?SW-IaBFSDN=Vp=;NVO#QV{&c^=_67F zsfRSKRGwI+YGb(q7Va(x7rVw}6yEx)|0EqI85?CgpHx8NO|t!)sZr#*GH_MqU(gV^INoPrpTeAItbeiP%yKI-KYPcOJPLO*2fi3PW zA(fI$w`Kbe(xE59yWzQccjQbyiM7bRH%NO)U8Ja1Ik$^+pER{iwrQjm(u;Rxn@GB$ z%IBWE+va<6rh)YApK|XL(nV76U$R|8`k6HNzHC>i!vE5%LEF^-%JmN%!f&TdOoF_3 z-zL^WhCZ-~GRUQnU66Yr$3Aq3>yYt~gC5#M5#$2MHpmRfkamZ-^w2Eg+MgD_?KY7I z>(|=N;#Pa0NP%@=heOmsE`+pn*hCg&G-LzhX2|}J9HJU>Hl*&6O(a1^LY{+M2Wjeb zh*HSuke!elA;UUtq7rf?q^8Ru3Lz&#wnDCf4DPat63E4n9gsPYqq`l-0rizH2OU(E z-|Z0dx^3bPWGZA(k3(F5jDxiH*hDsD3}hqZmL6N;0L39{Am=EKM7?55Oop5Uc^-1T zVsn}mKp7wk(FNE9aE?_#Rj7EB))iEQia@oY)}lgOLB~*UqaLAhQDfXdS5Yfa0dAlI z)O=J6svb3rDGucVzBK0|WW6(iDdU_o7<5gr;oOSy1xhMk%rJyFG>QEa{77eHz z6^-gfZAOJ_K-H-CQ93Q?04fr77qt#GTnj2iEkSjn_MpOaplhg=sDV0AAu1Ntib_WX z>p>S$i&1uX&z(7_nW$z|0?OY2s?{rI=WK)WwA0-Hd%JLNiUIbn7?eQgCfw`i4trIo zStzYL$cc(Tokguh`558N>r~&zX~-^>pBt6I&e2B2?mUHBj^ZA0roaeiUPQH^R-=Y_ zfR3UTq1sWqQKLLTO{irkKTl8|YA)(FDg_nj1*$_WL|ME*S*U1K18Os>zc;8FH5;Y# z1|^{)QRh(WP$nNxDQY^Z6SWZ)<^!rktwd=|phDC{R4Zx?D%b=nK`lmgpmI>7&7fw~ za+JRrl#iN+x`RqZ1zA8BP;n@$1(c17K{cYbpaxh$HK;i#y%m&X zR2OO!YOD=Zg^EXM?Vuu51gZ_S78PO#9Yej1dW6bFjqwFtMXf*u_<{;h^HD9RG}N$O zpnB9Il&u$NH);l|3AGjF*Bf*iH5X;*4N5^xM%AGH)=B~ybq`v^*&11 z7jys>iMoqghZ^1&REk=H>O}29h4lknL#;#&><21D#iCkK>8RlTpo^%*D0_cU4r(T< z8I^$YhhK%xTGTw0`v6cXY6|KC>LZlZ4^)AQLG_@vpvL)uYEY|CdVkPC)FjkB)Ou8? zKl}uj_$zUWa1IVo{tc0=MzL|AvQcr%@E++b4_A5>7Y$MjcI9#UP+w0bkedYE%+voNc+wb-<``T>}`^K$?<+-)6 zd}d+=Y~*TofW65MvJY7?%VdXG1v|{HvTwPL9pORjD4)hk_z4j)% zs57!ly5a1yE{0vvtzf_CRwuDvbw}B6x?fp?-jy}#pJGk=sjOMQlwH+tW!LmY?7F^= z-OzWin}+`Emf;2VyW#Kb55p(ywqY;3V>r!P46Ury-NM@3pJjL5-(dIL|HJ-t&tQMK zSFro;*VqH2fju+^vrc0a>oP85-Nx;#$9R~#7%y{WwXui0dIWGck4Vlu;yCx%$Tc2$ zTpUKCy=QN3@Ep(GJ!84ia|8GAOy{1SW!%fNiF6rk-WEe9Pi`(Iq&P8#rt`mCbjipwV`rH20lXDI(v delta 5906 zcmYk;d0drM8o+U0IOlF$w!7knfXh`7HO+mg6kJ*qm(tvuW=1k?W^&7!=7U={Q?By{ z7ZA`AL@Wydw-Q+@6#-*%LD5P;O)UhqR8XMjx$h(A{KN12p6B7^$mavHTIH)%p5vXM z_J5#L6H9~C!UUTdmC&pGd2zzXb{8g$^7we7MYSb9Padec6MK_qx9<*hj{sZM4eo*M zXO(X8u1dE}9g-xz4nBX7^yEDjwJhn$`z>lz^2GLcY)Te)s7-#sb3QQTZBOxD1u0L? zENbZX`JVIlwyX5{7PWusGafHbjhD`>YS**~&-1{PAaz07EV4!YK5ZV@uEwNmcS|hA zcUaV`Y{*bxgK_jo=w?a*$TSZY&acRuHNV`6D{^{buunGUsS zr_0m9A8TDo&7sy)BRMcPRhWqYga#{o}}_j?R*S1 zo_dtpL>;_a+gnP_q1IC)vb6a^Y6i828nQ>5&!#3(&rvPe+I%u~Bej&O+pEpTQdd(8 zsLj+NpK5!{sQan6sga*)^EhfIwU*j-pEjREO{SiwT0ht3vD8h}Q&jyI+B}-NhI)+p zh&nV!+gnb}r8ZFe?APXRPzYSck(zKEJdy-E!`q|N71w^J`r?T5AbRO%LLIn{VXn~$TeqZUzHsKdY1 z_Eu8!sduP-ztZM!Quk1=QNxdF^ZC?N>P4!fK$}maZlzXGO~IYa)HG@})mfs=r&G64Pg8xr*5(ta?@>#rZPbz9XnU)u z2dVd{{l9(k8?lGF{#)F||3P}FRgxo)i}Qa=u9V#EgxFajxm0rJlVay@l1n7pOT|uH zyI*R*m;Wg-e@$|}WPO>~nI(Cj@c+ui$~4KlB{xZau|n+ckbGBi%xSTcL_U66Tz&L+ zV!loCRmnpt#m)zke~{e&|6*sI(2;vDd{; zqU4*BNB%5!wn(m(Jm`kl`49Qv4RQCrH^qF7_@g4JSi{1T_S%WyAA$hOl7Rj-VVt<$9`;sT#5j&}p z8zhgpD|Qkj-yl2giMwyUCw6NjKmD6He_wL7uYjY(S6^EJ$9S5z2u2UQdT@_bC5p)i;1)8k5 z+`|>XdB945+p2)_QL(5ys7mDY>KbYdD%=Nj3^gD12$hN&>I1rn zS`Jbw@E_#P#X|>!!v;L8^c?nKa9DwdZ=g&Zv>P=ZRfk%S3gw_;)Lc|6Dg`w{2dYB7 zgR<#B2T)T`cTt;B{q&$R)MAuD56VWxpsu6VqPiJCg{TFn$EY;aFaxL>wF2dY@3}h< zH67K6+J=fUf=;6rp?poCEYt*(Vs+OTl?eAHJPR|y*(p4mZBhof^}cYn3(ulaS5RwE zU3@{uP_s~vP;QXY6vBpW<|%M8%=FA53@q!E_9& z4i%3I^#>hA%|$h#Qc#2aK~<=wC|dw12Q>v%kJ^li2mqC#7NQJ+pbS(Dss^?iPAekdr;A+Yp6A-@Ls@nx{g|l>edBRh+2Spj7mcd>jJ7qtw1?LKzXR?s7BN_R8$D)G-?sb zw<{RVaHX=pbq;>KA zgP-8BVM>HD!}CM94i1%>YH@n7`|B{}PfCKjXSnh`!yeuryE0VdrqFIvHT$b#$hNXCAvh7}_EY<5*mZk)-bfq`jp}fd; zDr?!tN+$b6Imvb@zpxB%f0pU}6x;1Ron?8iWP7|*ShjaQ+v{D$KJ{*9pZRoQ`+S~d zpZmPdzVO+=a(uGcexGud>(jvUn1$uDLGkPmdzBq#@3JFo2m6whu&>xPc9a`f0gq(I z_#{@y|G|p*N3571VkNwqea&0hH@YzPtuC4!*DYixbRV#jx_zuvSIJK4?y)kxgO%%t zu?qbhc3L0LD)qZqwf;D}q`%278_etnLqGPTVJiE{u$)~n#3!*D!%Fj}T347>!oi&+Ftl1pJTFtSn&AgO*nG?BUKEl1tm$^^8 zxs5ZwaL)Z=xXv$*>-{!zgI^9e`kmz_zX#mczauyMkK%s*3%I}kdLH1P#smF}c#wY` zw*=_8H6Vi90$$?wfWLD`z(?E}aF_=NT;d%9+IYvnaNa2}hIbB(<6QzjyldbI z9vXOyhXwiZ@Sy%YzFW|A-aTj~?-7*3dj=J7SI`yS%i_a(TYB;c%S0Y&Swt;+@?G--Uwu+Ci zrSg%sLO#k?%SYQef5zU6KWl%1KWBf7kFjr?#mCz7d9=NX$Jm?sI7b&g-tjD-;CP)+ zbZp?yJF@u;j&lB@qmjSlwDL*L!F;mwRUYenmrrr-;8UF?e46tbpB`-BF9%2RSAr+; T8NqRUX7C=CaHx2+QfT@EZLV7b diff --git a/target/scala-2.12/classes/dec/CSRs.class b/target/scala-2.12/classes/dec/CSRs.class index b353e914a7adbf68710fcff14f3932662f2e2373..80f8fec95bde738d86cbd388719b2e64e2badf3b 100644 GIT binary patch delta 592 zcmV~$TTG5&0KoD0@PFUud7qa~BB6ZckVB#(6s7t^C{$mCLR6vNC=~aF{i2 zY;+-8TXUGTxv|NX8#iIyxNsqDF1V5WetkoF-;n-%tiZ2nQRrGKhE{^9HG*~vOM8Z` zjUcq|NZrEGV^Mkru3p9ry_K2z9fI^hg7uGt=o5q*iZCONS%#Nzqk`E+J9CT&L>Moa zYkVTo_{BUknE7Tr3(Q=i%xa>|P8OPv{CLba#F%5mntxbCIEzVS3HdCgmN)_|<1x#5 z%L>L>$zS5FNLE=XBv^&4w(419^^j=wlVrUk+4{y>TW6geO^Thydb^Yjb`u-z+oak9 zq}ju4vPao$kCSdsl41LQv&EhwQ$Ut*$rfRFMI>8=ha3^dHj%(~kwUIWXNSmPr^sWM z@R29V$rn}Z7Io|q4eS+d6o?KAMSvpFi%&eHSPW1ihA9=J>=Wbc7n2;28f7w+av94( znam--^m17GsF2khku4mR0V?Hvs$@Ua@-@fg2WsS3j?15%kkiyUA=EiBoOF^n`-IA1vDOwj85qfG^KUU|5n61k|dXjg?~`c);D zR1+Pli_7XRo$3i!)Jv|a_jIYxbgLf()D+j;Ag;R}Zn#PGxL$6$#q_#0=Ja5M&$j;q DoA!H< delta 592 zcmV~$TTG5&0KoD0@PFUud7qa~A|bwV$RSY?ic)3S(adJDn&Z9?=xLiG=X=@ZN_6yZiJGYv1ZjB+B3Hf9_5i8P)w z$N0!x<0tdXV4}=8=9@VzFstyG9YmWC{lu8BS!j;2$o$P>!dXHBvE;Fo8kP}YIgePu z8{!yeC4Y#wB3Wf6v)U>k!Kx$C>L$tRXN~oiwboaXZJl-YeAe5kY_Lm6u^UOXZ?VxH zV3R#enmtOoJ6UshFfpY8;TE9F#E} zl1Y^NrI*9f#}QdYg>0r$2B?zvI4b+8majM_-*a4kp+^3oR{rCJ6T(R+no~|9bxszi zokGqymDD>;G&o%}I(KPup3v;PS zNj1`;I=QUwa78_)Q@x-|y(6GLaaDcinwsLe8$`G3;f9+?kL%^8TSTv0jXoIRv+e)k C3VX2t diff --git a/target/scala-2.12/classes/dec/csr_tlu.class b/target/scala-2.12/classes/dec/csr_tlu.class index 3d63bd7d7e6e8e4fc439800eacb1b84d03731238..3e7a3ca3ab2630046982aa7885bc424d1912699d 100644 GIT binary patch delta 28788 zcmZXdbzl`o*T%E6xqEks-55!T69Obyf;&Nry9Fr;R$L1dO`tfmNFY;6u@=|hUZ6NF z?oMzI!HV-eXD7){-|vsN`@3h(j-0VGXEwaA=eoU~>z2GpNpn`>`Fdxi5+3QUiXUHX zQ@nYAO(~cyh_@*fvKfgL!3%Z8FI7|OW+6YIDdGG_O^K2Ob+X@yv@7MoO?D~%{0F;I zBwOy#QP3&7RS*O@1(}J1_3RuIvoj7bl$?x*899~VCk&+?bn@g zXX;n=vud6qL}n{aN$lotWnEX|2@)TWSP8K7D@#0F;%ySYk+^ss>)pN*ua@|cV9!9S z>YW0u*A_~AUE(}JmQE{)XGwfoV)tN6zn;VsB|a!I3$gU8NIXK~?Sj)otg4p?wO;Ed z@fwLAOI#q#((f$sB8hKE92jotx0ZOe#AhV-$ZP4>mw1xk^?9wTs}YuJoWvs~-XZb7 z5|_+pz1v^nwGuy(xL~BE-$mlzCB7+fP<~54UhwGrR@Ki+$&Lb+Y6FQUOMF;j=Yp1g zRf$JQyi?+L5|@gy-W?$EI*Ff392ITqH;J~Y{)Z5m)AW|a!G)}>+epkMJ}0qfVN1WE z#8V_bBC)NArC&|rB#C!P{9bT)QLE|$i&|NvN&HOW=wg=6cM>m_I9=k97)!scVC+af zoX40u;=UNADRvb+qIu<5bCWW8hQxOzE>qmnnJn>jiDODwIzLK$LE@;Amd*%?PfDD( zl%+FB;v<4HOIcO#Q`%D9C$Uc%Oa7h2J0x~1Yw09NyisDUoTU>l@oI^`O5C)(rN2bt zcY?z!SXG~2!BWkXxJE@w&Lw^@dk-)HBFt-H8HST z=P-?RQ}iWA6wRB|vQ!sK{IA4yzp-@YN&G_M>a{JMREZx+T(OR&Gfm>#5|^rL=}Z*7 zzOHF?v+70bS;?a%J|}U3`lgQAGs7f4E^$}`OJ|_Oha?VYXzBElc#p(hjVzrm5^ocn z-pCp--!`^X*Guf&#FDp?c%{UjC2ri*(*Hx^w-VQBX6gJUafZaznp--vC4MN_vxQal zpIca}=@OT0X~`!@d`03Stt_2niO))$zqO?^RN{Xm4vn{T21tBRV*fU#PSrM6)&CSC zb1-De(%4KS|u^TTB1<9FF3z1)E2@QXS00l({_( z?qF_<8#^eCF=d?-%twm^^Kgk-5WrU_C{@K|j^ciaX6ELW-y+f4?`BGTQexMRmQEeP z{X1IQ@O~>fwUeb;N#bD=ZNcl$`ZO5%qSM|QFFJ4(Dj;%gEIbT##>cC~7r zB1GbdBC*?dR@QYTo*?l7iIr}aer1V=OT10uHxd``ZoS)A;?)vA671Q-s(Pm$)@utT zzAkZ|o|aB4iDyZCT4MKJmVP~nCrW%!V%FQzuOjgXiMI<*?`>7RL?7$5eiE;d__4$V z`da#(C0-=)4T%H$S^BLdo-Oeii9PyT`t>EABzS#)tLo|iOEpfg8Lt>A@eYaqmAK?U z^IcQFzr<@Lej;(f?=Af<68|poO^JgBS^DvUM-MWqZWeh~N_GskR2xVnSII}fq+ zt4cgd;++z|lep9m*1H2FUMKNWiKB*^`b~yfRsTbX%x2t@ICz+qbsLGf#OEaT9B%11 zlz587MM-{96id?`A*`+5~oWXl4R+(mG~El z&r9r;Z0R?Wc&fxl1!pE(Rj)qUdM#Pv-4cJ0xXc(!|9gqoOZ;5oLO)vi-6UQj@okAi z$6EUBB%UL9-&m{a-s3FQ#yRZ6r;alZaf>Fx$-OmBY=Xok##=mI;>!{jo?z)DNqk1) z$cdKD4>?Ruv{_`xBrCbU#0Mnyn{4Uyka)MmjwzN-CyBR6Y)rLu+DW`lV)m1z(?a6q zf=~Zs`b1{c8~$vmE|U1Q#NSM_bbgiinZ$9^Eu9pJ?@L^6hNUxA;+ql|pK0lgllYQg zCB>@xs1!@}w8Z&lS@IzgACowEwx!cg;{6imPPKHpOT0^B4{qsnlz6km`Y)zV>@QZ; z*9wu@1IipLi{=t9llX(g4Su!s7fSp};#zYpojDRemAJ}pmd;Fx?@3&Co~e^K&#L+j zAu_8TJKxG;ti%^3j$UBtjFkA4#1RWEoxu_xl{jdTrPEj9za;kk-O}kMc*gHm)!qNF zk`pD~B(Z(5rPD^@H5R8Xu~eE#yj0@%64zg9=`WD@rNlLtSvtQ6-nY!EdFAC+@(hXZ zN?c}zr88OL>k`MTv~+%y_=3byt1O)n5}%Yf?`lhDkiXRfxY-e--ax=&)CwU+!l ziFZisw$9Q?ka(lT$^>3@x{{j*rzxJ<%W-^~_1bEQze?P6y`{56;&+0>H&`v0zrj+? zl(@!5OU@;JEODhxmdQ)#mXdCD#Q9O~h4U|e$o#-r4GLd6DXg5&0f^7wE!HTy4I% zt+?<$^wWD+_Ro#RonymhiE8~u@|(3s20&Hq92K3_JZ~j z^(OjEw34X&KF|ZAAw>E1fi@8(5@iz2Co1|E=qgc9BLBZY%ZXYL-6NVwly^VqBvA(< z*ZrX1i0TksCK^wa`v7P^Q8Q5L0l;(;RU$f0G>j474>;@^-?%v4drh>6 zDE2hy22mfPz|){rM6on99)grK@pj}HNIlL#+7YCj5Yh$2Zv|f?4TX9q;4Gvryy{t) zHLH_SNE9IyPZ1Xu>~;>EW$wDf#f5wVaW%mQzlKfMg?Dxhp5 zk0AaeG`16e=IK|I3jF33e7sWPDg;^crXR73P+UXoD)=#&^Q*|Vz%`KPHBe`el2@cJ zBF^fl8>DfBGy<_Hkc<}hsGI_W_6gy4M^XUv_4Vk8=y%br4L_!17o7E zcv-!P#AlQk2g>fDk;Ef~#t!09g8wD$s${*Aw?HAcK>dl@60Ifrh3E+gs~n=U!8|4% zyjJia;*BD&4aEC|{9ocjLSFJV_=@2E#8-vR7BFvnTkK=Sxkn7kT0qL)fl{P+VGwal zRsqCW!#V@Zf4hUK6}}5;mgTw=m*+F?!X6dGOLrjR)9*qt>>d)U3u$}e8iIcX^Kb59 zQeC(Q2coX{vc~5=G#UtQLflaB&tP8RKJxpUq%DP1e*kVJxF(ptB#kjdryZa@l-@z4 ze?mvLWU?R8=zI?+&)S|mce?iiD50PHv5#H)8q&@nXT#zGs$7q;0HddA}c+E3=Q~p>LEDkTrXwv`{AT z>3P&3e(;Ur2fqGBX`rq}C8#{UD&?#vI{NVNH%biC6Z!gg zN_Vxtqr9lZ(;L~@wtJ7_24u;ZK0s9BACe|#SK`*qZ0(94lvs6*qa9Bi&4T$)9~5`| z>cmI8qZ8Ng{!>x3!^R>Zgl`KWpdU{k%EEZ_k4k{^8c(NRc@u_8PyML4($C2}whw-8 z{it};&y|##hd=y?(X@uIE5$;%&nLwJj{Kyw#fmrjlM;sq8Xm-Nd{RQd&-n-l>~Jo3^&@2_kaJ2+pvxN)>oyq^F~jnpUpC6 zw`Ap%jkBk-79=|%%2gFsj($GRsfG*hqA+i~Jyc=+_~@@#-%l}?OWDjl8LOmh;rm{p zwIouvpi8bZ{F=ou0Us%>7Jm;?x1k=eTz>AwV2p27ByMC#+)w1MveLYW&T<9janhWe z^vzCP^es-u^sP!UeVZ~%->$sZckoKi>|1Od`z|4a?as^r4huuqEOce=V9adMx3s-T za`Z$6=Y5_|bEy2Re#Os8QorKo3ei~VS5h9&!-Jq~cI`gu+M;~4hNk|-_h_u7`j;c0 zWo-z(?W`wuvLrjJfX7Zd>!cj!p*lWdJIpKVtetX;XZm4UB&VaQw|H15&6u3H8x$h{3#k1up#8jsJ#3MzL^4ZhuA1^Ag<%m?dU z0xeVdnSPghy098t&&8VXr7lRimy>jll7e~Tv&_a_-IzO)24%fb|!Ucs~bz}HO)fLpj9W>bubdt!~oi*V7d@$(idoVZU zG4)#z&+vs{qzB83(+jnnFUK6@FFcr^`oz(lj2_MlIZ(noch;O|c%m-x9xNX}1DWzn zymHh7g_}7a^Fq$gq@0I(;?)KYmc;XTvE1R{yJOWoIwL03r--9_GYeXhNBDj zoPoY*?hPx?^<;(lOh3>mZ{+)ehx?%*#Rpjq_R3Bg;)A42k+htWMtGy5A-<^Sb#GL( zkuPKsKCHR&9sj|H#qhTzI0gall^aThe4#WiH)Q>Nq12xr@`X|jKM4GDLurQ}l!oSp z(i6zAF_~6+l$%8>!?}+ibZ7cQx2qp?^#JG|_JeM@0La|@AzKHT@}BA!%&!E%2Jd-h z0E<%Jqp9Y~Rfep-$sg^x9mpd2`aH}>`M{IMqjP==MCUxpgRI&HWT&?aMEXbGKM;0I z$b-(c2Qfdm!J$DAxCBD5IS3Zp9LSpTV!@zJL7)}E7}QR@YADLmgVDngA)wa5P@hM1 zhRBan>xY155FH|N2}5ztG_Pauz%;JO<3b^S0J&;4pQA8j?7ZSK$Y@>284sQ=0&zTwF2|54O;m;FLQU+J)K62Ar~Hjx1W;ctO&f* zH803LAE1xnfnS5^S0GRLjN$9) z=+8%w!n8L$`MQFzxF;Ddh`#~zIr+u>(>z=PH|VQ&>f_?Q_3@sDmo#1eh(fb_7C?pf zMl+oUN28wJv`k%?j>0^c9s!?eUo`CKBQ)MYgZGQZ%RLKWbo!aPcwpIz zyu%7Pp zQopVA)bA*F^}B4FeouX%-*V>V>{EI*wgf50^XhNhkLqtd`sx2V{PcH@KlS&X`}GfAee{ps0s1HJ z!}@2Rs`?k7o%&bbQb~rB?>a-t9c8fGe;BG?u;J|Y-mv+fH?)98hCSe@q32m@7=d9% zuE1XnmmnX*HRxxx^LTh{4FOU5v%5 zAvw|zA>9Qjw-sa6c}xswDAD2=RzwYVlogJGdkmHe)2H)}Wu@RaH5PuCk<6zRN51o7 zv8#>Gk&YMA{KX;tDM$JfNt>&)as;!4TGVrI@et zR@$`9ZO+(AK2@~36~5cPGniHHOEFKpVCJ!v7b%TFd8s6fu(vc8%v_~eQNF4)^WrgO zSTDqT*H>ZQJgp2Xgm|yX8DRcf8Ro-_mc_z%m8efy$num0ts!bd^c19Y;{N4W6@G~X zJxpU-WfG06f?CB^0UaP& zeQOY(E5sp5e=CPa1fGOlZKN$VZ;`T7}f1B=#U z2H#nSl~Ff1JgF3%?&@Oq+2HUHyN_)HSJFi;I`2^z2dxd_pw+lObMU==@%rJqs0j`q zBT>a$^{~}^smnZYHYuJ{L?vYtKeY$Bm^Rxas<(;iUKS}hG6wRnKJZ)v>a#rRCO9+U zjj_$Nt98EgPr0#N{9FT84u_d=v9m`vL_cifRT^TQ+~#OQtwAh(o6tAU!1&Rm z6AR9jy}jXg25E11xMdB5{k%ydRs{C|jy5W~35_^juqleYEe2VF;MjX+6`c8R;`j7N7|rLKGJo|p{Ex(GEYkBo z1Lrm^(`N6~YlcJGZR*M(p3n-pw_nV3X1mSDH^VvS4&T-c)p+8ll4Z6h+|e8h2E6QPV!L)jh zF*LmeoIe}|CYrB1+cFRfz&3)O%b=qfPLVBHFt5T6%YH! zd^}uzN`83vet+vd=+2QFljoM{i7`0{5B$y>mTF2UAv2alQrjMDd zv_mI*@%}Sm=yvVU055WPvsORNi{I*pOgFbgXb(5RmnXkQ+Y;Ji zEy(RCDLPL>POPctVlbtBfEFYOV!@aHcsBU`gSG=Fgb-}@2ghW6iLas}|P zHF%SMi#G%K`fqWVj}W7vzG(FjNn{4NVn>u$kvcVy-~5KzJzU$!-CdEEA#?F_ zWm-krwu1Qc`Y^z?j%Z0m(anz9n54NnVXdr0CW^rWZ^fvU5C+X&_z{J`T^x1T5mKzo z_bo+jLsFd3aza5tt^rd1_~H|>mUs?y3I$(MI#;c7Kn_&Va4|Ex1}!>SR;r7oDD5}|KX*Y_)!?VPp$u~^K0|^yp4kP)s>PM=coQ>U z<8`{iptWd(1@gvi(7alFbXTmowH)reGImL|j@To@`6tq>BlZGOgE}HYj6vkgn|;Ts zBDxQg2J!XZvD!)_OpL;~UNk3P^={~wCN%k?@W9*)=D&4ANT)f~Fa{4)C`xTk&a#gh z&Kq`TVM+_$w>#YWme@LT?CGqvgh_CYqk97E=y`ce59}%}DO88Skx$k5cRdh5ZKcsW z<`(a+wsJV+99p4eo(N>f+m_Z!bcboGf<4icc(eKmVpwhLiRve04J*iKSh@9r$rE|Z zNf<7yH@4}9z0gOAv{7_J^M?0gL25_LH^fWB`A($a#t+U-2!B8?cA{AnlsW>(>w>-U zUMF#=&Cj$>RAd>YyU2NCZ^Q$;V~LFY1uNi^P?@QZC zFu%1AOR?5htP$k!@NaR}Qu+y}AZ-%*`_vDZN&RR6io(NOOyas=&o9=Wg&;B=#;Z1D z;qF`HY1Lm$E9-Uah_z6%xjyt4@%J|n=wOk$x0arA36z3de%g_HxTtr7M*QcCFXmajYgxsrqPnW#~L+; z;z;P!6X?`q-(%e$Ps1~an{|sF#PZ<_A!+hX5H5k)iV0$8FfDO%5O(wlbh<02PQ+T4 zGa!|T!Uq&{f1>G`y6=`PHIc@EIdScL-(YM3lg-gMgS?+mUVjLBYKCxuv>8;DP`-Ew z!U{8K;m+#GndYShc6v96X~U#gj?V2PFxX}~dYIdQvo;eEa}3a;&{_8bcA}XS!#Bt8 zhaYfeN}(YiWM+~=t4-EO?>Q8?ril1SPH(Cyh@P6S{xuYv;Vf%_o9eTKmv4@;Sz;oz z9>#*z*%**!4Q7i)-Bg%OU0oK33DXHRi-;KpXD+=Tx?xum?3!w2$IA`Ftm>7FEh7~{ zFS>#Pv=I}J+6!d_Vzca$%BzfE->AQ$L(F-wd<3hb&UJ)}U*03Jvdk4`Y&jBV?cZpD zLf6xpx^EYaEAn6cR1!`-()m) zfr#8?WkRt^v;P+G)5$CWw`Vv2(3wh06D#xZ(OBBj#LAo|R_1e~Vb3jeCFC&%i5sZr zzrn%6jLP@@k-31Uk3rd+B@z7oF>r)ljlt2W+Za~S78Je3&6}?r!|L#9KSF5xkyYf^ ziJB1=8Vg!PG!&%lw6fy4$Koi|M^l5Cc0yG0(^%Bwgs9{RQOOqLSg3M_=1!Jhubts5 z$6?{TNIet8r@x>*@}jWx!cy>L3y;TeyNLC9{cSX@`*_5AFH$Tw3c`Bgg5&Ub1Ys_c zr2&L{i=rcHjY1pnJ#C<(o;`Z3?>Z`ebzB7NWvaK+aP^!-$$r z#cau-ouLRG=ApD-Hw^v^ni^RP$(0aij-We#R@tDjN!dlBHJ z{sNo(%dICgaNY~orp7cx=swVL9n42e!{GQp-4V%mOv4Kwc+79)XMUiS%Y5SUJd{_M zj*<0Iywi6&-ocFky|ZRI-uamI&d02GKGL=q%8SmxJDLlI}=(pi2BZiR`M)-AM*Q5Hkh;7DBejFUwSr*?~;N7Jo(fVHk$v7L>@5PGhI5J_PtsOYh$IM20I;7k!73u!JfNG@T?QXYG@ZeMw ze1T+)Bh_sVs2oRXojG{77iTT`fT_3;SnwN0`QMcKFX^#gQHo8JQu$YCCjRmt&Aq>( zc~5_V=3AnOIk0@pT=C-_OK6cSi5i_|( z$)P-BK31Gixbip<2J%&ZVl@cmaSK>s1fXNZnQ__zXoiy?j-7{`t(f1Lf#l|5Zai6l zffO!27eJ;Cr8gh_6N_N>a9(#I+yL`92DfS<0tbb~47sroGo&!hkb=x!SWJ;Ji*N{v zF}GE_12)Fv7zvS@KgTfu=6C4C<}2su9Bz zf5+|}%YXeH$L|tiQ-k;6?CG*oe!B!+*P8{DAkQ$6S6+y{r3CNv2h3g4JRzE=!8L!N zHYHITc)h4iNi!CZx){4gNxBLa<%9ndJ24>mZZRxSn$KCx@~Y(=xo8Itbj=P-*vmQW zyosv%^UsT!hf+;!8&OMOlxkv$`fdp;ibI$Ac?6uX<;)c+2$5A)YhYo^o1dRp4|~@&M}IqK7Eznw0D=!dVEksHr_A_Q ztCgr`bKY+yYmJ9_1h@+kj&b2;6i6KJ?ag_@I!vf?t1xO?kjIYzS5urki!8$lw}sdi zaVfeA0iPD)0uP7suQ-6XAu`+&cNz8;7?)-wpvY<%qZPF^D{kesUT#<`v1lWf=ngF` z&e>LK#c!{MmEviz(?5TOxhZVJC$E7s6_1%^83g~XR1?!_a^+j~YCD9ae}=|1|_ocIz;@I{&W?I%nG;a~*8ZS+)T$ zng)Y*&TfDAG=%cHV%nGyID1zSI~60LD}R=TV^cSr|FXkru1Yr=ie^(wA;t7Svbw#S zxiG*r#E9x9;(cb{cca6yxvC8itJ;taSd0dURc!!SuB7@sj!TJc$TYjLHX9qE@I8-3 z=#!V+h;`l=Zo;PkFG;beGJrT_L zCLEZ@i0Heyh>kHQn5aACbX4ll6uwH)&B%KUImDP@<;Q#3U6Eqi&cp4#Jla!)5mST_+ii!XD$;*ax1$eci#-Q>fEPc%9p7** z!l;3Lqj>%uxE1}~;gi+NtIP<8S3!8|@8sOgJ4h^MNT+DW#~s*qSBot$;S8b{BQH@8 zt+w9&B)`3yC+@~PN!bZ|tfionH$T1;v5PbZK07x@*ACjkgLwX3sO%0ABfE6~E5r^F z(=@-QN%|8u+94LEw7oFrhFx&VchUNfxbr!zA&*J0`~OvIw^=RTXg4z7O;st3x6B%? z-HjUU;pcb5`#vPB>h2}i{E)EfA+l=L2S8>u4v9nIvm8Xdu?YImT}Pu>TkWlD#0(|O3m;XHFbPR5z|Dgp=Jyxj9I?9ng9 zu+DKZD3DJFg6V%DGXGMXF)<)tnuknLqnEtDH)e$yJn`F)JYJD=ABEU|J+lP-Ha}!E z6%OvlV0-Q8C5j9@fVRFhOE9bY=SAjVb~y3)O3gERi+dT_j&G?6n8&U(&fe0x8-za{ zfjjkyIGEQvhz<1<3KbjEC$cJztp~AId=`U0?m2VzaIYd~`dKUv<_>|ofOc@b>m6eF zl;>;KOr(3A35PJKoG8$VpwT)^@;yR;vqLbS)09qThj1)#GV9Gt9mYXW5g`DqLT(NZ zsX$%9w1e9c!v8vq9#kmGN2gD}Bdi#H;Y0J=Nc#@IoE7?-k~|!n4xAy}!&^s~Kc#G- zyQ5$pa1@{C;pbVgo|ttRb(95=>*T?GcdIF7$%=8HUhegbJkTyZ(1>8nXV%GkczeCLCf!ACa3wc!I9zv$n@k1qbzLR`e2y=C!gJirao1bIl9mM543j#giD6_`?Odl-zR#bJXt?Hu}7nl4eAp*(rof zBSai5jAK%G!iA^6jSp63_fGO~ig0u_zr=-td99G=G^*176ct1(eV5blKuU?BOkD&% zb&-X+cbD_PS2E-@V`8}@9h*@oFLDN5TiSHgO^^G#Gt3)bPx}h5Yu*`Lpp-T5zMS>4 zw3*R9;&T?Yt_J&<9|W7Vu13Qri2ryNi$^tFJJUDAaGA}J$knr`P7U+gFV8uYR)d!H zC_FOhx^Kie6k%RV6l8iW;ntWfdUFo5s{t>39!q#bsbLMNVMQRa+R~8P671DrgVDUO z+}_4kyUJdGr{7o{i8^0Eg}|4vb~P4F4dI6`V1a4MpIkt|s~InGk(F24@jg^id#UjD zqVV=o;qA@BdCf}*lytBP#4hQM-{u|2@=GWXOa*qxF3{yNOq56khVdSGRBzt(GK|xa zkGPDFjk}AL?(AjsYIoXyO5kD6GQ@R!z%8yI+}%TH?Y)8>x(8{6^0$=SLsIR*D_+H+ zs#od_F_E!D-4t4>hNM zuWPs>#!p0Wsl)qZeNjwH>A`Eb1?ihziaAJdt3c~ScjSTV2J_wq-*UJ?3rjiOocwg1 z)rSvx)yCmFx+|l^CTrY8DWin9 zSLr6ay=38!dh;PSq4fthW14W)a+%Q(rvE{)a&y|c(hpp3-~$qh2;ql$28+4{mvRXe zo)t%;C<@b;&{B%+Vm8h#__6R7X2|WE(7AUDZs#&`SYz;bK_68#OlP^(W!Yaq=*z_3 zwhA#O`u>GZ5~z^QpQghqD_}eG&M)_EI0!4m1j8C)=k0D|-Csc$^XAr&dK;r_rC43&)vJmG;G84Y&4did( zI?~Ty#Lw7Am^ApqsIExr^aw)@f7$d1wkp8)JT@QLmGCv;GdTJ9^I1B&=FA6X6d-Gh zpd|h1@E8p(DBj06MD%_^+SRh&FZlo7N2>LHLEiZZEHv#AyURyDMHFh*V|J6G;K7K| zAQ$|}fTB9{ozKwP7+O-JNd5{v>h&Cv5F81Yq786)yop~S84vp8>DTAzaO`5##1qe9 z=UBRZ3FV)jqv^$IGYjR#GcZU?iKueF56qnp$-wT0jfCPDSZiGPx(tTZ{SW-aoxKZ^ z@KJ{^FZu$tDUC(FfOl6%s2pT4N@su8VSas^wU>nQJueUyE}Qc?jvp8w+7!m0m|^~8 zwJ{+Rx#BM|=0K1TVY2wnArmdBKvOn|KPJWvK857MUZVCD$+L?hQ9O({;U$Wx%;VnS zw!1RCmqN^_E?KeQE96>TSP}A97+5uO45+y~OWTKm1hOU`WKH{Pl#8$bbF5iIShM?U zShFTsvpnv|&96yJL*9K2L)Q7PAtQO!H@J$ZgT0eJmJt@MBP=@i4Qf^w7R|?xzrlg2 zE?j)iFYxO=zd@j)uA`~&{7j?P6`KgYi+hXK)}wX=rhdZm1V@}~+CZ}DhquVLfn?JL zVzxE<7wu^%Y&w>>QI1V>@dN*2^=u>z3pp7!{2hvFEDYON7`CNlSoi6&S?KU|k4gvpXm`3ue zA7I@!|FLcxvTk0bEe%!P<0DMj_CMpZZMG>7eMI}(Tc&I;OxZy)<#(S@?+%hFI|x(m z`h?2kltsfclQ>bBGT|e0byRVL$)-sZMui3$_2^!$>tg-^v4PPG8**93;n6t`L5QG z?^K~T)znM1L+vM_mXe+A89Cb&p`IeLJxcnsgsm6Twi(JR>8d;a6xFF10b@L_Kri*GBR2367U`z9$z--bfvmbpU-K1lX^Iz{4X$Zpua;TZw&m6IbjKxm*$B`;n+3 z$isnvmzM3j7(4j^SG6kr!CclSz8Wv!rk2HDtHJ4OjqgoOf5{AKTyaBt56~0~UvpSRnWIQoWpycsflNva8-|e%=ciC`bR3VG;Exd~q{Q z(ZLh1`Fo>|^Big@Uxl9z7w`YF1+r{0V9NL;VoOqkPfOe`qB%KlZZo1YaZ`7m4#J@wk+D zo)YoZ07byfk9l(EM&2jHvSXHBH#am+ig@DQ{fP8T%B?m-P!64&mw(NTPB_Iq{nR*k z#{cQrFh1K)b>I`?#^MBj+z-8ShB~kW{@52QLjXQvtL=}>&x*|P{fNdV`Xj@$be2Kq zQPXyl#yL^iXG%OrrA6@K0g#^;Wm!xL=r>AD?t1FhD`I_F?dq(#1)1X&Bc2bWbPz1qOxb-h`o|wt7mqyBg3<{*L>#m6c515|V9Q2lwIyhyxDiE+w3YHtZV%r5tjfa-lw zQB$>b1XS-!WA!J}cpzyUCyfV^29CGE%0ttPULHOE$5IbPIYUV2kudeve8}LDkUu3k z{_d0pe&I;flQ+u;^Cd>Yq_aTy2xxmgwFJKaf$~(eC@mkl&M!a4&r_)v>g9*VGf87A zX*`oO_CkZdibVD`KHz9sAU~*70m!-$4K9Gb`;BNNWcb5UOsX($4%oRX@ezP)LDd6N zs|V^7gj%MghCcG;D+{93OiAq_slB8IX605g3WMOKxC)KrW1=viUrEX5DEU=(a^7fk zr!7jY$tOo6nC6p9h2uW|Gq;7>!CgR=iXu{KKy)Y0abGe8^@ZmyOtne?= zz&SG~6oR%9_ybn+X2V>G%xTxWFgBleIZKG)<2ds_-TDqL7gn24ZYu&8X)g~cqE<40Olvw8e%=kgS^ozGJe&-%9XJa=rjgBsfI1huo>4eEwxvh7LGW81Uf(YB0` z6SkM3?zUH<6Kt=;!fkJo!jf!n!^_zI4R2!moY%?rHE*Kkl=pJ__LNj%B~fN`d*8QW?G@>Tv}}O zBCSLrXRTtPgIcA+;{;<}w{4s4{ z`A^!g3Mtx%ij9)AQ58FCNfkF}$rZn7qbt?b##EZCjjA+X8&_$)HonpuZ9?U}+Qcd; z+PEsI+T9<7w3$^mYbn)6YO|{QXtS%Y(o$;%Xme^7(SEJ@i#E4bHSM=rw2YR0w70En+WXe3_M!D#?PL5S?Ngf@+UK@swJ+_CXkXj!wmW^h z!LD>zW@ib%+0~@P8FuH6|M-Wx_6?zT<=x(YJKL}YxFH? zuh}=vUaQ|~d+q*}>~#kWw>KN;Wp6(4slCPb5ACf6t+dAverQkXI3&s5>4$>$&O`Ir zyAIuK?>6j}eZcU^_U}hT*awejVNV+I)ING-6Z@D^lkB5MZMTmd^~63tsgr#|(sldz zWHRx=d?!kZ2eST@B=bhuwBj#MvBY#Z_(@XvOP%k~V zwO)4aX}#KSbMzYX%ILM`rRlZj?bI90d#5*?zgus-V2s{$!A8B=f-iczg%$Mnixj=X zqQiRPqK|sV-)rdI{|M82EOyp=EsoavEJ@b;F0HNiU)oV0uylm}{nFp{K}(P5BbRm1 zM=g7&CohlI|5*M&U$SDczAR~FcYVdGCi==%=kUC!uUYM(uU-9(p0@g1edFpW`li*t z>-$z;(f?YVsUKPsq90yUNipTzsJqA4 zsJCa3(O|Eu(Qt1yqtV{$M&o^zjF$V(8Lj^M(`fzIYopEnheq22V~q9(ZW|pA4mA=E zt}_x3)ipXDT4{7UT-E4)q)?L4<4B^>>u3w3_t6Za@3BTk|6})!0e=S>1OMJ?4Eo2< z7;${IG3rENBl*O)#<&x2jPWN67*kLFY5a8Zg^_YUdqn~Y^={Eg*j zMj0#4q#3Ku+%{I9En#dtTM_@eU~D>j-Pm|eH#VJ{kLMy|%ek}0*7Hd=W83*M#`g2w zjh*MG8hg(#HTGR_GXA;{Z|uLY!8mX+mvQjoDC5wj4aVWilZ+!*qm852_87;mmo)yq zzQXwDMu>6zMv8Ibrf!_PInp?F^QCe6)(qoJx@MeBA8wpWe_@=z-O0Fc` z-8{zSyW5Q`_u`GK_ud=VlJ0Ldu0M!2Zai3E+T4vGMNB31jb@`?h!o{c(J4C*GixItYL9db%{+ n{uia--L4f}w={SoaZ$dcv|0$~U2r^3rFazH+Nz8?FZcfeDH!-l delta 28682 zcmZXc2UrzH*T>me?%rMMr3lglR74OJdsnQn_l6n;TkO5WSill{R~U^kN{l5|>^=6H z*jqIAUL!=YN5B8s0e13!Po6yYw`b1I&YYP!bEe?yMeeT`xhHQ?(w&v|e512c1wVJ( z6o0W^cuqze8&GsmH z`L}kZXpY>W<3nfd)`uX-{g9bBOwY+NF(=~yL&?qfJ0rI+{IsDofKH%`GCW81R~J*1 z<)RFOsE@0%06(Z=G%xO^#DF`vDHDXKC4S1fD+BPe(p?#fA6O(0FX@p}xF|kBaGEFN z2R)QX=$QOP;>wxYM z6>7aUK;m^0Kb1H#%+l{Fahk+8B@PO=^xI0DBJp{NJ@Z@o4JDo;cw>I6=xPB=HBREu z67Q1ut;D4ZTJH{&c)i5WBrY6b>35U(XNhk~99+oKj~6_)kX7^xQnDk`Qf(yhREdvC z>|EH=uP*TziFZr9JVmw2tjUnFi` z(b8Wo@jJocm8_!wRLN4!l(<%9OU@;JDsh!6md0PYaRRVZy6hSqzc*n8blKESSK>1D zEuG1NH`X_;ZWg^*11ou~#1|!wY-sA3E%Tkkrz8$*Wa$i+_^8AIjV+x%67Q4PyNRXK zP2wGb?=-PGOvk2{>PCs3n_2QU60er{Ux}MGxAd1u{6^w>Ei9cMC4MP!jh2>9io}lv zd$qEPKC_jjdPm~Ytu6T^iLXgqw2h^cEb#@23$?X$Mo9dJ#G&z)&LD}8NSwEwsZ+h3 zRrKG4$m|SW?X4`jO1xcSmkyTB*Ak~otbT3jw3hf+i9bo)q@$((b1p~m*MiL@U71ei zV#=JJhIKNh#m$|RrWmr$3Fc3$1aonTQ4qk_CMea#V2&6)9@iHIkk(WSw-USB;GFZYl%yAwchO~@fwLAOB~V7((f$s5{a)%9MIj= zuio7%`8**KOB9LSzp=8eFYzRa4@<1{u=J}+JW}Ev5@$(VvZwWKe~H&h{6w%J-+h{UX~rC&|rQ4;SIe5bEf^iut-*9J(u zPU5E$NA|b$yGooU@lAKBk^vD-$`8NTkG9H5^s?Bxx`T;O#NmftfDUyBC{H|B@X${%DSDzT;huo zdyTa88%sP*;^PwAMp^nbBu3k#cFB0F8IA4;b z-(KPc5?_+oJK552BJp&IPYBLTwu)YJto2&5#Cs+FAaS{Imi`clH%k1s#6`wi`aL9G zF7aK7Lnm1J9VA{T_`n3K=sw?Ds!em*mrwuRT*ReKMv!~^doc+TmzrqtM2W9T95cz% zNs{=y#1WG%oo{oQf@t%T`KDOO10_BzvHw&{r!}Svo&R{6gZm*_O^ci62T_VUDFUUE*63mz-9#Q#WKd!?nbK=6T;R>`Zb zvXbXWd|%>nzgjv|CB7kX>}pGAyu_C!j#^{sjFR|_#QE1+IzuHsE;w_oRrG%AEY$-N z`>wa--$=YmV)qS}PJ+anEmkJ+>a!I;9+Iwj<+$VcbnCUX5`U4n`9@1;xy0`Thi|fK z@Y5zsHB;hRn=Lt)_^HHIwpco|CB7$d*{zn&6p61(Tzs3QGfv`5g0T{e;*r~-(}9oO zf%CL(+m%SJ?SNr^CaOtvizt~Wcn4@NQ9RKHqEw=CJ3$wSh7dV+f;JL0BKn(XDp8SL zpkqWmh@5wUmJ?Mcx=S>MD0DYyH&F+ocSH+`%IpSRCK^QKvj?<+sOcUYVLsW7xjTiZ z&>qk=qKvzWEAO`lD*k(O9yilt%e{CvgJ=#>(Y*)}h6^k70`t=(T}f2;H_&~e?}@^H z105vlNc4qh5mDuRpg)O55arni+D6oz=oQf{qS*bQKZyDg8T&!2iRutNA{tLr@BnB( zQ6ka5L_ZN#JOKKOXgE>cgP<)$t%x#-<`NY<2s%U5o5<}DXcbXCq6b71iSi!;9U|%k zN<9qtkwjI9t`dDmW=E1or{+v!wAWk^6DbLrTvS=>?8M zI*FtSAmxpaE+%Qy48@JRpHN)*HA;FflKf97g_x#@hn6Rhzy!|$^Q;rd=`=}wh1B&V z*k5p6FkgET8s8J`r~IeyK>i0wDOf0dp`3Is*;2Gm!Qb(t2RNj-(Tbo)R6R^kg$V^(=&D->pJ)^DL6TBMLeP z+D_D#=rvIaQHgUPvpe+zDGS7B)(|fe{FpQvo`-J4c}TYiX=mcIf|n4#6MUWcqu_uG zc+=}T-fRuZX{>p~5kliEaWTQ}7s1(PuTNZB$R~mMvx~^(Fj3)4AmtL$>x%TMVE!{n zN0PLSknSL9@MTD|h)myD@-iruWc`U2yhb-!ycgYMEj>D}AmIr}8PC10pgT+!Id!>$ z#AB4Wl*qKz4WjCQLKgTZURWkH+Yql4JRi&(zRBr`=jgG_RlMMF6-vJer3S?31WyL@ zn727E9HGakYj}ZOgVH}jsTy&%rAHBe7V@279`YBo-(15e(z8cVslT9-J&Fc^MPsZZ zsfT#+DM=es6GdK!)JsUa5*HMl2IjUK(6~v`NFfcn0WK%FEtu~ijTDkr6w>n~EqfDE z&zqpZL=8bX%{GO20N;31smv?CraUm~#7dhUz ziwUjYT_sw%AvCr@s@xR(k+^`+E`JZ4ZK|PQ?sp$$*+kOp9{!RzyNAcz2bULb_5^d6 z2hd(Y(keoFkEEs>3VQ%L@BooRhX*iK9g+S6q{>&~s?8PRhJt+`LVN2&HxIFyI zQ&?c8P|x!WucioY4(8jQ;ni70vClz&5S=ZRlZo*h>Z^H)=NRW(sr`KT*yrZfgvq}N z#(tL%|M*<#gn+gE3uO>~PK%%Fe=9ZAJq}l1B0~vP_V5E4N*F)-w^CHSk|Vq#gk@hU zvFgJd;X}T(EDPnUUMfW}?;YSQm}k6H+`+ehWkGytBj(IQG7urZ=fyLWaQrmOP#R$h zTS8C4lx2N2#L<9{9mpcljmIv;Tg@_+JYZ8LgkA{azhaY9=8gHl4>;OM{yCbAGd~Z zk4k{^1}~={cr%6qPyeX6(c@HJq8}c&e^h+vaW$m|^2Z<1i#G5LWmrD$`$=(tBR-+w z&(*~Te*C0Fz}cbR!TjbYB_9}yAv|s&v-9wO6+h>6FQ*@+&){*I$T#_4C7e>>D(N%( z{#E?ZASL*@mH5!xe-#Ix@)_~I_h+S*y2(*L+fJMK)Xz#E2qJk}QHCkti=ru;xZfA} zg(nKW{L>d4hHd4yzbI{;w|Y6vG;>dB%_=BcQ)aVPBs(p>s4A=iJ^r0r09W2kVLo_! zgu({!&5YII#Tg4#cJKqQP{Ff|xu`qP3^y2_<|BoVR-yBr=&s7j@=(ScyrarO)!k@A z)T^Mfn|m=-=nx6_WD5a>dAQE}f&!g1CntToQ#XBw(@A}&Qe5Aq%-44-@AW;riZkno z3FE+@sMStq<^YF&!XCUB>9IPd8=*irb=hRlg{AC{W{n4Jt@U{yi_Gs*qH`v7 zdH9$h9__>2)J#V=dJ5z%d{ESrz9{NhALh=B(65O8_0Qgf9Uq{gYGeZ=q~ny%snq_=`nDVzGk=n zeY}r8(Mx~J!~Id_ElA+I{GpsD0Lst&q1--zwNys(^Z*tu%rQ4FqzQqj-CTdvUk^b2 zKhXOHc!dBYxd!DVZJ?x&loZ0R1u#EwW&n#)Kcd#AQz=JHxh)7?U}GTjRX*|LiD;l1 zL1>^SfykS-Zlh^TZ2)Yb0MrbFCGGF zkPq~02)=MMA5shC1I2}c78C6v@(%^2hJoe~4GIUjhT(G>4dqz;VC2^3&q@9Wa@7uZ zUcD7ubiQ!(W;=Q)o`QJ4Fm#iq;V5zU{7^j22K=RZ0KkVq#_VU`Cey~T7^zc6#)X&5~P@q~ZTmwg-q`Peb65Ho!EhQcU-4;e9- zXMy>EXbiOhzD)CU4U#&Z;VX^FWl`wIdCXGd=S~nR=NApDzvy4=$Lah<5Yax1F8cDtx&xsTM}c;wgLdYsVT zc@EIuJN)$zj^Ff;UWfEg-u?7{eFF5)KF9PgzSRvU-`$3ir%aN;@@z0vzbM1mZ<%59 z4>2_V_l7<1B|{HrVi*A@441$ahHFrm;TH6R;U4U3cm&ThJVSKD5i-v33i)Js=R0lq zgpD$p`NtS}^S?6!3Jfv=3p_W13U)Vw3qIHHI^H-rIr%v;C#Q(w`T*7j zPfihhcQICWi@}mF zIlmgh$CYA%;M+sdJ^Po2GrL}jRl^$n>^pSH@}*gjx(>mZ8SNSC_~g>)R-?EDOgE z!u_U*F3hLh^n|5nIo5{WVmt%96ORz=QQRnSJFI#p5nOrq~eR-zi{2+=?yTQyKRQEj3O zqVKDrRLPWMHDxT%tcI_RArNa|6=qwTdLR9K>2T)k6A&G}O}{DmX4?inX9qNIe+3`Bfgi|^)tOgaR)lTR z`Nm#&ZBZ}g&1{?a{^fX>To-wR8(=2cSC@H%&0@W%%W5c_d2BrteKQq3h{xtr-Mx() zn*6QJj)r{W90cOU8Zd+JuE)x$TO3~WokGsGEe=mHv)Hz9<&MZf=e_DoZSvtD|IY_EnT^{OmsDVp?pQDB3nEd3mH@IT*yl z`XOKqXvhN9Z4{t2#eC7eAq!^PrJ6h2wmb5e1!T7E!UiuIqW5p-8BJj|b8!zjCKFz- z5%Yq4j(_$?)$NW7X4vPkSGK`+S^)>~v+m4=Uu?uGsJk5DVy=#EjM!rr>X11Gt=q9N zX5w9rc2uK6%(hFsX0CDZ(5wp!amksz@cgndeCKY5dv;ei!kaZ=MX~em*vASp+Yz3= z2|eR@6BdLM0B~?>Q!Ep381Momo*e2gc!!>jgJR6k}r#(!$c3aA$x`6&De z@pS!9x4A$Dn5SIknRc}Zv)$txo5BCzbJXNxo1n$+iKPeiIbOIqK6_tuvjo8<9+*XN z=0A$(*-ud8OU+qc^*-W@#91uD>pvamK6z%dg&MTL8tgtbXE0A_gWSLVh3U+8pHFPT zN+=Kbjut4!3rDqVv%TPsmhcoWX=sG;{w)#o`l2$~1LI}xz~Dz)vVsWIn`Xb4N#iGk z-#)-xnJts*it+X>vw6Bk%Z-^y;R%M;d-SB)tq|%V@}F#G;cUyqiXU?a+AfpUURWl! zX2q4)Jgzlsqh>h*cw!a{boI$?#4H~6k@E2-Qm~q(IRaB@q zJTsm`(KhHbZyjOTMwYnIL-wr~Duhua}|Mi}2V) zW`HYq#`mgHqXzL?UopFQl(16=Qnnp73d?5K-T>e2;H zvl^Kw7C(3^R;`9@PI!Lv)Qy_zIH|<(11s>Y_gyfz#F^$M2ky?aIC3b#ym?n-P?H>g z1Ygyag{!sT^UQhbQCH@Jrvj$S=LQxMGThDNHx z&-TFgOmBRi1T}bOH<+m|S9;=240(;$>kd=arM?x!o3=y6a5B{$?zXPOgIDd$!qf(0 ziU{Iwx}#S#5YvGuK?AYzi|*shTYST+D^19l!F=O4tgg}wLn20LA%Oxx-|Cy__c+mo;bqe=J>D!wn z?kxJy=H4iA=j=WN8TBFeKCp5Z9(xA13hRrBxp5zCBz2)_p$BR-vJVSZyJB#eJD|Jz zz?fYrn90W<(Tm+^$ONa3!os<5U%b~%EKxBGyU{1hVSCZcd2?Uv;Uvm1yRf>6mcL;BH5FHHc&VO3U7EvESwN4o1sAZ6g2VKZ!v5Jk++G$ zkLfw$x?!R(K9J?ZK699u+WQWK;RcJbW4$(*#!nD8od7nX>FgjKdlCH2Kvo6Y`fg%s z*G7>KDaxxmW1=?0J8hITi~TtWQ_L7DdN40Im^A{M+kU23nl~8H=2&>`>=E%`FiJU2 zG_h%m*dbW*j7KR=QzZ|98=62{F=)!uXv&jA;MylsKg=#!iJ@3ZPmxFI6fy0ab(kWY zzghn?LosVlp>GIIbzNJH&w)XVvtWY7`nIo78#YNLH7(`40VpqxV@K=E7&29gou-Q68uE|I@=3i1}OI1z7ST_&M z(l`!+5YUdqQ0$*_N3j_~4OaF)%loKA8VA76rO6 z7UkVS-Z+?hjzi)WYW1(UZ9J>Ye;J46VGE*H+C zusnR$cqmtyfY)viO`E{V@g?IBKo*&RNpFv(4xT*@G1CZ=@3rLWUNn)p&gNoe6~6r|*2c}--QJc*S>f;mPU zXVD&)Ct4?GHFUEiXU_RIiLpyOeT$vY&Y_JI=XBo?WBtlg!M=p8oJ>; z`8S+gYMHe6N(aN4bk>)>0RU;@W0OxHZ}Kgd}berF+4^DaPY-XDggaK-p8Xocj+HOz-MIi|b0{SsSlO;c5_tZtadF4mEiIWTD z?cpr>FT0C)(?z@q4O$M(SMvi(Qi2NXE``1%7TF=BaSR?pXT;@io68hzjR%OIQpxluL-^;jAUd3fFJC zqr;k0Z0yg>Kr&AFX@ERif?ila9Q~Ww7I5H7-3(TM*$eRcOA!#5YcGUXOR-H*ObnEp zOEFN2(LgE8?8U@LDVK&7Pf2sG=Cd1P)Y(g71H}Bs$~1Vbk|?gZMQkr=I=58Za`1Fd zmMw+^8o_2UN@4_yVwB{GKVvR0#eeu2Ywa>(l0zKg?BTjwew$~$L>1{}C}E)##H%i4 zuHY`qu%<6-t_RJv-@0WePFWPk+#s@-HCO(rzrZ7vEHMguRl(&YP)fUjFYd%u}f)W{arhuu3iAs=isyieaH;-grPhMgP3Io@njuy;^tw6}|6)p1$;pg!R6r!$JwP7D+1B7*X zla&bb>YB%d*r#&i+g74)))o65nJW=<)~9_cbBzn@*QdsW2c@kHJPcih6Z3|ms5#Ss z0RiUlAU5a@)ZJ6C3@k;DPyb`*}6nbR$>{jFni>j@# z_`n^HLd@PuG?KZ~)n+vc+L{ko&D!F}94Yr9!m2Htc6-nnTl0hs7|s>epu4sa3+9C8 zSYsAliM4DS%z-FK5x!#$_IuihQ#dTVzhJ+|{Z~G=HO?sPZO|Rf)qc^nutYpnG<$2x zeWP5fc;T|KVd%l%VO5oFpm=_FEezD2dN}=?Rv47REPU!Zgi`G>pmJ@2WbEou$OJRD zCqE#}L2s`|1lN^55ytzjM@Q_MYY;5xsMqdak13}c*#fKZ4e$=#a*cq++y?Zg?*Ci= z?m6{;y#e*_F4vzIONSl1=hVGtI(F-Nz&DtiH1-~1b5wMJ9{fc*R!qIH1}UbQMSCNKu9b*7_7dB9W|Q}FII^33h;U}ZH(^K)5zcG~*{!rPjQ8IMUu<3-UvZ$;52qG%ZEd3eQbczd!~kapXKF*uoy*Mj)UZRpQasEY;j>m;8dwo9;_ zwDB`rG4dz#*zMRO721W9%wHV7+3mW{+{W-OjFA1EoV$5^2#1Aq+S2&A3n9UJG1n!W z$JWH?KdFV*TW^1o-(JrX_hL}Y+YNi9(`J$nKeZbh6q_7=+27qmvurT_qymNAL(?kU z{bBfnJz`a7p7|vG1{>`W9w>c3%(-a~y2x+jyr2}$H#B`P_XmV3F}~a;=dn$;4-hcA8~mGO`F*RF@sDL z#8LAy>MN{v#EkojCcmN(;GRC6(%N5%u{#Rpn0f#PdnGNw2OPqznIJ-oSGdA}6>fg+ zbr4hVYtf-|qX^oQr*M7tL3o|lVl9cxUz>|bG1Ol3fj$@y<}Qi@%oA$%0J@f(<5 zz_WSl&{Q~b2;J*Mo@2CP8!EV&U z-jRC^#$SIRV){%R!W$gHborU?hnjQGXR>KNzU>IS#23-+vupD*5D`$qHE;l+QV$BNk`FCjJ7VZJG24Ad!GoKbl|^CEN8w0*Ugjhw zNE@y8vJd%3okSn8iLPbt0IffXVP_LgpH9}CbsNG)_=*xtw_%&fmlyvXX2B|ecIFa( z#}wp_-4uAV5We7dWads+eauq^cksF2aTCu&Z2#tL1L;inp#3?D6M6g}2zWhcGnBfo z?m=TUlyCe4Taey}oy~K%G8GgyokBsYoCL(9r5XV4Szmj!gJxbGTzyZ>1nPZh*Q z7}oX?yv12GR=IP~CR-vTryUtzIAD)1a{q~NbT{wHLc#Qof#7b`7bPy`la3!0~a&(Ul?x#a*i9;i>C&nF>m1sf1qLpNVy};Ss#GDYBqMo z>&(k}0A1uXhkKvvINil#ifHfw*|&Y^eEZ0C9BK^A`HI;|a7aLYp(pad>4ACvg1vfo zXu);Umhg-ltRce0Yd28f;V5v7k|d(ThBr~HB$`MIW2x`81t$CDCajg58y$Lp&8gJ5 zh1`=xJXhrw;<<4m)b!!QZy}TA+`RpZV9dqb1!4Mf+Wa<0svAFkn}wue*M+3!Vk+u3 z0>+ghjxu+IXy=6KE6H7AN=RX@_@kPfcUB4~_wY8RgkLEnjm1v}-H2#>hxw_$=3HCQ ze-(4s8oWu@R%l^>0_ptu9av)xY-Zkz^}CBOV2v19a2s~s;V%698ahjde-GiQchRfX z3P1DvU2JTwr7nP-tNAd$>pcvy4e+s{yyZRUrBfYnQg;t&)9lPy^j%aiC?9k%lzN_=yTV}rqu&(ID)t9Q#R={x9M}Yxvt1r73(+nve%~R&d^FPMS5=k8iXK0UMtVq%Sc0OkL zoFmCj&FGr>8$^fnh;smXEb#=R1%Ia09o*#!E*&j=#_sdMPvM55Ij&qp@#D|gRzCO{ z%3Z`1;Ah`cn6n7YOd;Iy0wI18u}sSyBg{Z5T~4C=NA%G1IVv0@-j5OQ$4KwT{J-~+ zn)AN15hLQ_Xl%ORo4DVvsLp)%3)H_PIn^kVze3x3|BaoEQsQ_RL5>gcD333ba#Zx8wW=DoEsVD&DiXzB$V&Vzywt>_bQD) z7?)&X@b^;~O4$`m$V9G{#q^d?z+`bnArsZ8LL)brKPATDJ8iOs{ez-cr9duiK&HeGnbQ86<>kq= z(PkR5jxc1;*D&N)WXOs*;x?~=m^Qrs8a8b3UmHg7>RA}@4TK>Z2tzK)LV+5>kOldv zEG&o`B8>O?jDXL)m;M#7X>Rpy%V!yDw= zL^5R)G0>X4h54EaQ%)dmmTO8Ee)ugMK{H`b$jP4J@9?SS!k*2AJ=JxUNS_0M==R`mnS+D`P(3LntO9dd1(5B#65 z8NvVh0JC-wX6-;`&98h-U6c3v2pfL=pZ@rDjt!4~M8!H;HtZy9m?+usn@=ckqGZFw z>=VXMD0OFH!%X5X!iEVSnVX}UBTTkQ7hz3kkTqxii;s2_*6b#%*~_vfFa8-H>?N!S zIazVyXIQbfWW`;i0|8kPKV(IZFDOHA$%?(nie(T6m;-#p7Z|W#t^qyxvoGkJ{r<;_ zDRtEl2+cv>&+MBXtL2LHhegY&{r_Xk{yD}hrKtHZrRR3Yfx?)BbB#$S`)1T?4(q|f zj!{g_i@hUrSa&2ID(r}1?ZSUzsuu+2*oK^}_mSZ%!-e&R^CqfVSRI4-*W5};QSt9M zn`it%{GzG`fDtB!i0k=d5ISQgB@#jeiov|2vs%n~jF;04Yj7dS9KG1YvGZ%rYN(o= zGZF)BsyiYI?AJ!&$LxFkY-%Jf36KL*CuQq-9F@&AN$5`!`sFm}PZs(!bMjrQA>Wxo zZ>Fi2YKPh^p_Y=9?Rh!d6rr9XvOPiislwJPXpRi!Rdm%uSs{+nW`BamUm>E`Il5X@ zStSnna42UmV-tCbOM4V)N%+!9vf;2+#(Nj zQJZ4tr?(59wu(C=ST@@EJ{Np>D>Yd5!ZJe$Fg*)_r{_z#DqDH1t6BoLOmVZqRSoyZ zk`uRyb-Q`7)7WNaisgyUZ@a3|yq_C(=R)1+#s*O{5H6jk;mtJyxPAOG50_2jQTQK}Qvp|daJR&=04 zpP*L4IkH17%_Du(P@axEyZodBr9O!P7QzS6Mc#C8P>h%AqnzY%UTQ=2Bs#De^R4wl zk2~oYDW34PAnxO>dOM%=a+)nR2Cn<45xfsFP=5bUhDFui5oViP4xPO4T3#R36QYFN zd;=Y>KJpot@jGqr)N}sb%V|Px)-{wrggxl)iQdVN1=oD&;^`wwB`W{RKM0y}JI==}VPA6nu(_wrZclneiD-Y}lxuR3tOx2ag0 zpYn%Wxk#;83V&vcsX0Im=XLWU^GhOg-22e@VR3NoX!>t0q#ZD$MOGvM0fI`%y)$CvA1IP_L%cOed&(Wms*EH`>trb)KJ*^t}y8DB)|7x+qiQ7 zFtrfwTsIXx`|B_SnfJtMb43{Z{yi@zoFmZITUHnfdS5bJ_i!}=0?TGQ!|{O!Rx$be zaD4p%odXo$#c+#6c_=0J%a6o|lo*FQ59n&8@ME@o-U3j4EDB21RuagwAE?@Ee7Yi+>@slvgCEK_SSR6HO=CM56tWVQ1QOjOhi@#I-&k zqR5b1tV|>{G9`^+k?4-Np+SoobA+xYjejKLFIvs;&%P8^Jt4JPpk869y^_?>MtS(^ z!pQBFr1ltUymqu&o7a!R_LHod2J8`G9Z>E+>@RiXuEZ$nzCdt0lE}3bb`_im`Qa z>TOGKT5U^odSdIWMA^D1J#1Z-m9}omLtA%j9)H8S+j_9&ww~;Pt(O{M>#cUP^--7G z`l=6X{hT9g{hhnn1~@Ob4RpS58)PeJ8;t*k*bdr;YKm=`R^2vS8*BSkJ7D|HuGmJ} z<7}hs$+pq<{Ykblx|1zQkFzD~Nw%^2KHE6`vu(Ul#WukhW&7UPW1DDvv`uoUWSi_V z(l*6qw{5D+N82>lO19~)BW*KWciU#VezeVUt7Mz)Hqti7ZMSW%+eh0x_e!?;?jvm} z?z?TN?jLR3qoQqr$9J}c9y@J6c)Yi*@w{YP>*!9Si7gyAW{Fb}7)` zb~&)H?Mh&2{5G`R3%qB$AKcjXAh?6=VQ>%pCfi;FKefFK8EebTciQ$k)Wen)I?47X zEZp`sDJ;qMF1(!WeRwn5m;6qel0Q*n`A=)k1v+Tn1^?1~BL-=H5zjRLLZMpTLP=U+ zWPlbFIYJALoTr6EuG2yzw`viEXKIC`>{?XR5Uofw(~3sBXr-dlv@%7UwW>vqXw_mS zYH>v!T8*MnTFs(;wOYkIwc5p6XmyIEX-PGT?bPZPAEPyh_0Sr{hHH&uD``z)r+}wx z&0-H~ElR{|txELKT9@?J+LVmf+LnsY;!AzdT9x`&YhSvg*17ZvtxK7*TGukmv~Fc9 zYQ4)<(fXEaru8egTwHoe+$ZC+d_ zZGQEwT1t)4T53&Sjn`bGEvOx!Evj8q`?2-{ZE>9%+D~;RYD?;z)RulVPfM$JL|atv zxVEg`2W?aRIog&6hPJIiTWx!TmD-L5Nm<&?hBdWa4d-aP8{X3PG%Bj?Z8TCl+t^#X z*m#h3rSWL(YLlzlwPrQ78_jj?X7iic-4?~Qdo9Lj_gkFO9<&VB9=7bIJ!-i_d)!LX zGF$i4-nFr5AKR+hr?zjjf8(EMpWEHkzO=t!cj|E5u6(`M&N^r+Q;tg?C#xvwtIFzV)y^1gFU>5ZZFW&+aA&LYkRSt zuk9s!N7+mD?qM(8dz`&YpCb0MeT&=6_f51{>bu5XtKVXKo&KfmU-eJ7*B!9dUVmT} zd&5B^?X3oT+glHQZf`T>u|0n1YJ2-(kL^iahbP&)eOuVxeMCWfj}cq#y}o;8A2M>P zeb}f1_HReEvX340+&+GEGy8-wQ|#l%?6glD^UOXusf&F|(hd9MWOw_t+-!T=xCQoQn={$>o4A|H(C5nZ~D_-z4?-Hddnr7^;Szh>m8R?(mSOodSco!y-V6hz3b1l^xn(D z^gh2h>-~O-)(0$4)(5Vrs}Ek$Ss$`uls;_5&-(Bc$MvL@o%H0D@APr2qV?sg9_cH8 z{YC#ZX?0J1&6;NV+BFyPdqv-{)>BVk`<1?FZAX3U+G+Z>wLj~J)?U*Oug%ntugj;O zSXV~Bur6M|xNfw5XweM5~V``wJD`)e4@ z_TMm?AE;uqJ#f*8Klq!`?%->q!=cB<*N4X$oetkM5|4~9Iv?3!bU9kz=yr6q(d$@s zqxbP5Nk*UJiAKK@t&ILBUK#^WHZcaDd}s{$J=hrf`*vgaAO6OeQz=IB=@?_&>5j&v z(^t-X6(3l!Pt2z$!6@jRL8}|J-DpxH`o+`B${@`}KXsA2&)Hr*8aeoW7aQICFEJarTyO zoVzvJIDhLOG3Dap}%TT3IU_$<+Q^z5?n_;~~4$@Bfj z(-&ooXD?P8&;JfJUi>}Z`1_?{ynH#v$awk6$js{KRZ;Q%+s%_kYJA-(LU# diff --git a/target/scala-2.12/classes/dec/dec_decode_csr_read.class b/target/scala-2.12/classes/dec/dec_decode_csr_read.class index 711f02da50db703a9ffecae4d0ae4956a274b8aa..3349964983cd9813fda3101b6eda48e552aac43c 100644 GIT binary patch delta 1681 zcmY+?du-EH6bJC$t?jzgJ=#6mU)Qbgu4~uV+HGCCvK9veq3bAnz}SY6D8_-n5P}$i zfHCqiLcWY(1QQ2{7zr=jQoKJdR8FOf9~g=^Sk%l^Si&_ zp^f6uMsawlK`1a}hzli;&{jsd;`GY?@#kM;Q>QCSICZJ=Z9zEV&|yneK&Z2`3spg^ zsFF}&@?(shXYwG&Bw@tVfT`?lQy81rnAsz=IAYf0WplkK;aNtMas@pqEJ;z8oh`E@ zkzU2OG(tP(!72GGOav%0a1jobXV&aSt`;IK*f-WJ9nYqke*f_>KR zL7rX00eci3>|b_2wy{Zv2fwiE9e&g}Bz)!IeQc@IkHc)Qvkv#z5obuavOV8)@>@71 zM5+VAo$Yl^wIAkc38$-LSitIB34Fjl?~399dy?aBmxS_~DBfik)Fg19{iLP|=^6=z z?gaL+PrIYI!yb1xA?22!@IH2922!Ccpyo6@Y}f5wL0 zb$)qDD|_ovPzFWFDB58S^~&3|vW=WJs>fu*y(K%el+xHBT;&)I&4sDXiFnwJ7uii= zk36lFjqqYSZYP!aIou#RFh9ae>g#znRNp1<(8`YfuUcAT%2ty%>=7C6$%!)!ljWI* zf4&{pT9imMwuzb9I=7P!oNLr`bUspz!bqp+_F`7Tfcq`}+ds2sw zT3pzaOrSO~UMnV_tCbxXzt{Z7UnzskMAKS@tca8{3z2LQsbxp0Kz5f3MS_aNIw}@t zNt0tBZB8GRb?TcGDrlu}!FH-IxIqntVQMUVg(8I)sj0|9(V{_$6&5&vE0oaqD5+Ua6E!F332h#=YTId&7BpFVmD)<|G^J$ia++E)N>7&N(zMbvO)uR^ zsnQWjm93%nviH~v)Ly=hQsp}-T|Po--9qZnZDYr%L%)({=ttP^D6PLv8T~EFNC#=A zbel2;1I;vSrcT2t_7-(kETFE6gX|^hGESv#<5u=#>RwxErk=`0)LprQdaCkhR#gYP ziv68tn`&v6shjMX+Z<*=bIez$*P@^~mSxmu8DoE?KI;JWTeq_(soz#d1Gbmg oy)j+ZDF@+T3YZ(@{wg}a+_gfX>U;ohQod5s; delta 1693 zcmY+?Yiv_x7zgmaTf1)0ZtX7Z>ALlPS-alWc62S>+A;2I-R5qLT^La?4n!a%1QiJQ zK^c-j{y;E-2?IokgqwVr;1UHds6g;Wgn$>&4~9u%0)E1IH{M?#rS{k9LyBz$|$cmuW}%L|D#Ond}Rr@u2#N*EQ5rtRX)sSzpV0Ol&vuM zaFrc4xM49$7&FufHBQ(x_|*^=C7DswSR+bt!l=cI#;_>kQ3p<%c&;f4GD}#+_OPF_ zdsvG(j9ct-vkx|lgm=t-jIa;PE}UiK79W&W37af2w6R}VLfFn4tpWVVK5ccQ+9u(! zHHs1T533Ky*`&>l2ka)B4^4InpV&eeWlQZo;pC)R^x11+bnq_hLE*|IzGDyJZSF)I zemrE?JACMNN;vO`;Z0WKOyDo}S!WcfY6%luA7sm`qqxT|sZOB9CE>m5dhBHjT?tIG zPr0IqyCs}<)#FuG;f{z%CPSCI9CD>#&&JTkDgj)Uwz4 z_pxwM21QUW+F%a$$=lSj&D_@KpONkCE7_%{l*$6(EXR0oNVLOPYePKb%5GP!%}gE* zx#jI@*%%jA+)d`-hftm9z@ms9@o<<&E5lv#4z=v$|JH0*88U0i>-LLIUek_?bu;9h z|Ni|}+-%H2V#-WRt9S64He8;f65|Pvm1FuEUXtLv-_nvLTIWU z5940EFg1x~4Ia*;z#SgnY;a~Wt%+;U+xR$1QuJruda*7afrhvk;52h^Evm-H4O;9? zI5|fS@*7*kVCHPnyV}>N!IQ~!vQ}J3rdKjzMZznF9_4poGNBO*Gg;HmwZ! ziR@w3%137&lMkz9htubq_xPK2qNB;MK`ASuT$zaqWXq^fc9M!@_o-MUNF_FsT3n)% zEFG0*^;22aAu7+hPnzrw(q0)3NH4!el?pvoDHf4Iaf*zJhh)l`N#>ka z$ddCdS(QezDHoAld59d!-^iI;Pt~~_$(8%r26E?Vs3vcaJbC-cn|F_V)IxsRO9A?w zYV&7MFnf9+Y~7bQGMY{6fOLkVnrsJS~NoOqSMq+^fxsY&!a@~ zHcA#>rzVw`rm0p_v+68OS1W0Tx|L?CL9^61Xm*K}=9Fw$O>;}eXEwdc9Oa)mQYW{QT8hJ=x0-}ejEEP^=_y%()`M0)LXfW=2t1Hud0n* z%l<+O3^mke=w{!ieq$ajFosyrfblx@n-nx)T1A7VGwe?^Xda{?^A2``hAg$T(DFQc nfEHTwXxN%$N7&1>$d*IHwg7e7W|2}{kYQ7NnRKG*CsPWE|K_eeIXO}x9u(dKlH!oWkWok@1 z=dJv4&CDCJ6wg@J#WJTg3#?z-G=iu=lV>jw89O<0CUZ0{(3V+{W?G)5(E&DmWcL*3M!VJk0LN5-o$XBh^|rtj2D z+0mv9nab!TXQ*5#NSm{>wb%{%M#svg>uPr}V3$otpOL8{>#EG3Ves@J{sfu_bB4<0 zkU)K+Z-O`sx{ooe!pbP>&@0g371f>St6Em0dQG@CCj8(;B3;cihILrK3{BWTpU!!m zKrPElLz|WPQKlfUBJePuep;oJS~R>=Rcc1Vl$DG&HL;&W}Wh%cDHJJuw)mW!;+#1(R%Pf@5xGAqF@Rt!%UmMs% z5&t%V;V4E_$E^2>cht-mE!}kDv`~kqcXY7atv7YbmU&sA06wkMX2DoGhUFM-!EsPx zdUNa+Y4oQ`jy5e*!|oF$Xj(>)iDFE}T)~_wqT^|3x*aEDID#S7$O|G;^kQbEt!svUO{q=<&kJm>c=E+Hqs>g^HA<{j&~lDt&qi>T$Y_QW8WXsg2qm$E zE~i6gzCeaX7EC-nTa@W=8~L?`%k?J=Yle~49ZB%c;iV{E#LF!D6}F~UEE-gVuK8Y= zUw+!Y3ayiPObK+$8Qqy68iqB^1uJM}!-=yg^H{53rd(IG=oeT;&jsDK9V2zbG%ZJS zcL{lk?x%5HP1ebqkb8mgfUlKY!es`qRP`Dz-ZzZlA`BI1hLkLF&v6W@Rd;wn0^}%l z7K%}li+a*@sjL}_)oU{UN;9&Yva{Dcv)G>MrAEr{vgLQQ&r!P}M$5{tx{Z zG>7-KvmpD$z3?GEisA!&%wG6}Tbtpwa;S_LKEtg}2z<_Jvih{CIc1w%Z}7fQ+A_K+ z$ICNQ(mt&ef(w$d_+2)kQ8oDN4@O61(Uv*Qk$HX;c~zg%Y;8tzL*QN?8#PU74;xyk zB>5Q4T4g&YkLk)``%r+PH;?bzvg*@s)a2)h95o9To7f$}96M2`H!`}L5f#p(wMxDjlc4y z4qx%yqy|MlzUF<*Gr%S{J{1#Nn2+*)kk)m|I=THm`jqpUl?|A%8wS@Q3b6# z*jF1`k5?<^F80-0%>HmO``u!?aKOi>vU+!rt|cE2^(TnHAfB8DxgKhhY)Wppi~id4 zQcLBpo64&Lhwu~~J+f|hk*?ikg~*3PuG@Rn#_q4*MrN)4njd21>^&S+Rf`a32bjpQ zdH!EVBY!~RcRceDW1KLad4L=+wtWtTah@(5Y}meIXK%wC%sJSVRF%#`3}Bnz1q1XG z#cGt`FkU-*Za;Zkhi>*`nQK(fcTrr%74`<>`FyWa|6KT)54*h#^d|4&YVr?UW6NDn zKE#c)9C&9A?^S{?Zogj7VS5xeam#n8np;shl6-(K0EGm*2;cD><%X*Ws8$DLKQXv$eZr@X@!0nR_N^!+n U0kWHW5e~R~5{dx=KhX>R0panA?f?J) literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/dec_main$delayedInit$body.class b/target/scala-2.12/classes/dec/dec_main$delayedInit$body.class new file mode 100644 index 0000000000000000000000000000000000000000..d85a14e867952c83d062d8fbcc71cd78fef194f6 GIT binary patch literal 730 zcmZ`%U279T6g@XzYtp1iV{NV4){iJ@3&x^8MFbTKfut7F_ElzgN4s@18#WU$|4PLV z@IfC1f0TG8$x8}8%-p$W?>%$wnf>$k$4>x{a8Ixq>Y&B-dY~fH2z9I`I_#LpHvA-< zco4z%C{VF#rDJ2Gfo?tXM>bV~Js6w7Mu~agAuA}7yTINS!flNJ;n@UZSObo z@@seRE7`td^AoWwZcTS7;3DDLtZ7cj_NhL>Z8qfTD}gNTFv%c~2IDgS%#6ENoPNPw GV)zFmp`2m> literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dec/dec_main.class b/target/scala-2.12/classes/dec/dec_main.class new file mode 100644 index 0000000000000000000000000000000000000000..fb4d68aaed4fb6c284b0cfce29b427c9843d28d5 GIT binary patch literal 773 zcmZuvT~E_s6n;*-t}B$WIRvMG4n_hdQc-3!Gn$Tp(F|cMBLOb-UHdvqMr*ovhWQKp zGhXNg7hd=S{87f!A*j(NC(nD%dCqsg|M>b1z&e%)wl6)0<<*G@LK8&D9gFwE>4~uG z>~xN$r%dPsi#e-(PxJ&~zA<_@v3%2Gztc+0t)LqUHHc-EV04B`_6Z-;bnvJtNVl}P zwPs0YTydMUMTXY4NISgmKYd`&yqryI<3`cFb9gUBZk`rgQ?o2L(O(~>8{KeI(`Ghl zf$Ev8UTNmtI;Fc=``PM&p_eLoQOZC3ur$4HZjf0hSjD}XtJOr!7PMQ5T6?O#=%xs% zWIn?5@=@dWd@U6RVYj-n&wdeix#NjH*HJcM6U^XqRF==4}IU5$n z#`txGFz(Bq7)t+n7%0Nj@~>rW4MI-^QFwP$&iTju_+qJpPz5K_sYR#KZ*z|7lk)~U zkw3ICg{w)OYlN&kmEIsp*-|3rQu^}B3meyQBO%Q4NE?wa`JUTSy^Z``5sMS4WXw}q zm&YQE)#bZbdO}H`l~y!}J-HS1IIy^P-pYQ^4>~>RhGC>cQjaraE^dxBXh`wj1n9g3 zUIXj%tW7ZzW9AF;x6e@coKSF+#o!$w$5ft$L;piAo|A7eS;I6+jF+J^qfnlbvnQbi irX-)qbk#U@fBPyb+Voc1cXudU3L=Y4?gJ%p!JRqZt ziVqbp6k~z}19;1Qc!GcuMF9^`IW&n`jmaM|aoy@--dpm0`%l-e>beQ$duGY{Tu7$bb>h4t?wP*tGd#Ch)(GlK4u5cuxW1be8BaD2^C0Ywkk*Ecv zJ)~PC`zXwtMcPWbO6u|n=1nHWkqSw_S)|sl))5_z^KwbgNNy`J8caG$dPs6!g?S&5 zQb~0rht-((0V#=8A@pK3);47gX2+9?NUunv*J9pE(h1T}q#^4tZyD(;(j!v;PciRf z(q5smPq8+~^_cTdl9^OVvi%J6{z3YjR80DvG$saTtRkHx{hQ<-i+Rh1l4G&9#}qNd zVa`I*KGGeMCLZ%=>=)n7nrw# zbd1zW8eqaaKhgnGgOIcZYn!_Tvv-iHNo*@dr;|33%1Hkqy_1A9){@SUekKh!V_qmJ zQ>fOAwGG^cIg3dLNsT1^cFgl7C6j7M-FIM~4{0;0ob-k?J{f1M6G~6U+Fnw`V<+Z> zk-j0dlLi6i`IFK}cP-L@K1Kb7@J>;GyS!7}pg2YSE3e9a7b=i+j>L9jbRj91B=5oK zd{QRq57Gyzn0JKqE6HcC8jaeEwf!XeYHi;8a9#?joiuJgM$M#V(#QiCO&~Rp+z(GO@PUOw6ez4f+Ph z){rVmPFWa@BwZlsj$?E=sgTs`TZ}FtogsD2R->NTSX;K}tM8kXgY$ez8KhUF_j57t zFzF>}+6j#ACH+Y9I*HMpq*l_HQ)<-z6xQ}Y^wrutPvg8zq&p8_-5?D-i_z7j z3R1sw7>yv6leFhCx=bkfJl57T4!bnY7+poWD)gcpYYV@C zIb|fxMH~wz<&*3#VRW%Yu5gkoQ2e9#`6VM5qIEFzvJo0D=^%u+`pY`V;B7K*TP_== z5RvI}#RxTgWD#$>U(vyS-um#ip0}HMtG#N3H@uy5)hI=Y8QZzm303jf*{eF}R$+vf zyq#8Ilww6>BOmdo&_O95Nf0Bixe|rORT^PXr4H6q8l@yLa+=F5^nwe7hFvp4?`t|( zam^_079+>F4hpq$r3nqFGQxG9;JF9gtx7sM>i0}@s zJfUi?d?8k2gmXM=I&XjB?IzxO);L0OjT`^&p4N2y5<9}!>+1i>_Fn&lUwhn8uW>gf z%Q?z;SX|qmum8N(05-LQVJJgj>QX4Gn=Tv$}=0u!oxz}DKya*;Ax9vKhj=^kmOC`@Hu{!M zj#LJz>nRZ@ZH5%sDF1S_vA1WD$}sS5>QM)lHf@Z_*?-X%d?> z@l6_F>pd_2>d$WL2a{Uu_^Bw6 zci&DnD>Lb2ez>pj{scN1v*O%wnh*G^ea{I@5A5Y_%KLc!+>7XJw!y0hKJqSQnwX(J z_FZtIxj!T|^^tcge^no&qubdoQs)YHE7QgNQ4bZ6nziyCMW^O5dj0mm#AY8kO_@rI zrtw9`$cGds@m|4{~DXQg?h<=?k|%OIHbsHc3~ z63=b1ftW|Vcz?dQVRMTecYooVkNU{jiYvXc*_N{z`Pi3#vJ3cahw;xf@3D`Z&v&JM z!0H*~i@VKi(MkpUZX@IZODwm=k$WE9rND9**4jrdR0h*s3i(FWwL)5brD7;)_2Ad% zt;3~KFtmB_>+CiUxm20UpNJDgw%I7YosYLvSwevi{~U-`pW*+`xfHs$Pn64*KKvwI zAgo>Cb{99^*KUw6Cs2h; zI+d-oE@11dZ?pCCBo@eWeK)_XNk6z%+#%#C9(6&+}+H!^_an+ zMDuq7ea?Xk-&y00Bi50Tn@6%wnQ(@*^52Q(gU4NzR!+{XA9gSJhS3-Sj^7E`KW?WZMMZYHZF|cr!s5??emFn1h3_4 zn!J1|wynYgsfC88)2q7X$VhweSTU5gK3uV>TVKzJA++Ta5yP&Ia4upI+pSk5-)&?h zUnzl$k?t&&qSO_%M(JU0l)8J>4xx>wZNFXpVRW<~GY>czt&TE4YqXKkIY#3GS7~`D@({8O=@O^qA0g9`w~+Sn zTK)lYJF*h_+G;JIj7&rpA)g~huhHsOA@h+xBZqvV%L8Ciz>6Y0A{tNR3b2Kfx>y;I90kw=kT$iblHOOX4KcNq2HxJ&&_^xLKWR{QPp zgyLQ5Z?$T6UvLW&_N_4TZ=Nm`mM2W!&C~h9a)kXM?1OY(cTm`G!u&JTbY%u#+s~}6 zuFY=`AGb?bm#}erd73P&RoKXVJpD{qlQ6F@d72>XhOjsH^K=cfto?j#E(dtBQrJad zj+s0S7gi)p$>QlUVP}N(KFHILg`E)A<13!d6Ly4I-B)~Vvkvi^EMflso-P%3T3D}KHTB8mYs+PA^?j30@NogcvV}btHZzacWeR&HY-&DFGlcyl zY+?aVcM9teHs++7E;-59*23EA+I&v&ahrtQ7Up@Hr|X1W7dGe&PveDE3VY*Qo<<8R z6Q)1Q(=cW`&hoX{p5w_9VW)&yeaF)U!j5y>d7g)Jg&h|5yRi2QdEEhFzY3dD#M5+U z7mE1OCKU5zhp={Gqf2U1@;cl}0#9 zIknO#C9;Z*)HX0HrM89HOIo$0$^hf4jFOr4tfrR2>=d2e(Fz>1XhFvvEyIIc) zYU#|5Ts6Y@*5G9#Ft^4i z<+C)6_WINspoTJvlGGaE7p$5_-F!nEv8mQ5mB2T(zVuIh2Y6BINvVUe*VKPUGOoqa ztMzsDnsEJHIbZRG#dWUq4o~Xbz_QL=E>LDc+pX7OdYw)xfJJo!=ryr!rd*b=zGRqp^JZ+b~F z%uF{8a;!2~ol%J{upDyUQu(KYrJWs#Rfd6IvlG?fQ4Ux5h=d~P{PaWMVXKq(#~ zZu!gW6o0n9!234RI=Fvpl#~Dmn!V}udb1mZG}y@r$~?%TQ@h@=rv5(oH90{^gX*K$ z$VUx2>M?Mk!wFu$t)nA{-}baju(R04OKDf%yXusa?t0Q|+wEy`qB4jLp3>M)-k^Aa z^vDU;Hab&(kNNs`D=2DIXxo?7{lMIrxnq-2N`i?^L*=Ay%SloevXQ5n9BEqJztt7C-|sJ{D}PfTq=V;~o>KQ!rYqCf_)%>NNUeG~LoujzM7)3* zFrn37&Qzv|Ni*rBW8^HwnY|fSZ3^`XILVvxLB;;B6C8x3Hh=l}t7k?(b@f^49_Xc9 zdKQCW)&pBP7xTP!OIY`yFKy3fH*9UUq3+7O{y~2^Pw@~hZ62P_$cF*+qg_C6JB)s+ z=N|gYg>+ZyC#;@9A-mg*cD+0g%!Yr@df%-ggmm<6i>F6&PEAHYh#dM?UT;Ux7 zQYn;lc+=~Xj^R=nxOIBd>+DW%xlEZ$pNKQWbXqC_-H*3SSt^1L{~DOoXZY%z%b-u! z1o@)UpPu9Zi0o3Rd$Aku>2i}VDQ>L4rOQpa41ab_mafq2AP9NnCReb`1)RUPg4{>5 zjC7jB z_Rtekk2n(7Bb~(eI8WC0Xdvt4NhG1?WRlo(8``@CYcw+S-#W+t0~C+d6X0_9t?@?|hQ0IFUSM z4arw_k^;M4CV_w1(M;eXC)lp3Y0TETpj+F3zulVS^m0_sP(z3vJCQa%d#9&`=cjxHK?`eeoz_Z z7Z;qyv+4Rf-1u_S0WG(JXSa?Qw}-YnLdTus)ji_P{h%uW@3Mvu*+oyP$mA4#xyL|; X`1Eu5@;A0H;G_d#{a4!S5229yog#-N&B1+OX{1~*Y@NqX&_BWKT86Iii28#iYGVlbz z0Y4Sbg2XahS&fz>}t!L$n?5ofUT8D3@JpPAxN2qsWyF)Kt3DGJQPIqr}Kc8bB!ycHPQm7$Mf04)F{ z>?tiqw8YF>1yn3hE(Q)@*ww%@7_MO8y$rh>_-}@r7`PDuZff8#z%-CBd^-sr(Si<3 zz<)8e<6hpT#IAkfFrb6!8p&`+1FvHky2nFqGCa`0?(rC2EFLTG&rrXg!07vUsLHPl zb&m(j^W5e8=DpO)Iev-Ch%omn^^gLMvkn@kiUyLOScf&GOrkRWgF$fr_ z%JduvYW*W-8ms@DAZk zHPb+INV7nLEqfECK?;Wr>M%V_6TQR{Gv)}738Y`rL>-zA@zJv#SW2rMg^$5I@wj~l zR(1xEIBr(fW+$c&*agHdW-Tdd}o|VE#G{bEyn0 z>vuCi+bxZql7T4?r5COs7mwb4y6)(h>M3H+%-{LdL+e>@ZH%VZX^Z?+rL zpE2w=WTJlyBbu|^y=ef6(uPsRJ;1imSSec=fh}NMOCIV?fA1DmD31}X3}W;iAimg( zRc7pgV6FmEZ7iSg0zIk2UUYWa2ULJrnC4r*awUqZs}~M&5JMGPX7bIkFZW8dq;!ERyfy${%X23zqE);Q`Q*0=zWdSGd1X8!viwvxvv53o-=ii_o8 zMtpG?$eNY`23gY}uL21(pf{*|46f4=u#PET;RSQmJYCioNV#rr0ZI5k#I( z#CHfv&JLDt^lXo`rR>)DIq^hP0=Df-;YI~dgd^bS7ubKTr@{>m=XrBb*Lwy0Kni<` zxd&2q8=wN72|GIYR5$~1_Nnky11)1H=_PC%2y6{OMZlJa;MMg@=^*iN>jC^_a6?&| zA5x!*8fY#*6Sc))Iy^>tQR)kbX0Vo6)JsTgFiXrA4OZt)jDivkp|Il8gU&w}F1CZ6 zZIWWn%9Uv(`@*da;R%?i z$8>PkQxBoFFTrq#v&}*)!y)wirKqY#T0Ye?j-<->v8aKs#6TF%9R7FrWbFOt3HQDkhJ+FfaO1}~1I7Dj=IQxZg0DPt?v}EiX;V!1ID6I0mSs==) zDVE`S!YMSfEIN$%rjX@t9L5xCTL}YOImyzX`-XZ1@z$r%(!ZfB;Y?#ayl_g)7iQz( zRCyox1TB_!ROcTYU^oT*gRO=;+sxJ{sKPCyt(?N?Cv=Hvlr~v<)1DHtG+?bN`uy)1 z1VrmbL-U{`(>^TTmKNuM_cY3GZxyNSG-n&K@|{L6@^G+U|No_YZT86eroOfeH3|i) z``E|C5wfJjkP~l36)}S%azM`xFODCHcg_c;dDD=uxFig(#j!sf#zn!{rB zS9752M$~iYHAbkpmKOSq=2B`4tmjz|Y3ul(fpd$T#aw3MML)fRvd^XHeHdU4buQl| zH_C3r8=Xs4-eaTl=~HeN&=@rI$$Md;mG4D)MDluLQ6EcZiHGd>qJ>yuq;GIbifG;v zXr5W`5?WONwTz~N1)`CPwhZNEqaAEcKHAcil47K1%ov@})+@Tz1U@Za z3ChsovOb!`;+QFcyqCcU{sRM>K+neF#EixzkVgsWr8Zcq)65)L?Lj3l$p$v}UbGM} zdU{aUL%1+)2sTjgKJ?_G$999W%^Y*lsI16OOZ;|958(v`wlM} z?SL85s2*$SatG-tcJge#Y9}lW>m=nn;B0onQS+W{#ZF6a#``feM$fd9qDsqVDjflb z5lcFqsljW6g`qNz(gj@;G-WykIZ9uVPPf{kKk{E_OT43W7nv*_I6X&bhv#KQ6p>MO7@a8lvtF7O2M| zn#Rpx+Q!Whx`BqaTBI|1Im>Ev-6CD6k2Cy$qcd>xoMmMM)0>?^`wRWa&9CI(g60H! zKsV~|B0GyeXg#A&(j{(AQHe6(dC>*qJD0)uGc>LY^!+?7<>mtI;N~J-EQ5nhDq<;#dq4=dcfL;8sC#ee&u9!kxfD6U=Xv7{;eqo%a={5 z234;oPU+ur(ju~9HQgvN1YWjwdEEDG4o&n)475Hbnnfsgp|uoIyEog=uK zJk5tVA=@ZnvkKC}{Bl@j7|7Oo=v<-b-3R)uR;g?{T|w3XnQ*kyBmdBP+nNm@@KqVF z0MPSDY#gqz6eU-bjge2E=>o*WnH;|5uvomPRwdlA9F9ADRgML*d2wjO;z~G(97?DJ z^8&i|kiB-OIrO5E{7Bt~y6Mf_F5+x&)7Ne|idFyY3MQC>mMmz*DkUMD}B|L$6^Z$7a_5_bNMR>#;L?MqdWJMG*s)Hvo0s>%|ys49$VJ~{WsT8~$Sobov~_n;w_5U1x;Vk_W1tH}yn(9@9_M%mM#YSPJ8 z6u_o(K%ojORoQL1(XMK;fwF}SPFp5DOBRN1Fto6s{xBMCbc5UJMc-G4Ww$k6T053~ z)p7L2jD`8TI#yDQf=7eUrv`K@w;F3G`=7ow;D79yp*t<8fzz|+XvZIUh&>zjI%o=N z;C|R!`fG8ofJTofLu{^vMLR$pd;vKZ^{ht2s(E}!ZE*uEBwgd=&?g2Y`YwH;OsjV(r@ zwPbl9aF5~sz2L6lQs7IAXuGATwo4a;3{Y7&O0CVFvbG+5dQqXYP_N_E0|F^dQ)|nL zV2un8@gvW*3)HqQ&NfH&$uN}uL5V%>B%ZU2@b2rcd58MLg1OMGz2E|!b)=*Ia6qmc z2R26Cm$MDH7#wB=4k()9_UbDR|4<5WQXQmU?iQ+F1!BssgY$GZe%qn0bW=@m;lmn3 zNt&>dxKn6dC|DB?U47Bl$2jB@b+L`6COps_ni_5NsRuXHlpQ+^G=j*OL$Jvb2+rlK zgve~%DW#qqg1V1mec1@ro{!N`>H5+_{!ef?%j?T}s3jb$4~N;7-k_nQ^>GHx8o-FZ zX0k6DAfV{L#t$B_2||VrmIh{Jzchf-qcY9esSZruHGpV3nR~2P&`F1?Mn0W5cCz}v zrO5bICxl|WdjN0-K`;lM=t)EAr#fTnS}dK-?uIskSUPi>=8L}3boOJ2th3b|H-ely z)1yYR21uOXUJl%rE2?S z*t-|8+%m|53JMD#2v$Ld8(!lP6$c?!*4q!_(9cK8AP#hyafhZ@Eh6rwILRLDi8+7A zssS@W5Bj?)l(iRCX$C3wg&ow<#rty7!B+N#{nL+#J)kFjNe3qV%1bAHfEn?iSIuN4 z(U+W>O(Q{7gwJxVVa%6%jG9NOYV8X7MWtz{KTXax=axHT?M2y32Ic|$C9 zO@OkcHLf?_W3-md(C|euY8qlP3|Ms!IIaI_eJHeEpV6i^*l4K9J+zXCazf+}lnD}9 zQ$jf^@Subl(6j*onjU&(T|y`dRvc|P1{75WyhdSN3}rXOlCTYRwpn2|2X4Ad29_d> zcZizLB5+>Y7E^_>J+T&V{%DJ<8pd<`sxUr&KEJ^45nISG*iDqds-Zi$9YWSgG_M_| z3ugsIqhl>rQ_6>F2D;GQma>)M%n9dH&b}HnxJJ=Mqe8UuLy%gU3q~ns$>DVBW2j=d zcHyyMSZufN5yeVS{GutC_87ITEO{;URLP!h7<=}1%4&~87X1EQbVl+Z!?tJ#HCYqqgkWjZ33o%6xu z*wTRxPy`@27iGmk(P*eKq}w}6FFDuhCG;Hwt%Ywo>-O9t%G99~E~BmrdC-(jFu!xj zI6rnRb4?_O8VU}4E)1nk=wxT`m`{G4WgWG^(u`_%Ml3$9Gw2u6{La#c`T?c`ouM1w zGnF@~E>NSzRG|yvmM9ZnE$9yS9YqPtF^BmrKJc?3?B3UBQhO_pu(d5`o z`lw|VXH%olCG4PyxEagX5bL`HP{)>uU8R%uNVK*u{ony@^wE|4*2@?>@1z0^@tjTEfj$`RsPvl3$agvX% zB;=||-KB?9@mfVM60sKgg9<)G*J9xg$y#${E3PLXXPZT40^*xmlcr;R)TuYLI*yH| z)w9J>RBu^B#Ur5BtBI#uy}>)4UBC5K#Ivxiw3@Gw8}03m zz;QKKt@t2;>{+NH&$^&yvKmz@4o}ge!(n|+t2vSPvt8|Mv&0yL)ucXy-mIktpTU$R zP`}Smn@MKBXf=eP?Q#CeM&v8oLgdNj9fkJm|9qx9VI{3=2VpPMH8B27#j_O<|@-tNpBTE1=E{J z5d&mzvD?&2wFlWv*(aoHrU3~RF2AVaOE zf|2Q7icB}o%vSE@6lj2~ObLTzL$Ocym>_PSrL0-bKHeadu|LwT&VywGx!*#<54KR7 zsQs2QhHO8tqObbNIt!f}qzi@!EPnbh#}F*z0Qj=oaVk-+s5TyegYiYDkKuN}I4wPC z_)rWx2-#_k!290OkoU8pIJAR&qDJ;0z)O3M`wp78PY<0IjG>1LC47k2#xH_S4ue4} z7$T?AH^XEDIzJSLYmE~Q^U+wNro*fsed%JbtVferb-ah68f~5C$cJe>soiiW!bzGi z96Eo}Q~YMR$0zNG2~&T3se}8HphMBrBFFn%JVNw^8DgG<^iL_Y`l~9}VtO zwg0jNjtjlI*dkAvh(j9(=8D(E_=<$D3OH~Muzn=&&ndWhEyq)oJra@FDJnh+lbkk_ zoYs?2#3)<k)Dw zIpVzl4IU{w>KK@cVK99=21K<-fv6irj*Wc<*dQr1+IFlR3zH|$Pp55T0xE~tS#Jve6KS#ic#SyN` z8@e)1?&GDi?G0y}14g(vxQfC9Zcc`bZ_u{!c*-)9WSL34LNQ5Jkx8=5Bw0l!$+Aw8 zRb-N^50hk>NwUl&U^@XOIGYQTY&o+{<%CZLu>C}&nK{+~;(u>)*$tv3*TWjc7+a9^u$yexb|EXEWBAE?NtLS*J-l4t$c4yl1Nm8acmqREmFSc#286i zI0B*^{YEQ-6T$Z;GSPhA7rw8wX7~>ff2X9gV(=ijVQ22 zMl+-fKcF;=ym2Zl>j;zeY$|%37|zMEp0EJE|M0ic&rTM6NPP;dFU(j3%_0nYs32}; z0T*`sxs9BTk|d8wH|C#ZY>P*0q5rI|AMbOJpAUEo}zEcpK}RHs_){Q#Q^vJ4wVsy=|z?LwIz3j5oi9TB7T??qcYe zsk~!zy5maW7@bt>FxWy>v+0u{NM<6+Qh{1Mv zb8B~9KlIlnU>P|_uNa@z44b8=#y5ak zNRr;qfhO3QKn=?4f_K8YNQYe2St>iePyXmfN{=^7>|jpMg>kX7e(J!8cR(mR%M|l5 z2!=G62Txnlyg&U7I!deO$(}&y0(Hsn;IK-W`GWpJ^}PLekaa0jY?%5TykIGc{!TVg zrQpF4HMgVE^O5M5GR4rw^Rc;7{Fcd$KA(>=06xo{j|Jk})DNGAoMIXWULZmSd|jj* zP-j3PyoOW`AN-;n^hrHA`~@>PD7@hyzL^kkQ{x zv|EVvJF>30>yLMAl_T3$ep{ilJ>_VOxK4{tGqIDoX8NlV`$Z6*li7=Q9!(4aF}SW} zxF{zC9BFfL_=)p1DN;v&jDSC?gXTRNTPIyzu$D9&`SFBR_D(eCdmN|bzuyWtNM$h* zf}S!Dbr6sgMhJEk&>r>#BzU>vMep!p&{kP2!>ueWv~V%JgA3wT

    ~hrx!~fQHGto zj?QhV!V)CIWg#G)LgdC4(*`l*UshQ(r!|1i80sA*It&P`dty(zTE>Y$;xR zxKh+o+#pwoM8BQ6gaNj$oILB9P^2gg8eQma8U^1~&RFevQFudx4|KVJ=@^CcEN9gB zeiZCMc~1SjC=u^RZB==cKltw=S3m1uFl??Ln!;Od zr$Cd=sf8ECEkkLbJpHnsX$yOr9@p^6o_M&lz@1^&22Cm41#e>vQLMMHF)i7IL$ zTU8NB7r1#+l@*BdoR&*(>9CgSFGoE)jg=mGjxFt9fi%yBQ=o3xO5GS-x60Iqn=158 z4En0G4tmi37&xrzTod)6y3xozt5ZP?WKi9d4YaPKn+rs`Y&|I!tAgKtEy~j7ScEFe zV-b74jFkiM;a)d_0P4^T0{?oHM6wk=tG-Flg$CS|C$Bi{qaoFgLn);10L~!&5U(j4d?_fn` zk+J300y@V~J3ykJ=?`VB-&$Z-GSru$;|$GW=mk@vG|emZOaT8s8QUQN{lyXhO$Nke z9PUqGIM~25HiGs$rq~DwAJFgKs0-1W@ZXIf9AOA+ZUSNbL=Xl6LQPszOk?ak#y(5L z-Gq_fB&*YvL|_*uA=hu21P)`VM-rHZa8rh&l9&hZv_A=YF`mvPLG426Uo>=<@lDpj zaZIG3b-;(wG;Su*;UCbGu?{~|*CAgz`2#ZhoBWux4tiFCdw*l7K0_}V8URRyn}M?# z`zily`vH^U`@J798!_S?5Oj#48tVZ)V5mDoF8niXJ(zsU zJ+mH(RUqWUK|NDTS|86Mb3ET06dw;|Zsb&Tl`KX2gl>a@IiaORPN?Z7>j}{?hJM|I z3%zg?RN?U^M1nDNYqKox)Z8zlM47_($SPJNsrhCcD;YQ#Fjd(M2J;x|$WSu(uhji^ z0e%^dZE4YF*t&n1U@sGRZUK}H$gT^zyV5&MMUM!^t*Lofx5 zJJNL~=(HW^J%;fFz*K)b7*F%dDD?w%+YW+#Ot9aK`v&9wrIm~>{v&8lfR_9Lp~R%O z6oHu|$>B#3Ok?z6!#IJ7hy4h~v-x!heai%YF~Ko2?mNi84*kaHCMlrJ11${(gs-AB z8^T8t;B#bE#U2QB-Zf>NOmZmHn&hlcHE@Q6}yPXYFsFb3A&_#;DTA?Dxj0d zFAZn{n);_<7e6tpyHeo2u-DgU_Lk+}x&6G}K`y9O{Ohuq=0JFC&)k z#6@_v6C&KhP`O<&FZZeTE_B)L0^)N3Z|{Tn z^7*ATJ|Ni#X;s{hNFM!%&7}GItud#jwy4J7OK?uf^G)>(AD7unm7jTXzx-WB1lIgl zbn`)!;!{Ti`Aio*ePTr~pH$J?=Qq*E=Z@&_`>hyQtFahd`-m7)r@I(gCq;~^b3%-+ zb6bq5>ngseTUU&&+ew7f9WKVz#drU8Q$%Rp6JkQ$_hNFrA>zw=2gH>6k41PxH!-bI zkodZBO);Z!f{1KsWE3>uT{^ z*9`G{*E8aeKq*cJHWH@-M~TycapFwiZ{mF5V{xIIMO^IGP+aQPOI+=~OkC@pF0z8= zi|pR^BBxJ~xZUT9$nEp5xYxJ4c=cIt@w#7s@uvR}kvDj}$R9FE6bvmcAh>?S(<4Dw4#*~$=V|vI6W71@$F$L27i=nc~*h;c#h?31iJYmz>*=us7?29_yz^`V?L0|2Z5re-vDTjP@OAejVSq4v;D2Ijjm7j+X zk;B6m%Ms!0<;bZPIcjQ8IeO{}88R(KhJKSS!=@jQlcwL1;Smkx*AX}6jEJ`~a^@L1 zd)8<Y$En1E3x;Tk_QJVyF*c` zBXw@>7A;|>8q;xnWL)anHS3EtiAF*+f@0T?XGH_ z9i?iYouMN9&nBxnXRoPx=gO-3=US--=SHc9=a#BQ=XR^c=WeNw&X-h8&Noy|&ks_~ z&d*oP&+k$#&S$HZ7aUZp3yoFl3qw?!3*V`L3#qE@h3l%_MLYHJ#ro=#i-Xmt7w4(= z7gJP+i`P`gOT|^EOAS@$OM_IGOLJA%OKB?b(v1k!?Xtbg( zf4Zn)f3{Gc|2aYp|1(OB_;bG+`R83V>YAe(eXWTab8WEt;@WIA_F9SxxpqyByIx$4 zzaCLfg~U&-cAQ#}eM~LPeykSVDyP1`)lMyrxD~3F+#w${SaZ<~28mpL`fhsm< zrlOopDlX@wiqCneR^G0tR^4u+R^J|}*4$pC*4|E23AZn+#M|#w(w!P=-JP!LhdYzh z`a5xI!<_?a)q*U+ue04`R=c3``st%$K0|iA|+{@1uUY-%TC3 z|D`&3f2BHf|ByO-KUW=j;Gm8^XrPWg=%;>u@U1%jV7>a~!Efr<2hY@rhvn374_m6= zAAYX>csO63eE6d}_3%Q3I{omiI`fyeI{R09b?&cm>il2J)P=uxtBZeSsY{P+)#XQi z>dK=ab@kB{_2;A2>e{0t>iVPm>c(S7mG!uhy7_p3%6=TFavpC~w;%tZ?mT{>?mnrY za-XzT_nwSU_n$0O51yo|hfgl4znCQ-1(t`KA4)dV|sQNd9Z1!IZE0vf;; zyCxzvJ+pfu8gbwy8uPXW`huSI$KX{;zqVhLUYcH(vS61=v;tLSGWr!($v@P5W08T^WySa%g_ zXt)%wGmBm)@@pQyW-f)oo&0)XccJh@er>Y{uWrjkAf+(U;~2IK46l^J*Cf1(2-Dvk z#*+=Mwj6kh!TlM}HaL#)a)U1dQ5+%CD5OYK4jErCE6S?Z6bi_3R8D6^@yQ9V*HcAbyoqOGk7>Km576M zGE-k0>aUF780;DcoNw>|#svn)$B8;p3iEOa3~Cw=HIDH>lNfaa5~U4)AyY5T0rd=1 z9Sl{i26i#H4dcoNf6lm?!3P<882p-Xkim@!8rKjUD}sbK-8jIjCb8JNnbG-R;p=05 zEq%D~)q4$8bfM!C4M#BUYVan;eGJY7rtiN+laRGg=(ZNG->${j2Lg$3nzdH6pud@L znOV(_6e_nS;Poqhotc1!-T5^)0dk8N)msPj9grAh`mwA79&K=2#^VkCf-(G#$9RZw zw83u}!`GPJWIZ%qTMvz&0*U#Cx`%O$!A}^kH`pf;csKP-6fH!$A$48;1WO8htkbzchFx zW4JN*x|#9YjGs0M|56A~Av;7xd*SG2XJ=Q^PT1LjM5eTh@|6bm`5b7ND;loas5UQQ(>{Odtr^bsc3P+s0iB&>I~R}C{+Z| zuDzlGy-F3G$$cLX#M{x*eHd8YUbH!5Gl2EDpNuQc#~G!1&JDjY^^8iw&@x*0}AQt4P1gf5=XIeL^Tyl6@~+WlX1{=>Texty7#BlQ`oKnrWa4RT=mnUE5gXQ(=hSNjD*wT8)q7NSvY5e z-w-V-st~IWdFA4~N`ETas9tQP2clZJs6!=R4y;h}4=2%!JaR=0vXzU2q$}kekQT~n zg+FI=MKw^{z7($XE>}1JuXrKcsopc;DhAQ>=8z8f1N1=@`3!vzqO8^+waF7D=;$-y z1j5Bf+uJ&vU64=|{Y{tzD_a`t=$eEARYIYJ+B55%^lSE42ov|00Py{O67 zj`X|%I!!IQ6Bh0GdRqcKZTo^#-^~@H}jovQPZr$bcfSumJ1lheACGK z8jCTF+EzoumX6XMa?`12C{KMFEq^V1MHI`}7B7mD-U>7ED5`!4dP0}V64c-g7BGt1 zyunnXob2Z46;x5ykv2_H^etFoI;BpMUUZrDeN!!$n>JYTWIND z&^?{9I@wHWneJpqHoep7#a~$18UKGMGt3;>-_#6im~kjbJ-|F7hRd=NP0s!;Y9IlL z&c^(sDRvCz-{!3-3*0sz>oeQ>K8a7!=iGczRm>r$+8CUk?2&v7Xbwd-mfjXI2XoZ! zI)?%VK-#O4ECXinTR7!>3K)t;0i~qHu~hKh(so6gXg-_KPtAv~8&l7xS7@PPtnceJ zilLPEF`m4h(&F%+hB3v~B8HWC(zpM>*<)zMAv7?H8pAuum9iT1L}RE%0m8zf0%R$D z3Pc65m_~shmeAq?jB&Y{SZsUgB=M3}Al?_T#`=a9Tg>xf;dy4fv9#_T+;SBieJ2`| zy+q&I*sH9A%-XNAcBABY=@CB)YrV?0YgS>`_=#2VKTBWG!Y9C9m4vK_OT@pyBv?pi z5Qez1<#_$#5yACN-DWu0%C-x&XBeFy zmw*Y;oP(Z@pox^yk6rD#uuzLC=rGaAZo5szvl6gvA|)?|z`752r3)}( zg&XZCiMb`iakW`*m6Q(PX#dd$Pqs$ujvWGuPc@m>%SS?TLMa)DM^Y*DluTYL5eVNP z$R<_uUL%t_10tN@!=OdhGIv8xD@I&C-2gkC_ z$!@OMc@@jZ+G>Y&A}%_zjubnLThAIgPYWFt8Ak9jG`J^d4i!R=2PbIh0X>3pU~{!WMJ0x%n+>ToHTh4)w<(iY&91 zSy)TGv%a3d8A=v!YqRlz^-*e$pe*vOBx{Ro3ax~wm~C7zu@b^xHl-L+Krh%ezml|y zY=ll%N*as9wti)7`E1Tm^kRHudrdNfTx5IQZ?;!nq%2=TKpK|h2#Icr(uHi}he1`O zmGx!wax%!$uIN;y`1S|+Z|zmtbiRsg05RcUlgEulyKXCveW2H5x&ol*Gcj>}B4*Rq zRb^9LuP+3H6fukQxEwZ&C)KNljh4d+h>yy#4rkMO(b8&IiX7Tl4KW6ConU>fCx>2C zlTFn<_?({3y<(^CJ^G(37UKaWy2|?E;p#B-q)+sR^xPE|{?i<5S#@E<)^6~hKlzk- z9}ny(SNhgnR-hlnSV3sBwRE8Y?ht!zHr5O`w6hWPxeG$KHVx~Y6Nr60%5eD)?+now8TQ#W^6-r<+x3!85ST3jbmV0XPnB}BfH-D;zB zhZjOeKDC|*tHKcWnzx&(yUA+gSRK17pZu%KhIqg~iZy>`YhGP9f9E58xTPp%Ygxmz ztRWj(K<#M@dw}$OEC#)!hIC`b1U(nb5sbSF(4|971dsxgwuRJ0BrBjiZqTEqEKQ4R zBC-~cQy+}=OikFSfRl808e9ztdjTc21l^;Stin}3ouZ*t8Tz=EbhJo;z4&HiU-5`MM*)#^;GYc52yp>iwmuV;vL^XV31NYt-h=Z)ws$biR8Y% zfwaZN$?l|H8AfSEPV7-a;ytUF?tXq+_XIygFc-Re5L)1~4s_B_y5o9{WUvXgDqlNr z$Y3$6aaPd`AwzF*oDk)JlN;b3=3%A48sKL&zB zX=4P8p7#OrRHm@&QAVm2-5_ceXK_itn*c4pI}a)Y$xDyT>Vur z@-d!001kstgo9wpZ6tkFH%widrJI>uSYw!_8{gM_z#FM|H{MN1ZwxzkqbH4J9T8$H zQg9-LOc0lv5H^(`7!#Y|cn`7Ngm4R>15KbaguMlHTP*<2hX!2#(8n|nl69_tYx2Z_ zu`S){LN8esIH@VR?8&jnodQPU(%6%7nbeE#vc^=v@N^{o>9S(`r4V!p^@)W9szn_f4A zv-YJLL9pTg#6g{36jYMsEph-tm3~F`0Y4c)IyD(kSvv9y!iYQl5hSaL0p!>mTYZ3& zT|6fs?(|`ESZV-8M)E}1v`d;J$p`<28RY;>)=u4eQf=~CijbYU$z!Q zI1Kp6A$lvg(w~TXVkkMckTumXtGAy0>b{u#Fiug~DTZNhASxYNeZ z;cg$c(eiLE+Ylm9zT%|Ip-pj*h%gQr!AB9B!xG_S7iVSru_lz;DwU}PH?C8B(5M&Ts?Jxzyh!wd*F9#)QS@_r_+ykl$5%&U zusxO_nU#?EPD`-tDQa6=dN^(Te;RKW3EVWXA&RbcK<+<7r@yNMkO$1*?3Zgt`ZU2^ zu^jNMjdWN0e5m1r;%rV;Z5w;Gsc+~EA^HQPK=Wwh2hvZ?D-2!pOz5i68E!bgDDGJ3 z$Omu)5cpQgj)M|Xn2DylI!jL(V>>GJMq^o2lyJ{x_m3&&P9Jo^p43$$cbd`#Q8T%Mh;XfQOe=G0$_qk5m1YS8CP;8 zLtk!7P*xAjhwZ87vy%37!_I9Lg6rPz-K0mx_z-MU^5`L}s5q;WDLd%ObZ7)zJdVSv z-V}g3Q`Gw|PMEm$u*2hU4wGP4XfgNLL^6Y-N9NXyT#0k)*#|>P;VuOR&tI$dEf>A%&fC?6S6F536*2}wrZ}h&F4Ni8;%=&I1)gSAd zL=F2Rm?hJI{>W5z^YLg4g%di#adsPPC$xpNcbjh(`gniSUo#OWZDWVv07RJG#*22t zMfAqmF#rZe4TkR`digR)48#g$n7ETS5I2vIf!I0wX%^^ezqP8#Q1+WB4T0wBfVG;Q zDnJ#(JwVYP%0A+tshnzGK1f+{7`i@551QgLm;;E!-EJ5)^YP%*(n#ROkL5S5=Q z#;S*DW|}$BEb=hlg+4?XXXD4R5%nBw+r8>rtBV=Vw>&}k;XvHJx(<>JW*sc7#XKPaQ4n<7lxyju!PX7{fRQ&HCth6)xw~)G&~a!!o?yv}*{G&QE!n*r1@} z7Z|v)q4uP6Iz5P}aI`pKGaJnxiWVYFdV}OmcZW(Ja;}ZhY0>FJV4stGEkngU4DNH% zmKK1>>6uBAPO=~LQ>S?7{N3o>5bU;7>@8+p!LbeFRM9XzsNFEE{&|`>432)@lmN3v z$r28&itn%U#cuKPyzQi<;1NjB&$Bm~f{6vJJ|->%jYPt|bU5xhVIyVl|0=s+A{v)i z=)p)?heAi--tRg}cBU;Oq!k~GN164#Kv^Te9ybcQs(b=nD@P#{jQ#}DFGeAgy~U`< zXgP$sjKmRfi4CN`Baf1`9J&@CKfWzC7AsXI}yP+n`@OEL$ggOMK4Y^m5IQ;Gsl)p{QHfUPg2zAdfGCY9JZGy zZHvGJb983pSgucT$H?Kd!ppIArT-F9)kBPrgr#zLq^Oj0SrgxAaNy_gO`!o8xE1i@ zym=Hv$`DlcdVC5uc}O$)@rd^F<1yuYiiteooSY}}q}T=e34P24&HeZDphHgaq2%)S zNI&qk-E*nrXIRx|=7TK0HQNKj__&>x;q>%*eQvzt+`^{qoe4!cwR~s3_SJ%-iczw*McE-Piq){OosNstG#WF)4H>Dq%T<)))n{9=rR4Vd11NVYaQq+tLe{egzW(SDqiU!>pRomkqOr1q zJ!SigU#HL$MJX2^p4K8Jbbzaw_|xcE?Hv4P8C1DB?_ z?M`n$$6l7?_yq<4Ek)y&whwPk|CyO|vfF1SA*uBvTmbblW`4mg>121tj1K6OslxN@ z=>0j!&h_s`ByS{J8!SESDk(V{c@SFsUVNr_|EoyXYco?xJ!WD@D3d!NV=OC`qKz}* zix&8zwyeeYqT?+1qQ%5)9WYwX!l7Z|%77~u_`uW!(!^QV_7<*$_*yKSGU~(hZ(D0# z5(_Vhhdy+bg~DbdC$gB!ya#Qcjaj4FNi$NQSjzS*2qydkf+}U5VtyxrCJpD~ zh%9eDtNySOIm`O_vKI)tWL-7}CzieGFZ3}Kb&7$(?M>xjS`5xOds-1A-&6KD@R2~b zBl`uo_1c^AXp;q427CVUMC3m2s3sL5DY{`?3#AAC^#m^y(Z&c-KXah9XvA1f`MlYct zgD>G9qRE<-C=*nr-7ArJwvR*pglyOuDugL&1KY+QRM{aZ)^B%7~=@8ZNO!JX1T+wgg#^>aGLf5wk)WRaytu z2c|)5VET%wqt=0cg;5+3ivC*RF{aj859$+^?8T_!dbICu_^sB1zk{h`7+qtunvqNd zIst^Dxz?1+)ILnDmWcMf4YfTmZDr~>Mwb}HGrynVA4xeS zel#=ITA!km;RY>|F@&%9&+ud%3FE0}vaCR{j8SJ}e3->*Zi2);AXJ_6li_rcRBIFJ zZ1N+-HNv7m=pwK(<>HSNDf#6R63jEfl4RJ z2J0ZD7wWgIg$uRREL5{?wuPc0jPx=sVx*U8MX_bNwhf-~ED14um0lqf8?lC!=;<~j z=bgWjRUDi9rkAcz_ya+;hVFia7R|pxM2G{M!WkW8G@nrxqn(UOZb#!bzUdBaiyGs% zVqYPKZ7@1KwnJ(fq@02w)vZV>3Ac;8gn5tNjxl@(0g8pF*kqceZ---5*a2!LD+mH| z?CG0cwnmYHEk>~34zTAjyDv)nUPbI}hCP+p7g7Tn0_FBY7`Utx*_PaDC<%=-O`gCh2KhW*|iuvdUhgMjcu zEgq%gB8+Wrk?0RbbUOspkJd&M`4u+Ld4BteFX(tHu@qgurcNn1B3)CU=VuB{fu0p0 zzbevmo{6>TcnW%33Mu?kgHh%fk14J$lDlO3y9p6$lnUW@j0OTZuEoHdiv+J4!QfP| zw=jDh*vUog>xNCte$INnWmI`D1e1Kz%hxOt{KW{C*$ehCu*sj%LKgfI8fzB`-Z6sH znSGVnTd>Awi`bcl{T*)1<%J{Cvjyn+zHWvwJQ;mJF#;Scd;s@@-mOZc!oAZl( z2y*u+j#(wX0pSNGJfJKPP$Vh&4d_#u-r^h3A5$+ToMgfR5a=PJ-OS3RQ_O0T2EyM= z$RleSbUde~{CGhhry*B)$+g;+C~6#G;w#EYL)GGS;YU9gR5kPuEW>dF2i8BZG&jcA zv^rgvJM=BU(B9C~bmXM@{9S|-@TM6!*YY_R#s~8K$neHwKutbZKatuU!11=u5b*0n zx77#mjqxYEk>efoAN-tv`7 zf17dUHfIZ|x^~n3AchZWrp}BR-7z=PZ8pYBlQO^MpN_Ofl?NY2TH%53j{$g~Xb@;A z;2&q{as74(<}2V&@Aw(aAy}*GVcb5zKW;iL(BHoKPHjOI2|sM-Dvs^fQ}~Ho8L0{~ z&K;IN%IJ`~`6ARCiIsN)(aU>==;OUw^z}{={k(q={k?OH%Yj)-Z2 zPeoKCS24YDsF=|N{{`4&qnO>~s+iMsd$gGUUT+c8Y^GS$>`Sq@*%`5<*+a2BC{)A- z{UuhtKSsp2_(~+SS|bwMEE37>ri*RuKM^}RtQ0#tyb!4!Z;QR1W{Z8D?u)d}b|Rzm zBXOWh9dWqJJ#nP#Byqg!7IC8Mb#XGdj5rnizBnB`UYrTuB)$v2BEIidQk?A;-Aw$@ zZH)M_+j{X+w{&r?+XeA+cPY+yZ!9i!|3qBuzD8W?{)4#M{i(Rt!z!-#Xe4g*=q+xA ztQ2=b(nMD10+HRPjL7L5D(>~YAs+P27Z3Y|h(G)H5w8af6mJF&7Wsq5i-N(Q;y+99 z?JUe$mJBa1ONVchW_ma&ys^D?~~DClh4WU$#>ykutkc;PL$R%^{%cb)i<+6EgkS7n9e>OPhX_m%l8K*EUBFmDe|)kvF#Z%9~r3$vazX%FM6I$gJ(p zWcH4cGH2&R`TNfQ$p<@k%7;6Dm5+8=<>OuLzj_svTsG8QWteQ>8z z2meu)qaUkM$6{6a<6+AF#6jh7%3nF2TBWR~y_D0LY~}pj1Lg94V^#6{X{yrM+N$yo ziK@zvT~*a{-Bh)6_m%6M@jH-Tqsj7K?pQ?5KrmB14r1H7&Lit`S zqv~C3rs`h|QGORcQ4KDBuA==f?offtTvVe=Emh-7pQt96maC?h_N(_U-Bryl zmsLTR8>!}(KUVKwUZ7fB{zkRDoTXY_DX&^zX`g@=N~z#$ja0X5AFJ-y=BXanQdP*cJJBlidKuO8dK1;_ z`ViIo`Xbfm`aae7`fb(kMhVsbMxYvSV~`qnW1;%+MwFwQW((UW&vpaTb@*N*Fx2AtTk$J)+x0l>#16Lw~|_Rx1CxZeRqP2y-RAv-D7Iy-G^#b zwxf#6ZlWmrBef=bmRg&=O(kTXQ|q!{s`bBBRf)f~RvUgBp*H@uSS9_Is*-=Zt~UMl zkNPsFj@q2lO>N2fRBg>!qqgN7QD5ccsO|U4svY+N)Ytd=sh#&`s9pCqsonR!S9|W| zs+9XKDmwLkbG7$=xY~DrzWV0=PL+25l1jh-MrHi&q4xjYK^^#gj5_#xtUC02x;p&( zZT0N~sg6AGR!1N7P{$s8rj9>IP$wQ7QzswXSEnA9SEnB~RA(LzP~ScLLVf>mvpW0m z2ld0lJoV$FO6sRaE!4S3L)FiZ7O3-&cB>1Iu0*SgkN#Gd9($?Fk2|R=kH@L2k5{T| zkN2zVkAGD+o>JC!y-rlPT)enK`jfi<^o9C8w~Bg@+e$sm9j+ebE>e$kQ`D2(YwBt4Tb28)hI;m_v&wrmK|Oy~ tGfusDc2K>1_EPL?QIwQMR{69kkxfFTaXZzuv#RD&=#ba0vf0yYJE*!%fMtNOeSn9;s)*; z?#oZ`92Y1YIL9C0kMelmEX_b8<{XpL$;_K?z3=<(_xji0cmD*i13wG2mn$-zfDq_D zBhSm6DXpp8(TOvv|2?`f$+%k^*sGULY}gbofN!Kw2;95cBk{E~--9HEgTsO2-ut zhZ(gON1E}a)8o>astNR-Dl|M5UB|GdsNEY8G%Uj%vdfC$7ySH6wrMhD+Rjz#uADGc zu3*&M!TRK+a;RNwMQ%?Zbg*uDrnZC&PTg{iipmYz7ghNLo#*%RD==qI$<(pz6==(> zA7ie2yvG!lU~v*L^mBv!r1~=Jo2J#FUlXO3nZP-b$Tt&B;SoGK7jdkhP4~1xpyrm9 zDKDw=2tyE97+RPKKd(c|&02m~R$8Nd!Y*GDNJXqOz!jk#9pHmN(NhYKVV#aIBd}oB zds?kX!xC7OX##`x+M(am&#I`Orm`t)!e;F$=fQqBg)Ve=676j%EI^OG+d()Y_2L!Q zcv_vK!o~DffpwYnL5TlVI44?@3^AR-K3r&CIw|yGp$>F6OSlo}cul#kav14-o#IE{ zo;j>>$~F;iETzNN@O3gFm=8}=+Z}63G)$|-JhkDjw6tt%vTmgyxeZxVQ3V0FV%z@`ORnJ7zgs+^Q1*LE%?aFSFgO*gV8a4i!F;vqzw zkB9}-7+JYb@%W{x%10Y0$Ss;~pfDs&vuL=A;GM!tNxX=cne;1cN?EO%YOK5r0a2(gn?YAu3mEL?% z=V*Qkr?s*{qKlXpI1*NBBbI2IAuLU;Mw1T&Q#cD#JF0MMRXJ^3lRVWI-H;GDlAMid zlEp{!&JSgK=-fV zbp52M7j!wrH<4q6UsTR z`G6!*uzB_xljI0K2aCyJRdrNJx~j~>kDv81>Bx#A@pGo9Ml4G?L#C|N6d#jCyY7_K zK|>qt9t<(`_4vN4sE>Ce7LOrn#G16(vfc`|aqyezT!2m7h}NJ zyifT8SmpUAKVl8z(bjiUghGgCH{8K`ZG5)&B3~lV)|S^ION)NIX?#O1;9LH6aEvlF zfeqM5rCAQPwCZ3Pff1p}WuD0{V(BB2qiH|ko7nyf-OdjA!GsqyLF*=VwuaW@&62r= zovkJ_5KU&lOQsjQ0(=^~cN6(m;_*>`f(Y!vlQSTYQK6Bxq_{5y_MDPP2ol-MW8Um9gFuMF0Y=1 Lt^k3bXa)ZOyBB*~ literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/dma$delayedInit$body.class b/target/scala-2.12/classes/dma$delayedInit$body.class new file mode 100644 index 0000000000000000000000000000000000000000..d0fedd4c5e7635fabf7e5fb3afb922dbf3b6d172 GIT binary patch literal 681 zcmZ`%U279T6g_wIWzsZBYnxiX>PM8c1!F;vnMB(Z@MB-GSu%whMGE?IWXaxmr9 z|Ko!`^uY&zfIrGO3${M!CMVySIp18qnf>|e`wswk0%Zi*oiGg$Av2OW0=9pBxIB%2+-L8bDVdu;ys%pl3b9k0i z^~`A4^ut-?@RsLO;O6UPE2rMn8~WT1f>5xy9%ayPb_+F-K%qYdK&Bzk7|7lw zD^G}o`ZvfuxQ5lQF$IcrRGJZFiAq{1^grayC3%m?60CzscpJL02ql%2Jq}$ZO8jY5 f=NfjtEDRa;2_;bBfG|bW1RZS(I+VyEs{p?NY+;jl literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/exu/exu_main$.class b/target/scala-2.12/classes/exu/exu_main$.class new file mode 100644 index 0000000000000000000000000000000000000000..849653e0fce82087541ed4ba5eeb05d6b5ca57bc GIT binary patch literal 3844 zcmbtX30D(W7`=}z5+Wc7isBw?2x?TcSVOG>TCqWGBed4GbVwdJI?Tk$M8R%$)9#zy zm!Hscy0{%Zr$3;-rT&GU-Zu*wB&9j03{q7t7{QKS?0QTZ1f#q_xl;SZl zqv>Wm0wK_PUc02F49%QQot!)`b4~=c0*%F-W@xFyg+c`NeB_*+?vTLN@&w(yWL=P{ zQR$qs^2anYZ^&XiV_6qUoVHA0{nDlpLFigk+?uhW1fo3@?b0tT& z%&eo?j(|AM%-w|I%rPFH(Cq1=K>OKDIb_zcb#t1t+e3!BsXIefUb2piS7XjH43S~6W%yMh6`WIFncObuGIGC#)P>4W?UEE~uf zDwl%-wTYf_;xOPo#;_WzqNqWaK%G}qN1~@9pA(3MyfeWKfghFN!$2`o43A)& z$}b_XV$pkgRcsaLOjLkDMXh()EU+mYr=o2PJFrtlO1ZEfkD&#vjYNA-3@gy4?)DOn z5MNwkO~mCzPMD4F7TA{P@l*US;*3>i8DKh(eK1g&Ix)0krAqVwDO^r;q9`3l+RSu8 zr+AsyC5|hck`>I$L-C+Byg7*g%%#gze#fga4a%yrPUW~cu9=oORWjqIyd3AsG!ar? z8`wh;|2Bf5D27$XtoMm`#LO2g-E@dXNgbZvarKtF^`=hQGB2knfKMv5SumE4VL3(` zaSW80t{l5X8hxpvqfN`yko!alnwAk{q8L>%S2Cxv=y)2MZpFzM4r5St^3yF1c%~JD zwN&AVI#G4oyqH;O>zbioQK}QcvjUsTo_ul5Xfu;}jS{O(X*tKT=OQ>mWHiGGjR{;! zgpyc7m(w9LUm!yx3nrePE68-Xjr`ie<@ytbG{ea1jwEOO2uqU5dBON*D-tgWJrM-sYfG zcW+?$SMaLRzt;rT`Q797Mp&_xh)3`SS(*sC%`D4Db@r|8&PLEuJ(P?bJQ z{tx{ZG>7-KvmpD$z3>4(jN*NK#9sKATbtpwa;S_LKE?Gm2z2p45tHNUzK`u?ZpRGJ^MUo!9 zeB=0@EyU78Bt_R=!8g(OGs7-U`Qd_B zR6y${_Em@0qm_!eg?-f)(;qIT-z}yc`+a=Mt9KLWYVz?=e~btW;PH8oYoRvDhUA7@ z=&L?2wN(DPsk~O;AfBM3ht};D($%{x6Zufcb!(T}*!}g}$gI`hvilf5a|cIM)gr{% z2TbJXJpW%uJ%2#rH#~J8qnvOea}POSwD&fqPVjX8KwaRvth~Rr(yLmT(WjKW_PGbz?c#g+ud_wyyexSu6LH$yAbEC&9S1N=8)a`p}6}Ww} YK`E~279hL17h%84C!rW1@FTt8A0yV5g8%>k literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/exu/exu_main$delayedInit$body.class b/target/scala-2.12/classes/exu/exu_main$delayedInit$body.class new file mode 100644 index 0000000000000000000000000000000000000000..5b44c5fbc75802ef3aec5a2197ea46b0d9bd47d3 GIT binary patch literal 730 zcmZ`%U279T6g@YeCQX_&*4C4m;KR(Fd-vQk=bqW$f4~0#@DTR|oBBLybG;s^z%+dws;Tz7Ca}$6 ze;1`|55IL}45 zIA7s$zzd!T8m&ca$4R^9q~&mfgwG>iJA(qF50lYQ$46=yveM{9bo)fbfy?K`>cA@R zU0;podA+agY2?3Bu^MSQO=;ypl*FDs2pmam;9jh2Lb7+wn~1)r73|a89G{-|IInri zU@Vr7fjBSv9d+Wm~BuUPqn^$#g%n|mSs^anS2uFPP#iVbGM$*z;iQS=!zjP<== z$ji^&y)R_@hRu(xWpOLrrGSfsYqO>~A=^`Zg4=A!(^nRs+$;tDcbDs0v??1kN1F(uEf-TQS4$JYW@Bm^u+>!WoF5 z@9cC>WKWsU2^MpfhdnV6g!$I^;Y9N7fc;Jto{4jVpF6aDXetNysQK0-&=`_M~>Fsll`tz#> zyPHsdJkomTNxtW{RPRD>S484eDjD&V z*3Gd9>85-i$(~TsW2F<0qMqFJ2OL=1yK3dYANt*abb}yNBCf|7Qr9=f3N$46Zvu2) z0CE?trDGg(6cWyYJ(;y9G21 jo+OEaah<{X=o$;_MYean6KyYKa{zaRbyU_X8qSgse!DSpQ$ zHQkIyAOzYjYuB`tp_vn@v*VX#&WWI2prw@43@vrMSd5^NkDRmH9TM1HnV_53tSd4# zES*bM{*-3s4Oxn3EbB^{)0zb~E^Qh?RG`VT7l;g>9URG=hzqo47NnULSRtomuI%WR znRPVV5fG=Dxtma&ImY8-nmthx=)9Pzgv>g&ZccD^XUI@Db!WiJOV*L`YRp-N!LsQ) zHCcAFaYLpuddcZ87Yfqm>})M|M|`7`Wz%)FCm68HrlU{FRKGPP^CJwNKFW_k^O2mP zaycqcpXeDS4oBR_7}j8Q6m{qpXz+^aO7v7Mt5LlsT$>Yqa3YbeW*Wl=Y+QyWY@$!+ zl1`wO<)xub%lr^i5Lg*_m`^{gQc5ivUaBfJqhZ|2PYc9C-kIR0z>iAsQJ@$phR3i& z<(Cj>U-X_{6(gR*L@Q#oD{*G$VSl+Cy)uPgAE5mH|p z*h3NjHiCgD235yw^oe)E%oi=)bmFv7Ur+DoV7Xgw>YOd}vOocRTB*%~v2+Z}G1P)n zpu}|N*e%lNOO+gLLZ$}XCrZ$Sj35)mu!^~oIaNf*)6jGq&c<*Y{i>6nZEeDHZRoG3 z3P;q1s@vhk%t~9=41GqaP6W>jY^`|m#WkZ%j^{N>tX9x+j%80raDm8Zh7%eSxRnSc zv4k$CLuS4}hDH`lJU?BO>2MqQwS~*|Ck$wYk<}eZ@Gjz|C|<&GM7*wmS@PY)$ zQR*xdqa+vgr0Hr|GZd@WW&V|BWI1JLpL=DotE!h8MFYAOZ&xT`AlyxEGgEq31f{xr z1H-?D*OmUgA+W*k9c8gJB4KrUZo zK>1szwomt&yAy4`bjP}A(}Go4&5=7C>Ey5ai_D?!KGy!`K9K)d?;E7}t4tb`53u=H z-qhhMUYp#(X?)H5m}h`3ZhR^xwlN>&{V=WTly$QA0eY14#j{s=7XEDIc{`Hy=;a&7 zH=G50%WosMSC%Hwi=CXbD8Qat1FR!3B5=9Rb=gWRJw#G;?G=0%eZMg5;*=jPxV;Km zcX6OLv>vZk%sm{awU~q9Vh*~+bmEYYPi6J)B3(;99_mjJfg^Zw9^`tcO|mJu=^pxO z&r2Nxf4cFNljOX*cPW^M?XFlNeGSHp8kE!Gzm|@G^ zNY3Hr1rEG3i}xzQ7q?%x=ddk`Te$5zRL!lZ97#UJ7l1;7U4-v=jq*hcma%uc@jajG z5Wx@pUC+BQG~*nyIFAvG;st)s<5Sva@gpsc3F?=^n;Sh=yHX((pl;t&tHAA(4N7sv VdI7SVdl3$~d=iQQ0zc6U{sFVNkJkVI literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/ifu/ifu_main$delayedInit$body.class b/target/scala-2.12/classes/ifu/ifu_main$delayedInit$body.class new file mode 100644 index 0000000000000000000000000000000000000000..27c72e13b303c5d1aff067ee951b8a78c2eb80c4 GIT binary patch literal 730 zcmZ`%O>fgc5Pg#mo1{sThSE}?AAmt=D`6_ZDF_J=l_DhtDQ&N4ZExuoXE$=zi2N%+ zd`KMNh{TUVjGbIuiNo&fjNiO{^VWa<{`d*t5$+Q<)miHEdNUSEdy$OAOh$dJtQVMQ z=7I>@(@@01Pf~5wSo+U`sZB&^4^tglW%NTAWkQWP9^^oRaV;OxPIw2gGVtajk}{SYxm~S5tPPIL~Fbcs}Qe z;tQS;kfITk_8mCnGh+ovK?S-vPXM^=RIhGMeF z+e2y3P4rqMVl3HdmR28`GzsOQ%1BzH?8W*zR$BJ;nPK1a3J%!Z3O_yHlX=aj5>{{p zHLh%N_Lw7aT-y7BrEggIjP;K>Xq$H@|1`r6pBr-+u498U;>m6@RYo!7SmM~;|BWjB z+S~uiY~Qi@iECxt&UbQfnQ(31v>@d6Tp!^MH&oeIE|hVXlM<@%I4<*_S<3FEOh4fs G*YFSa(Vip# literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/ifu/ifu_main.class b/target/scala-2.12/classes/ifu/ifu_main.class new file mode 100644 index 0000000000000000000000000000000000000000..e3908dd8d25e35764e05303b8086af6a5bb892c7 GIT binary patch literal 773 zcmZuvT~E_s6n;*-uIng+xyhV-=msR<1(k#u&5WjF%xK2PSRg?z^xgV0OGazDcZB&1 z{4-wY1s7iU1N>3O(;=wQCMVB(&UwyvzyJ994ZsGL3ATSSbXeY;2|qAFgy~apE}Xsy zdd_a=RCbjKonSF%ZO|2cL0D*xA5J7s`s{bwvAON{0-=VHtP+gQNXY@=W0H=Zv;^sv zHox8~>5QvxiyCBT2NsoQH_o3wvI{$NNo~R?W_Mm!6Xa&8?3$Woxv~ECIN9t4Tbfqb zqB7M}8NJfVx^+tSGWLtLBSSA$vZ9oI{9$=!!`vh@m$QodHCL;Nnk{Ir61MkMebG%2 z67hV5nU&+_@A=v)@`GM=^^pA{>T$=Df39Q6giSEFck2huttG-#^Dl4JMg~(BCNXWp zLORW_TZ9Qu_QgngF9Tl@@+-fV)ffg{<%hw;aXIH7^V9344g=+%Nv9TGNbi7iRG(cn z*a^LnjXZA0b?y){@>R& zY26%)kgm)3k?aa3JyzP`FzU*N-{-*M{#7f7{=o0_r5gmH5^+7wkh;D()}bN6e-ohd z5_k=)FR+$pB*xSiWFK52_c^BE9*e;{!ZcHP77qOnxp+ms&twfVC^6oI7RI4GC1;OA jb4-aplj~e!{?k~|QD)R&V3BcxsYw=dfE1S4pc}wXVX2=& literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/include/axi_channels$.class b/target/scala-2.12/classes/include/axi_channels$.class index 9db3f853c2238bf1da84dccedda0b96e58d21141..9dededb167301d903f798a240b3e584082e84205 100644 GIT binary patch delta 18 acmey${FQk_4kHuWn#t=Kr6+eX<^TXh#RjPW delta 18 acmey${FQk_4kHuGn#t=Kr6+eX<^TXhu?D9A diff --git a/target/scala-2.12/classes/include/read_addr$.class b/target/scala-2.12/classes/include/read_addr$.class new file mode 100644 index 0000000000000000000000000000000000000000..2f6efed271e7175d0509fa9e2c7547eb433ce55d GIT binary patch literal 495 zcmZ`$O;5r=5Pj1^OG_05{EF8elo$!oo5YJB2?@#pUob-*#B3ek)gb)v(kQ8H6(v+exeqEU)#Y7opQ=~G6PX?0g2Fu1X`ttZsJU~X}5XH$D90~`Sv?QIQn@h=0s0%U{Q zacoaxustYXLZvd0rMW%S7@1o~$#rcloxw0;(WpQsojeEGh$ z>7uyAGt3)Icf7}mEN|9`d}m(Xi1}$^vRINgep8xnzR&B~RU8y~BHuHtEv=zAUwG4| zW{F&HhSwtoe|ebv`Uec;m;G(vvPcn!17e*jn7Jt zmcut9T8_e4Ic7%7u`X7Q;2>3wrMrUbhj=_wyrQx=ZTvE?H*2VGPS&7JtBUh{bF+r* zTRn6bmiwe^fBxLf^Ft@47nE0xPxqYYJwC5`Yf;C}p|ckYUv`@CE_F)8&}n16qo+(3 z!#qQBN=J2WThP%mH7^*-@??0|N6K@yF39udZ?2o{8!^b4nLS@@UDP-%D>!VW&(l$t zE$55%`4zLg`;ce!!m-}0g2`fxXHeC+Q8`=ZXM5LX7X*D%yV}z8eY4gUhM0 z>OF039v-GFr$@Bq`x8#WIy!m3DljSd;vr;V2&&q3@;doY*XXUS*HEc<*ljASX z@e6Os(1Pi6eNKaa=}i)dk1+v_`zs5g5F6!xZ22Ja1NbM6qv1fj2L&w{zTL&!G9I zF76nc=NXhE7w2qQ;K|WC-^mj*y2dT@4Dt=%J9t8#C!_4t#dC!d4pbw*v$VNn=&2)f z#w_T`&+-p%@_NQBD$Wxv8@$f(D~AU2^Ol@4b>zbGDPoRiabClQj%`bKY@1e45gLQI z+S${GWG`s0N}pXZc*3xvSza-HrSF)A4c@$r5?@i&e4mS%a5I1 zUgdaN8@+4us>UtpZc8sX2J4^gomwzGzie4o`Q+J)JGLwc%}F1A%(0`FG_CdsD2fid zvc28yZH;Y_lCF;Kw)Rj>cciVWv!|f%W=VUnrzZmj;qV(6mjO8fhB06PBcjOeVB^kU zOQ@i@tE;^r19AlnN!pbGLvb9d{S0oMzcYfk5$K}KghEBBo5Nil=vCjfp{*&>ngPe) zJkvJ~=OZ)86ohv-w(o3h3M1!O0a>w4ELYs6sku3X4ij*43h!1MeHeQ7bvE|&phLc5 zFq;CLdSAH!;TPa(Yr;ZQMr%qX$H^*<=0O4J;ZO@UJNmbWP^R^?of4v%tT)^f!Oixr z#+`{^Y8jg88iS3k=$FQS$}1U7Xz&}UF_MSZ|mT3Q>Zzy^@6t|BlWPc+elI{#*DxJ=sA=Ah#G;zZ);mL{gHMB*IsF2(~NSXon9ibaoY zYqsIEO}mN${_4`Qjj8~~O(o1%JkhtUgxhY z4fraGv6IweU9(NXM*l(qxv`BvwXfd49`|EXU0_XZJvH7+-@3|r?EI>q`ASO4>grV6 z%wy89Ra5+mn6w2<8kQslyNF3Uj!9dL-7T?vW!1jo$}%h=lZs_zQn8dwDwdN;#ga0q zSXL$#OUtBUdHdA0%2&5KxjiW@xjiW@xjiW@xjiW@xjiW@xjiW@xjiWj%Qv{Rq@*fP z?5kcKDE8Oa;WVccls!b-o9fE~8~mj-x^#*<1|~%ll$Df3T?Q$TJ~Y_H)Yi&Ggyzs= zMCYkFs@Lg)U?DU|ZCSa$raD@rI$vpR%vM8L6RC2T!snD$`2xl3Rz@d-Y`t9<@Z*4$ z(GBYHl!x-I3#hq#)Jk7nJr3OZvfA2pYw82FWu^YwvJxB-IsOt~Nkv(ph#CpYmTR^X z+H7!Q5=~Nl5@;0XC3={aOC+ibZ17>3hDF`VdG9fDJwDsNavk<>)1RXorawnFRe#R&S7U!pn#YsVXx>Rqqj@JeZ2^-;^G>oK%{$3y zH18y*(LA1*7N5scQ)wPgO{IA}H5JRrq+&^#RGP{n#YsVlG~H~ zlG~HglG~HglG~HglG~HglG~HgsC<<*zS2N_O<-MJSzt}&x;iXNMrGZ)BAn%LA%IrA z-$XY|zlmSR$IO5GRDT|72#?T;R>!!#yTe>Cc{Z(bPfy%OSVTd=tKv z1)swIN%$IkJsYj>;AGg=87XSOi-J&)t{!IiXW~4IHyl7F>_Ez#8PQeqGIWvfL-^4k zNQWOICW3ciuwhd3#C3I6ZN~2?bi{I&Jt2|K^06sQXmdUQQ&4#m@}3HUuL3xgFUSSb#&8}Jl%~rq7p%vl|_eF za4E)!v6S&RoRJeb0?l3RO*lQIq!mapQ9~w~Z0Xj4DePc!k8Pvt6zz&7Qq^i{je5>b z8ox&wFX_}6ZP?Wvq%iqL$&h?eyk+|m_1%h(5mWg>F^eic8!H;#9oil040H#>ZIOL= zJA>|YWHbdM!2o5OrPGx?EY%fjI$u-a*)zA#elm8n*Ccq1fU5Ih7klVMUtXelu)yms;am5n5sMB9y~2!y-f<%yF%BSE>Oa| zJAyqsgPlzs!AK*Hi=_j0puV+8I_@}`5f>^tzS!opMe``$1WL>VYgH1jRg6;goqo1d z6DDOR#Tv1e>RXFBGPQ5XJnN zeMu$3;e&UwP^#N`LqmHbctY(7HwMC?T>;7s$3aJ^tz}PRB-|e8?rK+q0UJM_s81xB zI-wmqY;IDGu+bt+qy2xcn-p8cHfrVV*vhds(b>`>CVIm&p;gsr3NP7tvP)_JDLO>U!^$O@O zfF};Tw2`)JVzF@b{6E?nx(=(`4!hvKBaKcCpEfUbji40 z!U_7&JtW~oZ9O7kxwamYaFVv3kg!5qPfGA<>!5^UZ9OHSL|b2#P^ztGB$R3EISDJZ z^@42YspK$GYwLRwHfZYy5;khn9R6YwKqcwrJ}Y z61HmVR}!{q>o*d%YwNcX0^0h$gp;-PM+re~{aHeTw*D%iQCokP(4?(@N(gD|-x8X& z^&bf>%JK*at=e)Vv}wyLVTZOdB<$2ymV|a~4U*8ItsDuR+8QFEOIvvoy0tY-!Y*x% zkPz0^C<#5<8Z9BBt+5h%wKZPCZf#AJut!_@6836qiiCaInkwNGZB3W(C2h@=aH_Uu zNjObgb0nOut+^7;(AInjXKHJKgtN4@NW$6LS}frlZ5=P+Tx~6raGthKlyJVbPLgne zwtNyU)K-avi?mfH;bLu-OSnW^ehHUqYqf;Sv{fbHa&6T}xI$ZNC0wbkItf>4Yn_Cv zwY5RQHQL%F;aY8Nk#L>1wn?~NTLB4Q)>cr$4ccmy@D*)^B;2U276~_Lt4+eq+S)1M z7HxG%xK&$S5^mGhE(y15t4G2e+Uk{Xr?&P;xJz66B;2j7FG;vZTc=65S6gRDxKCSW zNw{BI=SX-!Tjxo5P+J#Bct~3pNqAUWmq>U-TbD_AR9ja_cuZSYNqAga*GPCmTh~e0 zudOdjcv4$mk#Im;H%U0Cty?4<($;Mfp3>GG5)NzYE(u@N);$uQ*4BLzp3&9=5}wu8 zLlU0T)*}+0*VbbaUeMMP5?<8SlM-Ii)p2OpY3l_E zuWRci32$iYh=ez_^{RxowDr1#x3%@Agm<*{wuE=J^{#~XwDrD(_qFw*gb%d!v4jt` z^)(3}Y3myjKGxPZC48c-|1)PVyw(nO#Lr*qiMf87uFn$c6xW|qWsP>4MyDFiDgKCD zJ5KG#G5$zi`%M|Zk8ba3uZjJ5#XZ>4)3f`dRx#={U=_(Jxr%B%klYsN7z+;2WgpNj zUV&L$6_G7Dlwe>|^`MC&46NLuRqn5dijCqCEZ8Va!NQHA7p&Z(Z0w=Oc8Rwf+tvDn zK>Ed+J!deSYW0}-SkB&czP)Raz3T#dSJPBB)mmiF zdYrxMV(nUZly)_b6aO1$)3$cZrgiO{P5at8n-;cnHf?O@Y+BjQ*|hV~4m3S_oM(6R z$knp{lB;F^C0EP-ORkpvms~CTFS%OwUvf3=%FSCk(k2>)qZ@S+*U#yeF+FtEF5GE3 zDQM>?j`6S%?bvVOVj(`-k2~)sME+=_DPJtG;wa_Q50bT0zb#Dn z=a1$RTcq@R5aOXpnu|N#>L?@=v83I&^Hnrh$zQ5{xaCvShaP5YFZLkC!=AL$u}nAX zCmKovxN4Zd9vvj5-ME8q++wj)+LP;ZiJsAw!_oYrO9yV^O61eWMcukNN+DHTmM$bW zbw)`O!nKZG_h=NC_8g$BYG|quC+*6u6io?~s~##AjgUO%xejNDY1FHR8sMPo^R0H9 z$#f<|l@L#+eYtUCe05c#$DQl!#!*F|X}SRprJ5TkDD9{jlPAThX-97Sn2afG>!|t0 zBHNBi7hly5(1HnZa7WFWqTUkv&H%laJo|Q?0gg2}M|;=+!_map=~D83bRO$_zT*#b z)Gyi@`1Xl<^{oguzpJRE_!*Qx#7LLetNV2_ok5KdzB?n6E>k?qe%mAI6xMI)<9)B+ zf*tKF7Yi`dhp6AI;{B4HBx2!+nua}s#%JKOQbO>eref#CH9$xOhr+Htow!UI~3c zmwAATr&Q(vE}l}I2e^1jbspg2Dbbm(2L`x!N_MsEzr~L>DS*69aIU80&P~cG9zuxHD&9)M95|Nf&X+J(yIm+@p0+NQFkf4j;uHQ8C=z>l-vEd8 z(z)EZA{!<Ym(6gl7my#TaX((m zFnrs&Ai5*f~&ScC!h1RI`%{DQINZxdj>goIrmEEZs!V&199#bFe+BP z=+A8Fz!s=VJ(vX}ormx^McXOrlHojp!EGr>1$r#YdDMBF!j>_hB^lWF=ep=W#@U~Z z{!e09^agC}ghs<)x=^??*gm(pEgX)t&s9JA#r8Sq9Lk2#&Qlm59qjII#}J^o@pj@t z@p9-+>wMLDIvcC@3|D>Xh`RdEWkH_vyhQKwve9}GTRQei0t|O7QBiI~Ft|Qc-P_R+ z3fEH?qju@Sw->C(Xg}IEiMdswNNZQq8hRKP!ir(6RZd+5e=}Vb>^3gakCESD^`mTj z(*b1Hb@hfDLo4y;+Zg{-+uIpw>juEz$UuS1mB&dGVjS*0F^s)qhXFC?e-`R{o zUzsWe%L@76fi%EAfzNP<(m=-dHVmaPt2B;SrE$C}jiXg*9IHy>NL3mKrP4SgmBs<7 zG!93lF-!=A^D^2VScv?KR6fNN2ZU+G6uP4{#@#6Y6HGZyG=D~&EUy25C`(W{JJZFHs4RYq4EU1Rhbqt_Z;YjmB_^+vBV8ly{8y)d>!X^bpU z8skco#;6jdF{VW6twwJ%db`m9qfa(EXmo?ojYc;a9WuJv=oX_}jczk~htWHYZa2Ea z=uV@%jP5pim(gLPdyI}4-D@;Php2jCY>3hr8KN}Cg(!_tAxdLRh|;GTeVWmy8-0e+ zXBvH$(PtZdj?w2DeV)VJ-){6BM&D`lT}Iz+^gTx3 zYxI3a-*5B-Mn7ouLq19KW_9BM(;QJNuv)Keb8u(&QSHj*bJpHGDB&M z%TOAlGL*)c45gnn`Z=SYH~IymUo`q9qhB`qh|#YY{i@Ng8U4D^Zy5ci(Qg_3w$bkx z{jSmP8U4P|9~k|i(H|N8vC*H97LAZazt+N;?+Dgv7|ycvFJnJ^`w)EhVYMOPd+>c+ z&XB`WoE$x<(+kHQhM&-WkMjy`D`36zD%vBp2mBO%hFi4n2bh&`UPId}a3dF;e-6J$ zp`3LXe)Bjw*-=hUru+RX3h~v zi-lg&PoYoXIa8ZObqE@3;`qcuW7oh0%Hc$@930i~YjDMyhOU`~W5>-oEXw#S3bjvc z!pIaW%y7;WKD216&7^BmWK}CuagwT1j)RW5=#q;&DdUy>RBaWXZ)(-bW5=UU)yiX4 zTP-S0pNdy))@-a=9iK&N)v8PunWCCnkE$kWa8d3=t5k)VRpVccs#3jJXEKaH2L1;a zT!829LW_>mV6ho3ud=qF zm97dkI$f;C0&T#*jrg|-|2E^_7FECozK1sR1xxLrjnp2|9@?lVTSTkrKU=XZRGMsE z=fk3#r(LMMV)e}yJ4`cYC5 zSVfBkuDCybJ3`zSoh9y9gYyCLpczSV>ahpK<@VI^g)ZiU;~jrq!yVm+t&T4KB8LM% zY6o76Ecj_Va48FZ)(%|8f}ghouVle5 z+JVbi@XL1K3Ksl|9oWx;U$X(g~@HjhgFAJVv2j0zsC)t7bu;9sd;Jqxkzz)2R1y8dBpTdG?*nz*q zf(z}yr?TMLcHq-k@UeE_(^>F5JMbASxX2ECCJSC@2R@4hA7=;VZm=EjEU^Qh!{)ry z4ty>PKEV!r9t&P>2R@$#udoAOz=DhIz!$RMQakWPEO@0I_+l1ZVF$j11+TILU&?|j z?ZB6@;A%VYfv;l0>+Qf-v*3+(;A>d$W;^h;EO@IO_&OH6 z-41*`3qIKn{ACv0U?Z7v(;8r{EO)Pka9r$Jz+-?WHg#~xofp2BO z-FD#HSa8@5d^-z{*n#h0!Mp9ice3EUcHp~M@F{lSyIJt5cHnzh@acBods* z1AmnT-)jecng!o)2Y!YHKWGPjmIXg-2Y!wPKWYblo&`T{2Y!JC@3#ZL$bt{pfnQ?5 zhwQ*Fv*5#a;3F*fX*=*MEcjVF@T)BNc{}iHEcit`@aru2WjpX2Ecg{W@S807H9PQI zEcgvO@Y^i-Ej#c#EchKe@VhMdJv;DwEcgRE@cS(IBRlX1Ecg>U@P{n;>vrIeSn#KI z;E!4Gx9q^5u;6c7fj!^hsp!@?* z`E?hRf8;4YbwT+jp7L8RDF4h;e%l4*UwF#zx}f|kPx*Zplz-zXf9Qhp?>yy?T~Pjm zr~Ii4%75~dKX*a-FP`$3E-3%aQ~ufo<>x%*XD%rJ!&Cn5f2YJ>P_RD`_=5{d!BhUp z1*M0l{EG`pho}6T3(7Q}@*gfJy*%Z=Tu`R-l%Knx%wQ=!_}v5BAFw3<%EZa!DLpPI zvv|rh7nIpNWx5N>K|E!q3rfjTX1k!w;VGpH%E3J4U>B4_c*M$NAr{gE-1(F zl+#>Lj^!z5xS$-zQx>|Q9M4nEc0oCTr##jLWu*(sV|mJI7nE~($~7)1=kb)aE-2^ol=Utsi+IZQE+`l9lp9@8 zF61dUyP#aeQ*L!Zc^pr<-38@hp7LZDluLNZ1{aja^OQ|4D3|h-%`PaH@szDDC{N%i zcetQDk*92TLAji#>~ukS5>MIff^r2<8FoSG<0&I9D2sW@-7Y9gc*?ylC`)VmSGr@Y(+Werbxr3=b6Jmu9cDA)3o*Sesrv_ssT~Kb|DQ|Z{xsj*5(*@-wp7L%Nl$&|VdtFd&;VJKTLAjNue9#5uHlFff7nIw1 z%12#L26)QHT~MCPQ|@;`8RRJsxS(v{DG#}zY~(2qyP$01DW7&h8R99QbwSz8Q$Fv4 zvW2I7(FJ8IPx-P7$~KT40n?-iWEf=^9;S@C)nJd*{Vo($f=f(u#jnaSXdEO-_R zK06t_i3QJQ!RID}H?!b5&Us90Uyuym!h+|rIbW0v-pYdKvEWOR!P{8yd=`9JGI%=! z9xAR8*P1$q@a^sND)~u;n<`({@01==_zX-ZPy8&c9$(26##{oNM}f-{mCp#_6Hrrhc=;w@y=*<0#8(4~iSVuq^NFH%ojk zHDx)Gvb^q~xZ?}TQaG1KrxLNmH0-B^V-Gre3imrV9&&Dd%DJQPuyY^&J%A|>?{}UU zd&oI($T@t-dG?@lib>0zl#qC;Nz6z{J3Z={nUHv~p3bw#auoWJIZEys( z!#5!DJ2#)kUcLXR`ZQVejsCci3ts09q+W{OB#e!Jqq<`)MHSO z!_VZ5$38j{^(54jQBT1>{wCr*km0+4lSr%};UiH@qJ%^#i82x^NtBbQAmJymio|LXl_aW2RFkM7v4+H26161iNYs;{ z7s;v@!eYyzMK5T@wnITLOvTniK`$`H_Cv9qM1aJ}B!VOwNHmgYA`v3dOrnKED~UD| zJ4n#$>|rNa?Ib!#bdu;I(M@6(i7<&C5)l&gHa(!X;sL$g4(Kg)KyRA^dTSie+uwlR z;)aJD#n~iICvgUeGfA99;v5p^k~ojV`6MnNaUqF|NL);_iTg=BK;l6X50QA7#3LjgCGi-E$4NXvVn2x|NgNnZywiuaJ0^#A_%7ee4Hbr_DD=yh-9M z5^s}uhs3)i-Xrloi4RD8Na7BzDxx4T_~XMED8G5j-Zd@s80i_ zkNE(ddqJHB>4PKcYe{MWqds~CYE3||@)r-#%=jG=-zD)q65l8B0}?+Z@govHCh-#z zKPB-q5jNXYvj`MR(}Aw?j{= z9eTFx(9>Xtp5Hnrl2}gSBoZq~_(&9!C?QcwqKw2!66GW+Ncc&tBC(o8C5b8$)g)?2 ztRb@V znn;95G?Qo{(MqC?#10ZWNwkybAkj&pi$phxT_nOJdPqb_^r8S-XacSIfYxq6Yi*zp zq^a*J(HA)cEs})5PiY8&YZoEtb2fs$`y%LDIqIV_>dR4rKJKKxStRJQLh7?g>T@mn e(<%715J5{k{V^1vrM3EAobwKz1ReY;&i?~g1Kj@r literal 46195 zcmcIt2Yggj)<1V%I+sAG(u82>O}bQ_6ks4EkOG7@PLfH1kc3GBqGHG1u)Fq(4H2c; z3-(@D-CfspUDtJWtGceMuHQNL-YJb721Oyyjs0?JOX$V%ePAH}1()!xQKxis<(Af9i|~}Ch{cn=Vt!XK zBioymB69OQJ(IIjoa9L&JvqI1wlg-XcUF=ZDyC!&nvvw4=uP$nas#5f$oBN~B~|7Q z7RgD&(nYuA)U85v$;us+-fgQVyI*#m*qA+0q>H5@Bbd7}J4+C+NOO|%gh=sacS}x7 z^<;I;8Y*UF^~+8cqrAy2*)x`=i%OBeOY2yde@1L!1652 z8dRSgE{C^QxEv!R<;eD!auhh>a%6W=<>-->yJm8w@1!pCyY`>i#i>uWXDK z%QpzoD^=7_N>26+&YPOPF+WdaJA?YAj$bvirlM$Jw%6C)>6$*KF0=pin(XxKmSOo~ zc<9}y<%DR0&ns}@5lj1t*bwN=unY4Fe|y-vvjDpYkHSq{Y5`-A5ZeIoaIM& z2W2I1S=uYtd-#y#tWkXy4xHsl9hQ?iOgNRk9=#6B&Yc+aElld^^~|g(9)4o&cyCh6 zqQeU}7Y)qvq)r@LJ6<>&7R^lRDRO77YwIJI2G&eHVUZ_eskdTQOKph^XpNtHrZ>+Y zKek79&va+bs72X<((X=r`U#seSBsR2xdW$X`b5h7l!2+^SBg}n`)7I5y}gdhTq{xn z3s0Qi%_owo<__qK3Xj)<B$b_^|B8mn4b&>>qfm_q?hnRmVb;S=Dguf{?YhHFYC$62043y6S} zP_P!89sL`ED3e<1j}Ouk&=zWG#lwcCs`as8Y8hH0ssdGY=$F(SYC<<>V^fQOuKC_F zuYYmDVt=8psDMyvMkQBlPQPT0QKQ6~m^w1AV&WE-3SR z^Ycsm3$VeXM}@_hkNT{n#9QIdFIZBx02_u<<}W~JZ|MU6L|mc638lW3*l=ldsLd|9 zWx27$;Ui5 zj!C8dB_(Clc=NpFg=IMS)j0F!O=i-tBnjB5OxiRiZ8{FO z*zy$=d22B(#r|Ax(IS7YudEc8Ih~;FZrZLWEATJ(<{j57S@I%|l&V`S%%|GbtbDx;Sf%OajmSr zEb^DGj5dqvMJy<`R5#;6NmxDVSCdj)REV_=*Q+$IDBoX*?H_f}3tG4&rDfhST&Z-` z!zfybP0hp2MoH0%hdYi^sUgChf<;;4&0C}r+K+v#QaFKXh}nk^qpayVQOysm4myO} zO&{qRs>XCev1!qDJTaBl@x)YG#}iYroJ=Z~ zlu4y^JduIc@x)YG$754z?WA=)J}tgI$uGV=DJ{M|DJ{M|DJ{M|DJ{M|DUHfkSnSRB zmlgZVOAGu<3d>8eEUAU1<&$ug!;Ju1(Qy+#FykhCsK!ku9nlyq$|Xhtz1k;4R(VP; z#SM`@QK+M`n3XrHsMxQ^J84=#u@p;udHzXx6?uht(3K8yy;xe>+Tp%T9V<5V5iZ64 z<;5lWxN0a|cxos=y*wztvf?stAuZh4b3_q24!1&PNda#7%5cV;ZBjZF+svUZ#@0=d zVUBc5l=&7Hl=uq^<|BUro`$;AH?~fy#65a2K%4oIzEQaB;TLC+F(2e$%|?bdv9r)c z!h7(37f69$A*L0tCSW-W6D~I3HaER3OoQKiMz;S(F)DIsz9VEroH4@@(F`Mg>WfGm zOv3NrBPz=8@vBLorJ<>@R@Y-8jum|zU;Kp<{s^BE=1=Gv3N|+g7(CL~Q8wo5kH9M- z$>fZ5Bcp}u946r}@K?&|Z`k9Nq5A6Dpud*BHuW0mTNzUmb-e}K%V`Z@@zVlzmHyT( z%|Yy+C_Y6uq?(5MW&!mm3i`K>t$J#aSvP|V>$daO%2s}f=@`xk)pST^fB4eH+fUoOmdGLqia9y z+TT>Gwl3^BHg5hNV!otMW7OkNcaXy58z#f*PK~x~d!qJ7(K%u&KSX3x<%eQLLz{w| zf{p&>K&ZZT3tnBI3lFK)f!2VZvQ5|N%I=xyiZvafsYc=mYxlXDpjq)r2pFTAW-OA2 zHdThO)q+^Fl%|@RmS8JR@JJ&19;wOkj3q;xLU_p{Xi7L_q%UF3h`H=B2}k$bL%7V2 zw2@jl+aIZm8?=SfJFPTjs*M(2mxvYwyI@qb3l32vDUK2|sM%+#syDQlsym?;tRU9g zq+q@0>UtlIOGIeXhCs{uKx6fWKx-Awivvqthzk|TBCVfyxG4yf+J2AFW3oUGAC{VfNC+ zqLLtvhG-hoMG=4Iv$&Gr^uY^DDAm=q9>L>U@r2qEs`7_|8~v0U&VvoX`r6G^t)T{g zb5nzw4A}V5M13Mj(+LeYV7tfF2pg@HX|(_Mx=B$YN~x7`o6{}QCOTW%qlK?O#7ASSN}-A`BpIASR_h(@YllPYm^<;3li zfN`DnzOP+l(UQLCfAQ~hNKm(9mD`mEt31uFhvQ2oMXP9|T5iJ4PCRRMuqM#f(3*o^ z?VORL@Zv%L4n430GFpOBJ}HhDClK$6>ip2Uxv8ZJ@7myoHnb^xfP>;>Jt$6*FbO87 zgGZbuVJZwG>kQlnhcC?IofX{hC0>)4Fb$@Y^V#STcFt)yehcN*)*8&2q)NU_igU$z z8R&PuBGs4b<4MC8@Fg4tGl=wJMQV~`Nu{_%(_M;_Phae(4RCA;^>uy;Ghr4{U5THY zy8`WruGU1q5HJ+0mqY)4JbknVL;f6faVvax#Gez~h#S6um|kwDn5~%eD2MgcaKQ zm4pgy{YJt{ZT(ilDsBBv!fI{(Ucwq}{XxQ7ZT(S#Ut52YaICieEFqw+FC#q{3 zwDorh)!O=}grK&*l2D_qe@Up-*1sjxY3n}{>b3Q~gmv2buY~o=@_>W}ZFwYY&{mR! zMs1}?Xwp`igl27JNZ6Mo>RkMSX{(onP1@=sVY9XllW?52`b*fN zt$`Ab*Vf?@PSDm62`6f6sD!QB%8_uAwuVbMSz99|oT9DK5>D0DSP7?TYrKThwRNO~ zGqg2H!ZvM9k#MHArb#$UTSrMaTU#?FoTIJT63*4uTnXoC>lg{=Yb#g61=`A&aG|#5 zNw`Q`3nW~ut%VY{YpYPgCE6;IaH+PINVrT}B@!;zR+)q=w6#pamD*Y%;VNydlyJ4S zR!jJWw$@6xMq9^9xK>-060Xx$wS?=nRU_dBZPiJ*QCsUI+@!4r2{&u2QNk_SYL;-T zwn7qa(^jj5+qJbx!VYa6C*cll9WUWdZJj9LE^VD8;cji6BHoN)Zv~`7q{o1-p!U1jlLc)XE zx>mwN+PYrC!`ix0!Xw(cS;C{*x>dqs+PYoBXB)p)lMrhmy;HYn1;%hyM7H?*`<;`jyFYZ>-^wjq<&KJ|*eFQAf{kJaEZiuh zz{)Mm#&$inOSI+Kq1G-gL1&oFwXpWXa0Tr%qB9>8#n72&```xcIg;U2yT{mjW%jNU z?Oi9?yH2)uHBDtxt*Q2`)9hWRYuC|-XjgNO?|*SNZEMGDTG!6mw6C4BX<<8O)5dnr zrj_lSO*2!U*)o!Dh!DOgng1odZH*buuu1dJyxxsE6RY;ho>!d5y+CY(Fhs>Bf zDS%8na`VSzOtDml%r_Elc1XJDuC|jFj0sLVWY!dl7BhA_8NKA$e&}@4*W?@?VVz7z z6Zob}$@|fHto`|p@8+;ycrfto6ZUFf5pI1~p+eC!DBs0M7dxsubTWfM%@BS#Ba<#u zG|LX#BkmN|VdMR$D`qN$1VOG&`$u1I+7(q?L_Mq|U{HzocQK+fdbum8B z(B8F^&1YXS{1Co6mUHPBx$MuAO{&igi8Ym#0MMPQE-P zI(PErDbd-qFS~ffj0IihPQE-PGI#RjDbcx;FHecioqTzUb*AqFoqTzUceNb9#A-Qy z$<=cFlB?zTC0EPwORkpVms~q(*Mxvny)GQi>_sqol;Tvi1Gl76c&QHA)IQvj7WV0U zNeg??DN~&wxOJbLXxY~>u-cE?=f(NO>|nW50C}5;Sxw2Eo0L;DkXAc#%Wgt^t#;*F zFB*2MeYl-OyJ%eP#$D?R2kCZB6p7vKyiBpD!;UhGp6WU(bu{2t^(VJ1F>%4VWL)RB z4;R*c+?hBMMXX)89*+qw?zknQF~=R3seLFi`ANu8Hx!%=7~+7p)58HkDm+8Na2SF2 z(jC07G*Vl5Uul%K@V?S$ZQ*^TG1|iWN@KNke0w?-btPdOj3>IS5+-Q3lO-Ifty3jT z)Yj>Ehktf`V}0w~_5lvdq_fRAGXsV?XW^~==OxJMDN-!eIVS@KI%j3TU~(B8 zu1g2MVNG=|ppe(%{PMyAjHSqq)V%}$S<<=4xj5aq(Akb9ENuzs)ZAE+ngF^z`7b-_?$pkYEyeJIr0FhTt$ z7jxL@+?xUYoLv|&9cXTD*dky;w4HcRv>bZ)I=h|wGq7q8aMhX zy^W1ctpW9uYmA60rH26c8XK@6zQ!7ikxEl3SXRge4V&o2{A{N+WkMDejv2O?+#M6B9dbIzVU%qS!y-A*HZ43c#4yZZxXNKP-z?+!3=%s}Wnk7E zqQydw@1W3=c+SLTQ3FCX3+)jjg~p*FCa4@Hiz(o!nXm*mq)F(SHhRFoaR@F3_Hl7R?leVwoDtnPRb6uCmP(MU*Wuiej{=pEAV~ zw33yz6s;6hsD3G;5DT;z|BLXy82^{x|58=J6@pz}R`Uf*9HAA|9^nyMp(xjgwPyUR z#=SBk=3A9!q|eIX)llSgaEk z0NuKy1C6IzzHaOILRi&py=dSEP%}@OIDo=6ON-PjP1h_ufMV)_b=W9E36pWm*g*%x zX3GrK#G_@9re%1<(zFau#uk$?O~S~86yi9s1v{3uOR*=ft^97O-N_3}eG=dDr}AaC zX?d6=QccT8%du4b*4DWv`8M#MQYOSqxXn&p2O|besKYr zR2av3oFs@YPW+xym#VuN=0aR3E@B9`Yr@NraQpvAc)7TOA-qZxUW0^J{f~s#itEhi zi|(1n>=mc)#wuEDaK#PLs}17%@G5bGnw&R^n@oR3sR!&8+w7^M8(qu?=R3@1d-oQr z-i0|V_%=K6To$~;4tz8VzS9nT3=6*74(w&YJMF-^EO?h4IFAMIwgcz0;0Nr$1uS^4 z9e5rK-fst<&w?Mc1215~58Hu#Ecj77@In^+xE**A3x3iLT*!hC+JP6d;AiZ>MJ)I^ zJ8&@ze!&jBgayB32VTm8U$Fz1u;AD1z@;qs4Lfid3x3NET+V{uu>&t-!SC9Em$Tsa z?Z7Koa0eHo+HGg>L|4IrKd{SrB@6!04!nv5e`E(<&4NF+1FvDhpV)!dvfxkcz{U9 zS#X{m_yQJOU_zD)h+zxyt3$CyOU&Vq~*@3TS!E5ZmzhJ?BJMc9uIA909mIYVYfv;o1K|ApE zEV$MVd;<%vw*%kEg4f%DZ(_k4?7%m(;3hlpEi8DW9r#uj++qj5jRm*afp2HQo9)0m zSnw7*@Et7p1UvAZEO@IO_%0TFvK{zt7JRB5_#PH~x*d2Y3*Kf2zLy1`We48Hg3qx7 z-^YT_vjgvD!57$p?`Odm*?}Km!Q1V?dsy(LcHq4%_;Ne&J{EkX9e6(rzS<6afCXP; z2Y!$RUuOq?hy~wZ2Y#3Z-(&}VgazMX2Y!?V-)0AXj0Nwo13%7!@3aFy!GiC$13$@v zciMrUV!^xYzz13IZaeVPEcgLC@G~rUuO0YV7QEjM{2U8@&<^}O3x3!R`~nMp)DHY2 z3x3=V{1OX((hmGG3qEKEeuV`;V+Vef1wUs8evJjcU8=mq37nC3HlzUxJ{+6fQ?}G9}p7KE#l)vLCA9g|c5l{K3 z3(DW~l#jch{FtYF(go!oc*=t=C_mvTpK(F?N1pOI7nGm!lrOlT{1Z?4k_*buc*<8? zQ2v>xe9Z;r=RD;bE-1g?Dc^EI`4^t@9T${;K%R243(7$})nawJc=$OYvno^r7Z%F#S!u?xyEJmpdslw*0y zQWuotc*=4Yl;e5I9^OW^2D39VP*Snyc!BcKKV^f^s%b+2Vq74o}(U zf^sfTx!DEf(LCiA7nH~Flqa~L^zxKjT~Oxolqb8O%;PCfbwQcWQ=aaEvVf=D=7Mq_ zPkELL%K1FyIW8y{@RaAdp!D&S7r3BY$WvbAf^rd0x!nb2Ay0X!3(Cbj<>f9Yi+IW_ zT~HSDlvlf;T*6acwj?G@X9wk)siFiU(cHDx)NvOIsUxaw!hQaIbf zONltjH0*n$2kdnkN8j&Uvd_6Lk>0|#L(zPu&&JvZ+~9=-pbdh=NL ziT-%d9g>|Vka{M*lR!cybOU_V8uWx-sQaMqi@G1`{-_7yYjOtR7#)my2O6(I3#Q|HO(4AmGMt0>Vx7O@v(zh9pQ#6Us|RtzTwJ>0XcEVe@RGW1V~hps3K8KB1oc!L@kLr67?k3k)X%f!+NqBNNga{ zNTP{EGl`8PLL^#9w348w=>a_z59sN3Ku@UydfFV&Q{#Z1{s#0EH=w7n!D%E;B5^W_ zQ%Iaj;&c*ckl04zOcH02IGe;dB+eyq9*OfwTtMPN5*LxUn8bDxmyo!W#APJtX+l6x z1p<1y571M3fS$Gk^wb=nr{4fQ#RlkUG;lqM8%W$p;wBO|lemS%tt4(EaXX0}B<>(_ zCyBdA+)d&h5<5xUOJWy^`$+62aX*O%NbDg&Pg4MTDgw~c4S=3f0Q9s0pr-}^{rVs1 zSNuS~#)rp9JWk>X5>JwNio`(@Pm_3t#Iq>W%YNWFI((kQ3nX47@e+xbNxVYhRT8g} zc%8%>B;F+P7Kt1ZZ4hUe zZ%a}e81>RCPrqUyhGxbB;FW5 z-;?;5#2-j}LgJ4kJ|*!d5}%RyGl|bhd_h9}CPw{=Mg8tX{Zd5zwnP0IL;Zf@EAsoA z#J@;keEqg7Kzy;=8%|6;%E}bknoboC6PxWpF{zPc_ikOSU|!@Vj+n| zBnnB;HC>0U!a8)_)S)Y#4qcmc=xU-v*Z-U{66GY8kyuV*1&In0D@m*(v6{ph5^G8L zNgPWeK%$aF6^UvRK@v42YDv_Qs3)b*+zMh-!n zBq8us8baXSMF@JGjiC3w2zpnJdZ~<{H%AG2xs!Tlk)YQK33_dkdR>ctbqc;MM9>yb WzYGOvYYp_iIOl0R2|D;zoc{yn^v4GP diff --git a/target/scala-2.12/classes/include/read_data$.class b/target/scala-2.12/classes/include/read_data$.class new file mode 100644 index 0000000000000000000000000000000000000000..8befaf96bff90e57fe924479eb18181a0667d2a5 GIT binary patch literal 495 zcmZ`$O;5r=5Pj1^OG_05{EF8elo$!oo5YJB2?@#p<*M6u!IIi0{qR?LF&;=X@dx;$ zjI))Sag*IQ^LFOV?CjU~`zL@C>=IgG>_95 zP3Q#2E8-xMN0~1oK`^h!7k8sem(Usg)j1{927VOAVSYx?_YbB7V^F#dY*;ol)CqfT zB(qG>?wp&*W$FfUCW0Jb5y`6<)+l zYm{(_ND47RrvhDodqEU)#Y7opQ>0?HfX?2%qcHhua d;{OR)D*+u_WmFa0jO+Y!nreoWE@6i``~aR6RE+=t literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/include/read_data.class b/target/scala-2.12/classes/include/read_data.class index 32047454eabdca420a3eb2de763948e79ecedc73..2cfd677f566a6a0229e51f4673c302532bf156b3 100644 GIT binary patch literal 45620 zcmcJ22YeLA_5Yh&bp|1U0gGY~2n+%v12$m5m{S2A2ni%nL_1E>slXwLlW6X}_uhN& z1+a{J?~dd6=Om8fIF92uj^jAhPU1NK_h#mb?w+KH*MIovy|;7k`<5@>>EH-R54F}8 z;a@tW3Xt{cgK3=&flxreK^4kCmZng!p{uBdifdY%+X7wN!@+q1QtNkj1v`bOJWcp! zdPP~Ln4Is;O%=r@o*}9EsZMI4$V|-~n(q|k4qf4habjxj=-rNYx;NG1Ee?omk?$Fj znNnXoQlzF#$P@!p)3*vSAh$R#bI=A)eqMfw2;@%}8DfRVDl6WWKS(Iv3@7C%A<}$- zs+5cq$}%%^#|!Vk(PHrUd~c>FwPb~NX?m(>XvsEjb^2gz$2OlgwR34&!A`k$`u38- z%>41*l+7Em8&?lnJ2OQr%MeF)AJ`E zG$&X$EM=T1&&hVSugLY5i9h{q*JGW+>cgO%I zFKb0$!;Jd6w2FMObcmBap>uJOa8^18IYYdjF`G9`ENIC{^Bl6lo4X>-o9-E(e?)Fj z_=G1VZ;01>cyLN;W=e^WGlyj6b(IKb)sVRb2L`a*o0{)EByV25GcU-Mo!s0t zTSp(^8Q_~bd(h}yPv&$vd(g%Ko}J#@fU`W+Ic7ty*Slg)M_t-v@3gwP+r6p2{Gzqf zgGUXPi;MCyopp!jjmu9NhwUCQv{;Ovn3|UvoHnMgX<0Rnr8j#*-LO$}ibl@a+&Xyf z!HC+4{fyS7+3Qyi95J;%I8ipY)y)(z zBQi(Jc6EeW8(OYvsDA3kiR8_wjmy~(muVevIXVeCo{j1x< z*!n@?9j0Sj+Z(rsf;iF@u?U%u5Q2UtqMV=kh`MDW8S%=EV^bkUp8>_?wSI4DX|=x` zgBaVYsKR>GXVul-I)7=|^4f9?43#V{M`v$MxqmvYw2^`u-&zb@25o9{KyhtxJaJ@8 z6Vp~a@j&t}#=-P2s;Vf(rbo9m+X1vqAIK0@duk=-v`n~1FI7w=;uUV#Gjqfl4InfP&rMK3%3O~o98vpX@ zS_7$&_L{nNn;iQ;Kb6O0l&}DYmyqUzd4nmL|rN$`a#AWr^{mvcz~& zSz7kew0`ip(FHMn%?0%Z@fa_AXtb#=w+l zg0hm5h|2&4(nEt&Ol>WSM`#YckLWrzN99W05Nw3zs4iRVtE!ANsm5Dc9ktc2tcg@R zOydWZF7x_}S1yVy23dN$#_z)&Rz@~x##0^2x5ls5=i!UIHMO|o)|ORQuUua1uP!U~ zRhO0E9x>2Y;w>pJ^Ut6lVcT-dc3jM|QO*@ac;baiCjEUjeoTl+cYHNR_2Xc z@}iDZsv{QRQ*kvb-vI`!%5-?+tBxOnEaQs97VBVS$OfAadk&f@)V$P3X-X<8{WWW2 zVNs)q4aGA}y(@_15A_rMn(M(MoK3 z9tj&2#X24tI4Y$;Lv&=r ztviWjwC*I9&1A}G-AVMLbtkcm)}6#MTF2wdV(WNvDXrtlrL>MGmts4aQfw(xO6zzs z1Fhr9rL>O6m(tov>v&>WVm!$&F`iVG7*8roj3<>P#*@kt<4I-IzKSYuslT?$zp|#x zzr12)4Ynn{qGsg`T;=dY0Ik@(iENm86WLVrCY!csj#d^Eqksc?7DQKhDz3p3B7LAx zTeWd3Z*FCkU(a{aw18qOmitQlGfL`8DsW>UZ4`U4wRCES=VfYJv8j)6DfX|fsxHM< zL*XL3hVs+PgYv7bs`XaT!i^(G6w&QS6tb(!@Pw}x_jq%flu6At`_KSm>riBwEggwk z-?Fl5e?{41iM-~a&*&t z|Hz6uV}%FDDvbK6Z!-xn37^1ksVScdNDFj^+S{6SKbBxb^mbzNmq_>={y>;7&@~+F z2niT7$=6#o<~x+YFHouEjC7M?jq4jG;m`0Fs_Cyd#p=VYjm<%Sa}Xnaz$D+=xSpsV zYA{|-R{)!z5ooFRckS*7;`qcsSnue#dXwQ2{t5r0%KnW*h{KrD6l(1dFhW%onGu0q zt^S6VKwDcd)QJ_Qk`FSE@5#)1OQaRZFFbf$qbA1MhT}gexj}e@>gk3Rjfz#243HvC zq*DzUG@bE_XoO^uvA^H8o>v^J1+{XoD03MK(2c5bi7WT{vF& z1-2#7+0xfUH&e;e(SUnYJP50@nD7cN#Q|a{RXhw=dS{Zd>h!aKGGI=2Mc8n*_z8gTcR+vhy$*_njl z$2E<(Fy^Fa9mOAk;@Pn~C9&>Axzyb0WlM!Hp&lugh^5p~Tzv*Lw6`_2Hg69H>O;7A z?-Z~l)`5~xd&8D_QD!gwI#nIS*$^vZhA8UKe3sA>+6PR~H^oJHrkB zaB!QSYQw^|JA-(ADA*Vci0(Fy?+Rvo8Opw@ zO9+4z&7y?{uT|}YvGDt6;nxgWP@|)SB{(J_JtkYD?z(Zx-Ev7#El%1&OkGjB@397O z#8PY%Vd@~B+~O(|Tf*`5Nx&g}j=rx)uxLqN`oH-1IVEV=vCBQ$gI%6wH^PZ6lVX?H zO}#uCPj(VnBVRpc;z?w$pJClHR`+C|6vv6zGh%-7aK5{}f?BN7&9Yp;Z(wDqWjh1z;df>&FQODNXXlM+g_^|XXiZ9OZYOk2-O zSfs5NB`ns~%M!}9^{ND)wqBR8L|bo4SgNfbNvP1)k0mVA)=wllYHLwe?F0HQM@>gj#L=TEa?g{YJtnZT(ilYHj^a!WwP;UP7I={vctkw*Dw# zowoiYVZFBgEMbGT{wiUkw*D@`udS~oY|_?0B?PqfZwd9<`j3PLZT(k5qq00gLQq?d zgeGmJN@&(rx`Y;OWlCt()&L2cwKY(}7HtiZ5Ykqzgss{dBB4!NLnX9pYq*3CZRJVW zrmc|@!rB@wp;KF9By?#jU&3~6jhC=PTN5Pg)Yicgc4=#}gx%VjBH?Il9U|cvZ52s4 zR$J309H*_B5{}o_;Sx^J)@%tUYU>CICuwV*gp;*(q=Zwnb(DltwdIv?nzl+LoUW}h z31?_)v4k_V<&$uhww6jbTU*N{oTIHO3Fm5Sg@p68RU_eiZLO4WfwoplxKLYl5-!r# zItdqRYlDPKwB?s@skQplsOYwH0C zPiX5Q2~TS45eZLeYp;Z-we_fkXSDU0glDz&xP<4l^`wO7we_@w7qs=Pgcr5-yo8su z^`eBAwe_-uSG4u2gjcoox`fxX^`?Z^we=$jZ)oes65iC-Pb9pht^YGeFZ`|@*cv;2 zsVnCCYPvp3s6$+DP8C(!X(AnJIH%Ypa_u;w7suEodF?m84?p^OS9^`=#Vh8)ww|ut z_uIvYQ=eTVr^GI*^MS-zpkp*SK)1b5w^#?Ja9u>U#8iU5N!5iW$}q5Ui*&iSCMq_{ zN3dX{GzAMc%3iQ?i?Fdnk8z1bj-6^fQXq7N`CNpxA7(~qpM(4IF$oiWdG^es(4LbR zPIdMee_77nb-KOl413p^_O2#WHr+bho^_VJ>ul{>*iT%|<;4HR*~He4*+kdQ*~Hh* z*+kgR*~Hk+*+kjS*~GcOfu>83huV!ExmwO&azt7>9G zP(SU9Wl;3fzGz}aKkd^OlC@K>5vJeg`*Vq&r1UxnvD75Z#T{;S7Lv(W(r(=GDw3?^ zE7d;S=~KjqE@o>lc93FePugi3GtGL*hSC788z!+wCrN2HZt#s;Gv^;V8tzWv>S#2K?jLU=Tw`$6Ii<>)r%wF>BId%FN zYjTdvus(K2lVhh_$@|f9tmpBLALfW(WHRvaiFozw2)DkgtfbfxlpkWGi=WlKI+@9! z_7HwLBa?1Ztjb>Fk#Gp>we_*N*K5Q2JIX~94D}H8T2*XbvWrAC9Z}P;BWQg3J}Si} zFKQ}wT};erw0G^}4w>oz!L9q`M9aQTx7B{!d0v8F+!-u)2q15hoU19hW0P`<zEWNq+HucPUCO-)U>VkrUc>AAnyzqb1LkziitBDKK;}l*dD1z{IXervFacs@EV-no>pZ+Pk3Bsv3sRi(F=r1K zt0Hw#A>FwUn^2$<%`sU_=WnP_6y##(k^#;|&ZW}1*f|b!IUG!P7#{6jGr3a}|z6Bu){RbmtmOP)kB8&~=&4wa)dFdW=aaiNKz>(W3td=f*7bzX@}YS7WT> zf`$ok!Ejq3RMgZO4tIr$)XlgUpIe+;vmnp84U?P$9UYuTK^T-DmyilpAQw)U=oy4M=Bm}=;be_vY&8{%tgYR8NiRf27WeDFXD z;GDqgu!Cu5#D@<1o^SM#MlUe>D5Dn|?KQgC=n|t#jV?2Kky6%E z^hTroMsG4YV069F4MsN_9W=Vh=w_o^jBYi0v(a0O4jH}G=r*I-hvB__@Uwf>hJc^L`*;FE4s&sF^q@{F9N7)OqR&0f!?dk{o190`9;Q9u zBltCL(PzKFs)Vx#?NosqIq3W`{3eNVN;mvYt-n^32PaZ~2ER|D#8&?CKCJh9w(?8( zlc6jF2mfPa3P(=uhQIO69IIWTJx|v?Cm4K%hZ~QIL8eE=;42k}zr#P0YZ%oH-`dvj zHN{rd@D2PYR>RlG{*9{PJNPeGgE`bCg*v$p{na2+M5?JFetL*Zz6;6IgBl*49;(qI z3-8U+Gp-1GNBv7J>=rpZqtp&M?D_~UuuSBN!Ab3!(k+JbEJ^JWLvY9s$x+_mE9c=YI6akOiT#9}k2VqKdu6}z^KuOhi?<)(@Z`r>Q4 z=EIY3C(@;I?8+pp(5JdoDXL6`UATOvVr}PbqME8DMp1(njZ?O$MJrWVE73|*jmk?C%dtT#@UI&GYVfZX|5mC7uIFdy zCca_GGjs#RBQirbD9V7SH}hvbwuM@grTg41HuJQHX|HI1vqYl_a~2-kb(ph65H0F& zmS{pN#muD!Re5d-LUPbK2i;CKJusXZMKO#Ocluo(>>yJp0P)JVh2rziL(v3 z1OrMT%_-a?&V3nArydm-l1YtmoV_GL3`jaF(XGlc(_Dy)#KjEZrJC>xB)s&0B)n2w z#SmVj39m=OYyL;V8^n!f_Qg($rtB4`Qv@x}M#asspCiOgkyYYmwR7GgZZ&&SjC$l= zai%?W>~tLK!TlX?dErL)cB|3FyJR@&t)!TapMN3q~;JMcmlyx$J&Wx-F_fs0x2Q+D7I7W|AIxReDyX9q50 z!7tc>7qQ@%?7)jz@GExUau)oW9oWZ$->?HOVZm?NftRx2x9z|cEchKe@G=(st{u3N z1;1wpu42LJSD)k~RFCrlJYp&`;;50jMGYihJ z1Gli?EIV*33zl}^%`7&*@3sS-~v1F4i-Go4!n~EPqG8=V!?%W;N2{EsvY=f z7Cg-kd<+Xd)DF!3z_!OT!w!5LTk~Od;Nw~FEIaTCEO?F`_(T>w*A9FV3!ZNWKA8nC zumhjMf*0C>Pi4WycHq-kaH$>mbQZkG4txd+F1G`p$%2>IfzM*W6?WjWS#YHt_#76z z+zxy$3$C^UpT~l0?ZD@=;8k|u3s~?PJMe`pcyA{M;f4ty~S-e?EDgavQ117FI5 z>+QgovEW8K@Z~JH$qsx43vRIkU&(?u+kvlQ!67^F)hxKp4txy@?yv)2%Ywsp;Okg$ zmmT0Xa~NR1z&6jzK;c8Y6rfb1z&Cl zet-pEX$O9g1z&9keuxEMYX^Rq1z&FmeuM?zXb0ZIf^W71?`6Ta+JX16;M?uMkFwx9 z?ZDkE_-;G!V=VYyJMexMe7_y|aTffb9ry_r{IDJPNfx}v4*V1g-e(7Xngw^;fuCW) z`|ZHbvfwA|z|XPZr|iJbv*2g!z%Q`i=j^~Qvfvl&z%Q}jm+ZhVv*1_kz^}02*X+Qr zvfwxDz^}33x9q^Lv*5Swz;CeNckIA#vfy{^z;ChO_pHF4pYnN3w}1aUCeP1!$~#?9 z{+y@0+XdzOJmtMED1X6I-tU6)1D^6h7nHx`DIa!0`5{la#|7oDc*=b)C_myUyIoNJ zny1|Fg7RaY@(CA|zu_sLazXhCPx*`s%HQ&o&$*!dl&5^b1?BH}%9mVFe#TS2;)3$` zJmqUHC_m>Z-*7?s2cGgR7nEP{lyAGB{3B2Kjtj~!dCGTPQ2vRhe9r~tS3Kpg-^|MC4P@tzs>mXL2; zPzs*%UoI#;Jmt48C>@^iI~SBGETsouq+omJPU7t~PAX67aY32JQ>M6}Oy?=nTu^54 zlo>84GkMA^7nE5%rF20#fTzrMK`D9492b-WdCI{qD6@IW16)uJ;wgu@pv>VZ4|GA9 z%TtbUK{=SG9OZ&?2v2#C3(5m{%CRmehw_x;Tu=_Fk6M4!~7nBF{l#5(YPU0!cT~JQuDVMmQEaWLG zTu@HoDJxx2PUR_=yP!OTr>u5CIgO{RbwOFgQ?7DBc_>f0#s%eco^q`V${9T6dKZ*4 zdCHA0C=cT)H@ToZoTsdJK{<=3Y;-|6o2P7YK{*+2w-rD4ueM3(AE&rx z@{~8bpj^dM-s*yKHBWiF3(7S-<()1l>v+n$T~My&DerYbxsIp2-v#A*p7KE#lpA=; zhh0!^|N;wigbPzHF){Vpi$dCDhTP&V+CPr0CM&MK?0w!Qwn|z5xgEdE)fk_OXR^70>CX91kjd9LCiZKI)vXSDZWL z`8yzO%JWY@gbz_nf#EQUo_VaEd^`}GZ((>kcuLcqlX`ms<5=?yRXK*LJY}!A<_D@$ILAgO_Z=p%{=$)aoo$5=J7?~5&VAIm zps?Gy4F9gclB*wfZWy`Gxp|*+`#$IHy-t@Y%UKv#xWg2t#+B{DvitTq5A1c0iS0Eb z@YN*%n{bQ*_(b@6SO^VJ3yrW5;$L*)k3M_duiiBkd89jT<-J&76S;Jyn-keEwi9*OxRjwG>w#8D&`lJJr!CQ(A7ltdYcMI;uJ zC@0|~v4q4@5)~wtk*Fj=PZCv61OcjjwP{+#BLHtlQ@RNaU_l>aRP}GNt{IDWD=*4 zIF-a{Bu*!B28lCCoJHbn66cUOm&AD_&L=^SlL2}x4AA3UfF9EV^tctE$EE;1{sibT zCP0rPfh$Q|MdE4_*O0iD#C0UDCvgLb8%f+m;${-Jkhqn^Z6t0daR-SzN!&%^ZW8y9 zxR=CzBS+(5TuLpO=XNbD!^IEg1nJW1jy z5>JzO28DXt3p`7k&yjeZ#0w-|B=Hi7mr1-r;#Cr_k$9cN8zkN&Q9$A?5=AJ~TWNsa z2?X?x9YL>I5%gjd^;#J9A{Rlgm;gH90KFtf9k=n3xP7FvFOO5$fEeoo?j z62Bnv0f}Fd_>jb}NPI-%*CakB@f#AKkoYZ$Pf7fa#AhUaPvUbDe<1M%i9eF~lEj}# zs9Pb_%?s*w19gLdO6gZg_$qDwYx4Vs#6L;=i^RW4d`sd#B)%i@Ulb};*b!uTNH`=? zNTiZTBau!bgG45YED{4qND>1{WRn;~f>P-mN`7-FUCp5cGlx>b97^JHDDBD_PU1ik zqewWe94u3ib0aEH-RZ)&V9!2fhe6(DQX z4~Mqb`J4O#4lGv&vNSXW>N*OlDY?3FV~f9|GZ-imkXpOFBhW6yiD{y_&?8FIMQ*NV zX%A6clF~2L-@{2gSY)JT49Imx<_wtVh*2UxXZR||GtrZpGO^e%dW+nYevM9T@nDhK zV|0d)sp$bBdgT-k%ILE=C3kRciCC38(UUHgip(j+N9JY;#hdQ*C=w#g>(5Hb3=EX> zn-7>&+n839<0%zAt7iY!55e?V$(Uw?67V|B%Z`7Mi6 zokJGq_&rODTD^r6(o00|VM9~Bqeh9Wl=O+^V-9grhEK{E>^XF3VM_YaUY%p-iIKS( zV$$eRF={|+PQTpZ8TBQFa!|48H!8KaH;}bnq>fE1N*yhF%&MMIKEE=%m$P(i%Bb94 zBFmZLS(>{b#gnolBR6;Gq=C+qlu@4412R)*4H+eh$1hx0B`0Efu9K5HsQ3DbDZO&! z{DQ$bDH+}g)B3CvX@P;mdiL>()KzK278J}A)3H7&`A*7=F-X_Ac6zfjz(1&Ycu_9) zZegf*%eJ*OHP$tDl(aUtH8uq*+d3LsTiWwtH%prQ?d|E%3wt>^E**Lc=*NHs35wg;Pux%G&=-e``klco^R{##ZUDL{GpbM_>V{xzGkVpuu4t_9 z*pvUQpxnl$KWc z7GNVrw#q9pAN5;Rm1mW&v}{Su0&EycnZE#?J=F_*6LF0SB~*J?W5e~NO>Oont|^Wt z4sB^-+KMLbP2R=WnZ9|I<)v8k@U~{_PuuiUq0d`UTDDRZz__V|iK_exX0|D#$aZ1e z?}fhD-zUZWK1u!Fld{z%$SPjuEid(X78K(kslmEtnuL|!$pW&&8@>upjdwZzj!D(N zB~>-lc=J5V%4={yt8wNjDJiS2R&8?_lZLID;8)0`O=8lpBnj9lOxjc?Z5j@@=<=0S zc#6x*u!Kx1mXS%tQZlJnP9_yg%A{ghnN%z-lZxeysq11-^`iLpq_p_4IP(G)Gn0d~an%s7TeG(yFknrm`kd z0US?P{MFC#(!@(1@ozly9|9tc3Y%^p)TudevIujlc;&Aj?$!*SNN(| zN18?TA{G=|s*mxYB&;6wt4XP>D974{>Q!A*QR*wl_K!H|1uayP>KacCu2j0}Ar!5| zrskn$qohd1Lmfw{)DWRg!J;hjlq^ySv11>r6iT2PV)miU2y2E;RPzI?gASo~(_6ZR zs`B`o#+gyByrV|BrqXSp!Qm0FT+N8rwi@x7-g(P#e4Ftc+A!lew5i5(j<*8GbKE)} zpGNCWd>XAg@oAHoG+KA!{b=2ZPos4wK8@D#=(NZ>o|sDOcw#E8^&!%9mARS<=g^mlfhFhX(>^MaE5N!;G8IrW!X{v_)gIqL>&3^p8ylukw^!jR!<} zN1?WAqE_CVib|gz@1$t~#ZoNsmiP)wR+W_FMsM0E_F!r0&<>Bw)V5+%KjBjBTTxk6 zimQggg{Fq`)60YMtEsH?kz z|wsik|@9LTpIy zgD@+L0k7avWQtytvBU*3n#0%7+EkA__=L1PDf(zgw#n9CUmrAu%_8^kHoErHuKi85 zHf{=ePKcYo-OQIXYK%r4>JCzvd_!bd-6@fljU|fRip&vH`5|H`Rel&&G`JDiS9ZTdSFCBSrW%DKEarDLL384h5HMOd&A~_>+)^9F zRtsRw(pnoD+5;Up!NZB@J5H02$7T#}3F0M-fGOd~d~d><5p~(45J&gyZd_)D+eodP zv0Lim1|7obBdwmwR0mo;sGG4TqRWFlF*ec@-IPpwa9*hzr}C6s@CpO(vQht5XuG zPMAxLoi4Ui6UOBu#a!W`iWXxIb*(K8jT<|I{@Ny7ytfM29H~G_Q)}JkqA;_EE*4b< za5O~Hm@W$YGrz@^1g8&PSV9@3D`xJ`7nbc`0drWn<#YBmMx z>U@Egy4HH!KRWd^*KtTk5^?m^=!v>4>|P(x?h7JamuyThzr`*80#5vys3Mb@$u-;t z%x^T&Q)mvPQQfH-(%S=gqa#osZV+8tx9 zsNr716zr2)-6uF;an6#vE}U|=ToP1?6D9~P-qCbFVGZDjrPwGoQ3V@Si6cum9zF>; zBx&z^V;YN=^hH0$Kk1O5ZpSLeln1Lk)vkx*OD08&Xr)@>f#TqJ*7`t$zq6?$4^Qo! z{IPiPU`Ur9SOOU-!C0>p9io$Xx2PRM$JW;NI=mBu2eiR0p$!}q+x4J0O2Pyuad7CuJl-wA1HQy-@)8b%iR64DdW4+wnvU8|d3AOKOv#r^ak4li6a7wA zr20~QJZb0xzJx-UM5JdbQj;7_D#clv?rfZV`eHvF07sWlU+0%F8Kw}``S`oJD-cU` zp(eUWz$mO<9{u}p_t6mu`tsDptOUtZuyJmAZZJrW+fT)>*xw4d=%nAn|ddAM&M zo=~{Z?Gs%tI&oYsVXE%Bt0YX*)-@8QYwJ1*himHw2{W{HlY}B|-6CP8wr-U$OIx=~ zn60flCCt&*-4f<%>s|>SZQU=SSX&QDDACp)38mWFC!tJR4@;P*tw$xy*Vf|_7HI29 z30`eIEn%Uyo{_LfThB=-*VYRX7HjJz2^HFUMM9;vUX!pyTW?5Ms;ys0sM6LiB~)wc z*Ai;9^&1JxwDnsF%eD182`jYqdkHJG^#=*7wDm^`tF`qf32U_Vv4pkS`iq2h+WMP> z_1gM}1fRA(m9Rlu|CHd@*1sgwYU|$;>a_JA3H93guY`cM{wJY9Ti;69sIBiMY*JPV zNNChnii9Jy)kDH&ZKX+Q(pFCi&DzS8(4s9Vp;cR1656ztE#XLQ^_39RR(}cY+8QXK zLt6((=+xE_30t%^Ou|-e9VlU&wnj?WuB}lLj?z}1grl`}u!Li@l`mn3w#G>~R$CJ! z9H*^AB^-zP73)T%fHQ2^VT>xrB?f zwNk>x+FC8)5^b%OaH+P|OSnv18zfw=ty&3JXscermD*~MaFw<;Nw`{DM@YCvTTK$K z)mDpy>$KG-;d*TaCETE`4hc7EYm0=Nw6#sb&DuIj!Y$f5M#3&_9V_8hZ5=P+Hf^0K z;dX7EEa47qohso@ZJjRRE^VDD;cji6CE*@zog?91ZJj6KK5bnf;eKshB;f&VT_WK@ zZCxf|x3;d3ut!^0N!Y8cYb5N`)^!pd($)RbJ}`T!t>gCT*3?5dQ!rR z+Im{TOWJxy!pquvPQokNdO^ag+ImUCYub9n?7i@e+ut17f2rHp`WCm|OQ>C3S5D=X z+Ua20)o@Oc`_|fVbQg}1`{dg1pd@~D%~gAi=)x=F!IqwGsCQe%kWQnyG2#&cCfw)p$|$w}4SAG+;tF}3OY>aNyk=!_^ z3&(UPRJ(Ay<+w&(MjS9!nPTZ;*AEnbx`66Kr-IOmJ?a)p6 z^xa+U)MX3PRr>B+!Uri`c0wdxNON(!TOAr?!icmRx4#NS5P3_r4|n(!@}WD-+KcU^ zNGy_eI+Ph^U4$rU09OqYZKETXv>Vs?#w{Gsq&>MY7ws83a_G)4bm+h>T+w{GU(}_W zZVIV_rF0>=r87ht6+_j1-9w>O+A~R6)znmRN7|J;QZyw{u6n9iG(!BG=LVc1rdh8V zDoLm7{jGKz%M2z%6&2*AeYtsKe05bq{mu<`8DBs0M7d@)GbTWfM%@BS#Ba<#uB+D+_BW@Sg zW$7bhugijUx0ee?{pl|1GONhAWEY8WjG(4rd(ikK-z!B$6lyAVU5pPjw0BK%@EILi zM^_D!9DK&RCOP>bFX{-E+XaxfiI~-t+`dUUMFMHHBe(1(#Mf$9uJs~ex7vq0l8A}M z)o$FizEF^Ea-wkTZt^lk?+&}lEV8TXs??EyU)7)7vc$v%>ymMu9~&;L{kT1GIEq-i za6KLsT-mnMGWki!Q#TYGjDe+~&rkr63eS*mFpR-_=?>mk%GVa&R~oA= z41S3QsJJQ#<6t}i@VCV|Hv8|yH} zYid-*y8trJp(57_&WV}Gb&`OgkzkWp*CM=0k9EdSh#n4xLS*2wRiJJ!q&uf$5%Q>! zu;f`fl0*HXAZI!|dpTz~XG!NwrvsxpoO1*W3Rf@mbSiaIo~qP&88Fbnn1}38i-la$ zoeMEwEdi-O7iTyZIhRleHap)xR+V za-5qadbeewbqlt1_X~k#DmtzDUZJWgG#et4Z zt@TUj)>;57hN1Sot2^*f67xrl+d2rK7Pq zu)MLo5lKBQEv+4X^(Zt(HC5B2?B13pEQq(Ip%r6eR0@_Aav=qJ0FDW~BiomzB|cT( zmu8^SIRBK!*{3v4G^H_!2ZVDg+9_}-`WGl%F-7TMS}_IID2-7u%73cioo4iOqYpQF zhS5bv&op|L(X)-7WAt33Jw_KBU1D^p(Pc)@GkU(!3yk&}z0l}IMwc7C*yswQD~-n3 z4^=OW{7@R>K9t6&52Z2YLurioPbd z=`BWYHF}%T+l@ZT=%bB3#^@bJA8Yh+MjvnV2}Yl2^hrjaZ1gEcpKA1JMxSo<8AhLJ z^iHGCGWu+z&oTO3qcQeE)e9ppl*YIVr7`M4X^gp08Y3>0zQpKDjlRt2%Zy5s_=o^i`$>^JnzQyQWM&D}mZARa2^c_auY4lx2-);0gMq})R zsuxC1D2;IwN@LW7(ik(LG)7D)y~pUiM(;EFA)_BQ`VpfaHTp55A2<35qn|YTDWjh@ zdcV=n82zl#&l&x^(JvVNqR}rI{j$-o82zfzuaOpOah-e}-oPV`+ptzMaP3P$oi=Xh zKKRw0Xr(x}(>8hmY;f*CIZ%7Rui=VOz&V*qr4xpOTpb~?lhAk#% zV*<6qfnDTqkZlel!a0nL&S3=RFjD1^$2ZFuK7+*0QyG{wM<6X0I=_oTPvAKdn?(%> z)hu*u7@EyAG{jhy!y)2OaMZ9}f(MvA(6#5dp~DW@C#LdQjMF~h1*4}p%uMH=Vj^0! z)bN@We8+h8u+ACb& zOtIQDbEcpSsF^dx8nmdsnYcS2gQv&pBu1=KndkICNH#iWqgysQ(0KCmb*twKVO6() zXy6CX5j<()01DNtXSilPbSOB;mQ@JcjTBO?WX9UhpFcFAk6djK zmxWe|%hlw(LR@KPQiOWwZgHGFb>u)7^TGKJQ`z3V+NyV98VkPG4m_O&UvCFKoCV)# z2cE%#Z?*#$vEW^H;F&D=HaqYv7JP>tcs2{Z%MLt;1>a)_p38#ovjclr@B?<>VivsH z4qU>5_u7F=S@1)4;4&8ch#hzy3x3QFJf8(WVFzBof}gSjds*;)JMcml{Hz^#5et6a z4qVQHU$g@+X2CDpfh$<>t9IZ@7W}#$cnJ%B(+<3p1;1qnu42JmT#Sl2oWUJkH4A>* zF6SB+{Ei)X84G^b4!oQNzh?(t!Ghnn1FvMkAJ~CcvEUEwz^hsCM|R*fEcnlM;I%CH zuXf;dEcows;Pou{6Fabv1%GA--oS!Cw*&iG@E3OAS{D4J9k`AKe`N=*XTe|Ffdee~ z8#{0V3;xayypaX}ULK9L1au>+sPf~VPmPiDb~+ksDE!9{l9Q(5pVJMd{Nc#a+T zbQbKf1E0ZyOYFdBvfwg1@J<#y-wu2h3-;Q9&t}1k?7-)+;Kg>}b6Ieu9r!#JywnbS zJ`1k417E;`m)U_YWWg)!z!$OLRd(QuS@0S=@FgsGogMg67VNVFU&ey{cHqldaGf3a z3Kkr&17FF4H`;-(V!@4e;Hz2iW;^gTEV$VYd@T!ZwF6(rf{(NVU(bTu?Z7v%;7&X6 zjVySp9rz{|yxk6bGYdZ24txs>-eCvc#e$Es1K-MmPp|{u#)40>1K-YqPq72v!Gce- z1K-Jl&#(jE#e#R*f$wI)XWN1AVZrCxf$wF(=i7nrW5E~Nf$wL*7u$g!V8NH#fgfbS zm)n7Nv*0W3z$EcjYG@IDrNy&d==7JQ=}_+b`&vmN*m7QD+2{3r{)%?|t+ z3%3{4@*RZ3o`Zg7?~ipJBld*@2&B!H?L1 zpJTy~*@2&D!B5zMUtqyc*@0hV!TasNFR|cf?Z7Xy;OFhYudv`3?ZB_H;Fs;dud(1) zt-vX-@o`L7|MWPfl-GI6Yh6&j!BbxEg7QtC@a3(9wS%DpZqf5%fk}7nC3I zlrOuW{FtYF)dl6BdCJ#aQ2vFdeA5NxUwO*6Tu}avr~H)*%D?lJZ@ZxU2T%Eq3(8M; z%6DB*e#%q6=YsMxp7MPcl>g)@KX5_$IZyea3(9}-lpndE{DPA0Xw;VDyHP&zzix(mu4JY|Lp%2b}R zmkY`?p0c+K%5ep9{)No^pT-%3eI>AQzO9ryT5pvNumT)CFZ0 zPdVHLWgnh$gbT`So-)@3We!hykPFJbJmqK?l>KS^50G@K93(CPfL4&x~gcR@Lvrz~OZ>w#|7m|p7K5yl&g5k2V79D<|%i( zpj^XK?sY-AmZyBk1?4)P@(~x5>v_t@Tu}OW$|qbQASHJnp#;XOq=)9dNMInDGAN2c6CF?;G~3uSLYa zB`TiM~1w4>DyrjI!LmTkQPVvb?a%Eb&drl;sG@@~GY7f}bf%;k1Vb_b$`0zHvi$JDbMc z?;O9!IeD*h+PHnr+4z4hrd)8pbLr4M&J}x{YxX!d>~=PrwCuT2iOnW4H7czY({A45 z?Aq-FBeP}*e!2u;1NM<0-w0m|bD<7updQx8eCWg%e)qaheM&0yN_X7IhE(Tnq?my( zrI(NeeE`3m1^u8u>Vc>Sp&pES2D^ZBT$b-JqqhHl2}M$5s7jVi%C?Fpf`yEy%7}X&7MGS=u~go1bSm8(3>xT-e3vzCQ4XI zVik$iB-W5vOJW^~^(1^GHjwa>s3lQHqMii3&m00|HIUdyViSo*5=W5OOrnWIGl>=w z^g1=5*P;Qv-VEq9Wk9bR1A1*3(Cfc|UgHJyIxaYd#5NM!NgPGuXc9X}982Oj633G` zfy9X^k~oXR*(A;(L9demdMyml>s^3e(*pFm6`xgL zuakI##G533LE2;@p}^QllTLP4@mrx#D^sQ zMB*b7ACpjzLa2uq)Z+%~0Ra`#uY&MZ*!-vD_Zf+QlK7m&zes#R;@>2`B=H{-Uy=AP ziLXigkHj}5z9sP;iSJ4LfIL;r(5+a9Zk{@HyVIc?ln&iebm%6aL$~dmLrD~nIE=(Z5``otk(f+k3W=#C zrjeLV;&2i(NEDHnNn#d>*(BzWm`lP#qL@SpiBb|}B<7KzOL-1md~@h>nL`)29J(as z&_yJNF8eqYBq~WPA+eN16^UvRH6)giSWaREiIpT)kyuS)4T-fR){$6G!bf5Q2|tNi z5_Kf%Nd!nVpaA*?7N`R&`hE_5c|p*jhY(+K)}jG)issLyifPh{X%Ap{*b>5pEhPl7r3<8IEu51;%We%Pi5 diff --git a/target/scala-2.12/classes/include/write_addr$.class b/target/scala-2.12/classes/include/write_addr$.class new file mode 100644 index 0000000000000000000000000000000000000000..49b1cfd891efbf5ab917bf17cec118e1f5f84bc4 GIT binary patch literal 497 zcmZ`$O;5r=5Pj1^OG_05@grV)P+}xRZxSzlBqS&Yl&jgc3zpP2>4*L)FUA9jCjJ0_ zlySCl)J=BZ%-fkav$J2{@1FopuuEu%u^$zI>^-MpF7HJUq^=1fG#BDY^db?@dt+}Q z{oI63aJ(W8B6*bgA`%4idVFy=x^xMh(H}ymgxbK5!Z^&&2>SlPlwb@>*MSYohK4#} z&y8f3DcYThZAhr(4`F7bg1Q3(rp1{X%$_HKBs93VyeS?%ncfO7 zVx~1pxWlPPL*=hXdy*8XFRwxs(U_Sj;%7PifzVq{yI%HLrRyh!yJA9wAod= literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/include/write_addr.class b/target/scala-2.12/classes/include/write_addr.class index 0d814266ed938b287b733dbcb6199b8cff067c41..9fce08a1e808a4974410fad3ea70e7e1714e182f 100644 GIT binary patch literal 46530 zcmcJ22YeLA_5T~KPSQ!EO0(#~0)uF#6R@#Q1tAa;NTM2iK1rtnr^4w(aqqpiIPSey z44Amvxc8RC$uGTEr}xD9zc({iboV4CS^wdq_ukIF?{~`1%+Bt<+kNZvr=JIa`Odon ziaNVnLjA45*}KA>k)S`&+8WLTAt0}%qq8pUQ%mhb3W~j4yHvVOTM}TZr zJ7)E@1VRA;<7<^MI~;5a1zRGs8!5T5v%NbI=?@2&3h*@Vi3IzEGcH3+o9`1V@`bO& zw>CqRSELQ|1Tq}YLgDpzN0c~67mk>dE+&b^h2u7*`{wvOX*2#w7zshmk`4Q>~DJ;y}oRfBnudt+QjmJ47x5!tresWJ| zMsZ=@=)yFwr?h(YR*_LrRC-i?jmQj+JZ4n)*u`o2H8Xb2JYjK<&r|H1HF|NHcg~FV zyc*$YpVG8(rq78qZkv%g+2^!uUpaQgY>}=s;ys&|ja@Wrxu`4g7Pgc*X=|#zB_*9( z7I!%#0=v35EiA!$jOr?0(B>3t?emm~`mKxmoe^Sc&)B6U*g(6xw+c_ovawl{MaJ&n zl+uk|8|MUTR;EuXsm~qm39ikk5XD=Dc>^P>MSA(xw&CknkIqS(ld-AXvt?eSeM)3h z-uPi1!I83h_UI*(Yevo=HFNx;{+gPewOF*?IpHCh%<8o#)8 z?MN*5=y^GwadNn?VouN2Wu-^tp17cQ>lAFi(P>57myFGvwRvu6Rnh#BtG6y_-kLWf zQ^4Hlz^mHb8|rN7j8yb=^>&7W^}UhKp6b7uC7kV}IZ0Kx_ zbY#L2IMWPF6HsWTnbPpimeBT&)-ZC85#Wt&V!7fjt!-^VbQq7*Q+TJ^7{JiCr@N)E z4;@MrgV_||H2JCph#CQDovm1i+GtIw|fa1XjUJ9_#AJSGiGl7d~pq%CC97GZZwEMHZfue`PjOUR^R8JScpC6kKf zWKyxDOe&U@NyXAKsaW0tbzSXiT$S9Ol$P9{l$P9{l$P9{l$P9{l$P9{l$P9{l!oQY ztE{M4?JxJ$t@4-GG&SNhrxTQ&ukB4uRsIb%l{C6^iaG`+MH5t2R772J6i6Q$>|$zb zc_Kn{=rN-6)Esr|bV0BXnxmnrx~9G^TBJr_WkbwXLs=84a+t#BR<8E>%hxTBP6pX} zyU|~R16D>isK-+t%D2(4=JHX?eT_{xaGRjpD*Y57TmqM2-FpJ}lF)s9TjUVakg+QmSsTD4%LqGxH5M zV3nquH!uRyK(Rwvj)K&UxvHjx?dP0kqq_N4@gfo?{dK5)7v8j2q*(fPq@#ul0RBDLm zLBXP|@l~u+2?P5+RwU04lblBLPI4N}XKS6lC^^f%S}*ELr8*VL|S#Ij`8Hm;kC zvm7o2(2Dn)=!WSx(M{EF@@R|tXk9rm3K%{xAvViXaw9H?^oc@kH6_fvg?06Q-QP*m z1d63tQ&ZueTd}F47B_Nfquht3rKKIN%ha}FQ@d~~_HU?fsKi-A;i5xB`RVCF`8Cxy z`D$t6#v?}*vF&Ipuc4MoQbm!9XM!E^Q6A1^PpgQfzEz>NK1(hNa#R!K;UF;IUX;U%9TfsuVAL zY8juU621xF^1`R^e-ge1U(ZJCJ2)M7c1Pwm<7GiGK-UjbYo_5$i#HuWChS1Y+^NxZ z^HOw?@I&}f4rIWO5fi~XF?1ADGsUH~w?E2*S6fpDUd2p{ZJO(n?3gp=cvL*cn4h}% zNrFlECH#uY@@u>t3iO3~y4!U{R$`mz?d0;Wl<+(FJz@TUuHj&BNWg@tHG^eiF1ZBW zM)Hs|(oKyQZfKZ&~bg4FcYR%?^ny8yq*j`Q~fW^-WbTs=T zdwPR-fZ`y`cU(fgsc;GZK>%b3fyWS!V|rVtvsb`ql~wfc1a^1&TRH;W-N8^FX6PXw zWIl2rGwUryhR7uTEIhSQ5#wdUjyW~8KzNcG=!O}Mjc1e!kV1-F${~+BG+v8V_-gSq zK6ToFYfmip=A@)A_HxwZjHNA(mo}*kQVbKrsi-4xurx>Tc;Pi{N1(4`sE%&BQd(~d z4yi;CW@XXg6tCR@6DU<#W@?y+rjJyN@3 ziBz@PJEER5lE&|0#!Ch@MkjW42PsUxQ8FZ75O3LmL<6_tW5iUxOiZWB&%la?cLsL` zyZyZZyf?fD?`Y7?j?C6TB;coPy*gdl!%|(brgJnEo{kP{FgXbU3v|=q84~aI zG>5U(f>^VRp0>8WU<3zvED?Q|XmaeUGQ2a4w@HGggcGOMq|6x!w_4^RV%cF_j)}FA znmGq9cW-Jl8!r0X2gYxjxV-3ZP7f6_kj{K!CF4Xq=*n*@QVWUNuM*II>Hz~G=t<=gVVk^hmL}yDYis(JhgjQ9fDcI8D z4|ccowBq^EX{E7_Jv*L=ov>98RNRk)kM^_%wfl-EwA7B~BS2CNEuA%Im+M12|$Sc8T3o!9A+P@tG5s zPXcBRdGu=rG!{+itNt7RA-e<}cC7M%@?e!0+8yEKl1Xv8ID=|=CN6f8S)*4UcsV(G z(0!PhId9cusd9+yTe5i=E6KLq=`!;EP%;m zU4|>*=wkr9oWZ4D>JtD73t26G^4GNz>ho!%jb1prvnO3H4J22}@upQQeOF%`=FBM0aYU zy97+a>Xp);A5R>3)#oo&x6-1|V*I7S9k|q+I&cj)#UEwDlhe?aE3M5<0ZyNa)m-N5VF3WlGqtEw6--wsItNX)9Mkx3=;n^k}P4 zLa(-lN!X#S5fZ}M8YQ7mTg4J0+8QIFUt8lO?9|o-3A?maB4M|-j+C%RTazW6tgWLY zoT9C15>D0DbP1(LwKZG98QPj7;Y@AKlW>-{7DzZ-TZ<%|qpdGVI9FRsC7h?N zVYwIpe>(-3$;}t;UaBSNw`>B)elZEclsy|(-kzO1c)gd4QgBH=6A3QD+9TkR5V z(pIO0o3*uF!Y$hBl5nfGdL-PYtsN3>*H)i|JG9j=;ZAMsl5m%{_DHx}Tc=34M_Z>! zxK~?eNVrd1XGyqUTjxl4KwIZYcu-pxNO(wF7fEn;gj)z&={p4QfV z5}wi40}`Iq)535T@xRSBlq2J zYU?=(uW9QA39oDGB?)h6>yU&uwe_lmx3u-TgtxWzri6F2^|pj}we_xq_q6rCg!i@e zp@a{#^|6Exwe>X#A8G3w5Y=%Qpsvpn>J&GaQ*FI= znnI@<&ME$sTsux4#4-LYrd)9^au8Xv5*2_oK4%>F`L%4b2ja3=WJTo&e^oFowI3WJ7?3* zhda>p^zmrBqerfm{g+%V`!Bg#_Fr(SF={HzD{(J8`pWa!k-+$`=o!I86Csu@#3Y zpMI9Cod#`Tx<7w7m)Ig@(1Q?{MSbXbw)SEV zQatiWJI!Lc*&qQ?8o*V<#P;YoDecA`eB%}ipVFROpG)+Nt{e{M7hO7V6IUXiJ}&Ck z&0z|uLbG%sxv4Wsnh>#d__{{}xwPjHWmQ8{MLB6#Zl!2Spj`D(v1o+kG0$~4LrkMy zHPjFXU7v5Y+ccLEVkGVRNa8{@015Si1PCc8C^C2!%Ur))e@b(07LDz2rHt>kM(M$vN7?h8T_}%ubh* z_oMUJ!1En{n4^Bt&cL@%)N5cxxcOZLCdJR7{2@lV#9lq9lj#g?9G3NYpg!5i~wSpOq5g7c~_-FD8dI+Pe<1 z_)HAsqq_z}EIyN6hgf_jyAHAVOm-b&@tN#8#NspAb%=|nMAyS!Jf%7haq*PuJjBIQ zsO927Q>yb27f*@KbUiS{#Z$7YW&b5s%l=EQmi?Dp zE&DIITJ~RZwd}v-Iz+psgum)}VLY=I!B|y_iq#I>lt#gP4qa zb%NmLeR85{Uq{?(KW?3uMVvZ*87vx{QHGM%flVXSjyHcTLw3DLR?@{8F_ z=XwDpu^JEJwG`vGog2K)mmQ2v$Y_j&J6kZ~aA87I4FY6-Q@d0;H#s+FBiAh$bRG{( z8R)tcAM0aHZ_9>s2ZI>AIMK~k4A;q%NkWK&Vg(wcAmlj=|FF9 z2t$Bo$J>bq#mk{Pt@Bmq>1?dpGhFqlBkJlu=Y>M&d5PZVWux^Xwsh>h1Q_mEp`zUK zF}glj*Wc9~3^!31qju@RR~W3vXg}IEi3O{Jk&d3$HS{nph!w+FtK7y2{%m@6px3y_ z8jSo7tKVe@ad&oOPk*>2xEz1MjqyJX{oRqyuHgF4zD^|db$9nf0_tbo7y;EtZ%nA^ z4q-uRy4x`5D@&zdS)l~dARVwz;4|DJ8p!zeh9VlXO5=!C8po^BI9ip)v8ptVRHboH zDvd)@X&jJB<8V|O!-POMFQc6X^O1jn%BP&-fH19`LU)wLxEtkvj48*lMlUn^IHQj@ z+GljR(G^Bl8eL`da-*w_USV{N(JPH!Wpu64tBtNRy58tDMz1xx!RSV#n~Yv(G)9-G zdSPsd(imByG{%)EjZq~^V@!$CTa4an^od6MjXufffYHrHw;0`ObkOKFquY({FuK#| zZANc5I%IU0(cMP(7~O014x__H_Zb~Ay5DGw4pH^O*bt>LGDK;N3sD-QLX^gs5T#Ew z`ZS|YH~I{t&oufhqt7<_9HY-Q`aGl0H~IpjFEsihqc1l45~D9Q`ZA+0H~I>ruQd89 zqpvpl8l$f@`Z}XAx^__$PT43u0v^z>QEYEI+VWI=v$1w)#%%dzTN0MjK0(8 zyNtfu=zEO5*Xa9nGx~j_KQQ`3qdzkGW1~MIEn2`!Ki9&U?-15%7|ycvFLN(^`v83R zVYMOPd+>c+&XB_roE+0oXOxXO2tT3yY0fLOt$=OLt7wnZ9`IB68E(NZQ{yF?2g>w2q_|43I9sXVeCPXW}Cymsclsbp9?3R!@rUJ zbIL)a3lE!v_Y2D*TjZD=61#`U=QBv{9#rsH_t1qd5QTRX3h~vi-lf1NTHA6Ia8ZObqE@3;!BBz#;$=0l*6%N891up*WijZ9bL1^#*CeL zP*m|*lxd&XgpnnVH^Vtg_|T%MHjA!FkyWis#c`@ixehuOpi2Snq>PsjQni(QzNuBK zjvbEyRjZCwZI!4seJWnH=`*lujeHiVRa%0kBf3ATBX&PSsnh>t12~# zbtc0IWa58-yfVzNIK9|YoN-Y2`D|Bc&sdA*iS^@1bpc!BTr@3$;hIhqfrncF|$_ z&lW5Tl_p!)`Jm|KY3FONSbej_Hq*@6cy8CtoGrGaMfJ@VA+*v>U+Pqu7p5bm0G$ia ztpFXUKkeY_7U2tFRkwbzlkY$$^Q5UAC|a|uSk1C@&7vJBp&nR=Q^ct$gK_$daR|KVHq8ar(iu>cYBgB2tS>k>*I3ExXnvoQz9geJxayam#cHm_!_;EY%aV&VR9r$<_yw48oW5EaPz~wCXpdGk^1wU;Eu4KW_+JUQB z@bh-yJYX`oJ1=rbuFK5AP?7&yB;08PJl`Oc)4ty00UT+7!ngwsP17E{}H`{@) zWx-qQz}K>11$JwJMeQX_)$CX^DOvrJMarEc&{D! zMHalz4*U`eK41ranFSxT10Q0+PuqcCVZqPZfnR08&)b1tW5F-lfnR6AFWZ6NV8O50 zf!}1oui1g$V!?0Nf!}7qZ`pz0VZra%f!}4p@7aOhW5FNTf!}ArAK8IFV8Nf*fj?xy zU$+B)#DYJy1Aokdzhwvhgav=w3Y_*GK91?(|2>W=?YlhXqb?}F$5TG;g7W)3e|N8!jk+%~QVRg7P;!53Hc*=z?D39bR7rUS=QdSC%T|q#8aN+f^soW+3bSyOFU((3(6%tWt$7i zr95Sa3(8}7%55$vkL4*tE-07rl-({UkK-wOT~Hp+Q-)no`gqES3(9hya;FQ*3Z8Pe z3(88K@?;m3RXpXXE-07tl&8C(tmY}tbV0d-r##yQWerbxt_#YQJmvW=C|B{67rLOV zi?>b7CemwpPmfvWWi-D z_{?PRHWoac1)rS^-p+z&u;6o(!66nr(>af6?F*8@T`YJuoAX7<;BFRtGz-2Y8QjBy z=dj?*lEJ+UxJX?{%ISbHLemz&Uupd3L{ZvPmnLn2>m?Nz6=0J3Z={m5_L*Nz6z{JKLmr64K7a zv=58_Ml)8ie2~=z^P| z8yfje0D8CTAS>(Fv$0qMn5MNbKWpB0dc=owrcuV0sq*dHW#Sc^f~h^BKNNy;&VI z^#X78B5qiQQ#Tw(;&>8166GW+NK}%jBC(uAHHj4@YDlakv5G`3iPa?PNYs;9Lt-t7 z1`>@Vnn=)#WYr5{fnMwi^nzBP7p(%lFcs*G51NYLB#fZmD+^maR-x6}c> zZ4T(IaX@c>1A2=a9&!|ClQ^Bk86?gmaTbYlNSsUJJQC-VxPZikBrYOxF^Nk^TuS0H z5|@*>g2a_1t|D1e1oT!Qptt(~y`=}}Z9713&A|?cg5F{S^fnr}mBei% zZYOaEi91Q$MdEG}_mH@k#C;_0C-DG@2T43c;$aexka(2DVqLjoZBo>fR>j?TX5zu#`fWEUN z=u*ipTrMH z{E)qI=&m`1u zV$`o#)bCEzFGbXEJJhc+)bA($O@5z~_zw#8I{-(Jl}5rLkxs%xB7;OGi7XOc64@kj zNJtX7B=Sh)lPDljNTP_uFcQN_j37ZVl@3KgIuy6)P^6(lv4IXn^EniM=ZqsUp2U$P zCXkp&qJ+dG5~U<2lbAx{C=ydiOe0Z7VmgT#BxaJBMPfFIqe;vmF_*+V67xwcAVJUM z9eRrH&~tBxo>V*ZY}uiw!45sYb&e&mjKpyyjwj(GQBIAkj#oiNrb*bWhizyRZ)3H+ASvr$hH99lD$7(EUGWD~S_H z_(_~ZB0!>;-$TtM0Drx~}WGx>a4*RoCyFd+(IYoP@hRzh8bi=e#@T|G)jdJMW!&@5TQ<^cVmf z;5;K>KucSFsH-73byK({67&Ze8p4?%1oW+MZs`n$rcW*IYHJ7uGrLOM0{%-a*lF6voPt?=j^lbE4(Q@1x2wAir+Z>~$?ejv11>_w>%e>B|QMif6Ph zESkJ%%4&}opDUI(WM@0`(%0n$vNAm0^jXt#*UX44DH<`TSPU4GJ}j@ca>Vr3CB=E( z;Nncrk_p*?ab8brbxwJ{STfMboK`-5iq})?jG8nc&?nnjHE&&BzRd6(mOf!x`>JWJ zCHv-y@zRqvaCX67!ZWG3pC>0Emd$9du8NeFh~YEyvnQnGmx{gf2K%OqX=1XI?OQly zfta12y7CU{KaLk=D6nRQaZgwIVAx zWbV+m5eMZvxq+2S*NXI2Ln4{ugcGS=H+g7&@$7Y7L(&H3dx|y&D+>1VYK?fO)!%pR z%6+`!^3pdiA6)F+cT9R-URT?QnVvq=j+xmyJkQf7SI*2`Gu@M`b-t4)3fo7_@$~Ty zIY5n)_|^XX9N#J?e*&u!PGLeM$`xDo6#?=BixQ|&W83*0lB5#8n1t8 z*;0SGucC}ldZnr9>Iel7K-8h#66Th#3v3Kb4F%eordHOi!?kG6PfMAP)LAuwCjZLz zFxIqRc%x~A*7k<35SDUadAv2vM+iYb)B2pB`3U`TO{+(}@)Foocc4$7;zc!nZ)s_j ze-So#{HVMV^HHBwRe4wWOUstkEW(DNl!c4X*;~EHKMj}YXhOAbH8xxp9cr^raZPa| zar8(N(@`REE_oMY$NLvlmX~7DW5=3p5FOJ;MSfpJY1yHw0LD!vOjG4oFmp^9#gB`U zJ}>fj|9pDV=hM~aS(L3VLEqw9UwNtDyQmlkNe$LD$0Qu;J3v7H*nz*oTjN`SpJP(B ze_2%xHQoYmZFvn2el^a#B_(Cm)v9gwXVS1$Q~ZjUwCPM5mLvr`gGoD(Nt=noEwOxM z72e|VGAtpJie+R{v6M_ImXk@vk}|1SRwflo%cNp?yVZ56w|Yr(ds13*ds13*ds13* zds13*ds13*ds13*dr}&fuWxBd$x?r@w_=IE*jH1H%bZS7c0X;eswwlY^p(==(kZGB zOo}EbD=CS(^id$)H8{l7(Sk&T=FoFQ=czd=YIQ-d5SpW^Y@x5RB3h(sZ)sJ`R#RCM zsdAXY=aw$@`ipB9L>Ge`eO&GL;e?gZ0~+y^hw`oVtF?US0&jHMDYTBN!q&@9eN^e`=#NL1}#>BTY)jJlP1 z6PCP~BcP!d*;`qiXVR+M9HqxGsTsVMcAWBbP)^nw;GNp+3423IOw^(cx~ zVpH>Ivr$sK;?a(yRBDK5r(jW*c}te4gzjS>s}xP38e;a*!#HcUPE_*)tAh^FcGE|? zhN|-TnZ}t>uDlaQxu()>p~0~cuUyTD*R~q*Ilcw8IKItzjvkot96eOyInP&t<2h*^ zPfnwCCpnGQo#eFXOd72_$$qr%B&X53lblBDcw$<79ZyZAbv!kd*74L-EGLtSC1p}+ z9ZzMTbv!kd*73wtT03bSPfkm2Px4D{PfAN}PfAN}PfAN}PfAN}PfDZml~;O8{WX>T z+Uhd@vhvz$EK6p2b!`!@a<~ydD?V4}SG2?EaNvLhR6dNyv#gV~*qFImZ0dH<2Wmgx|qO zRF>c4cauP8sJ*R8S7b2`7JZyt{>2jh2%i$>Pv{yBc7z0sF7)-3jrsl~@LEVZIU`+R zyl}n4B>V;bN;&-vySy&k($Ez2H_`W|L50562{lnyT(G^INC1nU6=<&WM>cl^v4i3u z%y(o$zNv5tU&FsBvv05uu^-bKLoFQw_EuR%yC-mDi@&}((AE|Vbz+9;0=uM>v>+NW;#fFNP)Fay+LNPU!YU z5R1J!De33^ZfJ7G(hiH4HmM8}uSn%kQSnNHtczfO;TOv0KxcDr1KkWIPe(mYsYDQF zWij9tT;dh8Jj!?gu8@fw{>JuD1D@ei(h8&)tRX{8w)9or6t*w9$Bxl;n0DRURI8~u z>Nz=S{_bJEWKd(Y;81sv!sHtz!|Kk6w`_N!?nm)CVk$pIZ$x@jgOd3a-87+Wof zHOpvkZ0rn1aDvAY(RY7Mj;Aac-WbMf7C}?OF@?UAH6!7=M-h(h`Fn7g8*3xAa&|vb zS2t)6r?*;J%2Ycoyf6_j2zJ4Qco*!UNKzamW>K@xR#gvmnyNeDPOKo-+oWK<=j(bO zj7vm#V{4#ueW0zOH4v%Cc`>`!0o1(~N&SwC8F8Va{>3(@BU(rCqD*2YSgVqFtzwjF z?DTM?nlLFlDHe!@RNqCILw$Q&V@p$4I8YbD#e0*0_3?U?(Dkl4F=j7aEvgFQXo#mV zT@>?YK1(VIP9MCogi>8z8xTA)f+y6@aJ@eq+~B9&a2~V4Xpt*#1d1!bXcQjrRXuHz}$_HMKJCbNa>FL}yDois%K%gjQ9vDOg|c54P2} zH{kivX`s1|BRigmgK)K;sB2>G4MFX`DBg9wjS1$nq~%}0i9Zund@?h+#@c}Sj3&B= z=0FD3oth!DGuYs72sXqTL{}U8cRAC)3}tWGB{hH)^`e0~4?6)TVZ8bM2jaG$7SvcT zVG8z1v+k3Yn7b~Va<^O=REbk2h{-EP_Y>9tj#!FT(MA<)S0#?GoVa}wFs0Yt_jPM5 zTGE&NFaEs_3F>yNa<}qel@GM*;pCD@5fNQf%Z<3%NoH*bHU_#vkplc~=M+xBs|S1c z=z%4W@e)k%NpX}ons|><=ZDCq_Re~|ZG#)y@W$u?4vORSpg3Ma5lqhpk2q1n3>Zh& z$+!=WUYW;RE4blHy(ll?K$uC+r=v&Ixgd1ZX3DE85-h->h$UYk#hK!)9P~R|k?L#p z$)wRM_!16+SwwoiA~ng0q*7d<=`O^{r?2+Y1~{>V`a-{i*)WHwF2&EyZGrAYmusS5 z2pEgiE1-Wro<1VMu)jcE-HP5G@fQR);D)cT`%ZYm3IS`nr~QmuVPbcVEWlIz$dtlG z@1N*$(Kp8x66WfzyI#UPZQUqgzP4_baIm&+m2il*ZkOQI)}0cHwRN|I5^dchp;TM< zN+{FT4hajib-#p#+S(;yk+vR^;M3M45*BOgF$qhw^@N0SZ9OGnskWY$P@%17B~)tb zc?rw3^`eC3+Im?+m9}1$P_3=kCDdr^O$oKydRxK@ZT(WhN^QL-;ZSY;O2R5_{YJuS zZT(il8g2bf!dh+pUczD8`h$eSwe?2{er^3p!V%i~vxI=QzK~F-t-ngB*Vf-9G-&Ie z5`xHf?1{XxCPj zgbr=xNZ6n)DIu(_z7jgM)n7tHTLUC?X={*#joKO_VUxD@l5nK9_Li_&TO%YKrL9pC zj@H%~3CCz_tb{GvDv)rjw)T~9oVE%j9Ivg35>C+8WCDrnt;S6ofm2jrE=1VwBTZc$ETU*5v&e2w>gmbmEK*D+2S|s6o zZ7r6tRa@l}F3?tmgbTH`Ou|Lls*-TAwrV6?qOBDYF4fkd5-!u$Y6+KXYpsM|XzOqZ zS7_@930G>XPQq2%YLIZXwi+c|qpfBM*J^8>gzK~wl5oAY+9cedtqut{YAY<^CT&F| z+^nsQ5^mAfkrHmz)=?5})7CK(Zr9ea67JB}@e=OT)`=4C($>im?$*|+61HjUbP4xp z>r4sTwRN_Hd$o10g!{C0zJwjxxT!};c;!?VYjyKk?^#(?v?P2 zwsuH(R$KQ=curfpBs{OJha|k9tw$uhsIA8&yriusB)qJxrzE_ht*0fts;y@wyr!+^ zCA_Y!7bU!*t(PUdsjXKfyrr$zCA_VzH_h1#zi|gzPihHo7r#tFw)&JGqvl)J+!O2$@jlFo3^!MHmz&tY}(h(*|e~ovuR^HXVc1d&ZeFB z)X{W9aDThrBUj7uORkpVms~B!FS%NdUvjk^zvOB;e#zCeE4Oax_?KvKjBeC1+&HHT z$8;-HyKtxFq)?hYIL3oDv}2Ejiv`|jKkmGn5RapsxK%YdOlJ?}i^nzWp?tA$hdq=} z-`>?uJ+?4irQefFY?IPsC&UAWG#7We)v-Y)j!3(4=c{N4k*`$yaNDP-58YzcUTi1D zgORk;e#|iIAx239xN4Yi8y&i&-MG#-Zn20a?a7U~M9=8XVNZV1tpm4kCGzQhQI~G^ zP)HRmr3=X|ol(++AgVprJsMl3J$osunwl!`NV{@7MN7EFjv+hf)giBEUvx0=?GyFtUJ-76SFu9zGbrE1NS8ROdvr2`LCp|;I3trTQ#{Ka+au`| z)??}8W3R`8?ddER3;WYu)MHlhamg+cu^>TB!}g%@>HVyf5K^eA*mW^E($LkP6R`urG|qd+83|S1QyN z-dCESExfNZQCoOlX_B_^zS3lE9o3yqMO{gl0{ao&770_e+i?>1*VYLVrfKUWyu&}Y zrL840zk7hg3hA8UoSFk;ozw8ve*A}tHO=AnO%y4X>70=RBb?K6U^Kamj@G4zAF*aS z=TOLNWod1B8OBoN$LijL{~YO@=bWGIoa=1G5>`jTE%g|Icwj=K^#Ejk@QPd)Iv3?2 z*Tn*c#{*TmyUxKI{8;Bpb0E#R48s6%$(yQfO=LP4y^v8rjf5rdtD`{FCkk?F+Tr z_89(HqN3jVVYGd)qN}wo7_OngM(xs$zsp~N(SLMo68kR=Mw;6jmeFmwAXW_H^mD5t z_?zaXfezy$eHaNIRzI4?-|$0Db$eI1KDYpXUXAfURb6e7me$~kmd+L=^|rOOM*`|M z*BB91O^*TawS}-CzP3h;k;+mjSXRgf52OK(3A~#-fTlD)l3)PMUZrvFDvdK&X`Hu8 zqZb+NGkUSnON=fzda2PBMpqiW%;@DtR~cPx zbdAxqMq`|bsuxC?D2*{DN@IkH(imT&G)9*wz1HZ%j6U3GztKk+9Wc7i=z60Yj1C&z zXmpd&%|^Evz0T}Mt2#FaU!Z-7$u@K#)v455h6-s ze2CH*9isFxMsG3tSfh_K`go&HF#1HJPcr&sqfasVRHIKb`gEhuF#1fR&ocUKqt7w= zT%*r3`h26e8hwG$7aDz$(H9$yaUQB(80Dcf#&{@=5gtloe23B)-J$fAMqg$0)ka@q z^tDD`XY}<(-(d8OM&D%g%|_p1^sPqUX7uew-(mEfM&D)h-9~RS`W~aV8-1_Q_Zhvz z=$%GmoQA3wMrkOGF&au^goe@>pP@8HXDI!M(T^Jan9+|L{e;m^8vT^fyN!O@=x2<6 z*68Pqe%|O8jDFGRmyCYd=vRz>)#%rZe%%^&R*n?pq$lTFu6_ z&x1N+;_zMY>pRi%IFHaVdI2;#kD?r+J>WO+0Upt3?;?|M=s_{*0uTD5^Kaq96v|1v z;NyGH$&PY-GUXrOlN3rUK*i&+xgSECUDs05T^IpS%nH&KGlxc8S$IQ`eke z@CBYlJgN<{J*o}9Q0?#!_-ASkBX+^RZFBgN+E(T86?_}d;Y(!yO6Bk$_>Rrt$Db<) z+|*!Pc*^h)>3jyM!-EPQ8y>3AB12>v%Bfg8s$crVT|)AVQak8o*C%L^g@wu$eN)Oc zX_pwtv!s+u^usQTmJ1JX*or|sGr!^35`Z&mgt)R0d|vAzCc-emxYr zi04dg7BwJLv(O$fR%jd=Vyenvx;OwFH4~QMhBOUbvnCE7F=dyS!)Gy3`@|NEEODTj z&RJq6TC~(=(U(tTRU1z+Lsco)LC5~+(jPyhs?F`8YKQRordDlUtUtO{ZC}FCS%ond={xyD=}GQiBf7ks+uT+{jh3uX22?yU}mNGU#6=Lznwu`i9tVR2Za$DzAew8^sA?*mP6BYp7`lADl zrzXB`>-a)g)or~9@dK!XCruqd(VAt&YL=yI79Btd^}sr85aE=`IBD|8U1F1EhHB!` zGDy=hI$~*BMkiyZ$(SZ#Y(ffgq}YrdOWUQylh+o0x76+Ag{3~0Z}}7WGTXE~6p2jJ z^6~QQ5GV1B-O3X`nZgXFQai*cc9l9+oMu|21m_|(Y5$2k#2L@wcIp9f4w+OK$9bG2 zh(1p8o>G^pzZvF2oGZ>_2)Am&i;!^Z|44YTxP&3ROcP##gqQt~gjb5I%;<~nnJ4WO zCvC?nT5NE|HSwzr;_B!sagCas*NW>*f5xeY?-ZxlQ^z;Dm=Df(n9KI=4OYDi^H}gr zcHsFe_!c|x!7TVTJMbYa_zpX;mj&Nt2QFs8+w8z4EO@&exReFoX9q50!8`513s~?2 zcHo69_(41HA{P9x9oWZ$AGHH7X2Fl!ftRr0C+)!HEO@sacqt2h#tvM;f}gVkSF+$2 z?7+)d@Jn{!~dbsf&`;;BW1~%`EskJ8%mN z{=p8sjs^c{2VT#CJ;DwgV!@6bxRnK`+kx9yaHbu&odsvxfjd}mA3N{{7MyDb4zu8X zcHmAHoM#7)u;77q;4T(C*bcmr1rN0YZ(_m2?7-aRj~$-jcHqry&Li!>N3r11cHpB~ zaK0V*7#6&b9e4{19%lzWmIaTu10TnNC)k0HXTg)~z$dWaDR$r!S@2Xl@JTFqnjQFL z7ChY!de`*?~`I!E@}uXRzRTcHlEv@WFQAvskd#4tzEXF0lij z!-C7~z~{2yg?8ZcSg_9yd_D_aVh7&Jf|uHXFJQrycHj$H@NzrwMJ%}54ty~SuC)VS z!h%=YfiGpjtL(s+vEVg!;LBO?VRqnOuwcI(_zD&rumfMog6r+TSFzxr9r$V%+++v7 zh6T6Sfv;u3>+QhTvEWua@bxUX-41*M3*KM{zL5oY+JSFk!CiLXn_2KCJMb+mc(Wb& zRu+7;9r!jDyu}WDI}1L}4txg-KEV!rCksBw4ty62KE)1vHw!+^4!n&8pJ4~ShXtQy z2j0$t&#?pF%Yx6d1K-Djx7vYsu;2^rz&ly+#dhHPS@5NH;0IXn<#ym*EcgmL@PjP) zDm(B)EchBb@WU+lIy>+qEcgaH@S`mFCOhzBEcg~X@Z&7_HaqYWEcgyP@RKa~E<5m3 zEO?t8csC2)ZU=sv1>a`}euf3_v;#lOf*-I0KgWU}v;#lSf*-a6zrcbYwFAG%f*-d7 zzr=!{v;)7)f_K}2Utz(|*nwYV!Oz)&Ut_^9*nwYX!7tf?-(bP7*n!_!EafCJ#X`IOgH@WI3~|KJmpO;D1XUQ-r|DtU7qqb7nJYuly|tGe4nSh%LV1H zc*<=qD1XgUZg)ZX8=mq$7nC3HlsjEe{+6eFzy;-pJmrHfD1XOOKJ0?>BcAe67nHx} zDIa%1`7uxVqzlSF@RYkTu^?&Q@-Vb@-IB)J1!{y%2U4Ug7R-X<@+uu|ISnX+6Co5c*+l4Q2vvr z{LlsEmptW1E-1g^DL-~W`87}Zi3`eq@syvsp!|lX{LBUAzj?~fT~L0@Q~t#T<$rj} zzqz3Nj;H*G3(D_#$}e3|{=idy?Sk^ZJmohoD1YQBzy0Zy_+ugVw=mzipcFji4=yM@ zJmrrrC>@s4BV16X@sy4W%5r3=bQJmqp1l#_YNY8RAK zc*70ND_u}df^XNt2-orC!Fc6!G7n2D>( zpVH5i?l|uVMOdX)4AY$4@2?E*rl1ynW;}? z*5h)FdE)c{aY2t+;!~$7%Mq02Sv$qnpDoMFd(0A_OHEmhrYz6iDK7h&vJ}oK(WOKj zWE%FpiNkj~Z4>WxF1X*hNE8KZ}lKk|-mw zfW$%)i%9rLEGDspL^+A2Bq~T$l2}G!If*I~)g)?2(1T=w9taEcU{|0Aw5kWK0zEJl z=)tEz4=@FK5Gfo^!cXD|5&;r*B~iPK4(LE=mjXOTFY#5p9+C2<~!^GR$aaRG@7NnAvNo+bqJR3MlhkWl*we4hdUy%!4TJxhXKwIk@|IO^3v>SaDa=Uz~!L3-f`(A$#K z21dQ~3e=u}9_25trIqn*67P`sC5d-Qyhq}F62BtxYZAX9@d1h7lK7Cs??`+^;`byz zCh-RnpOE+?iBC!ViNt3l{!HR?5?_!|zll-5Vo|?4QNI*XzwJ=J#!$bX_=^0#Ch;#4 z-;nq>iEl~#hs1Xzz9;bmiT{%L5rvARb_7`-5)O$p66qu|NMw@8B9ToZheRI|k_5$6 zIur%zP~4(Jk%kV%209eY=TQ8eGl;}s5_^*vLSiV1y+{lrF`UE*5+g~BA~Bl87!vs; z#*)~FL;;C$B=#jSo=w>qx985kdj9(FEG_0qxy@_S!%%NK@}sqBn8~+9U~q zuhI|#_bx)v>udzQ_eIdVa@0#@1id**(950FJBtLpR!Gomlho^4^s7_wZ6SiTc=}~1 TKwE2|_r*C+<4MrLx8nRCGU4Fh diff --git a/target/scala-2.12/classes/lib/param.class b/target/scala-2.12/classes/lib/param.class index cce5d4ed54bbb66153428f3523383f07d8f1132c..4343daa9e97c1b8c82ac49e3789d48d6658cae46 100644 GIT binary patch delta 30 kcmZ3zjdArh#tn^r{LDKUGng2(SavdIfvC+B{ATk20G}-hGynhq delta 30 kcmZ3zjdArh#tn^r{46^eGng2(n0GQ}fvC+B{ATk20G}=iGXMYp diff --git a/target/scala-2.12/classes/lsu/bus_buffer$.class b/target/scala-2.12/classes/lsu/bus_buffer$.class new file mode 100644 index 0000000000000000000000000000000000000000..20c511dc2e182c90ae51a313c3c97f2c65176de9 GIT binary patch literal 3889 zcmbtX33n4!7`-oDLPMaTr9c5$2T8*cR#}1wZ9$4Dpn)o))=BbO1|~CMGGS8@H*nu@ zUw(q;xUd~K#~i=A)BDFBYmo_i}_Ag^t&x z>q>`}PGgE+d3*Y(!YR|lys@N()bM@MA()SDQ^g%?$uw-M#XeQwl%`v@HBq-TOJ35p z?%A$}V8GLd>FPv6^(fVtz8c3+0>g?)tAc?%WRy%%8LNB?t zb0v<`luF%nBWnUT(vcz_q1m~JSsm$ zMZC1w2F8Os&S6!NAP z9F--EJYhJFYi18xmhI}^`5`Yb{3OmQXM;i*2`_Lgoa9C=(KbU^nnsN_9~dTa9;QlE z;Q?3WY2=#JvA*brgve0@ZB!E+E-Fpug}QF4pSBQ;@cKSH+9wttQZpel@rA zm1Gd^PLJjk%lToe-l@U#ui&3eXy7qmQ_cVbXS%ssD9TcbVsj9 z9v6HQNRC*RbcRg5R+GF<6zsZFl7|fyFf|xr==?N$EsiXC5Eqf?Np1g3clv|q;G)LUVf@3*0LTIeJ`zJ$~v>*J_c0q+1`tM zi@;k&UWW`L`t@q~hF-w8{OjQ1%hm)oU?ZJoCD_txf@K6ogdvxCA-jpCk4ToG{f2L2 z`!7s8yX2V-FKB|+ZR~6fttXl_a|b(HZDv=rnO$Bpz1SV#)7ZV+$hA_BkNO@Wun$j8 zf!qdlPrz`4?3=1<0rul52AZ*NcaUp6WMhyIL_(+f)!`oO-zrwEe&#&H z@acOvq`DT7nYCER;i>t*h7SG$>EH3pLyXelSpES@!03j%m^jAExxMWhHg4)~zYFUw zoJOfiXA!foK48HP#z|l)YH*n^Mb7Qw9LLZ{KGwNMZG4u%MO-2`m@k<3nEKZvsC>xd zGSHv7hs&8iaFvw1mU)Qlr@8RXUA)&wKAV31e!x@$H*hlusK~8GIi7idF93xES%mL+ zP4Gb%=90Vp_@4JM#PI_^m-E{==HLVhIEhmj!wdX7iBD-?z>l;zAgEsoZyxqo>`8@C hfO@>AR)I$-2}*Irasf^^&m!#h=1Hgt5cr8v@DCH(qFVp} literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/lsu/bus_buffer$delayedInit$body.class b/target/scala-2.12/classes/lsu/bus_buffer$delayedInit$body.class new file mode 100644 index 0000000000000000000000000000000000000000..eec86ce836693e25cb47513610bf0fd960ac51a5 GIT binary patch literal 753 zcmZ`%U279T6g{)~Sd*qrQfq7dYOAaC1B?ZIiU=we0!bCp_ElzgCwA**Hf(0a{3{hd zzz2O4{88eWZA4t~W$)ax_nv#so%#9e>o)-RaYtYyo@O0Co4)k3(MY9UsA4%&VNXZK z^OJDqLa=BW$XIsLOq*z|I* z6?ppsrR|-Q2A0sQV-YQZwSS#FN#3gPm|k5h3zUx!dq)E6{r?nE(F!ira1pC4HmA{4 zV5{h=xIntBK8!fxk+oT@?pk?Qth_R=k@RU2D!T1QS{-I%U!_OVkBKbz6JEiIOe34m z!NsAG!RvvX%=6YjnbRbEAyYY4+&Sgdhe?(O>L9Wt&7r- z&ape^$w3%YT%W*AHdMG-0wvsH;-CVLaf#2> Nv2%shFL0X}egkefs5<}v literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/lsu/bus_buffer.class b/target/scala-2.12/classes/lsu/bus_buffer.class new file mode 100644 index 0000000000000000000000000000000000000000..fb858d7336982a7a51c81bddb40c9f48a302dd3f GIT binary patch literal 795 zcmZuvZEw<06h60IDdlY-Y?C3I-7o=TR@s&qf^lJNBrYIB!VDT?Zt11#EUmV;%lP6y z@Td4eKkS1a`~m(b<0;6DFHLTqd(M5%+jIK;$JcKFun+eLq-;OX^uT|k2jemGWFQ36 z7xa>9Hg!y`t6#7Ymq8?uLng8o%pHG3ZAxIHJ-cWg8{0_L`k}jTnGWTF$La(meae|n z;A4agYduOtMJQH#s)$%=^hk?H!az|Ehjo4sdvM-)x#zD)l?)}1_}dgAO?)m$s@f2D zFU=3x7v1&!F?*KJ(58}+s?*+9Lyn6zrAJ@y>cdD|CC9Rul>D{R!JerqRNWSZTtUfa zlwwUtzCST{3iX4ERKqEkCe(5Wtd?K3f6v?Jp5>VJ${D^>&&0Nu{}iYsLxO)ghDvMV+U!jyeZJuD@bD<=t9heAlWgJU&a zgW--ljGw#4DfQ@tapvKq%FWgY#E#fIkBum21{!@g@J6g<*%+9AK5ymB@-5wF4aadg z4eMcs=wkU$1p$`u9|I6k1e5{o4YYEI1Tp#rGWV|``#Ge*CLRejf;3Wb77YClIX@@g zMX~^^pd#LcuFXPmO3WUHW|0zpGS<0<;-{G*!WNv&n9i2XGuw*DCu|=CpgnOXbMyw?pjDboSoujyG@Eczp_l1f z`iRzsRzA@Inhu>z()08cou%9K1MRg|LhDpkZbm{WS|`|AnS52cqJw>BhN0a)4X6<92c1Y_}*slkX(bv$TZ{vWTN2^|h)9-Om z|G*(rgErHFc5|{59j1h=DWl6wA!i=ouz86iW*tY(Hyrco(e34M+$$mPji9c&)L2yi E02>L5!T3L848YsBn>Cw22}Qtv-Cu@>EOJ($gtlHON>pmU-XF zlyBt|eM6g)PU@0Y2Iy%zO>fXuxA|#Kt~eyV#|kph>NuS^Ywb_OV+#?9nHY(*tPL7m?SKXwwg{S1)0oUW3yc z*l+4_z;vSBoG75fRM2TgQ80JVW#&*c&(UpG&|}td$ZN!5uZSaF1xLNBNYs{_%W4Z| CIEvi> diff --git a/target/scala-2.12/classes/lsu/lsu_bus_buffer.class b/target/scala-2.12/classes/lsu/lsu_bus_buffer.class index c12380d3ce14a2a428a94695cc21e8aadcf5ff97..0eafb8ca1f2f67255e1ed5b248071782c475d217 100644 GIT binary patch delta 37655 zcmZ_1b$k^`(>6RkJqgLgP7I49Aqm9L;2{J{a0%}2?vmi{kiy;FgF}Et7I$B4S=?oj zJ@8)LljO|(e82AxwyslM?bX%Q)jip-=|Nx9gSI{PVr^$X@#?_}@2u-nEV6+;Ef`^R) z`XbPp(Lj?%0hJyD6fimy?E+$ZsLU8-oIVaoe~kg-Aaxjv8V=G=gUlEwf8`$!shSfY zb!t4Mj#I4(;2)>I1vyEBCxVR7Vh+Kv46>LE>bF|f^lRnlI{vrKNU$o=w2!cvr=z@#DaO?d(MMI}ks)6dXbo7lSmUTOd?$ z85C*o5;nm=u1hn~N+8yS3N3?dHA)vGfs&RZOY#bo_-#2#oJ=Vzz$mv0j65q*nM7$o zP^a>%kYzqy6J#}YUJbI5et}SdwUA%72J#05Dz+B#JL%9`NbI7z>mV_7J@}v3LBg^D zXySTE>?QXNNGi1vjGY_6I6$R0g7JM5822}VafsS)0%O`{FtTk%<{Ddp7766M9VmKB zCOQekj#166sPY&+0iohMATf3uBqYkc9ca=n@U~{5_#NN{>;~_47V5DRC7q(LJ5kap zn!F3-GzIRKWH$&c-V6DLdyrG2*8-i|2j0lEOq6{uP_zBuZOlTk`@q|F5WMR^>>@Sa zk1{XPM}v$%fM1u$_n;)(1-U|%4}n~zy9ViXSpKp*BFO>}YLt%h${a;`59!2F@E=m+ zbnrJF2mfa}YLsZku}qZzIAovD=HrlkMR6x2xoMDYf5>0#q$H_=yrzPuP~3~tDDM0z z6f)urP@B^zf7n*zqO7>2mz(1j6UugZGNP=;5p@g$Yf^l=Dhi8%N8+AH| za=()mgbrK)f9ZLYTl*qV(F>6Hj}Bgdgq7-Cgha_J;D5RZ35h0L%0zybA?re`FGJRa zN?if*rHck>cNICOUPDg%Yml#g1889uin@-Rd1>!;WXVT0Zh+*c$AW~=z?+gd-vTL1 z%LR#};8v1?sns2jYV<{rM4EILq#*^|LycAMqn`A8sPVo)N%v7tQ~K*ZlCnJj zBjqn7EfUE40g_tK;s;1N`4Eiq50TXL5zsY(-V4%her^~{RE8JkCC)hppYj> zYERpqAnEqsVATB^Nj;tdJp*E$sOM9(t21f;fH=~8gG4-&zm6NE(R2ChjX_4eKv}V` zP(r?!D67kBpnX}W=BrHJ6Ch@xuCF0J{5^zR-XKY$6)bds1Kd={v%=Jp zsdH$83R$Ki#g7A}0_Wcn(QCa)Pv=wIEc}4>_ylLQaYP%0hi}XYw3;GST8JRM2gvd18a`Q)#MAmkW~ z+D7F^$x8&v5ey`^_l?17#`Ydt0E5$o&J<9aF`Yg%Q?pZ<5VcGuo^Hb6d(xK>H4KS? zp=xV`WTzjYY987js)m{v37G{n4O2@42NhO*X=j*}k(JCZsCrShf@(;npky@pUq;!? z65kY56EktGLTXm&+X|^!GFrG=9Wr&o)q$C!53*P}sA7cL68x1B>O2tHhKMM%p+lrv z2#nd0>KGHh9571CL1UuS#+mp_l-dG#WKm@66m9E^EazafTEIy3rtbzur{go_hZL5B znpRk?4VFU@Ng5ZC%|~N$($*qs98!Qo$)~6s7gXd;jf-ZMD<(yxJDKom6Mk&M0TpfX%}jW{fzvD6n(i5I6RmB+Q%(3!6V6u2CLeFY zBTe|Q3I83BNPpLRD%|k22vSCj6fXmrRs-TPNC@zSCgIW%}NP!>ik>>0-ibP55sU4ys|3 zZ(+g9cxMX=vua>k2YAc=|@f2YQm*z+o~C8!n;iPg9%5} zvB`He;dLhb)P(cYwaK?M;YB8V+rX@zt?BjZ*|N zy4yronee|RoY2E2v)F{+n{dURHkniteqq98df8;AoA6^3F4o&7Gr_>yd)t~G(Z`lN z(uA*>aDl!ynIR^8-h>1D*<|{f@JSQS)!!!5&4iDbu*U$KOnVdFYv4x%Y)x-5&?dUw zgtb97enS)9V8T`tu07Z$ztV)insButHkm~x{LX~qhT3H2n(%W2yAQK9ecCXa=pz#@ zI^4z|Z^Cy>IDCXnCdGuWns9KkO=hqOpEKcr6q`&R6aK@5bB>fU6-L^ce%N5iW$Hf4 zRzy1!PBUSBv`wbD32!rDKE@`KWWwuB_&*b_HP$A-!i2w=aMf{AruR5o)885_+4KtI zZAHv6;b$gXYJyE>stG?d;UW`lGUH76b`}n$f|I1oqv<&Kb)F=*$eEMW5stc|D{ED+|O_`8^Q&G-&6ZV*G$OwKz6JBJ(k20`mL+~71nO!rm$h*zJvR`jZ zIBc%1%^eLa+q}udf04mYm4O3UMAuYXwsj`_)WEWcFtRnhr-5bOO&NUA+z%#Pe4ee$ zz9ziQgx{EO*nFdWQBF?-8|9nui!2!t^Dvsez*fyV6MkyKc^2AaS{nGnA;gMSE;Lq) zz|RfrzQ{Bxi)`7}nebB+&a>Di)6#?&8CZ_oBNN|$iA}z#3C}a(>n7~6)Fxlcgr}JB z83TV5J!T~F7^36%_U_p9zGH|PLzU)nF*^k_eh;%M3%>;eT2sBXYVH}eoted_~=+mE6T zwKM7hj(&hrt{zbH3I~ae;yd6k{XU>J&D@WA9h3uudGV!P2j%{Pf$^p9CS2~2jX&Ci zPa7C}li1#5wz`LQr9C5=ZF|G4k_H zsJZY)NzPfyKdQ58sX-rlA|W=C+tK-r+*z~KuyhwwonNG3)_iE&UZg!drRG3R*?`0U za+z~`F62B~p1V@wX|;e>!(Ew5(H8LdNwo`v|2wUY#&us%b{;@W&!`csfq~x$+>mPd zfnWMh;Kl}?C~#8)-xRpHf%BgQZfW4Y0=G8GNf)@Sf!)plw>M;(3*5!vZxpz@!T&CB zAA?`zJa9jQKNpw+v-3cDBDjMMiRcT!$p%gqc(j4fUr-BDw@Yde<+_Mlx`mh2?R4v+ zTAKVWV@d)psWtdyOEs$Cruos7OIQSx#W4C(Y%YwNGMOIc#vn`MNp@ zBt4jC7sXbhmbX-U3eL`R)65$fo5Q!!+lM#Qpwv6+GR)%gJL+{Bcnb~N=8J|sxrK&F z)cu~CmuB8ZpJvl#6}o!&wwfQ9-9h_iQ+j8xj`)bK&!*@oEkw|fH`PE|b_b?tHf_79 zhH10il~nq$fd`A!3O-t>l)i--m`$y2;gWB_U8wzRx_K9^Mxhz4&Pg-wq2lGnEZx6{ zXw#4TXky3vn45xsVQ#kE$CcwMiYkN&nBG;hBcH!i3mx`D7fSr4wlL80ztnno?sTao znp)t6YOw@Za@y9QyRur?tx!m?z8F*A=c+q>f2c-M+5Sc&OH+ z8;{g*YVeQhMjnrGr5o}Hqq+aFT873xQtMEaCrCZ{45_1@sO_lab6n5PdyM~t{SA?? zkJUPC9nGwXWo3DQ%1XSzNUb9lg4!)lRTs7{^*Oq|j+VSYISzlTd4MsdzO(>s18)2` zu0c2c|95Dk(V>l|4y6QONMu6>KSg;PO}&yy*Po(S^Pgq*>iRSE>eWB!)$r$Na@A+( zl`dK%dsXR$(W`iR^8%^+o})us{%?o2m^!pYc4&*}P%TK>`m%+5U#gARKa~Czdj9>L z>cyUsWf%8Jt;977e-GRCOzev>{F$XXMK=^0^o(Z4YCfqknj>|5rIzK-Eg>SshmO5c zeemv2uEz4WxGS)bq}b-%qAIAPFNA8pR_nR^qZCt~F?;1XI}84{*XnS{M!Z2w-k_zv z)aoN<;SFtn0}Xv6Ha|hI;^e04qA71It*Cc5w7Ah*)lGZju8b1)wE%yEZF*!+&ELhW zUT^5=TUo%nw=fBBsPQ}0^OoHEV7gI}8_lYTRXO9OnjKteSHj+_zWgmV4B0I!+ybPe zyvM!&TSL{+2|GIbUiH!5x-085#^tRTC|^nuBe(4%o)J|2fN47V5$k@(2elP{Zz&}j z7wG0(&fL8B#n;OoomJ+nYh5M_Dm1bl^Z8^SUDX4~3Z}(j-${dV|upGw_?E8+PaiHtp z@fO07j`qWd_Il0jsqqihjXPR;idpfYaX+v#cm9cPtp1@UX-@9SC0hPB$`1Ic<`h5w zHn!5FpQD)$DhKj zYE2$s$sK>}wY!+VXp1CRbCQyv&85x=1w0o44rWbPBBfV6mX zi}L&wta4wm80HSf^+r6iz^w1)42Tlrzvrfu;*o#~J9YK-+-e$jHUx<^tT&z&%{hs?M~? zg$IG4@Bq5+!i%wPutCy)(`d6hrl~t^Z-<$FUkIJ=PU$Z&eHIVyOv_z)Vb+6YL<<`i ziB{y z!8o8T^w5(RqBbdL!ch+%2QO`Vi_Avn@kFEhW7!BnfE-5S`Wfb0Hm)B%^5mf?EsVAY zqTzkS))LD4q_y43n)PL2tPiWt`k~eRVORR8vshpCAnSvb)1M}Kb0YT( z(2UsZydWQfQGi3|Lt8^MXZoBI=HQJF2KDuF_;hRt&D@N!OYy|m4H5f50R70tbI_yC zJU1QP4|fM_*c)U0p|E~Zk?FtOaO3vp;4KAmr2;v5EjCn`dLK8JNR!nC6U#7^55>C7 zY6X~>F<;NUVS8K(`TVam7HY=2M{z;Gffi`PP=e>Y7d{k3`uTxT z@biD>;$C1_a!bDSW~9*=N^Y~T?4?Z_O0#ogp${c%Zmh%M!u$l%U>_dFM#v5EC_Fqq z0=9wWM-~iJF8pd>=-CLuaWfRZI~a?31WoisStIC^FUp!Cj7AN(qO!2e-k0>6!+1*j(5Ocvqo%e9ayCOD)1wRyQWWV+Lb z%fhtJH+BapHa`vSN*U&ts`OyQI$T6 z2-mwQTWCy0*#f*EJq|<7X@$6jdIrGYE~1fpFf&IApy!JyYE!0xStOSvy$Xf2=)Shd zT^VhxiE;(8tfq(XZUkgc2Mclsat!6ejh|Ht@le_w%8T>GSPQTLzNCl2ATFjytAU-a z;7E%f@_cK_1tZr#jOU_|aM1{HXhj;v9~QB&=7J)sirp&=OdOUX=t4Luj4#Oh2!!{( z1yL&y{Fz{$mwFZA1=$i~IiZJsdNu9|+dPaG1g+ zVzeS?LIjWC%Pfed2sOMB0mWM;wx|GdiiAoow|E;V@y4%63W|mv1xizfRC{AhU5?~M z_=^9li4IgG3I=b5p^htT>PWi^^QyG;KWJg|Ak?@*gk^9bbi*92pog6^Tff{`0#ezb zg|4(b8di3tNfjOF6Il3?C`%vNO1KjlWvw(cawWPaM`op={$h&J+8%~IUPi4`pp#PV zkpZW~w82GqAoE)W?OV=@vK8uCwp{(fR%)%;3T-l5rtL-pGP=XJnGNsVFRV6UP zKp8V!I|hX;e~9SwycjHD9Q2~FBrnBqKVTR{W7+c6nH^b8+xnyD!nFXiu3UTCYIkLl zVcAyGA0;vUtEp@$Og~PBV*0<9;?aDACD9n44Pvt}HeE0q7%Sj?0UW+|mxf0sQ9v1< zz&B=w?8cYj9ypJoVjtRF2JYA>9|p8!#1(iD0^f%*yGJ|8o zal8srPQ+nqrp05DYE|S_*;e64pfz^1w<1d33d1c|?p9-t3KirY)F>3=78Q?ilW0La z#%&t{U(%~rD`8vPW=vR-N<0cFQMA1ZCVXZk-U%r`Dxt!YRZ-#C%2+GD)x;KG8UEFF zV|~P~fHjf!cRP++QoFZ{Xr{65saOJBxAL)Y0Jc*gf!nhNH1$^%o&ZL*swmqz49&Yz z6^tEOohe(5mtZ@DAKpA?%Dipt|!N#t8URQUb4olywQ1 zw4HSHwmb~mQQ<`NaVH|e|M!3iA+aT-URO1;)Zx|WU=8%yzB=zkM@0)qRYwbVWwr24 zbvS0bGKL$VhiR5}(V-gXSnh(zR=Fm!?G~r{Wqdb0FL^4cTZ_*J^K~sO)je4nTpK&h zo~+}w=K9&ZLGKY`+6n1R>hR$px9aer>;OfzLdSd7<=KI;9|zE-c3h`V4Y)fUt;^f6 zgNFASTMw#w&^Xi2sfU$v(1;#L@Bg3(j};Zku2|M`ksLHk5w>S1N~(`_a?l7-y{-qF zu%$j6frIYK8adknEjL_(gGOvk?wkh=cduCko=ZqvFf`*JEpNcX1S5^MH{tnE?&k)u zq=zioX?ky--Qp7Ovq%4y5!ZERhbcY@O*6V<_)9t!c{B@KvTPWNi&4~QPc!bpPg;h_aUit?6j(Yxp28rUgx!7sjcRqu~Rrx92p`;JpccU z_-Q)a3(jS9OCEwxO9Nk{ol(7U5*}?Zm78(Y01Q66w{&%E?WEt+D5x6+w4D*+e1Rpfxt8 zbH;cZ6M2rJ+j1XQmtk2da?au_R40g<_JQWJHavu%hl*s?sj>68ypcZ#wt-%pH=O;W zois-kKQ_1F4fq9&aaINupUt9@aFCX5c`%5(*i@Fc#nyLGMA&@jc3ZeP7qKs;-^MO= zu|F2nMdJVm{nMywI~b*l!hrgaXtu^KLRaMkUo;f)V%pty{4mRZl67RK)Kl!V`i`9e z<4=xQnq4eAhi@Ct+s|Vc@a^p z5Qtp`W!cb~7t^k}D{Bp-0yIgs(!=$WS%<+tU>KWg)Uz9pM)u|1cp-Gk)fnI_rWJIB z@^|MI_;rkr+?TG?%xTnf)k$Xv)OB|>?#1DCkh?@)-;K-in$2scFRHY4P4U4*=RNGv&YB#&6LIcRGyDDrKwQXAl_?#xA64A{0l z@6goV7@xazq&GIgyTWY=27$f@Q;R-WdG|7vKCmwaVn-jI53HU>*Y63_QkdUIAB=d{ zePgnvDI4Aw{`h@35OPrOi_r|lN92gC`ck%jJb?dY2{bxexgXEZ{xUAoL}!sw%$U9V zhVOY#s7mH~zb6)2Y4(6BAab2?+(F8?1p`5 z{7Ydsvw5%^*~+k64#aLcq_Z0iuh@0RaCX}fqpjy-clC?xo>Ni$Tg&b{cVzdRXR*Ir z%CP$`9oRjWb*OIYAZ#a(vvvY!w-5glhj=W{w9;!kJ{a!lW3dE$=-X{XQ61Rd>NEC)*F&ECBd|f@HbGq3V3&1tU1DC^&u!hI@hQfZi;vfm zd4B%FlHce`YBG$?3nRQQ4{0w<;oBF&IitfKaNA;1uzf);1?_%86H@pzyXV-WUy#p8 zJ^~+eFBpjx`UWwNqrJEn-5JR}DaR=6VZTRWI^L1BCHL2}c9{1RJBs_ezgF_GH%d+R zRvEN$#cXP<xh zgLOc#@{i$d_*aA-;KW2DzK>iH^l}VF_g`bh92v*+0@oSKi%^YmJd$>fMdCLRQ$ql7 z97dw2$Qwu(#>3sqJ{}Uo#zEq{F(xwmcO%Y$*5#xJLZZ@mNc_kuBM<+9HJ?=q`;qo= zJl7dI@oEBZh`Wf26L~}WI1yvBX(F$TW_|(#txB8(@{Lvs@|}JP@*}O@WIj%&HFGG&@5r6tA8gNo-) z?l_@fpsxm4y4`&ORc6=WCs2Xm2W*;r| zBHY1+d`H7`?=_#VM7q}k92K}win$=c3;9TfBaS$>+cGVV$jtO{Azw+u7sHoYx(KIJ zI~uVV8SHVi4aMKtkmqY0jy0;b1WUzUI63h2aOa_^_V8|{t)B8xbr(Nt8}|>mIeV~# zr$D&XQr;Hi#8Mn#>?v*;ud6w_D~D-j7;Yq0M{ziikyH!aUV%CKzKmDGg;e?FSQvL! zphJU}V~})8U(RE=ZmC7?U9|!Lv0}IJ7ZF-dRfP{IOdz6_t`L<0ucPZF#_>i5pe)pF z6-s})f>+XXcV(J*wUdi3t$~hr7YXfG@;X#?H5S&5mDswSU~e-$RP@pb-bCxZ@RFQ_ z)eZ;gu?nXGC&S%CDgN~DD(tw<@;u)v0DEhf)d=%B8wV75!ywCb#x9msu8M0lMCw8z zCZC<)8H6jacvXTEsXMid63V{@Ep~QS7MNPxN+i5pg9=>?-?Zskc%#6<@ZuM)<#~V+ zYxJe&J7Jrkw`*~cWD(~nUuw7xEDLpB$15<4G@i|NA~QyR9TY2vVMZ)3Vc1%%=e|6L zC7IX`oV;=%PA`Wbhd4R<(yR3_qSf$5hMYFQ4&)RS;&NyMcLSD(%559Crcp5M6gT$a8vGhN;SY233q ztC%%}PK!bo&nSE-WfRVtxx_1utYNiN@q4@ouR*_Zi4bI2a@mT8mfQ@p)@v)@NTs*H z*5o#fxF`Ww2KR*m@!V*g$V|<)AtI1#D|+W6Y-a=rB88zK&^CDijpq26&U>{sAr|Me zjU#ey8DxwW!p$?WzrNeT{gH3MHeB=tAP^y+oFLATV>@ET0n~Rp%u$GpG8xxV@3vzc zLyV#yZa||#pn-^h2T;NeG$ces3jHW`2i8gm-P?f`7D6*apz3lmL#XFYOlGLzUS>|F zi<|Qe)8300A@De(SeS6VN`PQ9NBrig7~?Q;qQfDu3xc=^UkDH3Cb(*sqAElHtx>VPR4Cw$^E=5?`*aL zs@j<@?#G(zET$zCLpR`K^HdL6}ysv?a)$}94Cix0VJRDz6s=emOHZAv=vFXc>aEzS7eB=m(jdc z3|IXVh%eL=C#REGQnk-vsqHzB-l-9nP4}|EV~&!5$xth*)1~JEvVfouv-dt zfYGLM7vRdbGU{kW??rNJQHM;<#oHi~AuDP_BTl1PZ7rU%S-f3lneFH|5^#5nGQFrr zcFk9X?d^F6k-3hv{|qmWzZ3K03iAE|sGZW(M7BnM-V(au5C`2qw6|8F~o&_5T@ zgrQXABFHdG5o9=>zlaWwp!Awz?nh9;OURjQ@yP07K9)l3F5!-GBz?Wa$BT6`sf@lEYO#ihf^(Wms^Pw`Y@6 zukrbO2Iff?ID>XvLw9G=hikkvb-E6*GS_j2pN(UqHcKgEyj&H~AfEdK13v&s$g#8*cKdxVW+s@ z@*Yqg!rj~vi&EwrPrneOx2JQrFo*L+(A<}D-o~6Pq@>$uo!1?hh`v<(4y?o1+c4Zq zL|ny(qV8a$Tq45C!604kz-lfNYuTH-E6ZsA9SqoVi?g{qE7D5bMTCd1$KiP9KiDe* zZmQ0Bg%)@pMXXM%A_(I5=EN;V;zm017d9Tm+wnj$t?&arg&_be56Pup!wK6Xnk1j> zXyo~bCsE_a2#5Xm8i$&xkKsCgj~+e7{lN5Rm>Ac;c{57-2i41_ z9LQ>lJ*EH6vw(yjd6Ox z6M4FD7+>-*+WHip!1NdB?lGeo$1;2BMBTb*-W2na7e^ZIqI_xcOK3P^zgfd_Tnbav zE1m?te1`@ZH)C9mze4B!Fq-m*Xi6aUd(AtNc)4X^1&!RUd=9JNl zQ=;VjRQ)Z6+m4Nyxwy7r^}A9!EHOvUpGai#16 zEMtIuKl0xq;8_#=>7Q`xcM-C{b;bBd6Rp%o9TI zXz6VcA`KvypRj$m5k3~4tY}IgwQkGvs>&_u{*#xds{cW)4*kR;yGuWRqC5Af+JCq& z`b#`)%D87+@gF+&m%K*HcnJEJ&^Q>vyqbMlv=wnX_DDWCl^mM(3jvnLnZthb7hb_V z&WI7e!b)9M77nBGSOl45h+M|Ka-*^qzp*ns5f}7+w74vHSCuF7U;>Nb3cl$fDuQMr zIxFg*TS=RM*p7ImGWP|}6fdB!IDO|PFQ)k*0ml&^N@SV`Qsn(89!|dy;oBhE#I)}C z`+xEsmAsTFqiQi=NbQ@UY97GyfuM+dy1D+(Sicf}Wqk3?PEVrc3`u0XM5F$-)9SJhX$kgP4~DpsCt2gPTy!m)HiCbHk0*ci zaL`840!PiAn4=blC!_I>S`z-!;6g{_wbENhtqg)D1$8X}5s?A9R$XNZozS(CDuNPl z^02)D&}>-Z1lld3kAs~l2VvScZS3FTRoOSUwD;?gc*nbeu_ z1b^z4TkDC#H$1FjT7X!Q@**ri+?a-e54+<}lYBHck+@qJWnbFoqm>mu|1fNH4qwd` zKQYYmaiu@i@kLf&Vd?#7zOUxR1F;9lV$oF*qWa_u<$}K_c1`i56d1}CP9FoH+M;pD zk(i;!e$eAU`6fuF03+@YK)=C<)BvNufr!h3QIo%e|>n0BXqtduzPiVR>kIfK~?t!{Se|r{RKl2f}{kF*?;I zP-~07DhWFpKu-g;ruZYGSf4}iM?}S^2V#w6qrZZ*qC6N{1fB9`!BjAhRu1p7yX4U} zAdZoP>O^RPR3fhyg$PBbyjne0(40B1R)~e07~%P}C|Z&a=Boz~i=?Ibv|=pE^Z*wl zEN&!>$mjET zS6I~8^rby0idQ{y?28JkBm9E=Au^*3_=!&s8iZ&Tt*ARb^g%5JkQpJJFu@pS=ulB3 zv<(aBiaPKT7%zGOe2o!p5sJPQr|F?sVI}C2ATi_~rqy62sih#LXmc2trRi-Lx?cwF zl3X!muw%<5QbwqvFU={47ZPQRyWefy;iH``s97N1&#>fWGP(f~ylx6bLWT_;TnIx~ zR=hXPcm`G$8<$kgTZObpUKT-%%ov>ueq&80)r$<3h&O-Ide$@ic62l!hi7fDc-%#_KSg6orH9 zR#6+vn$X;e&};l@TS1yp>3ERlcnS&9f*uLdl8RQ+>he~IR8_Z!@4KRs_6I(L?Nk|Q z?dU*dZ7uI$q~)aHRkT+K>blc=NA$RMbuADv+VxenX1p658ymAXRjvkRA27Qi7DO{@ zYuOQ!%Vlh61L;dOsKy`>!1JP-wKY#_nxL)V!?2~w9d8(APlQi29PW6T+FE`ZmZ()E z$Ld-M`kJVDBIG7L+FH#uUBwUdwmgDqy{p4T9Z#34W9?0(pc+^#lPtQZ-;ZKXaVI-> zHW`scS;1sVtAQ3w$%>cS(}h}^7n_>tu1}?^H6bu9D`J`(8w+GM{e%EMJt$RM>&6yj#K8cL)CPMY{Sssmm93+} zp4ZVlXlflT9AUEqU?6PvLy%QevM$JK8dMivzy>-eer+VDdLWxAp&pp{@KKPh^ihy) zRJlILc3My$B0K1|__dS58-VPhkqyAyO-IGAJ>-=HPu)tRlEC~$=}BPzChvw?U2$*Q zxgmZsI@Az}D*Y!2r_zl;G#VlZK9Fk!5qolJtlh%*aK7TDQ2=FYqMg9m0-sf2kE_Jp z#094Omc^^7<{|DiGp^|>Hr1lV&-F%VWoA>Y0ge&RnxaT2D%}hOK`237=t(n7wJS`# z{Fvo_B3AqD7VyP;HP@Cs)`Up0bK22N5PZ-mYM|^Usi;I$j90^S?It)t+YMd1Lp>8)&carl~xhI z@Xk&AYS9`;CXbAuiMSo}z^hl8^0$!!=OqlxWU9~)M?w$k-3Hz9gpI^vQY zxI{i_RXS*G7@Xh1a$MbV#F(~td>JE$=v_x`5*%F_U?~88hGne72Q`T6NKIllg*s)7H+|?(>SLuXV|_t5%3SN@}^OaTn~<`Ha}bmM&Td zeeQ~t{j&=ef1z%WY}FNLW;ZR;EgLttDZltYup+$SjBgdZy1}#ti?`lJlt@*B4ezs0 zH!T1O2wUJo^{!YiN4sHr38stPv>Lns4mI+#%ktfE6v|62x@$>Vh`WMsk;Nq4?2c0j zejYOJ978C!2b3d(I`zPjGL$y=fMZxlgg1QYM-OcbaB@#=5eWQC*gJvP1aDounWl5SG)KtX=%r1g+@3|$h}^N7oc(<$D$>C~~!hgeC0!=NN*_@&@WdKr&L8XmX2E~jbi&52q$XJ|K z4Ah$7&r0MsHgexV80nJ6xzo5~E@>P*dkuo8Q&I%LjN4vKEs5P!_P8Wn8-$`tQN&=a zJ1>nh8}!p(#J64O%wR1qZ5g7)QlTN*DEP@+hhW5FhHBaA?GQv+%2LUpT0dS+cymKA zQRV2;7zCc*4Am0h+hXeu25B`+YYFf6=rFA_yjv+yemFA636?i46}Te39IiFVbnaS> zfOk>}XCArgD;ck~T8@M^S2A~@Qf3z_k#Dlr367q07M3MzPGpyYHl`;-YpNL4_@tnk zs?;F`)xal9fxn-SIc#{`>xy5+WPJb`0UjJrv8)oP_ed!mXN*?@9UF=9N+jP=7_aL8 z-|<>B8sn9FG{&ojFCz$?oo_Ebatwozbmzn(6&c&i(wdRaY@DSp&eE#m64iIMHVK!gYiDcC;7w_B zv?|FRk@I@aTe>yZrCg74{jFPb7t38acQxJWQ^BXMPXpcR z-_XC4e^=caa53Ovz!TjXR6VG9P%F?ILC=C->ef7Ac}nLg2Rb6p^gOe4YhE?4XWs0f zoAVydo32~)EzY+k-*(-ae_H;f`B&)HD!R4cyn^csZqluVv_js6a)NFvlwRnBZVmSh4-bz5Jso~K z{GM)&XdBTlVj$?-h~JT_ZjB6xjEXD*x;gT2BpMP`JgQ1mf}U)RIuUg}3O$al5#1uX zHR#>w*U|5EYvB=vrx!*K3STb#xbRcmT4X?x@kJ(qZYXk~$YI@Dv|Q0zMeBn0DLT67 zIMAQPoQt{X)?zJ-^(xjEbbPUS#TJ6@Eq12ZIo(=(Y4L5vcY>ZOeyjLh-5L`VQ#htr zGQhYPidg`v{*`Wxb&t&xn;*1I zY~R=cpp#-3#x4Qf5qmuLq;9Qnufm%O?{#Z@iTJAViJ&dxd&Q$c@!R8%#s8sOD|MI#t#-89anLUb_6d%Ppp!d0Gg6G zGjR^+!^97XpLJ{Xu7CeY~t>T;7|NANuT7RTPM|<)M-*z(8ZH>OhUsJE?u~5 zVH)VQg)bJq)~$>3E{a)H8g%TU1&bDg?q76q(G}gg*m1G{;vn6+wENOgOUHsfUHW6G zRktq7y)1HBVbJ92%UUmMr(2h|Up{2{aNW9MJ_V3gPvS{cQr;}O}jON*Faa+d{}G0 z7E`dcLj#>~^e<9X>mvb`$}vx1-YzjL?oJ zJHGAssatop+c|hA8nAQG&h0yQ>DFDjcSY_(k9XDG)p1uB-MYKQ?!LPRVEyk--Mw-5 z7Tvn1+@5-Sl0XOSnY;(ev*&Y~W16#WO)HdEAuS$sL)wuvEYH2#-duZqLEG#dxOa$d z-RHlr$iCvBH}<{ShmqM|Xn%$MkU!AkK;HucK=&Rvf8dgCJ-Gbfo`dM%p|*zx9U2OH z{LrmKcQGV~+Z-Nv7zG`EdHDAcDA|#OBQ1}#0o`@v%n^*hQLm$+M+<@WJ38^`6y2J> zCjCJAVcmMH__4%eH9=<_TYYRD==pTLx!SaagQ3CR61{f||Dtktb2 z>zwRxvNP!RlP6D}(XFSNoa%Wh8CrPi@u`1L{m`wa<4!j`-2`;g>Gablbn6-CGeKwa zfp$AH^2`{}lV|Rp!QB2C_-C;{q4j@W`SaPIFLmqLY-fGX27s11Tjy+j(EDdUp8cX* z&v~BOUb^*4^p(n2s)2UCGUCce&@Wdj>Q}M6 zu7+QYyIKkK$<=RHp*+`ou0>r#LDxQAcesvadA;`a_SaG1^)=TIT*r`JzkB`t^-sF> zM$C;GH)^M)EY$jPo>TFopk-Qp@>!)hj<2^=!#_LxvrntHG#fQcc2ctAuj}SloRw(M zc%_Vz2--p^tF#9lq?A*JgU-O8+no=(Nr_cXgWkaNJ5AA5M^FoBUhy0cv@B>mXhYBz zpuIr{fldaU1-cq^6X+48B6m=9?vt#<^R@s3luCRX=y9bow<@}3QL1U}KnE%b+Ml5J zmFgP!b{3_ET@+|VrKa6v&?QPOyX&COmD={;+gDWT*bf1ns?@ay-~NeGFPo>LXUnP7 z&(;dGhteS163~@OQnp8+$?ueg4grepkWXpk&<3=Z(%4}c=xU{j!xPXCN>j%mMRyEV znmM9Dj(wEojw?XdDlHtJf__q3>UkAi4^dj_y+MQt9D}bk{JYr)xvdE=n)gRiJ4~Z#PxZ-7HEUw^-0hN?*6ppfit?vcxy}wW9leP?q_XQFOlqWw{@wz%NBv;kOU; zoU+pIm!jheaFu^W&^pR$|H1gTTUq1(Tv;37qpS;PpsWuV54v4h9dJh381O;a7#OZ> z3T&lp4xFuQ2|TZC4g9Ta2?|v<231h>pvubjpmm@JlpT43l%2_W`YXHgpl5lWDSPs+ zQquCxQTFB^tLzJgvINgo4it!04u%v^4u$4a4u^RtdRTttXxI}az2HyfSh%NhJffJQ zM^sh*h|I2>j0#syMaL_r3uEaP9;BQtQdQB5G*!+OEvB3=`cb)1tg@mPYph%>K2Fh# z&sQ#$=!*F-F+#aq0`pknh;k*SyQ0UWC|65OQ1ns@m20KWfZkKCmxiL14pVNFZUov@ zxmg;vp!8bhR_U$EtujlL+hsQ^x5~a#^s=9oJLMu3yIGca-mmu8N-MtNcjptNctn zsr*;nPq9|-rRdfBE5E9520g0$uKrEYYdA5b26|AVF=I6_8a383wZ<*b`%J5e2GuOc z>}oa!?Z)hDE&$!kvenwe9BSQW*=lpDq zc{XYU+MIbcS`508c{jQT`iNz3?560A^ROI^YlF6BIUCQ!{5M|3ay7mJ##5HN2~1^^ zVCK`LK4=@}+oS{YZQ6nPHC@L1o2~-A!2Fv%VF4{BvcMKoLH9EM7EhROOWZ=Y_GP}U ziz<5Sk}Rk->_+P$EKi#oir(f0%iFezqPOkN^0mb%w|&m?w?hNkwPnHWHe>y_JHQIG z!!m65frYeRujuU$ve5Q0DDAB*tOI)7!HX5_fD9elvhWT|L3gl-4i7;;vB-`=ir%p( zi|UB{9e=avPT`8)DV7!P)B|)RE7G~7qIa&&igvxE=v|+%;@#X8y<0w3qFY_i_AI7b zG8CiR8dkE~Bhb&RRCj1^_c&I%doR$@tW1wrtZdKYtW3|hir$N{a=lQl-N3giQf3S-EIg9HL-RPgl;s->tN&_QU{J^G)KClO?Jg7daGPn+_ zJa~kn51z@YB@Y?Q5{3?9)rPKC^r3rM;;?0``tYSJaX2)2_ybmB1lIhB{H*2(%;|`( zto8_4wGsPRoe?it-4SnD-IPkKUdl4iv#j38f~@|??ySKmM^=AS5zyBxX-sR@V9XR& zZ_FOnaBL}-G`2mfI~GfG>?qcF>>kYj*b}VDxE!qMxGt>OxI?V@cnfPWzAbAxeh+Ik z!BNpCxU$w0pl}l!ur?DWf+7$*;U#M~v8O9ajZ1~ihp!e7a{N+u3S^!I))|sVD+X=jvjhpsK(Wf&u zetKOtar#O&Y5HgU{>~=PfF8`K!luj^1Uj5eo$)8=EjDdtGI}`El}(?C`I*_7&6tU` zI&&$TITH#s^9P$X3$30N#b(dKkj=vX9C_A3&~%nM3;HtaGg~mbf}+o^#TL#^VT)!T zVT)(~VoToMvWEvC0U!xVi>)u4WfDigz09;OExSYZb+V}m|e8fIj80ZYeB3@iDU zfen`LV40Yi+>B*mrkX%38?(^3Vh1tv0aLL@a3&vdw+7)=F_g4V{j z!DCdkGj76iFk6#(ST5#Z5`^Vp_9hRpd`xR{7AwFUO}()~%-Pf$E5e*izr%_#SJO>c z3FcyEfE~x&%~Y7K6m&B?1)czhm>mR9Vjku>SQ+MJo`98Oo)#%s1vboLCw2-OYFUX@ zVk0aMVW+X-R;g8~aXxkt3)M>4C2XqWBkVFZMeB-P!KP^kVOOy* zt?1Qj*bJ@c)$7=Fry%SGHq*%syQu@ioy6q21{o1o>vrrBw#aoI_842}TBg#e4Sxfd zxMqS+z{PH%RQw%V<~AJr16%4Ai~WhMa9fQ%#g@DG#-3rT++JbNv6b#?vHxKoxzEO4 zV5{8|u$S0c_ZaLIw#K~?`wLsIb1wv6gX=u|>A1*uU5Yk2BbR*e9Ou zDz&V_HhMB=WIgOt&z~^~`^-UwRdT-KqG6j~mtli}5kS^s%izzhXVHZ9bjYdswWm1=b6T_w9}K#^QWG#@@$v z_|C)nVB39@u@A7XeB-bWv7NrvDz#4T3x4f;4D1K)8u>dF4X|%VUc&lg-}nV%hS+XD zcTC2<^P{U}4fcZ{T`dp5zV|zW4a5|`qu3y9&zMb^5%$xVg_tq+CN=~+7R=jD_QdjndE3ceSZ?t5m~JRo5WE>2 z2IdDp1BYWp!Pl@6Sm8KQtL%-Hj3c$mK3H+6xQH)S8Y(U_5<5QG7#oG1oZKB7jh&c6 z?w9?r@+stgc??z-)(snrotpYs2l|5*VROI$@N`%J7Kl}bZ^eSJGvO<-V5}j?=b@TY#kSAib5n?z)u!aaRoRhHn2r&smv8D(y2`6K^#>gJv6tE@Y z88{Vej@*TXVQrD0VAHVH1!731V;zxVNM>N|QT?!RtaHJC*i7tf)I@9+c0S4rn~j}Y zMKY7;U>8@B%;dS)g|*^_=jp)9YsDSU2QRH(1x8?3*U!Kru`AJJIC%kfJ(>(BM`71C z6krRnn;Z6Gi?ACTN#F8f?Dj^|x4ZvoE5Qdbq)d4gc0bk=TaEo1Yl?k@J&fIft-&70uE*A5k7BQ3>#!%Wwb**>w{6bY z$Jig+24K?`b@gd%Jg z);%Es`x;XxJi@-g^b=aKZ?PVU!?5qL_Y#d&b~<@C*fTK+{2uI`xP^*8V7(ICu{~Iy z#6nEL-cK^Ye#AaZdK>!*`(SSj_A}OR?-DEl>$~?KED`I!_a>Hv8SHbxk}-Lo0k#)2 z+^1Ur?gIzx3jp_nn*E<)DcGR>^RZNHV9IIi0A`$$fu&(aDKD{f%p~OsmVpgUwZ$?q zv(#Q#7G|0nk7Z*PsVlI9n0e}N*dd<(^sG|b!NZ{Cfpy>!D%u>Fjvd9U(>}zGVRi>z zU^$p=S{jy%Ii$s7d6<3LH7p;~rd47Em}B}TtPpcfpNAD;P8r>?V$3!DF{Ud4T{3jw zanL;@5-i2sGNZ8**pSSb*h$PI^C?z_d1ao*$}!KZ(O3mGEXxWzg$>Or!78y4SxMMw zYgVl-r0@f!qwo&>|u6Mjcy()nj9h1!E1EU(Oz^ z5%bUa6l=o9<}_o?SYS>r)`A7(x?-(ZaBhFB4GYQ@le!(#jn5Ucx&s`S`x-n8PRzZI zbz&3pL>HdJCgq7PJdcIs7E%(+iG(H^7+%-+?!=@WL?c7B;(ZD0Um0Rk#bggUu~mgWbjE6!paJ zVe<=r$9}=)75QQJvB)B8>;V>0REa&rqKeY7U$F(n168`7@*{9ju?l<)E-e0(ioan? zisxWYu*D@F*zee~5)JkTwzNdd;6JeyC1L_U#g-oz7k-AVIxa5!99vl`?)ZP$N2TJ9 zUtp_CTd|keT3u;A_zGNeLQI;!!1X7@q4PoPhPh;wxRS-dJ2^CiXtI zqjD$K2ityHt?H@Md;oq``3U?F+*w6J()7i?t|B36`eD1O#6=9SZ>z*b`eWam$-oS; z-Dh@SGWK2d5=?{rP(2ciL|Y){P^%n19bMi&MegFn{%1r7!iYOY}> z*w3}$m?@T2>y4RViM3UjIkvYp6SKgQ>&!7rY=4~^v%>b(ZN;py)Vjr(4VF?zlG4~> zX>}wijUCT_dI#z~L3=Qxejw;T#q|1zm?M@|-;8Oo%m!o32|L)(4Rgk_8>V0`*x?3G z%oRJ-@Emi)jy7Dx+_58#4wwg))7S?af*ou864QBtd5w!eFEF=BG-fDP&?Fi&49jm4 z<31cKY7*l<0xN7fg?VEoO=*}9R^0Ro^TkS=u3{sx!MaICZ40h@`PZQp{;!p^rxVY9Jw9XxAj=J5Qd zcd?y^4b5EeLdO_z9(K9I2Ahvv>L4v^BCx9+*Re?K%30Cq1=#hoqR~;Y02$Fr=S)F>8XW4VBh@>PdVhTTfOSD4quDP_aqS zJIX5q^#@9d0Yz*KB#L_USBJ84J8^$yU4Qi?#nF(HuZrl+n%qf-oG{jq6Oy|s9To9D z5ieHSW$JE`fwZo|hq5|E`Cg;eDoZqKJHA`D#aQj2RBF`rT{|@GG*+7`0|%(>*>H&> zMHfqs>Mf`WtcBXhgmJM0)JaBd+;cD0}H>m8r%=4)>|f*%Oqc2SmPuX`*^C zO=SBU(fSBt#o=*RB~#^uD0v(=tmcqkkiI#qUKE9xayfHTR9~57 z$}OYLhZ}(0a}negdSA>u;T9?um(0*Q}nNhIz+@`&sxR7hA7vDhQ``(&#bkqRc;DfD57Du zM67PCRelf=3l?jYCn8!WqCh(?z=FiKoSjN67FN?L|A^?#I%>P@8O3S#9I_6YR>`qf z+bZr3Y9~eC0b_+Str8`ohaxH$(d7hsIK+|DWJeB@9Vu8VqHD<%G}=R1J7afcSIAT93h?heJ>ZE$CCDOTLw-4|QjVc7*1 zF1$~Yw)cF0@4Y|R`JBnbCzHt}xBKsr;D3(*VC43`_u9>7@pQjC6QpMkHk*3%VD6N;CmW~GS|IkE zB6~sN6P*B|p=X#Iwd>8svoG|aHy90jgE74i8&B_hBXgd<$kK&2_eIjCzF;Ku1EUw+ z?gz%LeqaphkK()Z2l5zzBqADs;)(JNghbwf;9ndF35i+{g2ZxqGYAsPY4~7BBn^f{ zjvi@M1nwmX5JX&(5$ zXu&)-i{{N^9#m#NvJ{w)q$@y-QNsn0ZLk209}AH5PN3-vkz|n1A|!b)0%PwYFhZ!p zVleEPbvOEJF|^D%572-mX~=D98d?D~cPW&y_%di`3>{vEe212ypjOLK&;)wD9E?}X z!I-=P3?i?UXgJY25L&wu{PmlK>9%vg7+e4)`Kw>Xl0-=%{!0)*c5*G!^unFkf zMxcd2>=5PL4B11p8-%880>9A~NJ#WSpp?zvjozAuvLyq#B!jm(9aY?hl8)24Z7AtD zwb+i5mI(=c2V^B8ftqgzFW=5IbOeZb%q`Ya+Z3hNaDO3W&F7d^6Pg)UZV1Q zfHLj|@47&X1nRgq4gE?-v-W{^at~5N_otx)0&Uq3UabSD^$OiRfO@V__k)rcha{OV z$bBks7?tNg4B^X%kxinuN099yy*mPlhcxo2B;Lm$`1S|{_Z&m=D~di2{wunvNaqvc zYwk(On7}f$E$_0S8Z^fPYV;fKN2+3>Ys@gAsHVRl^Rt(@r4R!LsL& zB<O}~Krr!OM^4@$U%DlPO(kwKT` zm&X<4biV?rYpg~^`EHVzCcM2P(c&Q_z+1M9)hv%A(9pfRPGT_<3NYoqobpwRTzX8AhTa@QXzu$uINweQc z682t_gNoGtAirLM(66`1Gw~zxcu}TL;Cs;;5c=a2__3eC_ogeK!MOYxjNX5P;Y&aM z2IIfK!C3qS{h0OzsL(%13ZT9Jpp0Ou`L85TL8!*RNFMtY$sy$a4g9>cQjrqh<<|v8 zTKy-#zJk#C@962gAJ8|6^8W-1`iXLm{X~TlHLwH4*};34jz<0h@1fv%|4u_21zPf( zxzCB#Q^8Gr+?><8J9TE@G-bN`TwNc>3e$L9pH1U*q=a*%_;H{^K&%8+HIP)r0OOT` zqpUy~nFVGANk}6O( zt3I1mqRk+*$qIgg3;0#&whI`yT)-IQ3PvpHZV-$k0-?EX;FokqQF+~gE(&y5pw=Fc ztwC=*AoSV;j43uGCE0*-cp@p0)_WppohKO8G9js4CZNYatPXX|jHC{k!Eo_H5;iY* z9za+zp;=IQLpq>Ht*j8-p9RTZvO-Xz3EpWazz12H(jPv^(t=87ljO1@ZL`a-{}h?# zE5CC2NwNooE@eZhjr~!UL?6@9n1D21_CTQO{*c>}jw%JE@$LYzHq%K4(9gCrpMet${(I^uhYQlR>_^SyQDelPI(}Xvh@Ea2jE#Z)FYr-o`_@N2= zm2}8ARCq*5IbyQu*G$Q_QV!ATCOpxEPnob$+94lp!oy5>p9z06;i6?6d3%}g788DJ z!eM2ld}3Kg(^o2%?8YM#_Alosx{(Q!312s1&+-oW8YVo+gio8WQ97z zDmj{7v9crEa1%aY!vC3Y@hT4aJ|>)O!tYHuZ&inU2NPax!cR;%FvcO@#DwQ7d?d!v z^vtmi(F7BoV!~%lIAfeczLE)#FyVtH{KJGx#5?l#HQ{Y0{K16tRddL9RCq=;N7Mf@ zB?nb^h&DCh1txsUguQAw@ z`q6~*CpxO>WWsAq_^Am8*K)`=GvS3MeA|Sx)ON_%GT~_^eBOjDb)p^ejIYGFr|MPE#3g;Q{owB&H= zW5TBt9?=5L%HCAUNZi$g51Vl2W)7KlCcMXlU7I^(nw#)86LxChkZF*PMbl;VztUOZ zl-SZCy2^yVns8hzhs-^C%4tpn_i%u zBYA`gUpL{9_70grCVat!gE}~5dYkY`6VBGrA=AZ#51DYLP7ay2CcInW$DJHaZ`Ro% znry;G7YDz-39mO{y9prD8k3D+FxkY8cK|Cn&hASu&xkfZ7E6-zcf zda$F2*(UtLgi8%^$V@TeMkh zo+fNWICDf0TZ^3bjY+e;aw(d8Rd{^V!~TYSRd_>scXV(6}~ds(exT)9HPrj z_=^cw9qW);V8ZWAIBJ|jW|j#*H{p`w9Ws+m_@N0Gn&6NbW5Tx;W)pRB<|{Y_=e!OR z9XsNxiFzlCMYC!pwDOvTyeH`!(cZ{ex#X>N>2jtjliVSD5LL8K-a}p`GVV*mIVYk^FilywHRnDJ=Vy zd$yy@P72GsTNIXUcx%F8b5!|rq~{}x*q~Ta^kr(YXjcBYQdIIgneZADeyXr6CydgX zo<1rY6iX(5G~uH297Xps;VmZo)`Y|6tD@)Yj-nMywOV0WP5uRrqC1)J8WVnM!odq2 z^34=RIoW9GLdT>(O~)9OMGpB+CcMUkpPF#+VuyS)6JDsWZ1W=%-+zfizL5!&312s1 z&!rCe8YVo+gio8W(=vyA1rts(;r%B3UE$#6Qeot9_g?NOVyg+iGvV+R4w?2Qyvl?h zn{dENhkRobo@c^0OgPgjhkQ*Fo@~Nr6#lfz(e#R|9odGP@BtJ4&xDKr;gIiR!pSE5 z-h}h6amaTt;nfPurTN;#4_WJwZ)3vCP56Nc`>u1yH!$HjCVbU|J=RNkS#KQ^p0Dtc z^^OJQyTMen3C}U%t0wHR(IH>WgeNGRywNf0e3L^o%7lk1EZ4wM6aTjfm)Y#dJ5b@} zn;k{}ZAy;Z;t=g>!s|`=g$d`{>X2_~!b?o}o(cOTJLKz{@JtiFWWui79P+UW_uS@a z`Y}iH-0cp@vL-ypgi}oTiwPIp;mF&~gf}QGr~adfpMR%AzLN>BG2y2s9K6dR-^_#; zn(%Ff%7f*!#?YPhMBDcJ;C<; zr2Epe-MTw)!J6EcYLV!955l5Q2v<~D_wANhtEH6~`#&YtN@LfQ>`b*A8hMTXZD{gwH1yJ5 z-G=fX?bX9jbQ4uZ$Ua$7+w@kQfF!yhx>qpDNbg?cL-4Qe({l>XijCmg;As8Yr#DV> zF}m-U1B(guqwV{p8y9>4`}B9k2Ns*7WQ{r?MI}C+ip8d8IjA-@k*(H2DI;Sw(@glh z30n@SxjZDbR*H^NEEVE0VY>;JI_xOAzX|V9`2Jy8T(%==rDmp%N90AwnIn2H^r6gA zNwyr-EAbMxel+4Q9^jtW&oSV(5)|0V@S}3a^enh4taD87%t}&nXAGw8HFu&%$8;Ys zz8}+v^LSeYiav#*rQ>=wyk5dYg@SmFfo?=aKsUB-;;x1*-qw|7T-S5!wsILw7EB@>Y;P+GfzXX2(d0s@(!xSs& zB3L68zHm_w=M!wP6zyU7llLXv1FvK-Oj3E>bZr79UP8=l0tNla{pQ|)ZciZpD|!LC zbxAJ`ocXfefWBVTgK6?*-1FtRq$gAS6}>dQ&+hC>Gq324`D9x+I&u>wx?I&=*<`w0 zoBLDIt0-zRdDaDa+bFWSw>&&0nS_>Q9u#R#0Rw^xAmEbh$bDWP7Lw0>d7Ku~l<>W3+u=>Yfz- z0E1TIrJjR!KGbtSK(4oWFZE0+$@3A4SWU?-kO4*5Y~D7TW664It7-Wol(?FHzYtv& zwaU`+JVt4&)o{)i70INLkM-Kr<`E=MywE*p*(2Nx&wGO5iFvG-p?{v}iFD&JQj5L9 zAbCE~+tAuqXj;an_|Kjv5NZ1qN7?nJ9<5hBTA$t{q~?E#A_hD|1J*mb1AOKgu2whv z|Mz8s>dOYzm$+vb+*i+0$_7(6z;&g{FVKyU=V{$&{T$tB{1V-8d4V?Weu-|(6T>09 zas7qrMkN~Z5~-oDae4fdj{FPd|Mo%m;?Hc^=n<*SZgq zt5I@U4$XT;GqADDEn!%w-5b3ue{Kt<$FGs`_#0$=E*7gVmA}p1frTUuAH;3C_LL46 zHG-(lTfK(cQ?01>jAhWCvvc5Yc?*r38YHIdonD>4u|=oq_#0XtXav%wcd!U==;1q9 zls969D#KuCZ)`2pE?D=y?rFU7)J6&`8-fxdX+(D;z|E{7Z|Kl_S-|`EdPkJg;Dg?P zy`|(2P#cgIsp9}FO;6}Y-Jie3{(!C(12gF(?#bUO-A{t ze#ffUge4E6HsAHaEQ1<%xdHC}uKR*<{kz_hXS6k-Hh1^m!`#OG7BY1aLLClT}hL!bKmz(WBKRo}B8P}$=@(6+)qfinFB z8i9r|Kec3f{DRr`qsu?xz4%c+yIzC)+j0m?9j-HfT4x8}pPYW_H9)Rx=6)n|_|wQ= zGUWkM41Z7UNqUwhzh%O1L2?UI7dWRd&&{Clf!udaAMOnZgDlm~omaCZP;j6T0%l@q zOm5T=sCqTIIjNeeQ3=L7g0+J2hP*l!j_@SsG(bzH>#&+tbne5d)2H^xeCUntL`jMP zljl!8A`DmL$w!F=i~yR!AY<2gb6TGR>!Snb2`oVk(;d!(-~&j%wMQt=1RQGc#vr2& zUW3&Y{-`g>l)A*6kW!bLI>{6)g#0=_?*HgQcIv{mvX9g+mnUOc<4WJv# zKI;KGoC)YgeY_e<*J;Y&%ss)7yIPP9dfYHgk28Y}H4dUF8Mzys`;3_1PG?>YUWim@ zFALAl8cPWsR4UzQ@OYEd>Zp~4=b$fw)f66?XaQXntTtA#nkj`1q>5G^OxLX_xVb90 zunR9onOwk~DNs*=J_&SLpafT-2v?vj0!-tpj)8sD1^_ZCG%Sv#g7ibF#?tYw>2yG2S!DygAXh=i0|2W7Vt-`M%xbU`&;xj*zI51^yVC5eJjmvj&-Z`D z<@%MU$3bKZM%Es8@uUysz&}d$-5#FOt$6PZ*A8qr`KiqwB(|8;W)C8d?3fAEE)Ez% zHGn;43QGVxN1MI53oXhH10YcbU!KT@s8Q3wZJEpwK&o9V65|bHcz!)O6TB(*gUFP7F*lY9O&6R zVNd<&V?L$FeI6+J0yQb6LwG*E&=w;q44{4apg`RM(dvb?JcJhk5yvQT#*wV_MY|9xFZy6C z^wdVB?gR^IR4DI{EI&f|L=aimjxb(~!a`9-hH##XEuu%Ofvq@L>G;42*pGUKb3eYw z)}J1CgoRrqhF;Z3?L#07htLyT9K8G}Z(bMKsaem&5fL!TLex4*y1dS`e3-G13T1pph z6oA24Dz>davPNL3EVE@%DHZXB;|{bm49p;Mmt6X&Nf;rhy;Mj%*DZ)zR+zNXg+7CYgO)=zSETwOVmn$P zRg?Bb@)%0k%3Tb$97kWd(JU3lKGnpmkXv@jfWq)0{Fg%Gma!sixqgl<)Bj;BjFxP< zF^MfTcA~tUMWAsjXlPMr+)`-V3Z(_5ior&&r09~EgptKCXe*Uo2|X~_O4wgn=}P)o z463=30*YgThZMyGuPlxU26Cl*B{0FO)W$(2xF0aizo~oYwGx<@Rkoq2d(f)XZcy7| zm~6SttitF@ja}ubO_Ul-fhBlG>YmNni7uApT`8ypYN=WZN&pl=|CHhd`8vc~)ONg1 zj9VBObyL-S9jz-3o4AfLm*I7BP{WG%r?F+ApzB3PeQ8G-UINE3Z190JB?tE*r*i1N z)W;2CLL!hT?T&QomX_rn;?O4iJe-HH32aC|5P70Vc^=ABjwf2yYpvJ@wi>+Q<gj^YoH5*N*9uuV!mzDDz+ zz}QHG=x`VA$~WQkVW{Ft43DGpRbb&u$6{WS)$w|IEcfNfsd}e_t3pDNXyn&e zZUX~`M~wt+stW(HZXEXHWLgu4ncGI5@jQWVL-hFn9zc*pe zfV`>6hvK(jN{JJoN;`$d1-gGR@4GwIz{y7Kq=t!TRQ9}R)RRP1v6r@fLD}7E@vOku zki$T>)Z)F^KIM?fsi;v0Yc8fXlz*Q%`}@cDf@=c)Z6XV-}VE?4_2>aB}Cai8-08rS97gxtkcE!aoPkSaJS z!usIcJ;iX=A^mq)pp+vHgZ8J7AQA z?C%ErA%1=-r|iFm7}g_dSdWNd%}LD~@tW+YaJ`c_%8RA<|#h`11JxdauJ?T4LCuA zoAR>!Bo?Uj)^0cDVf3j%@0p#Eyo;8zO?*EyBQ#OA}hL+LPA&6~6Hs_)E1!~Y+W#Tf>`ytS^`RL>kqso}+@f4PSS+A?Ye}&gLgnDVQ4d;?}vX(A@J%W1!DY zvJ!)x$L)`-vwtgiTIbcN;Bqr=1>V?-*X0*5)}}^Xpq?XO!m71~9lwB`EpZ5(s|#ck z9{aM^*c>m4V4W}BZq5DqMeJG0eX-;&s>9yTzHn$R3VW)y8iQSg+B#lDS#IUQo>Gt6TbGWJAi2ioy({0h2(kd7Z+Z;v)y z5xZF!n6V;67lLoO-AUgCzm@DD({RiX>t$yLtkkRYNHDKrz;F%?pr90SD7cz-jdoQH zn>smNRYv7wH;mz?j=Uf;%f*IU66~Ees82yZ z)GJPaI@08DB82WKKGMTCz_8z8{2E3uu`D!m5Zp+7wH56Pq|K<8dunS^EzdRKS%^vU z{M)SSVDFO#2^r;S1g2&Xtiv^0)&8_q2^#R!Fg>8mW@4P|2|cEUbzxEt!cMV=*LRWWLr zf#t0vE;HQtE$DpoDLos-c88flin!pE`Z=Jx%!cE&KdtYMc*ZT|qewsImRJj6s1_3| z?>FJi-9Ttq9&fITcpCOTEI)K4hgi$rw7Cbu8MjR zCaMsAn=AV%Ex>)H9P%dlI{#BMqtVK$rErS!Q1K*e`g4Z!;Z85G z>A$4xBls{}Sj-;*JMb3a6zr5J^{l0=$0#&o#VB5ff3cO9ELH~B2gmZ9{3{l2TA^8J@28HxPtjx=ob;c{@W^w??`b?5jOgib*MHKX z>6j?Hij9bgq65Ff}u=!y#5y1SV?64R}s;ijG7tHs+|hZw75*xR zIwj1<=EsDNW~J`)`ARA=4^0nRz`HS>rY+!eKyokSBRGc@O>3~!P8+?-@9ZYD(}g}Q zx6=8gybmoYfIe1R#;Y36p4veX^U9;+A{}QDf4o+(3H*K; z9D8Rfw;ZukXX?6~i(iRIFMi8a4wwa=1Q1etB8JN=Xng> z3P+@6Z&YWc@~hzR-dTb1>c5It21ELhm;S&?k=%b)@mjQVB@W8%S0mEnB8+?y5bR=d zvvUVV5HJixzI?%}C^umF#3ezL(q$FO?)nGX=i;d?5bet=c&_yB51gG{m1Emz4FWB$ zBD$2H7OdepfDy3tqbA!CMR27zYY;5*5Qj8Bs<##_59+WM4wXlm9c{WD2LTVdy_UDa zAumBZ>)_pGwIvZ-0-KRl+yA&iwgZIX+2opN)0hR znGJ7GZHy|wTZQR^Ho}s7+Xjm38GkCi0jBQPI&`Pb240{0Ai6BKtu342&H31RtE@ii zE)oY~R~^5DmOm%Nri1~5S0mq3A0=ncwA2#x`5X`>`t<0tj zpL7^y71^)_%kE`^n#dCmYRIPaMAnc^*?rWIUA13m63>SAi}Hz!lhM1)*aPz6wG#Pk zftj4PiEp6nTcMWOZ3Cp9(B;jDDX!YeEAjw@H=SVt@)BtUt6>5-V!Fer|EV1v@+g_g04dpU(_74Yr93vH^Gt|LygVizxD)Du%C zhaV5G#Q6)JcqU!1M-eHAMId#G(vm?bJQlf+r|^Efep(>9(QY)XzPg$a!vrjY(t#=P zYowj3uR?t;n{XpppYra(jchwxL3LZNw^LV?r}y9rVC)`VkxK66op^`zHQpg@(BJKa zZ`?smhcqJ{RQSA^GtaE+9cb`Aej4eO_VW#R8W6Y1y#nX*V~d zOg_M$==d8K-4Da;^Uu$>t4lo{>#zNG(sItVXo-Brez*r&ZCIo(OgmQx#3q(wb81Q%Gwj(u%R> zs)FY90ZiUPRp3usk7KJzIE_F}ON2pWRV``gX^6D4WmauyomOCL`h^6%y+eUslyC-- zp0?EO3<8+#XwMmpZhQKE20ly&RaFP7e-`C*L{-rj5b5hg*U$2jxIhk3^>n7(=aAk7 z=QyNiqhaST09|R$Ih53mZk$6zvODEC&#UkrX)WkM!_T7yxYkV{(O$?do861p1*G++ z@)xkv_n~1Ikh8BXP^I>z`$*;eaA|?@_NT+AaDCQaHMBo7n&n}|!K~(4x z$Y4qmWC)$VguV@>BQ?a752f(S$TQ5ANp&uX)?Vh-*>L*zGOvV}u)f4x3@=?9LA9^& za(pD_8J#SRQ~W5pdIgfBDd;N580sd-SlWM;$KwysIA7x<@p^F5HEiJ%uVKA;T!&vc z4RfQ)n?~ENqkGfo({&u}W>Eecyfo#z0l^v<@Smv#41ZmlO>1uODBOF#1fdr|1| zEv&5(H~C#Ue;W?)#9LTMzIS*j-1*hJgT5}pdkFRtwsy-MY=XF2i-#dvmSk9UZ4te= zgB^9o9Ukny#1zEP7CWTq*&Q@|;9VYyJF@Jl{a;FZ?_xZc*{~;Hz&xrBFHb3c4-q52 z7AIv{W56xEs9YoVvpj57N>xE{cVJH3q!QQDp$9MsTV((vrN~3vC?X^+56q?CVx!rK zKFB>g%VS;#f4vOvDuU?eTMXYW3VzOW&V2=k>)d0Ur*j;JK^-3>4CnToXQsuE zVFmX+!NZ*tdi;cUq=rwB^h+d7d4i;kfAQS(=m}meOnZUexCdp??0$m({P7On?Xu^*EIB<( zYe~sxJd{elz^=1jRgFl66SaQ1UJ*&S*2XJj zffB^ij6f>zAKY3*on(&s|G@~|60uNU6@jfo)M-sk{VQ81rSs>xQ3yGH zb0b^`&sE$w!*B-|@6MGHizHk!%lUal8x5lhe~mB|jxkVuC&Pk2YFMzE;Y9_UjMIo! zeRVQwu@5P68H{cWv8K#quV7@O>luw<{9gplG1i_#AbTvxj%%4|n$-P|-AAH5gD6zquuvN=5%MCpK#JL}r2qHj`pqQoH zFbsGXi>G=>lgEjvZbl`rq$LqSU>zTk{?LnQZbl9&<8B1&8J)$zgcun_I7Ak{#vMA4 zQQYl|8*q|!-4YYVBrJ7?=>toBmSPmkTATGJ&6)mv14ruFXWCvd!gA+X9MO%dB6vwtR zo<>m_a3J4I=p^Jt_2O#AU=|!e<#iRfnJ_a}dY{QC&t0&ZRk$5-0byLFx(>_?bwvn4 zyiUb~K7+1ihLX9cvx#^S1q>VKPu0A@ccmmROq3fP_A*LwcUvCeoMgdY1k9WTbL(OA z5k6+9lP9w&zaSY{8QBa!abA>nEq>|o0yjK!mf?cSWetORWyhrY zE7ODGGSHAH0~=B5FGE6*gzJNF5WKw)pozW)epKVB?NpX|x35u7e4bFBS^NweJ~6zo z+aahX(GOX(t8g_QAsMvL5Be2=tw1U#ZnQ*nUf!2{^D}Uu`UzViCoMoIdVYigq)ikT zdIk%?K~$-@KZYPc7@$;j3!q1kM!|T%A+$aqH83Om-bMjNgb^T2lh7%QyE}FNfkVr| zZ#=8c0_j-*6eviIr@WyL62~cV-QO`IQDBhKnB}18U}F%^sWyZldJt?B;klq+Qr~h>-W<@K+|((Dv7YC_++n>1 zQSqFHh-GxhY1BsKKyD?-nbXL}^QC>|&jpeE)GU_~MNMERr_lMiJ|CqlllmjoiEtJRC7=05}2V#$0#FgLejn z)RraZq>#`~e>#!Jh~$N_nZnfjkynV31z$13;ssD#2xg|R_-#cX4G1wjkkVcKmJpSn zz~lCbzJPX3I-ryo>S1 zOo`^9R4AMn#%5s{qoOn|46CgeT^0l$LAX&JKfh}(NJ-ih4rVEOA8r)orG;lCw5GJ$ zuVuldh5q5LEic+tS{RT(+R_!;bS|%914Gp+E?`Qh_61BloC?Ets#zVF4`W|OJdB1) z=QTWF8>I5y$Y&JdWe}MVb{in4915efh`Y6F9$YSJ)4TW3ky)YAZn-}_H!}h6~yDWk{}2H!yUvH0gQlL7(}EO7XqMe zN+~!36^oe`B`2$jTHNJ$V-Zcq3t?x6Mv4t+SxF-!l`3qs0s}9U!pWlqW}=cZq2eZ& z78OQGfkli#;HLeg$Px(JOvlQ>L8-0!BDW}eIm4UR z!ODS}`%&TYa5Czsmm}(yyN>cv;C;FyrdLt5R{B?U)FQ2eMJjJ)e4dtE@bMzv$ib2vJbD(7O(?1w zjP@AnSqMJG~9b*Shh5mU@5n~5LO zDsLSirUn`^<$t23q9<­!|q+z|rP5C)WCMHx<&U7e1|qbz?qb*c%G8EKKnbP+aF zt&y4Z0|NN|~5 z_}WJK>w;{j5p}`bL5IcHPV%Y;C+{bXtOur@j?@G57iFn$)DpL~9qZ#$qXYGk$mpja zI+bn!!fB8o2JLA85hrqMXxzr>K$=OoTt%@etc{E#I6TNl$>LmT=+1c1f*Uzs%Gwy- zAg(aw1)VQdZVW#RpX*d0WkzG8F5LbXjZw0dN;d&r_$UA~zSMva;pS?Oalqai$d z{P;*5Alo;GPv;?yWa4Mfx`)_o@QWpI#bsb~m;uDR#Uu2?9SkdQa0{43Pj$S&dBZ|K zTNqI|4b)XerAbSiPdruhMBajVieH9{1I`nbf=kPAh$m5WA0rned$xi`XMzRAB24u` z@uS6mS{bw8AKHbyc|5`VTa`r;C>0OfB3D>S67v5EV_ z1A!s*O{v-rdmazOAVlM#mZx_K)84NKrj68x_QpgWoECD?gR$Amnu77>&FBZmRnDb6 zx$8O9FRy~AMJMcS2$^M}Egj(uAcoZu7AB_(cnMiu$A@@0*02-y^PDQIvAGk@vbn^s z9Rlg!PKFO})P^HpK53JHr@3u(MH-ZgzrI$=1clOzxeHS~N_c z-kpsIyne%WlbbGeF{;C5&Z2$-TD~ieM>(ijSEHU0;;C&=gMXu|QC@r=P*>6+6x9t{ z0nfS{&YGdLsT*ufUJ>H(qyM@Yqk)sU8;d~TYQno0w|LmH7?h5nN6*oC_FFv{}0 zaMi_2Y&zcqfrz|xvxhMi&N+gEm|nWk1M7NmPh%*x=?V3#*b9Djfz&V+jqPQ4u>#7S z7I%ZdQjeeZf*(>qsZiu9$(Prhcok>W^#ZiDw=oqyJT{^{Anp3#D^eZ1rHV$9Utgmk zD@gqWfs5YP=mb}|f{fc?AqVGyuQR--;Q~i`azCRJE0TIvUWTfQsA7vyOn+o9N-O#s zjqq12a;s7F8-M{XAzCT@cHEDaP-oH}1Ky>eU1jlq zp+VI7-C!dg-Y%jJc|ckWF`C2QJv_wd2!B@!lpl()O|)QTp``*>pw~l>R(vJ(NUQk>sBtAz7b>N7p%VEe86DvNsh@)-VdN^S!jB|Dd*JMeihPHoqN>zx zI4Z)G%W!!9u^2b`*blFQ-SCBIg$Qcuv1wtS-~PCh(ec+>Mo4M=szKOK^Y$ZMnxSq(8FE#SM!(W)s%D+_fU zgQg~^W?vYCm|db8x8h^Luci1TaBX@r7A>hmWyTo|sq{D$zhNATt4FTmjep>dNY@{p zJ~W}B`kNPc<7`1f?Fq(b-UvOG6W54(OvItIG0mL_tKO7ePsIQ3q=oVmr479}$>AIRokc;mMyXc(Rms zGmN3Y(kiHaw-G<43i)62yJ;rMHjq*+Otz)$Z)C*`I z(8+2KycqZ}@QKwP93R{yxH;&J;OD`wto9sXIZEXy3pzB%)EqOc_M9wdrkq(oH|9K$ z^RU&PYf-LExwcyExu@h_l6$$;o|I=(o`ZRgSnVM(Aq_*CSnZ(^p;4g~t@f~>u!3Pl ztoHEo;R)fjLEnV`4F7Gl=cT-B^KP)(5q$8<=MB0g-{E}6toHoA`SazE06mrecK&-- zdx2I3dKc&i`mVsQ2xhfM1Vltc6aw8CaUcQ>i7XmfB{J5UWRE-+c|8(6E?BK#(}FEP z?-qPh@V(VuXlS9Sh0udSmkT{E^werE+_&)9!V^H(72aF;fYn~4Y>^s85j6})$B|DVt47#V}*^(Em_VR({Bg+>Cy;=T6`PWu^lr1VaDi>(W zs9sThK_^5lh*}Jq9CbA6gw-B>FZylt2dlkOu}W1d#ep`f)V&fKRB3CaBbAO@?UmbC z9#DC()n27yl{!_D>Ratqi&u@Q8gI476pE=BQw4NP%-k3>DCSPg>zH>|du&i_!B|X1 zZ2Q;&vB(&^IrdQOQP3}OPI1mwdt6Lh!#GS`+|;oFEND{v z^!Qnz592?^|82Eb3#(SD8g!)AlUjc#)q-GcYi-}!0akmRs5*&tpk#I5*Zo~jx7zE) z)@xL+sny=7d!ylvMu8q_bhXh9tG&g=77trM`C1ik71Ih0Z#ALSf>s!TR{yll(Ar|P zw;tGfV(TfOU)ngeK?B=rZ9Ut1ftG3;-?oO;-o0A)rrj~sy<>YPHR_ED`Zns@xoG@qu-7GH3mvFX5yHIW0rtk9`kq%bYg7Iu|>uf z2kkd@+}MerXU5(g`=`}D&Tm})agm_i#|(9w(LFIoh;XVJw)SFHBM&WrsQ2U+b)yDS~CbTsJG zrQetSwAznd37>z1wCxo)@BzJAd9 zY3pZ#ZeM?T{W+_BL!AvBH*~Sux7OI&dTTqYJ$Y#I%;Y(sJCn~QV|8rHzAa)~A<$ae z+Hb=MZF{oq>$d-__U)~=57>?dY+ty2>-Ozd`;Kfo3hY3ScO>p;x1*!gzO(7hUOW3@ z{qLN!bN$XuR{O59yK3#K3)*+r#9dIHU4N%Ir?^<{DS1<(QYwP3OF5W=<++>h_Sx+V z+H!Zl-2<)mJ^p(N?I{X+W6$e77@56!_eSl7{Jy69dhP2AnzHZwzDrj7{$=}j?neg? zv^voLz#!102W}m>i}io7<-vXjQP9Cx2Y(%ck{yaY)a+18(Cvp#AHoP6&U`rZa9+^f zhsPhDWVIhzePr*E16KRdqDSM7RtKGSbk)%{pdXGI$1+;&$K#K;IF2bjzWVsy(}zzV zv)a$NoC!LU6SVW0;b%sHo;Y*&4CeN1;MpQ)q4j64oPB=wmDPSO!#Uq`Sia{#{+Ub1K`H@!pg}@6%E+F59-WSGSKu0dDy>KAu0&MYxM;HFN z@Xcz!Sm9#*i;X}BU7U6iI&dk&CErUZ_)@t`wJ+5J{p-@VOFyjk%RZO$U&ivf9Cx|- z z9S-{CT1Cq>EU#<%u0>y~1p4IK*K1Io>)EeITt`9IKi|lB1IzM8%^PiQpuiieZ|uE+ zA-!?;#)li9t@fM6Z&tfmGiCTfqc`W?nm+|EGwP7-`ll9efbV3}syRi1R@ACHO#)q_)o{82`ckWz0sIUVwS)|VK&NPl8Nko* zmsTrdX3dh(N2{H&1!y;|PR1pmE48{AAA=@+(CRq{Y8K~QT7BnMpgpt(&dWeoYYm!SxpJ+YYiZ5mT0?8^Iv8}S*1`1-=nJi* zo400h^V2%H)dTIQb#_|=x>M`ohKk)@YhB%u?jEjnbFUBDN$c*u3N%IQ;h}3551ZE0 zBMP*#*2`lQ=nSp5#}UwzS|6LHS!|iLzP4sslEv0V>t|a8#&WH{?KS8(ZGdN}X7S9g z4fJdeI!GJjxdZf+HaHX0ESbEtA(?7`w$z4Z!dzzBs}0M9e3?FINtwemOXf1#@XY-| zCu$=yUk81njr774c=>3fylR8C(?)wO2i>8K@%oUYS+eNb*en>LED759EE7OUn~>F2 zo0xTkX308Mo1Aqe=q_za)@z{8wQ1gkHH&w&Hr>0IHp6?fHq#rGdw9?&SeuV%?!K%3_~S+n>q)fV{P0)3_}^6Q{2_Dg!BS^Pd~OZ_ng{;}FJ ze<+WClD6D`H|RNSg+G)oz^1JXr~sOvtqK@`e>=3*0WY*a0<&vt0_$jN1IL1H)m8((>4S(*ER;t)HVg3*ER?J(l!N$YU_hB9l@2gt-+Ya;JsRMjv#GYQjR{_ z_8jP0j_2CWoGZ0mxn^l8xkqce^I&B1%+&UTl-Kr#=F#?rd29Q_JvB>sF706W6YWsm z|FpyTGigT(6wxdNs%pm~vS`O6^JymvR@6=wf}$4cubnAeRkIXsq@68NL_1gHlXkvn zWzABwfp($T7|l{_o_4W#C(M8Gq1vV58#GJtgWBa1T{KIHB<)J6ahj#n0_|!kto2g& zv}>hdBT9#9*GoguN_Wz3l%5Crhjz2{X6N4$3)vKV-w6`(dnk6Q;_C98S z_95mR@MY~|tf5(Ay|quV@u1DL&#^E*v8%PeW3jSgUua+AN@j|-KzbnjviER zp#83n(Ww3h)2iPBz0dR-Xi$xC#%nYH?aYiCu$(nEGN+mwScaOnnNtFuCL~N@857>I z3`vPTEMsC1&5}5ZIoHBa)w<0rbqi^hy2Y8bE-J1&lDX77q*>}+Wv=xxQuQ-4xBAhb zb(nko*`OwgVl=f?B}@w|dEfTQ}4!t-G)s ztue~2U$C5Q(113rSgtl3q2+D%vfOR34BLEUdD^bkEN%C(khZTtf3nba=yAKuEUX!gMo(h3M(sBBplY6xLzlYtRp@s*4;ub|0&LKf;-GPC@Du`F&4x_533`tW zor<}f8o-84?ZA?zZUatXW2S!AEYmbLc3L7EKWzn@Fzs)Ae`6D;Ll34`VUwo!2OYvD zPd@{Ci%pr4gdWatV^e2fer9xF(`I0;&RD{x&wxVB_|9g`M5|{;u$eP4WHTqSSu^*6 z;tvANgucxDo6VmUrCDaxU<+m?v4yh^vPHA(Z1L11<&YQzh=51j==W}MCUxEFa zKbQTU|611;l+)RQp}M|czs?u@(2a$qbf<+=H4Fa#0nSS@XqF{jx@AcR-MVDI?y}UT zyDn{`yDh!1yDx8|d#qTYd#?JdXIlOLlyu)=Q5IE`2zw|6A^vBz2N7n5MF-rDlsBsn__tFU-=W3iC9s)3mEXN#{l) z-Bjq(1Nn>+7U`$?tQS2qI%_&*^b)-?=(UW+!ZM?g&pW~@bC#x4=5k?uI8xXgnJsLO z_7Qf+#tHkZ<-#GWQrKkO6pqIq2&Zfh;hH-^xaD;<2=}~m!Xw{H==1Lh&w?c3Rq#r9 zpNtYdr@9K?Q`N%nw5{+z6DRz6gOmnVsciY+4Ye5e?4K3$Bgj1r?NuZq!C69Pn3 zRk9dU{e~D@JyVRUE)>xys(724H?Y>n3Zps|CdmuZ5=+B}(?=$<_s zutS(tk9V*X%+mZamWo-Mm+~(S>#f|u(lJ}59?QUNbfH)#X0P+c4r6u}&Bo0`ssL zh~;BmHjY>U=4rDFJBj(&ti?`Y-nORLY0S^&HD)*i`r4L&XTiRT$>|=i>rt&6c z@MmHwZ(-{K7GfQ+4FSw?7% zx`cJbwglN>-LS1e-LSW@_@I^8JJ|N1Y1q5iwxA@eJGL_@4to#V5mcek8Wa=o^Pnuy z6#Q)PGb;ALb`8FYnPFc9hhyg0m%+Z6g6$5bs}&u#Cz!5QEU>SF%dwuA8hi}vg?&A2 zEoO;*J8U*)g?$q;0qcz=gct^c*5KaZ5ugp2G~5rf#S%k9Fgt8ts3&HReHSXb+5tNd zD!bYd+aLM_bHb8CFJsQw!7w>YE?7#K941%nP?&6t8=b`2H-gJf3BYn9zQhduz!MRlfc?R|h!@}htRUhBHW15?WNB3bu~U&Ot;!(m9LmB5Ug;l78{D49mm?Q1Y^bHSo@V>SkZWag`X8_FoGDpn5XXsk9?4rdfr z6Dud-7_2^4PQtO6p>Ad;a2(hW`vQyxFU;JDjmMg1uEr){jkDyCypJ`{ltVHRyExkf zi@{oE{fAA$F3lc^O~x+I_Q$4RtxH+Vl&RR&r7UL3H0;U>dBW2T;Pn;qjAww?RxSZ! zu^TJj$7W*RuV#f)W??s1v%)E}u^-mtVRNt_*L;V~#cr)-`Bvs(ch<6eEAz42n^~%r z1=vrUSgMtU*xk+FVT-VPo8vVGYh^L`^JbPzWeNCTGfSqj6uZBrFZKcUXp1$r412g` z8@3#KvgJc;1@?H$4eUeg*_KLdCH6GV6I+G-8mGfnW52}7;rt)=B2EtH8tl0tt`+Vhf5uP34A>v>1=xD*@Aw1Q2JEk`ov}}`f8&3{ zHe&y5or-P3UT+P>He>&7EzopPwip{6jEuG>Xk3*zO`wrxTNg0im_Je5`U|!d6WbPG z+pxE`jl{NNZ*I%Oc3>U1eS_`9Iwa&{pJAO6_F|u7+Jr~g7npHE1GWq6oY)WBjde}5 z)VLayFTpN}iQre@+llL`xCiT&*o1wJy_8&N!_4;^W`g@ci~YmF1EB7}$Jjxv*MaF+GS>58 z8FmP>I+%*3V3r48VX2t)!RuHW);rl5OUG=JyJ8ubO>#VziP#c#ACUbTgnYA57VcVVka<< z)U{YX=9M}PE5JO{wAe|^C-pIAI0bsAtpiVkerd7b8O%3*6?PWun;wG|Vtvw|V?|g% zdMj3p`DYBlO0fPJ+_);GSig*uSQ$1jBN01?4anS$m1Bc4S78-cU}miWJP!`e%mORH zpu>x>Ds1TC(O5M${)waDEpXC_UEq&c zOnx+W8=I0JfZf3+=kLVsV$<@MVLxG03yiU!u^IW#uzT3_f}z-bY-WKY_5h15D8(LP zvkOwNN7$^B78*kr!1-9t4Jn(PW($n(5zhg_z$TNP4EjuI6_!aiSnFj0+Y=z-WF8C+7{H&Zbe}OB{ z%1QG#_F>^i*gx3n!l~H5*s8*7*nilX!XoT7_P-(;tYnm2ou;RML%J0 zU>_IHz~00R#lx_-xc@U+S6mBr05=pf33VN*xW2>{(_$M--o`p%pO$RLI%AtlmSV=( zrqV*J3l>*;0PBivDI0-x!?u=rVQ*vcWog(u*!HsR*t^)aa~(BZ47%>%&ay|~d*F_8 z79^bs_IWuAlFk(StXv+X2ezwR9>fg$q9PSD$G)uChAG(Y^Ybtrw#W`TWmz6$G! zsppSiy|Awom;-j8x+CU@?XTX5Ibq4wb1`S^U^R=B&IL=UW|7jla{p&^sHQLI2By_mfbLXG zt$BcXU>P;_m>x^7wZuHJ!?gnQ!ZK^eV&2%%+P;_%cBJ+<%ooe5y@L5+$Lid$K3I0$ zJ6K=rc-^O%!5_@6n*#=bIrXwJ{jd}DvN8R!ym~qA1F(X6Iqn0o{Q43s5Ia?W2pfc* ztp5WG!p_uxj}697Ux>kmV1*X~v7y-63#^2?V66DUDg!tSENYNv7Xp?x$g>-cl{AdU zLa}oV{je~stl@7g9II%!iA7-Ljhc$Xk6js$(gpI~(8xLYp zn4zYLDe#80$tJl532Pqc0V)_Czf?0u}c=@m8+ zyLd4ai@{nhx?z*BOBdH;ld;PeXJJ#Y)@JTCbW^$iGrD?_n+@GG@Je$qI32s*?1at0 zt~IkP>teAR%`D5hnb`N2WTR(cH!sOX&&Gae>5R?6e!TP)n~U9QktZ?_yVD|1WIlGg zMP5w{u%B8EXnb6C3&FcB&%s6Dy_QxgF2;Ut9fd8y9<=&kOR@Vh&xe|AqV?l>!Fwj! zdFsz5+HUF;Q|%-k(Kb@FJ=6wM?HIL&G|y@m{!^*?_n_GJ`k@YrmXr^&$lHXBRbz#; zNS)2JW5|xcj%MnIX4)totZs{M5UUktT5~nToTx2tH~V*2vncYEHI?S^lyzI(4GJ|# z;M_rGcJ8h|RJ5b{V~7n_T6cAxPV1)j(`ohUIi1#(Yz}SO(*ot?Paf(jBHEqZleo!3 z>)QTurehXbTh+BE#kO|m_tZM8n`N=>El87P@g_x5UGsGwYCtbG@ggI=`lXE8URCr# zFTUc5Eb>Ajy*k{I$lQ|XfQ;tfrgzp^Qsr-oJQ&{gJ<(QdYI||d4lC_Qb-fkUJN3rg z?o%DJQDb}4-n;E*aaP8U+T$M9#E;wK4`ke-J+6^)$M)FHhO>TYO@r4F8AYm5HpEwL zH~=pX@ernC)Gs{g@JL&2ccX=>wLM#R+S0sb?eRSsuW645+p$;M8wYyXY3gBYB>_P1iV~q^H)a%`ys-iKmY{v8%f?MRG=yQa zizrD(OJwv)MpZJ3@@5~$_I)zF*=KPsM;ze85#RD*(|Fa(M>|pV^wGK(6!>Tdx&I$V C`R+jg diff --git a/target/scala-2.12/classes/lsu/lsu_bus_intf$$anon$1.class b/target/scala-2.12/classes/lsu/lsu_bus_intf$$anon$1.class index 6a27210b74c73e741efa8d10322fdd05f7e1d9ea..bf6220decde813b6094c1342ec762a0655d1bab1 100644 GIT binary patch delta 473 zcmW;GPe@cz6bA6`yi4Rv&dhuB=3V@ge{#fAsFTRRMA{}qaT7thX;bZr5Zp+#k_gHmsr_C9YV8?JzvyS$S5g^FZ#*igO_#jAqf7KV-J?FyM&j*3qIQSY6P3~Q!6B#W z#k8IEXKt|tS!7V=S-}-dp%ssj!%O7RKmi*F(L{tFDB=%dDWD|XNTdcY_t7TvXqP%V zWd~Q~8@l8ldV*f`1{1gzJViNpi~e901Hmpd_=@YnZ`^Ph47xrHxiJj88IOva!%eq@ T5x0&}_W@ORgqH1kM^(-Njm>nV delta 469 zcmW;DKS*0q6vy%3c^8qW`SbGft{OCGRIZ9fgGx%ZOA)$>P$!qxtwjVEk#-RgTvP}- zgNwL`BD$1nw<3jR5W#jSREL6#II2q*75eMr4xe+s=iX|mTKcpR*R#0Qow(E5gw-oL zPFoXBm+3S;p_}x9{-V#4R{f;Y1v)`L(=~caPibGuYE8=Nd-{^@(WR7=MwKwsuPohu zPQ`bUWeIf5FY1ABb;WmjL%(suJ>NdD%%rV8PdnYFi)knMXmiZc6j;4y>557ra9UxR zr9bIDZOB-?4xIExFY+0ut1NHmce<5vmC))XuP>+#oqnXRLnp=PLz&Z1G@WxA`9B@i z*?Y4TLmf{C>QO)gULlURNZ2)nx0>iV;TpE7 zLTVx3el0W!{}d{Obwx%?tzT@UX~Mx`6SiGSOhV@ph48M#XsHXf8HFj^6oTh=Yu$}n zbGt%F++nT1p&*Q|VcdR&pgLf!#RrUZhEVUI3ENW#O+w^Bg)rif(Nh0KeSJtF792s>fI_QVO3aQuWq7<$rZ zsb5f^pHv7-f3#NrpNxXxM}<&PSv#IG3QbQbg!`3s@@bq?jDqrlLMXprq&Y&PizcDrMTPLk zMWb*9b;2bh#g)Hg68^rV5Y}Ec3d=4lgs3YXT_NnMtgU`F3UNOxgxi&M>@P+kD9EFK-&@ z24T`I6Wu5{-Zlviw-v(n+eS-m{+p4O2xosYVLR}5zI|`m8t-uS`okm)_+23!{ljRf z-T$;!b7h@z*V=ZyXB2k-p%98HYvcRY_O;5I`oP-$iW+fWA*^_4t#uz+>%q#}<*~K> z=&_L=5k@~TVN0L#ZSGUk%BKn;!E6-tW`%IcY@{cIp3hA5C*e7^X9UCZ0o0yRAF37d zUZ@56i{Pqe;)}*rnD?RywHMyJ)(S6Qbg+<4=<>3?jr@e`FKy#oUNu5Tr&ozpqr1FT z3rAkr`gv3NTGF)6trNDqw$7MRH8Ax}Ro9_6e7E**v#>S19fIwHx0A8$`!264Jm{lZ z@OmEx+3x*3sr>R@4;k=b9JZzpnb?+nRtvR1hKM1);IhveVb{kXP^VX3!iSGope0!E zFPsLU)+dcH=P#b)^m8R)dHmG53#p%4STRJn_Q^U@Bh>oLXXEpBw9%qU;&bvI*_WzJ za~sXD(Lo!1wo%OARU^jRsMJO;Y!v)YRc^SA3T$-OMqXd5a(yi{`)k$Oezx@}|E~|s>L_93w-xg$kGFrJxHc@69y<5arBI>Kz z0wYA+BI11!Yge~r`-_+-;;$mQ%5B*$A}$f}oCVoCxoxd2?QKJ5iFicBe?*LTuw^HS zSSI3Y5knnq*^we{74d|n`S|lqp+>@q1fqL%a$7`;u;Zeil|oFvPmK?6Y+wG4lcHA zqKI=uJSO75A~ta4!`NU~+gi&lhCi6tTM@%mw&_QSSR~>j5&hJ*>>v^IMZ6`VMq|r% z6LGnS7e#c`TGkq+wXJoo#aPyQT*UuGY^bwMpC#g63o`0KHSC>)Bit-Iu=sQd7fblD zg#F#ce6obsO8B;o@j$Tdvb%>kY=wj`N!ZD=a^Y&$+LKnkru1x$C#`&G>e(v^H}?{~ zAmJwxZs09umPq)ngzMK9GxH^U%f{WT?>If1=_5w3Nw|)$=(8kzUc%mfVrHC#f0VG+ zU(BRQ_^^a)1&Em;65c0ahdP!_R2^ztdZ|_6t*vLYt~f;x2^UHD9|?B~6!RM;{6WI4 zgT%~g3BQzZvtTi^Qo@fVoDgEk3=R>O{-;%0mL8=SrFflV( z!sjIH6)t8nBz#iBng}s7(#kmz;?fn7V)tMP@0GB9Ju%Zy!aGIIjS?f>C0r=sza`wU zzL?)2;r9|wj21I_Rz49euDofC*qtNcM-q;Y6*G$^{D*|=#fh1@622kfka#gOUBXu+ z?4KZJG9`T0%I^}yrH^eOMo&ms-B9!+Bz#c9H5-YU6bYA0Sl(F7^p)@q3F8ko+e52c z6Op${_=}bOnu<$b-&BmglW?nMqGu9*F5xE4#mou`Ka_A>3o)}u!gnMb`JI@VBjH~q z9Nf~9NopxB{jybAex-h`#3?38_>6=-62;6I2_KiRsQ5oc40E?*e+qsTHS) zE}+?*(m5UFRLtosr^lQUx`O<>f-*TJbK1jcEvGk}ZgUDt0%?;#X`H%qD&(|+(?d>| zIQe!1IduaK#-Q;wFle#DMI~dF(%fX~%R2U>3R=n{lks;^Df1g(DZBd7aJ0&jX#}g$pEd%w=}&tx z+K+Z%zR6U<`t_q_tY`pj#I7u~b7rpxpkrNsZ1{ZaQ-Acf9E9GGMd)2T2ptUuR*hXb z5WRPk(K~Yx!oevtQC6-@O|fLjBm{;h24G3U*sM1oT%5b~|P$LVH=sP=sQJAhaw6T|dcfwX#-XHyj;bhgMCdABHK~rDEkfh9SHnl@5?qD7#ozo|{H% z(+cL3M#Egkc}4uVV;$1?B1h0WEOr!jt{jQnv{AGJJ-}v-#zlNO3UeOFO{WRf z4!Dq6%r6b6OgV-+%MK{xtUH*MPL+t~q~lDD8->{~q|-s16fAx;4)XLU9OTB))Qx@P zbemH`I{kqajlmv|rDMeFF|>{Bkn%grv9RuAsS7>CT*l!-9AeAI@`5|ci`^YgYa*7+ z_Z!M~F2N1pl|fq}G&qBXTg93T+J?oBrGB(G>phm5*^6=5X-Wod%-W8p<7Fq6zHIpf zs-Y*@_3^X^O3(y4oStG!Cs3X5DWyw=+-Hwm|ARc@2YXE!A;ivUC$@4zu|36pnn06e zXO(`|InJ_(iMRvvOvey%78^^OGk(?tX;}>CXW0ur-aF% zI+HFDEmndQ(AOQ$fQxb>)=DX&$GGoc4oqzo&ZI#k#MiV5a{b z-P1XZ86=IUVFV9W-`2di!zO!6}c^ zbKZM{Q^*XEW(H^^ryiV&I0>AdaJtT^?o1qaiuD}z1+&DNuuC~j<#b{u)yRe^gZM4z z&fd?Y{b?%eY@lg$1l!L`8oR#)Pt$~1v^(>gMRlxzQ#L2(*`U8TP3Dw58+4D;IZo~8 zfTHJs_JZg*>pZpRf+w;Gb20Jwx#)b)MV7U5z&s2|oCkZF(=JZ+=7ZelgVu1G%cV{ezynJ5{{>5OW5F2uyfFUQlsWd)w?d92wA+J>%XD;OSW1uJO0Y_+mE4|ph= zN*}VT+5KW9d~#?Fq(gIPJJ}j#7@M63xiW{w(=}|xCK>`6Z5hp!E2$IH=U^3KD``V^ zE{A%vxhrX$EMM8vl2HD?`SX=+EH+ob(=A^a%W}rzo%|sO54;`4cpQ7I#7yG^+Ca8e zSc~+ZH!jBB}CVr6`qjuOt>_Aa;b`L;HSl(nR98BY=g1|KU#0LYZG-sE6RG9DU3QH zm(FM%b}AQB>|r#DxidPHwa%jnbQ3e=(O$Am%C^?gf8}AWP0AQ?G>gf@9WiJ%p2h|I zBzv(nx0%N} zoT6d*IMS)>Xnl4dA1Ck&r-Zd|oz~L?CU7cVkCXa%E!Lpi0M~0BT>1v&wy(p8=bZHG z;hJqk?|e>cHe$rh^%!Bd39ju1xPhCHTekrt?r`$j2p3g=-YiZ_3NYf_MvVAafWN>> zS^Zo3VMEo^tw?Q^FR6%Gs1H2xV=7_zi-c+%-p{}_ zwKVS(;c6UF_ORZl@x|zL9#6e$IS!Hj)Q+&6Vj75f_7~G&Hlhfl|HExTkMaxW$>K_A zConFOC;P^zLUvTy-TELtS7K>(w6yFTNAsH<58Q3|JseZ&C3ncNigDZMJ8C%Udd2m+ z-0b?q^|R|gaJ*>K-ox3F=0uBh*vW z(@(R#ud5%bpP>GyQD|J`W=#`KXH637NX=Bubkt(a0nK65pEZv(PvvHV)StLUG};rH+#E!2Y3gfmU*A_M!t5n z+M3#KsPBC2e5%XMKI47n_{>NB(dQSRn{u=7O5e@CI3s?pegS?M=QqqR({GC0>_60h zl7E)m91tGRG@yk6a3O{-Ufja|_2A+_cgY1G-L0Z(=K`Vn+q2363 z8uVOl4vq@`E;tc&L-6k4a@3C@)k7TQ=8%aY^FoZMXG3m>+>x900s0tyJZg@f(&0(6bz_1Y1xnZU-hI%XPS=dXtIlOoH$nbR39pOj9 zkIT&w_7QFoo^o?^^=NIhJ8JLfk*rl=SV>ijoaWu{~ zPJR0{w9rRJZVfbNfWx4G^HO%GrK6#+%AK(u-i$#vwJ~W%6v#G z*&vcATSr>U?vOUsl%#F7R-|3EDWrY19i&6Gd!%D^HR)8nE$Li+I_XmVAn98D3rUj4 zk#6!yq`Q0%=^=k>AU*9PNH6=*q__Qc(#QTe>FW?o`Z=VM{tjEo0Eb6pApVve<6Uwv$oL8j|j8Afuh1kuf#9ld(0AkPJl- z8K=l06BS>{q*}vCR;?>!in0Njs@zPzcd1FHxfrs@443<4rfUacaNS2{seH+7RW_NU z`b6fb2b1~gi)4W&o)|R+WTCbuS)}bj7HjiKw)O#8q6;BQbtbY*_d8kc=1*3*rI8%B z-DIWPXCk;aB_{XzWR?3xlIx)&d7fv;YOiv##(Oi#ulo#Cc7eQlHHMm zNLl1AQeKacz4emFzIp}Zhk74KMN})YKWY^@5cPx{tRGJf)t^NU*FQ&7IV~ylk z>=$w(E`Xej3nw*yit9s8#SI~6;ux{7=xwkIkr}QN2gg6{b)=i?VdCd_7xzSx>pyV! z;Ci?|g1ZmbUDX8c0bDOt5Zpt!o~q$+kKp>Ky1+e#>#Zt=dji)_wG!?rTwm2yI5XS; z)j_ytaQ)Rvxaamd0~w_H2l55vKy@R?mk6e)>%zT)OIA;Vdkr^4Jsj>0++cMf+*`O| z>J@PB;D)N7z`ch{RbPes05@FY0{0Par1~q|UvMKdNpPRwMrj(s89qa%X{JMdfgG(# zh5Q>XU2_=jAGooaLb$JRV>D0U{)HQ-xd!(iT!uCR?tgF-v~FDsT&7NDfUE{NMf(b}I%JlvC7c}YdtEr3J=|2?SU3l`>AF5} zj&Rd-WpGY#Gj;iJHQ;9GzQ8%d&C)%9s|jatYX+x)o8uM&R|{^o+X6TxoME2ZI7k=B zxo(#rT_G2^Rlup>=DVxm)Nl*k{s*UlGrISO)50xwPlVIKEpjh_bAwyrz68!4F5CSX zoCn-8_n+ZB;g))|hVz13;ZYCH+W@)T;}m3V$dw+uAbsF+Jo#Dhg)@2bv)~6Ocs_>n zhs*W60v7KU`fn=5-A&5N?gvA-Ev8)!u<{!EkH6m2e?&`QE39!NExn zx!#-aI23YSZ8rqN;5OE_gA0e-;8Ptg0cMUC$$*Q3+w5}_u0C9$ z&k49_xUIg0a4~SjzANBj;fnnDV&dSo8T|Na;vq}?ra&e@?(iD|*8pz2e->OrxKjVY zaE;)02DE@{47WQV6s`%}u7Ka+n!@b~I0e@XuB=WpTywa+b-dwPz?BD{fcp;ahrsP{ zEs3E4*%ySjf>SHV{XqmW5y6U}RdB804h9+E+Q1zMdJfkX?r_jAaP8m@1t-F_hdUY^ z3D*JcNN_n^N4Vp`>)<-U9Sd=Q>kM}?_&r<~xDz2p;$U#<3i(sWc*rEkA4BdS*bVM< z$Z5FlaHsU~a6RD8>iyt)!ky7?h3f@(UcVf!H{3Zr9$Zd+;4bR%;Bx8kAcOt68rI7{(@_V#9ubxCmaoTFPcv{2JUY3X}Ga)52AO&Wx(B!xeqrE?orGIxbbigV>iJ~fO`^~ z4L1?)ahwKj5}Y~q8(b#b)429&ri$MzTJO>_Yj6(nz+46F!PMv*nBI0+{n+yHNZ;urb>%f~A64{9=TTbFzG$ zT)Wt=4)e%H)ABiTdp5>Q;!VrvLo_>EKNW7vMlN^>IxJuQEV((nY5A;|yadDU@Fu?1 YMY6c1AY}&D@cTkLzlvo`?S?4+5A#O^CjbBd delta 10787 zcmY+IcR&=!-^OP^k0PE2*Eot)1&$($AlM6bjlCCaC@6{zyJ9~@MR89C>=iUhR4k~7 zHL*vd#uiQNdiENlKNEeQxnuYC{b#?Q`OGtAcW?LL-juk$EpgpdZwoys)Zb#J=Y-2! z%)-(_r7*6*WUbDHCYmBFD>UO+ZL3+B^rKQZz13u`eYcr}%G;H~yYf2!N0V@2hf?s~ zZmYXcKNTs3<~wZl4^;o1N+G?-RxA8u658xi3i;(VYNtuKi}uO#+H;qQjuGzdGUJ%B z+bo>fqZIVTCTrbMY@!)L?LB53&+ah`KKqrzh`lCWm+vzPElQNait=jQZxTiyPzp!O zYu6H!u=1c%cvfC#95B&og5sbV$5jW-!s}9{(BP2CTCbpbmMMi{rMCJnY7yEwhi$cb znMp`HsuW7gYsVudA@`V4cwAnm95vB7g2OR0j_jCOcz!}DBpf$c>qXSelS*Om30wV) z+VB^pu;iqz2L5akQcfv_lJeT_7nATG+V{)rgi|J(C44(&7DCP_h4rUR!eP|dGbZ85 zS*36eb?P~#kaX5oKccG6D}{yUOmvyxe%>t9y`U8KoHq%VP+MIvQ9=0af?4?Tt5O*I zt4YYds1%$onrN=D^rD$EA^4J6aJ!-uPF*rtYoFh2^(|`LRi!ZRvaNbuF$n{HR|-4J zYvNUt;CEdq+$yi5em4n!-B1dS*K9SfyhdI((Y3<9>t-C=+~CK%H_U?bEu}E)rb$?X zs=H;P8-=yE%s9sUVHS4%sT8jLVY1fYw{7)%c{Sg$wZr~22~qcz!jbaYpWltN*7t$*LvzFc01KCrdFJ}}WDVa`J{j-HSBvB-LC`k3>L$7aE3Q3{iv zn5 zX7d;IMa+BAfI16rUh9OHFWOp3FLZd>Mxp@W%1ddS`>T4)r9D*(Nv~qW2|B#i2xYIN z2yd!h%bK?7^}^=Ywn+zw1Bbp5yGq~im7U&B#4+>jARHgOoq%JncX?vC|3{7B^F9Ky z_4~PU`T4yOGU&r-9L*mx`1Z6y)sJEJjCIR8VduvXaqq6ehmWDQWc1(lIk*X4pIn96 ze_OM*##MWxmTfYFF!WOs8-@v&KUrtc303~F&Z`sJiLg+FQzAHiF3&U;VYMEFO9 z+F#1UV?_8#gcl-&{;xcfEW$<+?uy{^wLH^Hgj^A>ilF+pJkwr;ED=tNP~pGwOcN1i zh)^oRXAxq*m50ZQuv3JWB7}V}&kPk|lL+_9VTCWXWmfbSfr;>YIWTuB(hm|XlIV;? z6&=J}Q;9MqIxNu_iQ*i^5#uDU8uspiGTtj=r)Ybow~UMIoLkc#=_2C-8Gn&+yIS`A zIvKy0aq}2^CeOylW9&O`Sliy6BjZOht`lp|WXbqX8Ar$2Gjn8oUB+Q`?3rmYz9i$o zczY&8#%FB&F5bTNQ3>|waT#ms+WjyYACht9diKl!8Sjy?qP{)TOU657{9VSK8`$$( zWc=C20S)b2U)#_geJA6@Mt09+{9MKj8rw6=Wc*OZaZT)*g)+V);~Guvnb|VFCgad% z)=Z~n_N`yEDeF@jkZ7M`yo^uF*sHlcGg8LKWUOvs&kU9EK^eQXv}clJTrA^?t?Zee zHlEeWzV&ai?oO@k(akdcN5*a1*fVQn{8q-z+S)U@GJYoG`t9tQr4om+`d0v?rzM=;aXQB-ssqTW184-NL{3{d z&EoW!(-BUA9YJ3?C2@-H2wKf4gVQZe#hi4VKyNs8;S|vcw2V_Kr(Zc0aB}SoddR6I zC;!f%xt#iOI?ib&r*E8YaH`h@r0D{h#HkafeVnp6z2|hEQ;n`5=dPeMPR%)O<20Mo z6HZ4t1$6`c%V_|ogl?cUoF;JkgVP>P`tG2&oVs#~><-G|G@R2#PKBH*^#DEM)QVF; z570bL{XulF^~SB@n4H^_YS@h)wm)ms%%dm1eewUThIQ;oldS*gveKS(m_)8Dz8CFh zYi{U8Ytv+Qy%!BZ(tX*%aWS{!b-K+XcR4GHIneBS1}9dYb`r_ z(@3;-C(%?C?*X(kt38MYu1C%4WupE*TKkTC8O6k zgtlVa2T^y&eX0!GYWtY~KpMto4510E^HCdcLFB!Am9)elDhN3qx znYMH+Q8ni?cr#Nn<||S4v(5)-%{t}cG)kEJU>eC(DYOzR8A5|u>!BELZ7v;(OZy-N zXEKttOQp|PqZD+F9*!L@NTIzQ52`v?r_N2KRp~+ImrCnaIOt9mh*H63q*71xY#2rx z^Pb&ocW>&&z7M1B?C1zA=$(QEV~1l_G~L*=;j|6QOvC9s9Zo&j0Z#rSK=0D%e)f6< zayu5|a>b@0cVHCtWvkPu4=v3dLH*gSH0oKQ)Sb*^0jW4$)kx~*SgMM%Eje=pRnbzG zGlE7jRXUbBKY|9a!jb$`dGqy1T*&+BSg-pioStelz0Df&rrQ`=pRG=(&DnuMtoJRQ zdeAcFJ{mW>j4d6-3qA)v>~1ox>{zBs;>(5eL^@V_F&Z-@Z$|OZR9*_IG#3i2_`D?ijcT` zHg7yWUNi$w)&23faIu`U8K6{7tvMBD;1*5Ezz5?occmFvE_edyO9q~CsHGA#s*HMYL-5c`qM- z+awj{!ycaxJBm}d33PKl-i~GyPNAs@&%rEPvWW9+=CFVcr*qgy6xMVhF2IWgv?dxQ+iZg+uzR_k3!-M5J$M(86r2 zwsSVE$aW;tZB5lOJY-=x*m&Dzw65by zRXF!vY~wN-hgM^2OWCkkVJKC2_fji-+{sFIZ!6w#RdetLH7v)P^>S#u<0@4I%h>>* zlSAvU9VzsKJE%SXj z-fOE>u`Fj44FSa1-lsdZQdjoPjJ4AR{*APPwr76}v80%LCUk`G9F1|0a8J2jO zOKY+R3{S*VM%8o!Tgqq`#|^3`{2GJ+YTEXn#IVtJ`MCLsdDzNERU{AivNd_M4qB~v zt1A0Dk4B?aTQ(*?A1Bv8pK9nPez|?wvV7{o9&@`rAFr=UD{#7^Jy^M#=KVH&x~$sa2oSh(OT@sHMn{eSA)XV!u4KFyXRd zfDz9)Ro)2Ka6NicIOVU$h(jAOf^NX4s7U-2_1Hk`&?2^U18zeRZoBnE`F#~tu-hAG zEvR;~n-{&2#v_bBQG6Kgt%5DuNNXT7Rd)A^*n^F9=>b2=zHOte9gnGuwolBllJp z_$gFhL1EEH>zn8kQTyoA^y#Q;^*i;&sE_rZ^j{Pf&j`;3o{dq*dCv7Tp&s%)?|D&S zF;IhtL5teT(96&lb*W*!VH4_g!&Ad^g~cnzE77Z^BGckk>~+%Xl)~a2?cKy13wdAh ze(3!~VezTv6X%nFdc^0F&lQEmSM3|@8-iNwd(s#Asuip1sv1z=`#Jh46c)d+eslch zqyFr7&F`kd;xG7b_Qw?oPzMADU|c|Qz=VLw3QORSz>L623QJH_P@|xxnSe7vw}S2{ zEW!T4HG^v_EY*Liezf`tg(bu>L=&P%ogE^Cv;XVTsL-T^GAiVTp5yQ^)C0>%_H+Ym1r|H$85q!a^Kf zcMx)M1bO-0wdD3s^svscF6qo_o~4ECw}aHJu>C1olIcuz#D#>Bc+!s~kQ`E%{6gxH zFQh)LLmJQw(va>Yjpzr`*r6tA;*d_7Iuwy+4lhWeqaSJR*q^j;Ttiwq-XX0js7UJy ziKI=1Nu+Is9i&}_d!&6u4f&yBE7GCjG}5u+A=0VhXVO^_N4hA+ldg(l(oOL;lXQ2A zB0ZeaNKdEjq?glk(%U(d^l=_a`Z{kR{hS|>{w_fz$z>23;Ie@Xbh$7WjEt(>g``(5BcqieWQ;P0j8}do8C8qLC+%qSV8SeK~uW)PXL`IVTo@nnH^ z6IrNJk}O>}vPid@EY>|FOY}yPtzSWw>hF+copBeD5=4rO#fn%6BtaUDZO?_%9@D1C3;TX5dG%A?OI%7<_|lsuoN(R~t>XR4XF| z)m_Ne>OIJ|>YK@rp}AzcaRJ#8F@+RGrIDRcWn@Gg-~0{1W6Xzdla|KQSfHQ>I%jnx_8zQc{tO(4#$1a7=;010rVaN~5C&(#5Lf)4Y! zI>Ke>D`Y}efSjaz4OtO#qCOE$0XIb-1?L1eS)UH)3^z^R3(f^@s=gS`6>f%pHC!dQ z>H05lZg4a858*1qWqLM-Q^L*mG{RMZo8@VOQ^94<^&A7~4mrp3BBTf8e9r@LYPfj@ zEu02!f#-KPEu6{F7fuJ4WoQAXhg)da1m_92*sui70Jq5S9L@_a+weP_H{24hmT*3B z%e-pB`DQ{c^*RMv6>_=PE=WJP9B+OV{Nc>r{3-;%3EofO0^xGKe}fBxTj7%c7Yvu@ z69iWcj`>`Hs}8r)=P+CdT)uAzTqxXXUw61LxK+NVNT#!^5pu0BUpO3cO;rPe5pe6P zI>JT5t@BgBMZs;X`WCJR+y=k-aM5s^{YJypgxlnI6Rs9qf!_(Z7`QF|g>bduw)*G5 z#ljT^@Xf@*{g@fRcT)#)Tfk(P*dTobrG)la}Rh1*|!2V66fnLzf1=php!4}?(2 z<_MOATkQZ>R;0}jegKG^}8rlM`4cw8?Xt=g;WubfF+QA(QT?^M9 z?r4|`+z)UkLO;NDfIA+xfH-Hmc7*&nY%F9a$dh4zBG?)3RM=^_E^xmXf1G@#1pr1$RDt3S4ivi{V4y`oR4fehIEG+;8ET z2O;^l;iU*8WPivj5gNE8xXTfEPr43(`#oYd+(5Xi5wG9|!CjBI0XG=#T4WmB5V)I> z-QkkqZbTl38w&SFNkS&M9peQ#9P+Q23XmfZ{4<76m!2bt1Sq_oRHOnD_|8iP`C1)XI{Vf!sA8fySc3gzf zhDGSG{*1XQ%ORMJ<4yc@>Bf)?V!!gH^*6`G4-R8{!OR!`N O4z#Rf;1Y*y<^KV_KZTV5 diff --git a/target/scala-2.12/classes/lsu/lsu_top$.class b/target/scala-2.12/classes/lsu/lsu_top$.class new file mode 100644 index 0000000000000000000000000000000000000000..823950743d16f9db4071aaba29cc46a2cfa4a399 GIT binary patch literal 3839 zcmbtX30D(W7`=}z5Ta~?qPWKzf*KVq)>x~6R%}4q0Ijtx9g+u*4l{8wQM9eKn|9yq zzWjuq)5Y!RIsF0sQ9ZqH7BWamb56;b<-PgV`@ZkK$6tRx_!GcB{45YNilr2+SX^LbCLCp&6LMbWN{()sSx2)S z0dbOnyYa*sVmv;j*|SA~jFL$QL?ZGF?-aDOUqYa5 z$#+_v({xjyD^URk<+WaYr=QJXKNV$T*oj@rQ_6+?WDG56Z6exxV`xLWdfP`hLiOSn z)>K?xq{4iBkHGdsuOH%n70yI;k^!a**as7pODBd7tWtpD!%4NoT#fVq5{%I;)UqCr|!=BW&?jBBQ4&Xml!DKE#lGR=h4rv~;= z#D9%oD2id#G3))pJ7(q!mTo$6N~p_aJ1*V|H{H}}Tju2q>F;TQ7JoJUxpWLGFw%q* zAj5R$*eufMPZb?)R;GsB5gBM!Mv#eORJmNmkjk9nF=)CKr(!sYLDk65wlv_mRt(mX zf+Oli&TaSN$Vyw+4E?Giod}*6*iyFSQ)@<>o6c(_SZzkjIhK7Tf-?k0Gn~+zz>P#G zh-Fwg9TM~TF*LJCar{a_ro&z2=N3-aR~XU^Bda@-;GM-wQM`zkne;2{Os!BbNC+JZ zt+25AlzkOiJMown=#+E1Gf6ZIYc|fM(MpCBXHVwQqhKamSCr@%SVPM*x@|i~>Zoa2 zj^=I>@*>U8;+#^}SvDc_0%JkBmSYL08NgD}YB+gcFop{-l%qLTWPw|bW00&m!wV81 zN2aq-h_bjyCk+=%nxPnFUFKiQj73h?+3Vh!Y**z}qo_l-s@s){7YKKQ+skSr4N`Tt z2D*O@uPgd{L!ifR9=A2Ze61)vf;UOUiJ;e98JDQn;H@aGV5_R`ce%J+v7%qe z(yLdx9tZ>A*GW+CGVh6{!*Kc_al7!EBcMM|bhzvyg7s4nwysPxZ($rM#kcqY*HgCSahrU_kG&6!)A>EKiSMINH&9@hTm4p{x@@dZ+RDv|o+eQf%b zFE#jz_Xag72JtoDW1avuyZ*_S*vfd6^@EhIQPRo2`{-51muh!;627*wybVcO^x}=< z8)^aH@~Y?F%G3n+wp-+`;~8lNksnGvFrEfdf81<<+~5bT#pKs6Rmj4&liKkZYl~$cE&`JLs=I zF11wtx~aI@a2QX~&?D=12kGifmJ9hv$aHJB+SdK`+r+5VU*kgzpSg!)Dr*s{*&zmU ze4+lYp`Je=@jIS*h*2txWgZ|0jP~8d%ouOy57zbV*x6lo7v^2q%A@dB@Ld`kH&ex$?^LH<&BbDPI%mnwt;)a`q66}Wk_K}oLY V5ny$5FTw%0o`k9ZfuCpv{{TxUjBNk_ literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/lsu/lsu_top$delayedInit$body.class b/target/scala-2.12/classes/lsu/lsu_top$delayedInit$body.class new file mode 100644 index 0000000000000000000000000000000000000000..d53bc6a979184a01b6982ec45a4fa239cc0b2d5c GIT binary patch literal 724 zcmZ`%+iuf95IvKNO;R_PQd$bVa4{%tB}^rF3PPfYN|Bs`l(w&EZ7$O&y8_7gWWi&`*?FK5E z+91N#BovA8@*>soSbEQbiOxl+4~jI@u}UA>s1h1X@t_0}ELRm;=7g4ik-Sf+i!4i~ zgyr70e+&_B((iFm50SRkol1a60R)yij6)Id2I4oadD(Y_--h& zS>72+eX62YA{S%HCbO{SNELY~4`M^o9+}4)qzSVR(l?4dFDuw%V{82Ae2?*&PZe}= z1r4rja`u=baa`E>f`xDBe#Yv@612s;Wj@h9Zt>YUhv7QbI3u3yCQ})TA;${G&hBs2 z>DSKgS7!T;jZa*w;&!={h0BC1=S?$0ZZGu_?r=k$ZRJ80cR8t`4wqw#f69uvmyCYG HJ+9#&8qb`T literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/lsu/lsu_top.class b/target/scala-2.12/classes/lsu/lsu_top.class new file mode 100644 index 0000000000000000000000000000000000000000..587082958476e7c8f6c9027c467eeff31a66e1ce GIT binary patch literal 768 zcmZuvT~E_s6h5b=>pIF@VSrNx7H8bJpo~Zi%xLxjiDq+-Wh6!-dAGjIlCEXlTf!2( z_1}1*7hHJZ5Aa7BPd7mlZE|wnbIxpmAWFvbkJgKZ~o8{QN zsj=aD4U>z?&@d}|c6D1{uAr7wG8*4p+c<4hjB;gPkst3EMbp?F${Ch^eY_KXYEd7A znE`V=gr)qu`rqx^B5=HZsc=Mb7W8Re{T3HF6?6o((X4gq`#FSU{dTaVLlW+2Fb63e zG)N@qHBV&P++|~Kzw{h|kk0=h>LB!b!tuSWNi*vo_p@t4Lr*vZZdLqCZg;3g>G^cL zu5XWZNW)^(=PnJyFL^JFO11?HsFj>Aywc$w+>Zb&v{2QzIo-0s#RuPh!vZ$of(Nvt zc5^6%M2(*ZyvGE$$!Pmw(BlV=ONp7o=_p6e$mzPg?0LRmQ9r5>zrHsVL4q0jkpN^O zfoLFmm8>*rBpv?-#>N#ae2pNmMxqc$kRmAULaF}&XQto>1eRb4Owu>0%ac^vlB!3k l3j~QimHJ#k_RGYOVV$%D3Opn|OVAjJI)XUl$RR5LzX9!7prZf) literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/pic_gen$.class b/target/scala-2.12/classes/pic_gen$.class new file mode 100644 index 0000000000000000000000000000000000000000..9a343cda34764dafd290acd5fc5cc19537155879 GIT binary patch literal 3828 zcmbtX33n4!7`?AsXb3cIX(52J1xdpapdd&Pp)E);1vG6HQR_5$4Fl7eFqyEah#RwVvMzsX;JKll^CF8nO8sH_(zO43Y5 zAOzxPwF_Ft(9BZi#N=67a3W|HXs;ABL(3d0mm_EuSgKSCj%{pn*9A7#v~=@=bxvkR zq;tk99@WgEAuGw8Wu2>1cagy3j~0y}D$wT13q(dv42|UuCk3LpAj~ZN%S*CQb#%+j zJDTkXh+~Z0jU~w#lgSCqE>#4&Pv>gh@{X;WC2Dtv1a(t)2CbrG3OO&wf@K&?o3=C4 zRY#jNWG1IqoPp}pl(eaxZ$xgtFLb18x~8@V3wG6X^l6zHurA5s7@cPi@XJluUoccG z2Lzf^edB~+zk3_Q3M`MJ2?>D~FR7kXU){7?)ng*HHsw1f64`p9F+7IH7o!bpXwx~P z6R5>SX=s;aahM?pEDJ2mg`ZU+Wfm+yDl4VYGHDer3&cX!8Q_}0jtcN$py(-vC$LGy zmlEh)@SIksHQf~GP1S)xd2LnC>1SQoPhHsQL44vpwSGx#D zs9xN{nn=p?RJfGfA+Rac=ZE-Tg)`QeWPs@$_Q68^(utuP%T%EISi-eHCo0l$q|Hd@ zb&3~xOX`@yDP2drwv-H7!_!FxU_LrcWp}(G(IBk`^Hhf8NzJs(sj8VY<;CQVUAtLY z1T`Qd)keW}1cOlwsjf-*#dp{&mMz_Mk^zr!^Om^jW=`6&D5uDO&kA(-yXmiGV_1UW zb{qv6CQ)FsNTWYfakP@m47zt@ppuLr7sZHjw~PVRILD*VY#b+IID`S!$j^7Q;e|K` zn#sQrbs*_>d2!^Wt!svUMUhSfFAA)$S@LN$r%g{5HIl0~r4<~@z8t|R@}Xupp*4Z) zsZbD)VB~B_%;(3@$~?u<%Vn7jcafi4I9*?1P&16Y?nr`n8m~n0GG1lUudy?=a@imu zbkDWI-0oBMb!c70V^W|;PV3G%(J-u1l3Sxy4JXN-EMm2SnQUEGqF-PIEl=sT?HHLu zrfE5vJ0#?JnlIsuQr5|KA@c&qgL19K5>7LKrLNU*^1fgU=U^yD(;Q!AjvU7zS@ncB zBtVW#XQ3Qragk2i&Q~=$s}-8uEXN zeqKv>Z#VOzU)TX3p!l&$j&p6Kvw}C@x#P9`fbV1-tW|P-Trsh;_R(Ol|TGElz zO?kXJJt^%`#S0{hoW+;1agAKTdw(%HB+IreXpStBC%vpsYPL2lIR!Z2W5cE??LkAU zR3vYsd8=v{GbCN=u^fQYWI2)zP7Tw5oucV;!WZ^ zY60K#*UFj7)C4wT3zZf)*xsmvRRl%^CRe#8JBX!+NQS1pgzupL7rI>-^1}&t)ZXrsC?v0X#!P5AEAsWE(eGE98SA)A58F=l=e!Wz_1kXcj}K?%}Y?T7+u$HUl{_ zSN~Vh$}f=m9nZ~TgbK%V4^RL`HqT({I1gv{wQSz9HPJEya|U)TRK>Fpx3S6Zf?c!| z#Y$A*&|jQAw}*AygdX-|m3!38XHi_hMfL{$`SsqU{!#dm54yb!B+~bADg6hou;s3% zXL0Qm7v7t}2Q}vl+b`i6j7M=DH++Mtxm77g(hu+zppak};Rhb0e9(@??A-)z@xBQW z+~#>TuO_evCy~b}#xRbT_#4INl+WWwN*omAFNHU!6qdVGArzo)-;=Arp~(g%xni{d TtDCb3d);~xssaRlq80oD_iT%( literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/pic_gen$delayedInit$body.class b/target/scala-2.12/classes/pic_gen$delayedInit$body.class new file mode 100644 index 0000000000000000000000000000000000000000..0fc8917519b1d616afe09eeaaf3116a9f493076f GIT binary patch literal 705 zcmZ`%+iuf95Iy50c9Z7TQhMW3pa!L_M5qK$K}dk86v-(_Y5R)S_O^9#b}eU($fxiZ zfVfCJz#|eLg&4a@B`Wc=p?1c&w9Vz3^PIn2V⪙K zO|)ugpiNl$SMD?YPKJj(kb^}+`Eb8~zUM^@o7gWY|rg^SINoaYIlKa`nm+67R7YK1%h2Rvdk~c_vF6v&cXub zP-WyMXOB1%$NBBgnE#4}Pgwa-6m9To=STD&w|HMaFT*Ojj3{q0Y{5td*>G_ z^mBXX3$uO0jgO3#aJyK^#%03g^QI{ww-@>dcetU#mNHPnCMOmua5*;l7h3tf{4Y=? I++_@Z0kcV!00000 literal 0 HcmV?d00001 diff --git a/target/scala-2.12/classes/pic_gen.class b/target/scala-2.12/classes/pic_gen.class new file mode 100644 index 0000000000000000000000000000000000000000..615cc83925ecf97bb2c7695a95ffa13855c94586 GIT binary patch literal 766 zcmZuv-EI;=6h5=VvMf-bLaSh*qL_dY*CrBM@h3n_g8`AQkTj+-!|oKfS$5lHXo~R- zd=@YCq8DEH06vuQER=eon@rA}GvE37zO%o6|M&?2PvAZQ<=S;Ji zGt#=l6K)6vD1n#{F@$G7ni$Mx1aj^9Mf3QvjUY|;!@4zcn3#IJN}T+vW7rVNxXrbrPr_9j6AJj7rn3R-WxCRFP>&BY_wC zv-W;B5s~7^E-+@6Ltwr5zWtY{Ebh^9!_FjQNxV?O+ zMj-}q6;>b-1r@?!yxkxWF}clV+-y0PAdo6vsnnc0hOk`c@w|!lPx$##&8Z`-G1uzu z1vmRxqxy0&*3dO)QAoj>-{%(YgI{oC>X+07^RN{ymR?681Gjy^1`=twCdX}dxcKOr zZ<)u&TyPJ$sn=UVKD+$X;|3GlL`Qe0p23@zjfv@l#V7-7VhwFxa~xMNzn`zpa@nv2 z5(Mx!0-z`Y%7A_jy%bu4HuN1*yj?mU*`^myJ#hl;U3xuLW6je351}40V)Ih0q2{WW zb!}74oMdaV%~T?)Vm8!Q4}(8bF;#^T^lq&+RSi|DvO~GZ3~Na)ZAjL%4XecJ%KEyd zs;$`SH@6S!&EI%U1`*x-Qby zZTjhR^0yynloXXjw5rmol3r>*t$UeKJ#n}i$r%t+dBmKdsa^6X7R9=4pVN=`D^+Lr zFDl7ZXRGl6lUDZYBY0Hh>N%}~N1sTvP7^%Z^La@Wc`WZgEr#{?TtK&@=9|y zA3;R+jCG4dR1{ntFUlXbe^FcMK6CR+rmE4k+ccQn_TOVKQ{@xYl5Rs2CHYl3U84G4 z+qCpk%L;P!$eey9Ww~0{l?7{>`b^jh?9shyVsU+C#n7ouGa~wo?c*!e{fcTA7`rc+ zQK}B;9xK~vikefAC>T0zx9to#uJu>Td*44KMq7~JRiser&RY&Mut9n)}is% zZ)k^ue0{!_Q@P#HUh2eAb<4GQk-B(9?T|=Wq*UE`M(@a?0sTt~TBlrFWIe&zTfnI{TA+~o7+rUI84=-uAHCKy-FR^wtRjpziULRDH|Ot0=pg1 zJvL~}j1sM}ZaynfmyBOk5~){*?OS}n)Hyw-H7y*~TsH^$(L1KE98}(~YTvGUeo6V5 z#)ZZ0$zoQe?Ki4+uiV0c-IfeLaKDPe=m0g6+}Ai{bk`lmHx7xcHA~g)S9XuZdQI}w z>jU~N*lp49+WLKJ%>k-eJ#|ib-LS>O+v<(Ng}Y8sSM`fk)eO_MH8W%VW)JB;wp||; z8`E|FQLCpP)_dA8K4ED|q@-%bej}Fdm0LSzw<+o&AYVFM*AAN*8&niqG8X)y%sHN0 zrgkaKE$mgX|A+&o7BA^pRa#VAzw^k2z`t>Urm0G?eU49Fv!bECroOGTxp75(LvrSd zw)*C#)ZSaN=!k1%Uwq!78eXR^JRT|Ia4=fiSS1c(y`6`8R#nqhzbct%ZL4Zas!DFTJy!Ez zC{S-{OEQ@ND`8*kW2FtvHOt{(+)@^YyG|;ZTauVDc}AkVtYR`k(Pd_C`-+C-NRVFW ztJuBV9kH3!2f~GDLS{94BFni|b%_PdEw!qmmI2e2Rn{;xiYq3#d$~KF)*(;>Icxl7 za@HY`>uQa=EtT&<6Al3~T_#SOn<$wyX-;AqFmOxdGoc-EY|fmLMTtq1XU&}k#=)AY z(?GbSa$2GY#=)+rEL#l5i(-+oT_(<*=moY*1dJswa95O`IJabKV#>_&NkH8x6Iu@} zi;;XghiaD0R~9>r#fLGHwPW#y9+CDSH? zqs)cA#;uBlWg}r0a0-cvlDTE`;W$)PCT7i&*OC)Ne+iFHAHVqMUlSQoS>)&=c}b-*uoQfcXo#Ke+{>4}MD zb1PwPqzaMmM)^f^Cnpw^O~SK_YQz{=H3TrZwA2>qB9v%oz{NyqidTa$=p3Oo38P{j z5dji}F=z7BvY8b&rOJ{?bDX?5l?h1ju*i3vG@~Rjao!YrGKf>TGEoL6EVB#f@z{ot ztxUivttvgIlvK`z6L;?9IdkUCnwyw2c~aS&$)#{cbS*0_DV;VsQH(|cZh2POW3yau z6;4vV3OtMRy%Lt?yg-$S1tq|#yDc`k#53hNf>=uyv+;yot(mWfr7Y^myxyk0LlCz_ zVc6Ub+Cx@g9rO>HRY|zmM`qQOS0pMIyJiui2t>hB-7E>Lg6`qCS~W8(%AvP*zbZ>B zCMC+j{;mK`Xf~6|xg~R9rlPLfS~wG1J-5w1{*mfzS#;WxQhLLaS| zh=_3K;jeIJd91F41rc2+L}{*P=FP8|nIM116emz%F{`XJQCzyHv>Xauu`sa&*y7R- z)@7nB(8M8_iW3WF&Y1+WhS0N54IxLB9 zq!`k+&Y><=o=g$9N@R(-WiuwvNt92X3iy-ZGE{(%b?7#!kP@)*t^+-5YjLAEVUVZB zeiB6Tu$a}?6oOWdfnFhNk)Ee~0(W%Q6QuU0w))28u*$ZU_8NG2RMk*g)zDB0w~40m zDO?4so15EO+ghqtsLB~$25D~Qyf*;=Ti;aYt??<&UArQg{-Bq>rD_wLz?9FF&*RF! zmH%`zlrP|+SJjFY4Qt^R7&^VR-huL0%GU+(=_c zIqgkz!BTLS_#=4yjG2?>l}|2&2Ytb&yt2^B&&n?`!1nbFD-ASL{#5=#YJbCY@7{BXgYa+=hN&*uMkB8(dwYk; zvVSV1*RBEIVXCI;aaC1w;L4e7YK13?B{en4*4Fy!hNP;D_TmRr)1n%x8CQN%qu`rW zwY91;)eA%&_4NGzf*e=7fOS*`YXz|7vgX>-s+wgDvyCzHYMN=HW^iW`<3CS zr3|@L<&jZkyk7yX0+s^gQWZu;mEHXcaEY)KAeX8DSBq}&R3ovpp}lok0?wzZhNwEw z4*@b31msd7;NsH5g;-J5=HTq=#{oeL2Xd)6WBt-lw4@=IDm@NPLL8Fn_EvmGl1Mg1 zRp_DDkwC;kfLwPRXgb&I$r-NDG^&kNQ5Edm)$4?CFlsp%WF^|*cRmFu+X|4CD1dut z_254f1By^@D?(PH2(FP+UsKZI@_;rRw6!5C(FS`O zs9n7x3Qzw1O~Wx;8L|>(@D(3dDBB8OsS&0HV1f0SN z=u=cp1hnCxtu1wzVsL|PQM;|dK3y7`S0`H%tE;0bOp9JBC~JXXHkC?ozZ*XY>r*HvX&aoS2WqcqY)>@Sw^lX4uV2a91UUD>0VvnQilrV_3~nTb6P~F? z)guDhuDhX}gb4T)69%nsNx*fjC8{19fN&kKSn7bq=zumvvZbXNFir|!xIT%}5*p}h z!kR7hb#>rPja6+m%g`LB2asI+ z4d7iJz;oRdH6^UT4Rdi4tF2#^Xi2uVH?&378v-D%*N%{S?Feu{W`nG5!E4Q}0SMPy zQCPwQyigdJIN%7c3qZIoJ3{KRBftyu(KHqWtVvtY#2W%QuHT}DgbH|K0pc_?SJiqa zlKTQcuJewNI`0VEd0X3XdF+{z9tt42&MS0MuEit4Df96fm%txLTB~Wuqw3>+92B$M z7_+He8wsB2ortk)D@dJoBsd|%vu0UU1NdulWmJ7W0O5Kp3QO7qzZ3TeI4q5Dmw+Iz z1VCIj9VvCwk>Gbe;;u{LAxW#?Wj+Ph0Y^$5a3uJYPr-FJJS1rWoQLjx8fz1)TWYJ? zV4D3X0OI=ENU5)l1jq5U>iQHOlC%o0!f-*?yb4bHdR#Mq9)NJ2X(T#eiZhJ@*VsmO zrG|6mYd;o>TK<7q2^M%wFB_|Vcw%`yTypJErsbh{p@3Seaf@Mf;qNQpzvgi>&ay?e)q`Dh8VK;kB8(XSs5=)mxH6B25opzMe zX-9+mb%UoaViADXPF&h)JNQvh$TBQuQ!O$Yye=0l;<+u-b_#&FPKx3Z5b!u!AHu>4 zwh;N8VYKU+n3ZUQgL%DYwCj7Al_-Eu`4n7t8!dIW(E)eEvTYTqyNw3#=_XDRoatL? z#Z;z2rLS4n+fZJ@6Z|L-FHhom5X_0S%}vRu29FbbFs|c`mO9>O@TXiG#^$nj0L1k< z6qj%TAIf)nRO67r=cb;!*wL=nVKxSJTTcYMYqm^lF$*V#}(LI*s`nNMqz)$MikoJCs@0CJshjMNFofTy`Y)_Ncbv%!)8 zkn5bNB4Gp`*wdK}R@8|39d2-%n;PJJt_q;Jo;ybBxnsZ+9a`4<0`><*wWR?d*LhJz zLJNE`UfWm?tCKo>R-(bK65qhPZaYTmwqw8#nGGQBm$VfD2-j&*Si%8Zu&WKRx<$}v z4`8?sJ4WiTW6@z93_6hy@?)Tg<-?dwt|ljPw65Z8fGTml0A7!%WpcqptL z6VP`(cdXQN$ATMr2LQ`9YpI8h1$Xl)xSoiIBn-i$dmvSnwP%GkNWDRsh6x zG!&P#3f|*u)pe|~QpXw#zT#7G-3Sj!S^&qO8(q9ST^i7K9cZl7fySW&d8SA#TXx56 zYIEaY_~SUxIA_;4N40AM0IsK?s00AU-`Aq+8sns{F%HIG+>_wE-dcYUTx0@wnoJS&0HTMy}f^O(Ks4T6O(s9AtZ>ton+EgSX^*97$Bf>_^6M zP^N>vBwB7sR@K7w;JF|M2sjv!mtla<_}hgFM+fpU9dMEYr^n7Cm8kYw5DNqyEXd2S zz<>PhLxrOQd6^D)i(dyS939BZbiiT!I#A*0KwhQ;4&v8=3P%U>G9B;{zYbJ5I*^y? zfJ<~uuE7Vftt~a;j$ebjR6h*JI52V-DgrMN3vJjf8r9&|)CYh^Z2-(mcocz)_;oz~ zg9l|g;3DF&sc7`)Ab`i2isa5z1b*RMg6Xk<_D2xL<3}hj;{mRcQ;R=q=8*Fq^-)1#xx;GmkvyNcxARRj)Xz_TgQY;I8B<7p@;Yc}X< zMIKMXyi5l?C+@i(>)Qs~^|)D)+|BSO2%mki!uBl8OWMUBB>Xxa&%%Q;9pPDUl@tc* z7XmKGw+5LGID}uv<1P5(LKm1xieb+N?)Hb%Vqn>z#jro18rA`x1BGzj4Yc<^PXH07 zkJHC@QKCBBgXXlsi%W2kw|2clO|0G4g+2NMogL(2cljWvh_4ucaNH4wR(5e)apYub zOfS(VV*9X3(5Px{Xl{bN^GJRlm}00LH`c2{+DQjO&u^O zt`fAny{Fw&b*9hP7hq!xfh~+(&eDeZ6|gfwGzGt0G_=3zMsB7;=|9Dxk%CF21}fQsf1uT=NE&9`nV0HDlol9uf-Oi=O(^OP+9^% z80R^Aa-5e576+>SyRQ>iEdyKbvbFaGSsa*tpuQZbg7dKIHW)8>2Vq%N>#}r?Zn=`S zq6SVQuM#vB!r_HF(^u*(*f5-F`Cg2~(&mO*SYxHs6*7GlsjRk|#d~LqY%YpBWe`1x zL=U#QRkzHR92z)(w{pH1XpDMrbsZqAc5N`|?kLx?ejtCzJx8q0AE_UOoj)2n+OjIS zD%q5PZBX@XYhlw)4LnaUaU~5u)4|k3^&;OrRTO%90zsV!9_BkPPSE^d6)2oSra2YB zTUJ%KfYp-FGlL!;!wK$Gg6x?DeioRqWmOBj!<4ib_8nN3GH1}1G2vOoa8mBM6_MQ? z8;P0IUlK3G;Fe$7nHv>Zu`xFXhZ#n~%LhhckUJ7vVaD`}^o!B@mk0|qv|1L>TUz02 z4BfYCp!-))_pgMRqGeTMRqJwiXG{F7tSaNv`jNjgf#HWa4Y44>VFuG<38zzdmB-r% z^s3bDl>;eUsFRYgWS}{w-=N=!{k;jg;pkacD|ndOw6wkswmrhH9f>+PwU)abqgSLH z75DYr2~M44=+YevP=nJ7exQQ?VLu?83cmY*1is<~m5s^zy45xKM%aqx262*z6@Xny z7Z|uB$BG7UjJ!aPz=~}WEB=4)8`Ib89ccCqaGi8);<2GPr^Fp(Qw{8B11r?x84r%_ zR)P=SN2e>?%fl&%N8pjD5TE7_R63WC91C0oROP7u5|n#NOImeoAUTGoyN0KLfjvYs zn62=rw>DYp7=*eFqd8kLni9x#%>@j=^vCqaaj2dU=b&r;1paJ-(<*MA5TgV&FeXpa zm^|Z%6FDIsa$*pSQ%(n~Ee9@xDL@9y^cVCOv4bxO#_mK8izu%9M#pDGGlJ61H$*!$E7YU+rzl!eU{i`Aq*_{~-=?KMGKKnKTGWwS}Aw@)A8?}6>!`To_A;b5QJFGDnTk;V;8RC>U)cwgqY9uL_Y;pTu-LVq8w++Y|8a! z${fmV&y-5a?Z}k5l_@o~Oxd4uqnMJQ+!&@DK)G>DsiGXXO*Q5AU`h?;_F_sc5FIhAr}GvzeOoy(NdDR(|o z&Y;|dOgWQs7c=E7%3aEovnh8uQ_i8>l}tI8a#u6uJjz|ml=CTfJyR~A+>K1Rka9OO zHJxs-BuG37GKt!K*Rl-t0RD=2plQ?8`kCZ=3Px%-)NHRT>; z$~BaGh$+`n?h&S3N4dwCay{jqV9E`Ydx|MHQtlb1+(fzOm~u1aUSP^ClzWLOw^HsE zrrbuk*O+oU<=$Y*9h7^EDR)xt9j2_K+d5pK?DipWJVd!a znDQ{?{$k1_l>3J%kBVGGWy)ie)0y%(7!xt*Bu zI^_m1@IgkQJ`S}ix6lS*CK=%hPwzQW}``m9_->;PU>pDm>JYyKbeI|4nk>=%r5CjOmNOGVAQ>+`k?{SA0EebDM9A0!pcu~t#VY)RcJnHE1qGL#O@K)?g_ z4%xD9IAP1a;e;&ayVhj&RZL3d{=#VxY0w=kp7FJA^jIcL;5d@hV)+) z4e7rq8q$AJ)UvB=-lEVfcH9;+YG0Y3<3nnEOP@q!m*qf|m#ql8aYRV4Q*usB7?P7+ zcRitENJuuT1|!I9g`XR8V=MfeNE}qN4ZbDj z1lu7=na_D8?UlpUzT6X)NdooV3-ZF-(%j zchYz-O8Q+V%~+$b?O|z7M=K~3v6RcI78&_3`oSuZL(8)1TJBnvUh#2Q({}Y$~h6KXoxy(%Joa>B;mxbA{gli zS~BUcN}gy}1SOppgF&#ui>6t8dc!{9Q-d^%&!A|U#b;17&EhjCnr87C6iu`E42q_? zdGd;G_2wy6IL*yds&JZ{r&M9fzS7Cd;|s(&&COFPa+;f`RN*u?PpQIbZl1itct4Ql z<|!x|(ti;(r2nF5NdHCAkp7FJA^jIcL;5d@rm<^Eur!(%c95(^AhH?8P$L1^l!l?t zD4ZC{$fh(~CjFFVOW`F`Tp(ogJ__NqPx0AEPPWbq$az+Z z5|mB5DY4&3RAxOlBpk`eRuaBQaU>>t)@KKhOAqA4l}pdbdpYc^G51o}8EZEfofuEq zv?PgHN6ch{?+;~1a_hQZ$irVLef#rk)wdMjWzQ+88^VLfbnKzVqf zU4-ps%5bF!>p!A;cu86W?`BG|G6L&A!Tl41+`!#T8L5oI1OKK2`1%)a=z^97VHTf*lNz zpI|GQ2W1XyqH3uH1_L9n;$hgcVC-JsRNuCzfAhzD7WqB$M_k!C@+WLXaD$!AUDndP z8u!eZk-y_g-^ibFWhWHLEv#yS9d+$Zg4VCVM8L|bzLwp7FB zpGYBXwil7=J%>yKewq6~e+P!f*a_^G14ij@4Di|w@r&VSQWAo9>s{01A^836c{(&C zcnJ1%rLe-S>Ocy}0V`tTR+$F;!goBO6~&F=NMwlX3;0GGeuJb8!u!`$;b#rzR<%Gp z!pUoDlHw}|rU6avXnS`jA3`t>dZKJ%mMUf__ohD+2>y>=jpX40yTTD8#b_wk>&Hgph1on$L`hMk(x3 zGA6+;Te#Q{6F9Fh1H>sJ%jJQ#O4yKhs zd|^-9lVA(2XP1;HGGmr88(n7(jHTVH86t{)p=r#8dBQQXRV&OoKW5A`7BEALyn)J# zx*5jeyvQ3mgvd1UKNY4B+T&cAY^kqms6R+d00z8B(BJh2D@JE|RbzE+)r@3Y)l&Gt zKy%Al(}1nMxey!2Q>QA&c|$<@B7^$B58;gw8YZNuH{PWc^wb-gG9{3xQDfA`jcOwa zorX8!aZ?s`bxL~^d|aWqX*ihZD`Q!Kq8jxuI&^SWOA_|gflXl#Z#PT9suLD%j2R6E zZ06kA9t3!ghQ0;V+T0EyTk4yZHV>;5+4B0Pq-m^(DlvHL-)j`Bw`I6p3jDA&ZmcxG z<>U2@^gR)bce=AzLDF5TiW#en)p4M-CKRPIU}qZePW-kuegBzZ8i&9PSqsw!MO}q$ z#zC7oe%5)%u@!flqrU}@V<*?F0H&&&a1(dmhxDpBMSG0M2Tt?Hz&8vzl=+)LxPo`Mf|e(KF&AmWGJ$%8V=E z+6$-cmExTv*q+CXt0O<6(ly{hwo%1}+BB|%c>-{DIZ}xUCn?U;CZeXIiqRZ1CuG8hpIA8;=o%ug&m*XWZV~5dl;}mTrjI@Z%yJU zKd`Ckk8Zw=rx#gHk9F&BXAY^XilQ0ju{{0m~Js( z`JM}=Y;US+S&Jjv1cyE~Zp0450v@jn_Iq^*0t?OwOidV{qbjW9y9ExK25d++G14bU z`qiyUu;efNi_@1d>N4|_3KNvsyT+F{AJX2FTV?p;E#xusx*wJ5L z2RZf*SwL(}_#}}hjv6z5BTN16Ib%@YH2#bme;L0p{b&6bJn>=sa-;^oGsH|a@(t9O zuwgmRb_VQJg14R^ibifN9;8!PB$5gh=gBPq8BQ%9j%g}E0~$5CBL&ehO-u99-Ah-ii)OjRQ3k(JDaCrMRu@qmZgmGO8ZUtg>OkGG~_2^(QxcgEO zRt5R476hzMu0gdXQfp@F{v@{&CJPgaHB@Y4>N3i$0`J4K8oF(LMy!{oN8Te7n7PJW z8#jBKu>9*`_t`69ny~m2VefE`fHA7AhgWAI+#J5&?3$?t~U4Mb`$%hna`R z%|lIyv&(Jd6fx60DsCQ)J{|)fhx1@)+#F)OjX7}C26E36;gO42u$d?0tvoo=a_Z=5 zIETFr^DeH#;O!-5o{3ZF7V|6|=(FHJ69lk=Ig^>^64ZHk;5_Sq(72E^F2VyB;gBY3 zR;KT(K;yuVv=7gnJzxfHhx1BSiKh z``Cd)nRz2A--I$Z!Kk}34*snq0t>YY^EOKa!g@jYLr3IJ5?O~L>-^IU2KIx|1ovHO zu1CXkFm(mlZllNfEiu!)H*Rh+`=?^S*aOBY^M1s5fT`_-u{nSNVy5|U+fU1NX;cT#{V4h~ey}fieTR5W;Ii+Tu#(tfe!$eTNe9*t&ZSx~sjf54 zkK^Vi$mBxm;ipW!fTTb3zX{deR^KpeKHNaV132|E^FIjv1ye62VR+u>tg2i|)BGlG zev9Z=6FRI5t|EmWnR)~D>1U>1Pj$aC^;W9;ovF7_-JeWdM|FQQ^-fV2RhYU#)I~L> zc2HdoQ|}XPL=C2HqPi$kAELTAQ#Vr`W9s8nm&?@0s4kDGPgAY{*5j?sOWVY07VSZT zaE+{pZo|~)so0CD&ynBVbg$GUBU~``l^j@B*t9Y9E z7Ff{|;y#h7Uy=AErhZSkDNOx=j>6^g7pmKbslP&*cXU3eoF zo#XLZY!s|(M&~lkpu_W-7Ngukrp3uE7BelE>XtCAoAA}>{!Hsmbq6rb8rf>5^`bhs zxQWxLekr`Z96X(%5=^!sR^I;to zg_jSz!BxgnH-Tw8L|b5(qYzQSbMp-1?$d=#SIP5X(G_ivM^{EyF|9vwTEn!Ra2zV4 z2QiISPEoidIvo;oCoFfOhcS&7QPCrqHjI>yVp=ifj=?+aYnV2QipP5vh%?&P6q?Z! z;o9SL6svGu96dP}Jt=w$)5eq9X-wOTa%X_JH6dB?Nm=wP8p^X(|gWxZ_NCq zOs(dy7I5&OCVFlhSf9tVNyPO6rj=0+F0dksFP=CUs}2LAvgjq`=a(|AoWw3?+HA^Q z8BoBWpDapKV-(CepTw?X+5!T(foZgiir&PuB~*6{(+(iH+n82Gb$2i=NpxNg^v3P({7|gpE8ZEA<@s6W?eb{ z!?e5U=$A~phjL#t?LNwV%e03m_dU}drQDB9dx~;DGwoT*{mQhLDEB+lUZvchOnZxR zf5T5_;@MkF!Czl)XWF~sKupIX7~lgc8cf?lxhT_U?vBCjpA*(v{EFkfgN@-`p|K^_ zm1#5|$GS1?b1^!xe5TQ)8|xl8odL#-ZNoI0iDNyP_7kZVII4t3KbDTF`f>yU@B^MSIrP@mc{4} zF$O=EZHd9}J^7yF5cI#Ty_LnrQ9I*NWIQaaNd#B50$~q2yeA%pA1k{zTdQaMDw24Z zgBaraSrMCvLK9OL*#c-X0Zl;}_*Evb$QB}HB(e{Rz%3~}HNyLt>Xzjz#Aj0k-5DeU z_n=#171peTw?y!`dTC8l+i(_}O%iiZ0GU48D7~cQE_TvB0q&pzC5Balsp?RuI;=p6W7V$4ZrJFNP<522QTNwMX!Mu@ zrArKAxnTH#043|mVC;DKK{a*)jA`m|Gj>uub}}p%^=-kXv6Ew`GWB!Ho$hgdJC>gP zc>xwX6Ng|+>?{!SoXKF1gcGZa>5+;SE7>dh6 zgYnxrb_s%A3QI0rZ@@toyBz9ZOt0`v6CNcqc2zufHH@fEOTE~&po>qPgzojEdjm%J za+g=)E@Tqy7{IT9*W#m9eQfL|AQ!tCx@s@Nf>LJeR`I(BgePUO+tGfv#qMBwSL*dT zc>0eK5RviS)GFMF4u?5jFCdAHOy7ob_cDDu%H79wS`x+{V0vGw+syOnPu zjjzKY9!z$5m+8Aw?tP{Yr`(53A3?b-&`a2;u&d|mc3b^LAGa>R57Z5ym**JN`uzyt zimk(tsw1pCq$=D`2{lOZ6Kf(5sR~yDk%v?rW91=L;hsv=L#o2>{UQ&khCxgX(LQ7} z3{OfW$Y>aAluD4%Fk~o|AfsUjP%1%2!ZG zlS;@1!(NIKW;6_FNhRch(J85fTrk`um5>VtlB5!H!4Q#DLM|BmkxIw~!#Yw4x!~8I zsDxbb3r0)zQ3<)=CyuCuT<}{(R6;KJ*&!++mk~A>%xL%> zAUcLz@MAtyLN5409x5Rh{H%^BVMfEx=THf`;OB3sgk11DHdI0`_>meaAs74t4V91! zeqM%3$OXR>LnY*bAAz9~a>4JpPzkx<7gDj^sAya$z#3x1}9O2`F2w;@WH(eS$&R6;KJ9SkZV7yQTt zm5>XDVxkgq!7o)%3Ax~BCa8p5@M{rNLN55(2Pz>K{CESEkPCj9L6k6~;rA7&gk115 z2~5@s|E zl}{z)f`RX;gj_JdJ(Z9PhODO&a>01?R6;HoSe{DA1*67O3AtcMcq$DVd@emEgpWx)@pWUDOr;iPPp1wWjYt+L>U6SGwo z{BUZv%7PzG&Q@9Q!|B;73w}5+QyFu55l+!oS@6S2+A0fvI89q+$mLR)W_!;b+$RKA zl(=C|ETG(-eNZEoW4;<|Wn%BMbC5hMS3%D)2VNn7u44|&LD?|}=Ai7DLwsAXuoiv< zpxs$X+JjZ7Dr3CcE3Caw_8zWeEs6O)CT(r5fL(jEV28Ue@sVf}o#ZxQ#=lgRvnBAb z%WGM4?SF3*mt=34k8#S5F6FcM*YWsQ@o%~r@o&M{4Prx@hdPUYAOE62Veuc}xp8HE zT@&1Xw!qeroKg{9uxn)-+yl<2S}_-Qxxt9y4y}sz#%lOdGu0H7!*<7p`4E#4%T{F` zb$pinRp(Z?%P)gCy)9yQ41VA_P8+_a)FUdNLzbOiFFvkZ($v%px52RA3 z6m~I-6m~6(6gDY~6!s*G6t*9W6gC=*6t)?Q6e6xEYWye2Ysy}Lzqe8X`H2`(O{5S7 zO{5UQ4AKG&@g>qSE8WLRr(0>cmCmrz3M-vyrL(Mbww2DY(n>3xYo+t7biS1?u+oKA zy2wfwTj{=5y2MKNv(o*oG-0I&SSbYT68)&QQV7;1$`Gncq!6e}q)V-|&PtbAX}y&m zXr;@ow82Unt+dHXo2_((m9DhX7AtMF(l#q?x6)Nsy4p(DSm|0TJ;+KAw$eka^iV53 z%t{Zp(j%-C0&a=^9c85uY)h0O)Rssg(3VJ#v(n?O^aLwC(MnIU(vz+96e~T|N>8)W z)2;LjD?QUn&$809t@Io#J=aRlv(ode^a3lr&`K||(u=M15-YvbN-wk0%dPYZD}{hr zqJLLeDFn+BWeAleQV5hK((A1BdMmxbN^i8%o2>L^E4{@^Z?)3ftn_v(y~9fHw9<7} zdY6^nZKdn2w8Kg_Sm{P9y~j%LwbD&idY_fvZ>0}d>4R3f*-9bcmFVBYRtmwcL>WR| zi4+1|iS%(ReZoqgw9==n^l2-7#!8>H(&w!7c`JRvN?)|nm#p+b%^ldAB$4cL|()Xa7gW(juiZw8J5(bOh_esqy{^r zhNL4kG(4%@G9h(UUKx!|C)ZXbxm4qi%nu(=qeU_T!keZy16l|>wYpH3O zkV^VUl{uvLNk~V94ym>2NF5ZO)FGLWI>blnP>0lE z=|~+Op45?y>L`cQ(dkGX6Q0y@nUFf%N9uTo)CuWGofw|f$(fKk!bj>9ht#R* zNWsp%uxH;HnUFftN9s(6)LH3B!QS?;q|VKR)KNZC=Q*U#Pe%&2(1#^;Q6{90_K~{S zA$3VQQm|D&EUC*gA$5$8)D;e?E7OsJ*8#$kx+W7+$NETJ>yWxG9VvJpAuOpIGa+@H zkJL>LshiW0g3YX9N!^wSspEa5Zg)uCk&YDXs|`!)u1rXs;3IXnLu!3GQt(PhSW+7^ zA$6jU)IAQVd()AEcT&QVx<3CkGa+@dkJKX$sYlb1dMrGt zCo&;*ijUNj4ymWok%AY5!dfb$$BU=>NIm0_dNv)Y=fabEArniT;Uo2;L+Yh;q+SkB z>eWn0o#`X>nnUXKbfnOYxS>N20DzHmr=nT`~^ni7`OH<^&S+(+tLhtzlJNWlv+VM+a%38^c5q<(Tp z{hW>zyc-#o)Nh%Py3$ALcZbv;=}5uLnPEx&oe8O{e5C%dNoh(NQkoi?l%{7w>S`}3 zEyp1hNkotPih~B)bw`x3Nt`w}pCMOae%WkPDbkJSE-r4s2Z1<^CYlB&*xRELjLjYFz79jRn^QgxY- z+TbI#%pp~ujugZX32Ui_Oh|3?k!o~EHKij30ZhV@TA2x{dwir?98#_6NI?XZu%uRH zLh4>0snrgtHR(vL4NvOeOh|3=kvha7b!a+L5JM)crH;si)O|iuM>?dAN=FKU*n}l@ zY$l}c_mMizA$5E@QYVBarDpUb?*SjF6CF|~r6UEgd_r0(vo-pIK2pxU1TEdZ1dJ#Y zmQ+S-^hbT9PIoMIMmkHK8Jd)Kb|yacn2*#s4ykj~k%H(-VJ(%BPd)A-IoE;omx*Z%Cjx4NCWwe9iX`iK>9UNM^9UK@L?SDvWoiluQ zr5ip7(iWCfMOqIp=5(YU z`k#_|*dg^uI#Q2@C-rzHmU_--sV5v#Po^XF)c=&!(+;U;(vf;LJgMh1vDEWEOTFNb zdNCcTm;R@uUUo>ml8zJve++x}y`G7sUhrA!4Tsd5=}5ixKPC0HL+YJ$q#y=nNK0jQ zx^Z~bN9sd|)JN$^K~T`JmdfZ?tk-;`oV_kuy1gzKpEN9~ zjBXrW_mOh;x@hV4x?mL4kfgMXNWI}BeN2;Yt0H$>jN#&r-iSq<%|B>i6)Z{>+5bmp)Q|Ii&thN9v!@q;xeC zQr~z<>6%SS*VB-~NT4BoN;fhg^}COhv)4sWx7P*ZgN7v)&wvyJLUO}h4Ai?gmSX8F z)ipe+ZkdoWeWdanQu*mf6@(_0(FIwJ`$%Rq@bfmTm zO)8@Yu`2VCayH=T={DeCMA@*8p2^v#cJ-0k!Lihi=`01oZNrl4pNXUUN$up2DojUe zKzLHSWI`&}XQ_b>sX^&TL6F=3ua?@*M{0;eYG^uAyM`x~(LB}LM`|~R)Ub4nMeJ_3Q6v!bP^+^~ODjejvAmn6yN(v;f z+~?NNkSQ#u>*W^YWQap0KK(nm_t1^{Y&lH~R)t>&wtH?;&qdt~h##m48^koUfj&dn zVUAvz+Cra=`l7Hc%thOZ7Ut=T-4^CT3-d$^`|3-iEgbM)YoSW7wp#GH$5MF%sqTTq z9rqy8b^0<3av1au`xhC!QE!wZG7=!OQz%Bg9(=4xZ%*L_KGr5jN#(TyhRor$LKw4M z4!I@^$hC6FL$ZK8R6i_b$cKR29wWz0b=$+S=fbIu@Vf2c;8aHlI~?0d3nztb;W(#- zKLXGEg#h}P$N#YQT;+_Ot<{{Jkh1D zItb>0NFE%-u3gqi*RGPco7%O@o$>H>?Q*AUSL@eUKIL|8$WZ9o&GIHvyLPSBL{z^H zttYy6y?zUH4MX@rm#&4zu7khpMVD^XZ?hU~Q%v}$D7k~7L7u}S+}NmZls7w#Bpr+9 z>bFCnRmY~Yl&jwbxroT!4LL)Q;s&Va>36{2o$$8~{_cXm zy9L2}CSNrdX#bNcgg2YOi!n(9Dy z&!SGxqSQ0nfjs?yKD?s8nsPD@8M^&O{jIPK;)zEm9n*@#@FnI;Uu(ANND(N z{T&!soCUlWuMgy_CEvvhls}YP{$n}kP?rBh|CfZ%=W@gVA7u~8mH2M`ixA50l~~zZ zVv)P`ufkd6YyBIGekqIwjz`|$yY=s$P|U#_^q(+`WGI%XuE3qR8$*;9q|~K6>l{(> zbIcOJ-w5ze0Q~L$2>6%&cPe0xA^>yr!FT5<|2M##oScZ|y6%c<$a?*YJE5Z?R>u$w z)d@(Fk=;p*jjjmEARc7Io_mL{+ zhEtv{Rn7~iTrO2E2&X(ls@x--a)nfR+i=P=rOLg+DbJEBZx>E^wp6)KIORD~q2ZmE#C{-RDPI-}3d1yH0#Zu+n!YRWy z*{oUEz3kqdGd!H~5~*@=IOYAM$|J)m?=Mvz9ZoqRRUR8o`2eZ%_;AWqQsqt_2?ZAw z)l%g>!?j!^Ro*+Ca;;Q(VmRfbRC!W3<)u>PDdCjsq{`F6DKC>M?-NeBUaDLkPWeEo zaz!}hdI7*2VGRC#eY<&{$9CE=7?q{{n; zQ*MusQ#j>= zrOGS9DIX$LZV9J+s8qQvobq8(SwN|nzEr+k`J`Mhw-r%RPD2&a68RQaNC%4bTIFA1l7mQ?w&aLQ*( zm9Ge=e2!H4s&LBZN|mn(r+l7N`MPk*=S!7u2&a63RQaZG$`?wNZwaS-kyQD%aLN}; zmG20re2G+fT{z`SrOJ1QQ@%{9+!0Rsa;fshaLQLmmG2Fwe5F+RzHrJ{NtGW6r+l?k zd2=}BYoy8#hf}^*s{Cj;bk z{xF>K-BRT(;gr`)l|Kom+#yx|G@SAVsq$yxls8J1{}WF69;xz|;gs){Dt{eLd6QK6 z+i=SFNtM43r+mLu`Nwd|4@i}N4yXK}RQcC%%A2LizlT$PNUHp2IOT_>%72Gbenh4m zQNk%dDpl6PDL*Dv&IzadxK!B)r~HIeIT}v+NvU!?obpprWfo5PX{mBXgj0S_s@x--^7B&VZNn+QAXV-aPWeTt@^;~rUy>^K38(zBRC$MR%CAV3`-W3~ zRjS-Sobqc@<-%~vuS=D84yXKvRC!=H08PWf%A@^0aj-;pX052yUD zRJk~u@_SO{k>Qlzmnx4Ar~H9bd2Bf452ecELn%ilNcS=2#53Q=6xm%4$+CdlLk^jn z1>~M`$h<5d_mV>vWC6Li9I{6ikR@`+ZL@%!D2MEo1!Sola=R=bC&?lEWC1x@4!J`X zkW=K4eY1d^Du?W!1>`h2WMLMNWpc=!vw+-34mmIj$mw#(!C63-%OQtm0Xahsxmy;H z6>`YoSwPN|Ll$QNIZF;XG7HGra>&tHK+cgvj?DtHQVuyj3&^>0$lbGmoF|9eGYiQ1 za>%{2fLtJloR|gVLOJB5EFc%jA*W;kxmXT4Eeptf<&gVi0l7pDS)K*tesai)EFkxn zL(a+qG9ibYlLh1fa>%(^Kvu~i=Vt*~Er(o~1!RpJa&Z=rwQ|TMSwJS`ko#u=xl|5$ zKo*d7a>(i|AeYG@YqNl?mqRYi0`fpP|9J$TPBl zJX{WWRu+&)$RW?k0`f>X@9wmpoAPdN&<&YO;0eOrZ@{%kdkCj7SmIdT-a>y&P zfIMCfc~usWC&(eM$pZ33IplR&K%OLrydew7ljV>%WdV7L9P*YdAWxM;-j)UAX>!Or zvVc5Y4!JH1$TQ@ScV_{4rW~>(3&^wNkQ=jrJX;QVZx)c}$RY2`0`gor$3XfV@Bs`Dhl97s??Y&jRuyIpmXBKwd0|d^!urOXQHxW&wGr9P;@rATN_c zzL*8%<#NcEvw*xp4*6;pkXOnfU(W*aDmmnvSwLPbhkQE=$ZO<~?`8pctsL_GEFiCw zLw=YAmKkY8p2d8-`q>ntE| zlS6)+1?25=$nUd&yh9H8V-}Ei${~Nw0&<-k^4BaN?~+6Qo(1IHa>zfkfLt$!{5uQC z4k=_r$pUhN98${ya-$qFCkx1X^&A z$h<5dACyBDWC6KZ4%s6M$cN;R+hzgzupF{i7Lbp~A-Br{@=-ZtpDZ9BlSA&11?1y$ z$i7)XJ|Tzfp9SQTa>&9gAfJ*$?wkeW({jjxSwKD`ha8**$WcK)xi09GwN^%W}xESwOxbha8_dq%tt_YHA?H$m_w7!PCUo zB7&g-2S?tJD5r#M-bboDH1f7Y`Q2d4)1}J0Mc$Jre-KnImnsjBd?-=g5>%ccRW6Qv zEK&YfP`N^?JTmgBMESFz@=U4n=*Z_1<&@~ov!u#nBVS6CzYexsDODaHv7UD_QH5ir_I zTcWHK=-=xl%=`NI^=y4&DQ%Fc@hZ;1T0UjJ^$BiAd&kVhW86XIRx zC_R-vPK4{OivFk4(^RxcX5^pFn(S-Ex-QUv@3cvXca2T2yr zF3z;zk?so8xk2f2knZNp10LyokS+*H&j9J}&WZ1l-Ug(%4N6ylbWi6@_egV)-YzIT z6Qp}Pr?yAB4@hqxl%56BI~Y4!VZlekmjW=zI@@IQUo^PGDBNJ|j2d2F``&Y6F{3Yj z=*J2PZuHH|1Grr}g&QP+`(bNvgFA&ADuMfPYjC?7yQOw^ICi#pgE1o5*`LzFDQd(y z$NqXy>7v4S7K(Qqyv`WkVeGlVC>gxbm<)gWz+d^`!JCW<7=f7`M&)LsVtwRwkXv}K zaiF5CGnzLUD;IS)TJrYE>%PHg?=aSGHV%erLK4M8JB%YY8#(JEZ;{?{KE3H%(TlB* zyi0l~`}E4UqStkO!>2c6D|-3sBU?!CT%TUWR`j-6ANd#QUF6f7nYVpfyYTgq z&q(ibpWdvzUTO9EtdD##80PQ}<0|lgYds?|C$C>;n)ett>k5e9+F{&LKBU9w*l27V zqTg@a+hII#y%L)-w8MD#!Og~_n~lePfTf)Qb{J0(iKl(?Q#zM_-X{pBX=gt3l25Rv zbHUea7o3xq4^p=0y)zg(`d)|eVTbWA4^(Ad&(5Jfb5y?QFuvu1ee-(AfJ|-*ph4X907ZF}$PW>W%w27iCE`T2 zX*+acJSI?v@t6lC&f`9mA{%ADylrG$y6`RnWd!fyL1CPEJ4Ie+jig!LEoYg~spetb9HO^EK!yLm+Oc%Cb2H+DeYjl{ljnO73V4B+ zIE;q5w+&RC$7Mj>d3OOck$3k1_24}OkU5E1Pw6nHj^BoFBSg#iHXhM!`L?d8-B^vx z*Lsfc$$JWf>Aa^0p%?E(2s3PFG-ugBwLWLGoVMq9&ba`Z!?_1&JH8zO&2@kl*g(m= zZl3NtK)rcy0knws_5k(aeF$jZ&E~!x=KgRFh;iGVZ!e?|;M;qoci=mabTu?sgGH@#jxV=c z-HGocKpOc@9*{y_7-)5c-Rb~7Kq$2E0Um{&`OZSY_-eE96;7yTtKIOjydkoNcj3DT zoOZs82WKE3NH~v*ak6H0Yl^lJ>&0a+<-8xn2MNU0e2@omFo*dLE_FEd_3kha?l6yZ zCqOFOxCiEq@Gw0_h?&RQ4r5$p%QgBu59S?f8aNJYE#_YH9Gm@0-w6ngV6i`+ zjbyI2m{qwC6DPjWZda;rLN z_hyp2#gx(H zf`fd0xX&4j2W_lje3tA3F{FL*1s3C=gEg9u zCSG`Tw0RvamUQ-5y1w?hjWvdk5m;~XF&?b3d~6C5iF|msUEOte3}I81UXn# zx53JInE;FMG7s23d>;weiN4v#VHP!Qu<3ld0E_YI9hI;SoK6A3#F@GyEqV(QzHoJsjg+UXvy9q-dt?!67I*`}{YXumj9LEg^7BbVsG4$@7MQy)&kgZaS%=}>;K2k8)g z2+=y6+(#_I59Nmn(Ifex9?`@2VXmmt+RbwJIh-FZAdcpTdmxVBM+A6#rukb^rb#i} zNAe>D(y{zV57JTmD1l@Kp4{atxB9NMFe)&GMvoJ{^FDFRSMCVTd^Fb{&5suCoxqRw zw08_YhM1${uZx}v<0DLUEI(FApU#i4~#SMXCk&7Q_jvzv7p zp3YAfqF3?LJ)&puGi*^0L(eZ7`O0147~UmkcqTtnG}Gzp2kabvjs)yh2W-6@>|B1X0K1)^>j68DpC+hLdoq;%? zpD)1f;^%w7F5nkPz}7op8|2I`RX%lYL3=@EXp z2k8oaMUc~D4$>w$(v|#5f%F8w(t~srzbeS-DF^Ak;3QAIyP97uke=aJdyuZ-*94KC z^Pao+iwC&!b2sXqyY~ktXlnCXeywQpMSiWP&FlDe-Zp8s@6yOG~0ntYAl=xOpMev@c2^#b!~ za1aURW`47Pd4u2Vfw_g>5?~&E%dx>@DQgmOO}UlBO&qLA-r=`;kZ$9*d67~K`gm~O zB3ife+XdKr{B{r69sCY2nBSmJ1bvH;?&Nn0q!0L=9;9`A9oY$PLU7$B?mzG1cL~vt z_+1{+yZPO&sAGgDeU5;uJYj_Oe7%79n6LLhbnp%V5&f5^wGDiO5dAmb;1S)(H@c!u zYft&EkWOp&@OuQr=lmWI#J&7p0U@5p*?rr@Hwn=%_$H6&ef&OG)M@Q$`Iy|#?-vkX z@%udx5AX*Ff>yBfWaB~ppb-6rKj;zN%s0EDPHWHj9<4h5{SbdhKzzp^@<2SyA9e|Y z(ZzF=NBAQ`^auWkNAywts4MEU_H1y@r*V6XKPDi4;*WVC9_Np{5O!-%@F#@mFZ>CQ z=#%_OSJY|kIr*vb6n{!U{KlX1Ks?Q#CJ3)3pW)94(LeYz9?@s{v#zMq+VegyaV+^9 ze@;OB#h>#)JkOtZA?&`rz+Vue|L_+)qA&6nT~VjC7lN1KnA#E3#=pd0LWo!nf5`*! zGJn~H2tH|eIcOzBib$ryS9~WObdZ{Sg})-2H2Eu@CST>RiY8O1!dHVnKrpZI*91(A zzvh8?oxkpbv45({S6&M`F2TIP-w-fe_!}OWH~E`h1JFDe%XO^zdhjPa0(*R)ae0ToBanLVcRWb%@^=MNY+GS&x>bFT!#ynwVK4rkNA!LE zzANgq_NH&HfSHMSf51Nw5Zmz&JP;r94+)|V&4bX)haIsU9k928U@*hS0MoKop(FT? z_iib+i*Trzv*{&f|K^ylyzjf}!P7cK9PS{Fa1cN6xt1k3(iXIypSTY@KJ<;fB|gR$ zkBxP3Kk}^%Ex`$n;O>s#78%pM96@_;Lca2`Z{c7emO6ry9K=t2(}5*8)e)TL2>#1= z<+KE+JA&no;HNT%uMbug!jCjlSxj|Eaa|JZ}{ z3IBvnh2?N6I7r{*b#ZTRVh!NYv8ImLiVKZ5VB6u~dt+<7`{eSK@6+63K)?O`=Rq~a$>_`|M8!a-VuJiAGfOaZ_@MabIezM+KS%r@t^U3cf?NYh@BF=HvHn7 z&CamIP7`9Dr$@i~9zNP);L6t2akgXP-|_~yCN^!!^X$?3oPRDx>jM6{XSDvq|3jm7 zk$1Fy_fA)_i!E-K(fD8KwD^Zl>>B>5yr+K!dP-u{Q)g>ZzVdgVrz9rp=|6#yUx=Pw$G`CO^h^F_YEM|gk|pHUwJI^HUC;-8Pogd z7<_;lwJg)&^fc-dyM}+(5!*2S8~%-G=N|rzr=4&4x1t@`ii)=;zMC91?(5?K!ugJW zCvZ0L?>so)^X~&V?me8^#pgv9&JX+tfpb6q!GrT7|Iv#RJfT$Pb2AI-C;pRwdXWF* zf%=*M?3xh#P~0;8!haE>5Ak0-qQCNAT~Wu3UA+!w?nK@Hjl+#KELR@kzj*+D=f4x} zb+#E}k2^TIejGYup9BxpJ7Ujt#Gbb+oIT$8YM$^J`O}8j%bQ~tIpBHTOMdKP!g@6b z{;KCuhMFH5JYNR>Mi3k>7xwP-e6=7nc!3Q3?I8HuUhwXr!Mppxuh(uR%Ul`6ztW4p zZJLKXX!ffevv2D^#R&YyAoz`5@SdT;d&2{$=(XG_ZAV^$`BEd zy;VR#K{hCg0^jo#(qB^XQ$HDPxoZD!`tQxnJP&SG>T&ggdRes@VhuJ!A=D~{Muw)SV-2$n^H8@M4jGQB zHlx`XW{gCA&RD@%3AL|rtZ@SBLE~BDIn`#GZ(3touiD(|xV3g`hdSSFjT=Az$z63f zsW$gi_bTqyQQvW&>OLLysQY>Mi>l2dm&danMNm6<^z#^qy2)d&#{t#m>ERjaX+f>& z*~+su>Riv&__o#NdBaQZWmIimsa{pQa6Yf$UhjL&Kt1kt!3*Q?j`uF?T@T=W=u}%sXh>p6UevK6vqR>gZVcHIvR}1@ z77Q&NS`M{AX#3Dks7FH2g7V5F6AEPd*wrG8HU~~v-ndsM|Yom6E?iY=IN3V+hEP4m( zspxCbKdClLti@)*JhW7@)VClP%V^6?%N*48mfe=Us?91^AFDrVqP3{CIBIKaFKZvv zN!A5cY}cX*&T!X$GXM_#0I05kF6bB4|QVf{MbdP zr(>_j{;b;KJmMnbFz@0j$2Ew2FeQX0M4(U`txFbz}GV$6*mH%@Io{k;fFi2vWbkMsSk68I19XTL9p^iS{S zFudS@_>lI8wGTD)|G0nVbA=RN-N`c2TM8{!1&c{SIzr5egf{$^ij>CQ2kDIakmy|+} zdB~2#eiDzJG4wPk@&tzNIQ%E|_zn>ieL@j9#_%Ms0c-!e^tGQR#hzdkj&VHc>&V#o z)1<@`j>IvRtZ*%uEm!W(mKU->G>-9PmFsbsJ!x4aBFC7r(lt43!=wpRuhSvY z%2_;8$5^w&wK_ywB^yNR7;kpD-i(OfkZRc^V#k=X(=}&AohGkjqo^I@&TiN35P6Mk z7P({W+40&lqMsx+vtjg(@n_fTM++{d|JbCF)Xt_Yu(#pfdzz_IHye+`c`R0`pUuZ& z9}nN7%?V?{PZ{t1T2BjUm;+j4Z_mA@lO~OG$e5hRRZg1bpm8~mElrx|u(3Ihubi~Z zf#b_O#@BP`7@fyymDV|Uoc6KusV=9FGvjHnh_ub&Ew#7R{uJ5%DHw0&F?W1Q#+-TF zou8s{JCD7bba~3g?mYf9>Gl+k-#LR+>G70i;GBb1dOpQD*t6ivnx{PnhnGFQpYkbT zpBDBXy7kfW5a&#qNk1)<^h}&{iIV|ZE*ZJlv*Grt<+8#l7af1tY8;aO_JmaiX|u*D z9mkoYW#c?^n2oD__T2krrgkz!n?Qe|IRUUmeaH>|5cWm z^PHjO`LFZLoarnr(}$9&bFQsqj+W~~%hfsCG?}Mm`%trW&bOs3(DHrg`8sD@OcrSw zKaz}{b54~dTF#FwXXmWbWSN%rBhA`5?^Ic#<^9O>cFx=?E49oYOXl|6`L>Cc`Cq?n z!n_{FN5;FLMP!vW)gMZvf443C8|&@ws;<_uf2`R%ZZ}%?4`(a+yYrsMIcz&?w5k8t zr@npq+qa)}S`VH`5A1#5s~)WfkAEAoZ&?o8(FScPJh7!(dX_MBAC)x{pKe#<< zy?Aol(*w4tPqn4-#FvJBdDyqBEm}{qL{IE};kKpq~{tW0|t2E(TL8E>&f=oY$6Wj#;V()oTAQpX1Wi zdYp5XuD!wNbb61mlqaV@>zm*L(T&_f$(OE?VcEvdPXf{;xZ4U-$RAAFI7!%Rzgdn12y**(WP84N6O( zl#zHTC-tSgOq2?;M_y8lQc)=(m6R@0Sy?7kTy{%UT|23!8zt3s2c(8;gw%9>QEIsk zm)foeq>esR>gk(Gef@rEsD?`;b+9y6_em2&m^3vEm1agonj5=G3*$~{X^NFMOckWH zshzYjjhD8j)6&jtmiFfS(!pF)I-2`PC-Va7Y~Cktnr}%LH>-4YD<|FD#!Gj%)6&B| zue{~nO?tU+linVF^0r5P>Ep3j`g+`wex9YJzvoyP;CV_0dgYZtUfpG|*LE4=9Uw!! z8_F>6rSgvV?=r$CPDc8)mr*_&WwfuCjPWfbV|^RQ1m7hx(f6=S^0UZfzi~3fZ-Y$r zca!)1>&i6$g)-g$j?4&nS!M=olv#o9GCS}!nG-li<_7*M^MXprf}oMIFzAjf3N9my zgGb1+;MKA`WT>nNO_mQrzm%0>;qqZv3t1JmNv2r|ay?h;aR=&yQB`0$g zlT*2x$?04p<=b2z%Xhg>%bDD6ayEAX`960Y`62fJIhT8>oX>qkevDV-LVS6-96wI3 z#!r)L@kiu(9&fpkr;PlRr?=e9Ggp4evs-@6^NZX{C@H@sw3OQkqvTG)YPp+mT9L$L zMMACqN)IJGWrq@xKT=AYe-$xDD>@eu z)%2a|jJPNlCERq@^t~v$OJX$r0OzVZE|I2la5~q9aOdGv*9u}W{RpRbT?lsp&geQ0 z?joGQ^(x#YIJ4_t@a`KgWJZovhr72$q^3sC34{SFtbj)c1n7o=W> zy8{=h9)-IL7h)(RMzg?$8?0h6D{x_krf@EBk%r1}I=BeKJUCalXv1hYJzSLGD4Yss zHEf16z*&rua7MUTqY=&o7h|jhXNJpVEDYxc7iXLR=MEQdd>hUKF1PU1%!r*M~7vaL;3b`MKi-3F9qX=9iToI30xG1>79s}W` z;fi{+gR{Ur=Wzhe3Rlcy16&N;^PU#CShy0NZg6pM#XVcY<$`;`vj$vlxKz)zaPe?y zp0nZdz?Jke!X?0!^1KF@2=}5F$B_hA#*5=fhAZtg11>LIIj>=G&%l-SLeI_l;3{~b z=jIf+^4>+^^21g1&IR`$xR<;K!xez5?A-y*23N`Z5L`jHD&Cvmo`rkarvh9dxN1HH z;R?f5^_c`$1nw1|zHraMRrfgyR}`*>&mOqv;a>F}1Xm2Mrf++=;&89|-he9sSKIe% zxKy}We#PKkfUD~l4_6Ydj$aG7G`RYHRpDNQtLJwdt`uBDzpZek;TrhI!um*DEbbq!h!S0AoN&;+;!aNUEB zz%_*H8T2V!Be=JMIkv`dy@NTnCUCuio4_@N>l0iFt{L3h!3W`*!}SZ^2-gCxZ-@@A zCES4Ei*T*r`iJC&dmV02NHE+Ra05f;!L^1P5;7XD4cy?6{cvsJhJ~z$YX>(pv>aS} zxZ$A%;5xv)6WR%`BizW)dT^cKMuc8~>kKzK^bp*eaHGPo|CzhMjSa*8XYL9&CTsv) zH@NX(ZQ;7ZjSJ)B_JErh#>agNZbEo8Tu->k;bypAaFfDY!u5uGFT5Ju+i>rOuY~IZ zH#K}JTwk~;;dkKr!A%SQ0j@vX`w?Z}2EfgT$PYIVZhFLMxIu8UB6`3LhMO6w!VQ6& z6LAG@DBSGGs&K>L=0!da_YU0L$Zc@L;TA+LgBt-iKgt7cB;2CNn{cDx7Dl}aHyUn9 zR4UvUxW!Sk;Ksr&iy96$4sL1GCAjf$E256TO@Lb-9RfEIZe_F%ZW7!F(Y4_w!>x)g z1@|u8htb^E-h*2m&3$bO+(*$n;HJW@iCzi!KHSIAKfz6dTNnKe+;q6L7CxuUfZJf< zbIMG(^%l3ALakxcr+pT%v7Q=mJ?E|+2Zin@CxTSEPTe&`#!R@qieJqFj!iwir^9s1#Ry?no zKY-g6!}YNeZchx?$A@rV#?*yd1-CDz4BSU>dt-QgtKkmB@cKT6+aDVYw+8M|tP9*) zxP!6v;MTz%i7gAa9`11LBDf83$709BZG<}-`!n1oxD&A_;XZ*o9>?d3PvO3f<8#Gk zxUb^y9BI7bGUC4BH(twolh{p zeF1ka(Ezs-?n1&81!(B?^<9-QuF^SI$d*H4l@p)k{+~p)@un+E95;NEj zcQu*w>Hyr0WX`LDaMzQ0&4=KAPUbZqhWjab1l$q0Uy{4R9fiA@ya(d{XO4h-1l4D z_qPxjgm=% zQA1F}Btjx_3mcDEaDo`rSkzpoxpC^>aeo&vnQx=I!JF~n)&W;_2jY%+$OVWqYBL;u zMy;jRR_myB)%y6Tec$y{`)9cR_uXK1NQN77-wjtsWVjLc-57Ojh8ug|O;jgkxJmcj z6m@Ebn|jZgI+!}y9d4yxpQ-x;T(1YXzNU<8HuX1O6*r8mpE_6_u8vVBs#9?8?xtR* zzUI3krlpAevg)S)N>L5^V~QH4Kc}c(`jd+4qCc#te)?|}HByaI)Z9b@k*wxb)FAy? zMSn|oU8D?N$4gnF98sRAK)gg$Bq|Y=iI<5gL{*|1QJr{&c$KI@yhhX{Y7w=GIz(Nf z9#NlYKr|#85sir^L{p*}(VS>Ov?N*)uM=+&t%){7TcRD&p6EbyBsvkDiRX3AMc(AC zE<{(N8_}KULA*uuBzh6OiMNS9L|>vG(VrMV3?v2-gNfn95Mn4XjCh9_L5w6u5u=GQ z#8_e+F`k$}Oe7`|lZkhU_lPOPRN{SN8Zn)iLChp(5wnRo#9U$?F`rmKEF=~Yi-{$~ zQeqjgoLE78K&&J_Bvuh05vz%hi8aJpVjZ!b*g$L~HW8l?pAwsiEyPx08}S*jo%o#C zL3}~%Bz6(Ii7$yg#9m?_plim4?&qfmh=ar-;xKW9I7%ENjuR({uZXXSZ-|pb38JX} zvPdEQ1<^IoH5A>8x>BO6qN^&puDUj&drQ|-bgg--6R}vgL?o~NE0H98`zZzW=S1@9 zPl~RVt~Pc(o+?3qSabz-&x*wBZxRQ@)zwumt_D}5=$|8s63-LGh~h*EB9(Z7C`qId zFA}AQ(nJ}eEK!aqPgEdYA}SJVxD zPc$GJ5{-z)L=&PZ(Tr$Lv>;j%t%%o&H;C3m8=@`Ij%ZJGAUYDAh|a{DL>Hnf(T(U% z^dR0Q-XeMuy@=jKAEGbOkLXVfAO;eHh{41VVkj|;c!wBHj37o5qlnSO7-B3jju=l& zASM!%h{?pe#CyaPVk+@IF^!l`%phhGvxwQm9AYjpkC;y^AQlpfh{ePbVkxnVSWc`U zJ|I>S9}=sGkBHU8$HW?9EwPSRPi!DI5}Sxmh);>l#1>*Jv5okQ*iL*->>$1%b`raY z-Ncu~9%3)CkJt}Le*L$i`%ZTT(BlO|0sUE#Q*v6A!hp-?#7<%_aez2VoFKj-P7`=( zCoVq#N-^HLNL(X+B5o0P0i7#hB0LCRA^=cQc`KBNAfkylB7sOI@)7?5lu|@_qB2pP zs6o^s>JsTEZNyv6iB?2gq7%`L=tcA+1{1>xUV$=(m`F?^W)O3UMZ|Jq6|t7sL_`r= zi5l?0A3xgH@fk;VNq1S%UE>K( R^0?x<5_l1de{_10{{jM~m=gd1 literal 156561 zcmce9bzl_N_WwwBW_NQ52~g-Q&;kt zyL(^l^}V*gbM6`6n`OSc@7F()D|620e(t$v?%bKZbLOeP?!I49l##hRtBSF@E!mQ6 zHWgJ>dRHy4YpJOpF|4k!wWhf-SwF0-W?8ao{miE7w)z@V(N%@pBJ*lix79V*l(ejG zteRKTQqyWG5mhnk>nexApQ)It!U%e|ma1fZQdM>=6PaPnHMR9MRjtFyvAVo&S!1%b zt+{4`szfT+x7M_%In{<5=~Ss|`F+&b#JS1NYD8N-adk3ZouqY*s4+cfimDo=eG4Md zT&U#;tUqjKU1HImamRTwJkAn*M>L~J9+plDGzTgpysd_|1A^Nw?fc-+0#&ZKsyRo`uT?PEv>H zy}7yD(($#6XYJEhE$g9mE@5LDmKH6aF*w$DfUXTs#){^y=rv-^;_elAydYA#Lq)%m z9`VTB6+8Eh46dzOP`1mkC4F04#`oG~$dd83brTDcyUaGVra>`vV2RdH9xv-#GP{>< z7M1O?tD3V%`S`MFv-5McgGvgL(GpYJD^gIr{14-1F3IjOy=cYC zg1$WrZODLvqM<#soYMI2JydN()od+Z)OUwH)(nZPHzuh?RXroIUHX*_i$^-KU6Lgu z)~_`B&h3<#&{yx295ZbHTy6Hm0(I6>Q!5`Fo4c#7)tAS4N#lMKv{+HEMV(94yySph zYwP)hmc@t6Sk~AZ`msk&zmoiM@yL`7{ZxJ2ifM=RoHo1n7_E0n*_eh!#cegk8@kWh zcYIq(q@-lmeTxp5Hm}?C-KMH*`o>mQ57V`Ed&NBUC6St?#)i?Ib{yYO5=j;`@Chp# zx^EcWdHQt)6X6`d| zA++1Da6v&qks8aXiELL;SyGa-G&ZOxw(r>Lh2U;8_Zv~WS6=m)yizrHQeNTqv-Tfx zz_j9hdn6|nRo4v|xk%`1@q((wV_Rk%vhywtONLi3?XqENL$9&5Lt-(mP0>c^LrRPK zCXL--*xMR=jnVpd+5rAD9L8hA=v{k_IG}XT)`h^Q%d|baEo#^v#^I2j3-gu?Up8q# zb&rxM#j9$%ZUW*qX-QGrBu!J5 zVtXo^vTjvdb@er~SGCqPHMSJ`i<9b;EiIVT zRaY*~KYLSz=*RbG53dr9iHEjWwbTVvPZ3PMPZ( z)*(;>Im?w~a@HY`>twl*Emhz_6TSs9xf7>XBuXYvo|l*o4BS%LY-mRun>Vjyaboh6 zITh2vI9M}nItZ7PPfrxVklGdHrAxqgQ7lq6cVfjvFR)!AU@UooJE8Q%ijrxGsk6%_ z19hiNXx*@khl&%WvnEejBnVhyqM}If7iv}+8*t=R`HY}Fu`Xy&tP9!`>w@;gx}ZI=E@)4z3)&OwfM4F^Nt0$K zCYH>ak(gLoQ4Z5ARfv3N$}g^%l2}+e8P6`N5o2K05Wtj4lWdV(p+rLiE+$G-y&8l; z=Loe)7_;UR5gT+>MPlBR$))qAOoB6_Q|Y9VNzsEWfdf z!f*1hgg!cJA|k>~jK9K}<*~XP7DRNR5Ty#w%v&&Pc7psJQ=CA7#hlVfiQ-9%CzU~= z6BZ_x09#zz!MaS81)4YnQ*mP9?0J)6))0F3sUhTOdJu9IvnxurMLkOpATOn`W z6j<<8z!`5XlVV8QI)`$tJeeYHmB1HD4lB0XRE0&WhiXKrnct#u7G!^&Hm+p6GkTe5yq zvcA3??l?{5E4T_)HZ`@jv^FPKsmf_y25IgKy*B{>Ti3YETjNu#SihE@>3Uh*!eG2$*HYttY*qD%4dl7 ztE%)2sN)04d2NjqU@5ra{2e@g=IqJy%cc~x>d>MWJ3+y-=is7#DFdJ3@Z&ZgL@(ssHyO9qO*I?B@UWu4h++>npPTl zRoUA+OqTsqA-#4D_zqJI)r_kVH40bGn#LA*23t~9RnyW^S6N@9Dx4}Q76#-}G2j~Dm4>1v4Y^clxH{z7tm#ofRNcjo03sFw0CK6SaLI|m+1QwXJNKHX3Zvv@4o59z$fYWejVj~)3S%7w$fYWbiz>VO6~;LV zkn4)0OedN>GsES%Gdvke)YiAPEKk5hl7!LN6D~VmPXwW;V6gZ7f0m`-lWF-pVA$d4Bm2EBfz$sDF7*%1>>H`588w9cv5Og6i!VSr& zIwznF2W@T0O0>age9b}GR)DNT0bE6^17BJcP=tD05wa3RaFd+6s;Y*lx?eyQj@YV@ zm8hcY;21$Sqwv#M0h zT*If}dJP_uCn`^>3tT3gec zSX&uY4-A00-h*lqDgo~)cD)C)5(RLL?cmvMqBhym3cqOLL)iNIM6z*xR6Qclxa&~G zQim!AUojC&Ol`*ov|XP)|)k zn(72N_vryB*TYaLmBM);jLQNTu1})0ga-PWux4}JvSr{+4awH3j-eeJe((TiYg*dsTchgz z0T9<~QCva_9FW-{Yn$fAlG?EN}YG4?Yu3mc#Ghfl3ouWxy~ze zQkEnm!6^&y8kfLDTT3Mkc~pHTfaAI`YDl^Up6R`ajC7qAvl0bxLWXC}@?<^uYYl99 z_#^<~dhAH4$BqQQ6Zc6tEDdm{gdkrAKwLLPaS0FbJ0Ee^B}YnKawK?}Pr-FSJe1nP zDDWw-0+uaz!>mLBoQLlJ;5WLp&G>U$RQ)Xg;`-VssjrO!$MLo5`V=0Lv#;&7 z#ob1O6Lz-8w4pg!m8h+aYQq93uG6Btq%Yupo#DxoSOnm;6PI=xRC?WYwCk>zm7svv z<)KA9H%3}ubVj>QidhK=cpR+{VPT~O?h8k|o{3qBHaM7%v+H}KrM@>Be9EWbx*Hyn zv=Ho&>u#f^?lxw4RN33#$QakRFq_)Q81Nb|D=b^ih*^mO_=!)!^_wy1Ybky+W<*rk zBcS7Y$r!1Zj0rddmTgv2hZr*|s_YeL#2x=J((xYyR%hJw>$^KM=}Uqk-8}T4TX;;?)gxutr#h&n&cc0RY#@P*ef{ zp2Tbbao3_99Ds1$ZmiVp#)4yYvLV(s3mSntNEEUAA$7yC=!Oo4SQ%)6`$!bAF{GY3 z7JN@EFT7J#;C2#aY!Iodjs<^=;qr|hPivcS)DMC(mR&F_0RivvwmQyrEX+z2z*l?3i=RMI6874Dgu}2RI?5rjkYvbiFZ^47P zFq0I+P7-{b0Zxm7rGu8hj)qED6L=03!g)8)-jzK8M3_ERpO&jc_35C~3NN6+vc}rh z4?n%f+H75T31OhKMP6*5ALJCR?eB3%7+TrIZN-t3sWE+)J{#Mg11CnZrM{^Vez!yN z`+y@*Imme*rdR0mQD*^&HrK4GSCyRxmUh&PXca60Tkj(%3~&Qoa_QBWzEs~ATY}#) zc}WLNo=xCDgZ7*9?R6B2l$G+1OZ9-vR>^K^Z{a*^exhX8HQ0ZRbcumeKoew41P9f(Nhb4ik|Lsc-R?EPa zyKL>P$aGKNK52 z41PfHVkByt>Z@Uml~Py8^dm{-D63h#kG9C>p}129(PK&UIICOBmfMm;1LyBH&KCoX zQ3tNB1BBJC4F=sE|_-I~HI9XA%5D1pncw z4|u+B*`L7ote~=?rf%8VDtyCjRa3nn#EKv@U_e4qf)~fiA-?U+Z;CccKZw zjOicgA7d{+ffY^=wYsJ@30p=A;r2%#IH(lXj~z+?1LiUqRLb-(^e++jD{(bwUE9=B z1utd8Dzz)}mYm`{@ZE-$^Dy`X>@$M}oo!p+sUNjOpsga;oGIZeV4J-}o#{X6 z|H@Si{b#0>C=+9frvJ*6$;uSW{SFIl`~6k;`5XwOzPieksme4IhMnQt*}{eO2d>9{ zwYAn177HsaVESMB-*H9DQ3NQx(HaD$+CtcFK3ypV(3~6rYE^qdS&l()CYPAsml zy$tDfSf=cw%s{AIe7H*QU;BYN5l|j%vxe>!;$H$R4tv=Xh2kAB`$ghJVa;k-Q4aLI zJUn3#+#)UY*ZmLI+KApeg_iw}F)_k>xdluq6N8qs9aCmft_M?QQI0cZHsyLUWe(+f zGi5I2c4Epr%JpSRIpua{N(JTmGi5&Ic45i_$_--5Ldp$c$|B0`%9O>F8^)9+lq+J& zQp$~B%D$8v#gzRhH-;(uQ*Inn5|o3XKY((3FeOR3y_ix-xe}&SQEn1bswp>xDK(Uv z#*|vhl`>@+OlhH96;oO%SHqMx$}MBc8p_o%Wi91aGG!g*8kn-4a!pJ*kaDY; zauDTOn6iO#ZA>|sa%-7#2<6r@vUJ zg*E8i@%H7D6^C)*S zQ_iQ{txUOqaRQ|%00@It10(5Q?8-hlT5jma!)hmI?6rEl1=Pk#a9HBHxs`HnGvzkQy~~u_Dfd28?x5U?xNiHOu3tKKQiSW%KeKe_fqZ`rrbxl-a(^@BA(4xyOnI1cI#V8@T!bl)QqE+`W0Z?A<#Ed8GUW-%bz;hslm_azmK%2IY2T%A1rM#+0`xSHzUJDK~;C?@(?OQ{JWA7^b{Oxp7Q+ zpK=qJ@&V=cV9JM-+lwh5QLcn3A5(4;Q$C^G6zjSr-oSFNTq4c`#ekrzgt)kMBvdw= zga+WHMkeG2b|At29SFLCBuH-OG;;V-B1!Gkfs`vDWe?^q}JPXpD;yO3dzZM-&AbM$rg?hQT#Lh+)i)P+~Tk zbm+k@uH~ez<_p|G4fd5;nB*Xy2g&S`PR0uJkxtSd{evV2N-)K`(fcxac+sNpqQ&7w zM}!x(OckbEqr#((4lg=}LxL7y>>EzlvT!(I%f{h^Eh~o; zw(PvEfyNi+hld+I6bqj#Q8c9gqG(9}MbVJ{i=rX@7ey_*%H}PK4`T<9A*1$@ z`8ht5#!vwyBD*XHLd|SL&<$onf*q1`0@IM3?2_t2MI-fI5UFyWCRj94pQaiOH^4c8HzQ$s{9NKG`xDf`O!@ zgX9M5A)(zQZq`8zA5xHY!wN@JEJFK`m~8MZF(={=Ny>cAD`~GBwk2mT9b^-i7mvn8 zJha&cNfAwmNXn*88`KkIXxn|aV-JyJ8m{8h6oGk2RJKyI7zj~1RYDjcc+Sfl&H~1> zUKlFPpwso1#0E*6$%67kK_Xe%d1J{^SL|_@IlCn&VkQw>nxPbP1BO!CX2U3n5tc|$ zcK%omW3ZuZw(G=W+9sU4s!d}-PxPj3HjS~GJie30dr{KwI%&olg>4T@b2?g)p@^ki z4zFYW>z#ayW+cdmR$n)>Y04i$z1!VNGO=+&rZUTlSSs zULIc{&S`F*Qjyc#Jf#Y!xp_(zPIL3*6~_C4G&fH{(UAU&s3H9qMML^8iiY%G6bqj#Q8bNRQzEv}ys)EWEdt@yFpwJw$fh)mzeX|5NJciL*)r*;G+PQUnc@N=oA*%& zr+o?%M{=@tUO>*Xf|XqYP}&M9N072>lMr$v&5@vN+D!>SN1`(8xpC=8Mz)ghg{vbm z*|R=7id}jjC%9dDPTtF5M~%6cx{g@85%I)$%BCer;5=d`8+?B}J(81MiJdTeBqAGe zPxQTxE#U^`>zI>2Rv*a~z|ZIYaN|rFs0@N1&m$kg4h1+0A%jHFai$DbhG6|CR1cd> zhQS~FcrjGj73)8@>a76eOxaBthV`)R0de4sauJ4{DZ`Z_tpAqk;VoqmjGQUO$_T9g z0ryV~as!bwWu!6+5B!S`;68TT&;>1zR>t6gUtt>vXxLjF#9j}kj8(?r!GGh=_wGU3 zxW$z5$^<<6U#9F%If!WI1ra;bn6ihmCx{vFBi3G&gCDW>7QjZt4=lE9OknyC`j4>X z!HB}%Gf%VgVAoZ1HBcTH`2Y{Y&IDuky2iRzc&*yITVw$~g?@vBcOHb@E_mma#u<6ZFl3rg1>rNTP#j zrL%o)PlheFo^4g4$c!qZ8eIo=VLBhVm?>ia7n;U0m?s=FTeZThbunYPv4R=fMLtI5 z`p$;YkRSP2hrpgD{!?KJp*_&$HO+O&`nm&Y0)Snr{akObB8!$K8!D@lGizFtweW?7 zrsnmg0Rxo>(QG_*s&b4saHTJvss95R-pHn50-<`NbXuWWy#X~-!k-#zjJ0v2%~%JW zhIa*U7Z-JPYFi_GU81RRIGE^1_IA`o;| zGtP!FJSRW$Sr^D}V8)J-FR}IlsJ$>h@?{rA$J&08Z?N`KsJ$#d@=X^wyP2G;Ht`2}llf!bU1BfoS}O!SPn2zn!4`)9_T zaP5WDcB6PF3HI|bqunr33AUHQ{H6BrNT1s2}#&a+9>J-xgLi!7F{@P6f8J+fw3MX}}^~gh*M1enFoIN>nXl#xFRgKO3-A&jVAoH71+a zVrbC{MB`8{q%OvC45{_WzKn$j5yx+5{(HKWi`6RtQMdxtC_^d)?N$rI*` znYqGJCi9#gsBfBiakH}-V>w!m4rjSp0JB^bepQK?T_gX&nr?93+s}tGRn%l?B9wx_kojflc+7hzwa)DNNvu7N%dcn(-6PdXqa88jq#tZ zqLg|&_M_PsZfnebaN7ps0evZi&f?TLTFm+;+&c_29%3pNGn_dXdWt5*NFW%O13w+b z%%NntU75Ngi46;gVZ3Q(is|KN6E>+c+gdZ*))kuONN`TaQdlLdG&*LEGRH7=XTluE z)B%)(mAeCg=bmXo7^gg&7Dbz8=3dYr<7X4%P95l)$Zr$>iR&+A?Rx?auG;3rIP?Sd z5Dpg0O>+v~8G=QJP-mulP7kxsU&xvg+ZK9BP(fr)I)swGh6l)>60(!e+oy zi=OFrK$iXb44yPt6m^zAb3p|%&;Vq`Q2yV&Ai51VU3dSN0*qP4j4f^H^WG3bdD*$HvWL%;T6^OWG%RxRaD= zo)kAvHs>&PC5gbQGG71>hj*6~wfH(J4CLuJFP?0k!PG_)I}6W97|qpGJQr?jTrKzr zLCrc;JD=1pU}`JLU4*kM6xUPnQl=h4xy#}7!IK5-VSQz8dyhrDM_>}a(!45e&M>bA zv)MNDN|@%g;Hp@IlPZi+bsfBT4B_+e?Qqx3RTx_zTpJ^kVQjrtK)&9*A#Pr0-UySj zjhrH8nm5PITa0h;g0~qRe@Wb2jK!Z#@XRB~A2-4iEU}z5+wnmMc;VsH(Yx^K_6=O9 zaFq%#gE8}7niKBBfxZt8G(spaIMJE8m7ribm^2@>4hW4$NaImF@F)&xqH0x}h-QW{ zPMG-wDL#qEpMB*XrfsTYv+UrfDB)I}Ah zUMlLM8dI;Px*VonMRl+cp=j3lJx6a3MHBF4M;47nW56UDXX^E&18W7}(g-e#W;8Dz z?Tk!r6+Mg=F!dIa?&^QBtgW@Ke%Jzdya10U)s4~Z5V|{4?<8S(1mY}1T}d;#Lp<6O z(eEPk-b~#>3Oh0Ne(Fg!ZDim9(r9bBQw#iDS9ew*r`LmyBMuFTPvrrK6c=EKg{fas-880tL3Qx7OnfW>g1^Enxi+~TX1l1kru-Z&W9qjgH4EyX z2jW+UPQzqM*6I2zrGliY%UH zz7=V-p13zKO(*dtrbQ{YnrSgQ+QPI>RM*C|Jg76Ti>_r_7phy&w60Wl5Yu{4-N7EO z#YW+3Vnz>TS}!_$IMa5b+>uP{LvC?2(+a8XSf=$SUp<~_gQ)IArVS=CCo^p~s)LK0 zIGyTh!6d=c2`a&4r$^6#YfJP@4;?($;Gh{jn`y()V&_E9W!eaWJRg3zuosRCV3`!X z5Hw*r^VCgX+Q{g|cqU!qd$a)J?z5Lnmv#$al@+}#9=#}fIn%}xrz@E@4!fR=Ud=RG zDn;Rv=*$XY?u7MC^m?YzswsLS)25K}%}kq4xm)o$#Ac?=pyC}&qqS0WBh%(lT|3j} zQ*H~>7E|tSrqOCAdN0!spt}2+Rz!eTaU*w37($Bc{<+Ao>Z@P8Sc8qMzZd@O@09Nk94})6SzN;U0My+Ui0o ze#f*+DE9-?E+xF5n06)A{mis$DEBMVtXcbardc!cA56QQj>1iDE}XCU317VIn9;wO z)-Ddk6sFxpIgM%eQx5K5AEcbYw8tnHW!jUJi!<#x$}y(V)EUcT8cmq7e5SogN4qfX zJ<4@s+J}_u&a}@cw>|s-CmzVfcEF!xU<|*c1HG{b2Ka`GeVFz=<@z!0C(6NddnY=z z_-V&`ryCm}MmDw!(|#fGK}`Eqj81F_)Bd2kT?1YWFlKBR)BdKzMNHQyH^L)`=dTci zcv!)c9_ry|Iz2W9PR|(pvI3Dv67ZEU2*EAZi})nVUYI!5xKfObk4*pvi|vkjy92I7 z%8cz5kL`_%y#frN=ATDn6NOjACVB4vB~nw!DpPR=Pl1?D5O6Va?3yY>SEU%-q9vmT z!D6w=T`WSlS{9p4AaKd~IR=-h0?%=X-QU{Q!eZqlQh_2Bu%09lT+9iCg>-lk9$o~d zXtEZK_@N{5&<8P=lF+^=v~TL#QUE1L<^YsAAZ2YSM5;)n8bzuBhL)$5%_~=lub&9I z%SdK9%0Ng0@oWI^1mGEVZB=9Ia28uh67?tnSI?kC5sNjE#440nl`2upVqzvr##&JV z?ks{BBUo%LVXQ-mbxe%-B)!*wL`qQWD}f!Pv1(pQKMl$>W((WE5lW#Lh|y=7jv%DgIv| zgTETUFDC6~UaAyMCSzVMER_#Gm=R(zaU6eRh?QN7`qZdNeMV?08pD>}( zOS&kzvD0a-8K7i6L5y7vzp}=zfH6%yZpN;P$F7D|lD;$8GjGJZCc4!wvAo^e(Ym5c5{17m&$s_uN=|WzE=~@z_SZ+3?N_7{AL3 z7Y5@uBG!&z@Ed~&83_kj>@KK-F}>R}O?Z^d*uC-CeK4YWcWNGf88GqbiqL(KbRWX7 zVD73yEWRhhHUj*hc{M(Q)u+TB0dg_8AKczvdjzG-*yG~I5Qu5YVo##|o`^lg^c|?# zXW%CR44a6IpQBcv$KRVDVmd9oVlOehAIZML^j#?T8q)_;?hU5zO1Za~UQD@naKVM4 z1`+f<{AD8>w9SX|K-71?913!@Zcl%HPd@i7rwQ}YF!p?HyF{t&U62QUpO>r1f6|RjU52*@IIYk~)6)uk=52-rZ%0sG-vGS0raETN$kgDUX zJfs>%dNoA*kkK%nE0rLlVWd_nK}N$Mt5kxFh7nV#1Q`uup;8Gl8U{9{5@a-tPf8`o zXc%vlN|4bofGCxa3&sT%CCq3T<&#Rt1!H+q3Atb-PAVZ6jIl{2|-l$OR)!iV|itj3G%S(Z?x!?zxsDxbb+e%bI zE+cF%n9=YHM|2Fi;HQeHgk12eLsUX8_yHkN!i4JZPzkxX5M?{n`qv4l9sDxbb z>mF1>F8GxWDj^pP=tL#tf}hQx5^}-MU{DFU;5RO)gk10g7F0qm_;m^@As77ageYM~ z!;eZ(3Ax}GBdCO2@LLd6LN55t2Pz>K{DcFQkPCjFfl9~)Ke9k2(3QsV^E+VI!G}!?gC<6Wx)?8WUDOr;goEZ1wWjWt+L>U z)3Q|-{BUBn%7PzG%~o0P!^zny3w}5~TV=ry=VdBmPA|eK+A0fvI7wS&!4Ictt1S58 zL~WG?Kb)$qvfw8o9E9iXx=dgUMYZ%V-C#eMR;i-`j~^VV-C!9Ev$xT=d|UkhBkJ=jr17ro(*e5 zmAx^nrkccj-_^EuY`|7PTJXc&oA_QfiB5K#FmoXg)|nD`*gCensrrAnGfcAK%*Qxo zo0#%VZhkzsb8bOrBex3}yI$;a^H68G-Eup1QCRMF@VK=cz99*>ugx_O3UiVOa@eW7 z749KtCRbJ9);SnaxV5jD)z(l6AFZaEE@iNXvVH+XhQzW}nNJ;`V}Ibf1)dU=LU7<_ zvE>H8bseW&WK-)9mCqx~E~pdVnJ#H;Y=YZp*u(~c`S4ROeTAGbp{jE^w3sYytOqW* z8w(!miW;D=^i?z^2mTBts@$Y>!M)h96R->Jy%s6#wH7JttrjWlr4}jdofauW)Or$fdbe5IQw$eFPI@e0)S!ubIR#@qLD_vlv3$1jKl`gi@C04rBO82$W z{j793>veIfRg>Yh`9}rATq|2-n0*Q$-gb@=d1Q8SIN-M3m(grJS zw9+OkU1g=Kt+d%nTdcIzO53b-jg_vo(sfq4-bxR&(u1sYgOwg^rH5GQp;mgBl^$-T zM_B2RR(h0`LO3wdF9-%E(qpX@0)dG#gaH#N1OXH2iB@`&m7Z*+r&#H!R(hJ1o^GXQ zSm~KodX|-*ZKdZ}>A6;Vo|T?&r59M~g;sizm0oP6msshgR(hG0UT&pVSm~8kdX<$z zI4{vJ2<9cyYpoOld5JQF@e(Nn@e=8cR(g|_-fX3}Sm~`+dYhHrZl!lv>77=((MmU2 zX}gtfw$d$DdY6^nZKd~E>AhBZpOxNkr4Lx?Rx5qbN*}V)hpqGxD}``eqF)em;O5d~6_pS5;EB(+)KeEz~t@IO2)!&pD?wwS$-0h)v2f+-C`>%)I zt^BMgWkUwHE5FSg`j`?KdfOe!pM$&nJ)~XL+tpaR+G*yH!9&~Cf`vnG19?4{!~a}G z{Zr{;DqoxG_PIMig#pno6=hy-Px#*lfFsH-Y8SOD)aELE)o$u`Fx5h1%I9i#(C!8K zh^l<9*aoSbRsjJ#E6H*I2qz0c{-WI7{Wu$ftPpVHQq!#)}^>s+~ zOGj$w@T4-b)FL0LLWflUbfgA^Cp9n=OZiC+a!3tMM`}oTQoCkCYN^jsyE&wWr6UD9 z#H^EVn~q+b390>kq((TTMy4Y*Dm z!Q;%Z!?#x^q$+)+_I5~>q$34CbB850ITKPWxjnGUI0=}5uGgRrFLW{1O&YH=o( zTIRFV5{J~%bfjQENLW()XF_VZk5s}TbwD~&$?&AAG9gvxBUSB?s!2zxHaw~2*^{bs zNUcamYGrs*8ChzD&rL`cQ(dkGX6Q0y@nUFfzN9uTo)CuWG!4|%-mO42TQiu3Ro#K!>H61C~ zLl~CS8JUne)JN(}htyf=NWuQau%yn-gw$a^Qs+6O&QC`Q_Qr=Lbx|gyj_{GX*dcXE zI#RGXKP;)sGa+@PkJJ?osVmcwg0}<0lDZ}nQb+knUF(p#E*&Y@$QqW^jhT=-+DGap zht$pKNWq@ku%vFwgw!!UQnx##?np-p-lhmkYEvepj`fjhcSvncM+)B92utejOh_H) zBXy5M>fUsu;FXcEq#nqG)bT!2TOCplrX%%Gcv6pKLh1w`sYe}BkEJ68FU^Ft)RUQz zI?+e!DTmb4=}5s_J7GyZmkFtpe59UtNWGAb6zqKuOX}rJNS*8>^@>C4)pVp_8-7?) zZ)8I16d$QK9a3+lBLy!`g(dZFCZtaFk$TS|^?o{1@D5j4QW-s7Jk3YyLxODDV_P8=_(GICG=}5tQ=wV4^v@hXiA1P;Ff|hPy0=@_zmQ+SJ4!8J7Ir|c{ zbo&yt@cR<9{{g8<&hSl6H+&EYAf%<#j8>kv`YbioAvG->DF{jsmQ+R?aBlOFDs@Qh zlaADk(4@4CHcM>uk#aUmXz4afV3dZimda@Ro1fGi$5M0CS!!N*QUf!Yr~IVK9a0tP zNX-vTN?VW#sZGA&Tj-Ell#bNm(4;ck=jkWq?BLMS?cl(O9wB{7+bX1xG-RmReY?jc{ZI-}jKVeB_v{~XlA1Pm2ETN^_EP(-+!jj5pv&2>(DQB~UmTt2I273xiDx)WP z5Bf-*!pnXSt294ymitk-8={smy%pDIY0kTZxu#TM5QT3+Yo@ zMi2L&_K|Y7m1yaRyM`ed$Qu|BsS-z#+9Y9jOPylX^H4OFiea)FTe5N7Ipd>>nld zxI^lRbflgPPwMGREcLw4QqMS~o=r#Uxqpa%~8)aMSVFVd0vGBl~o7J0AwEcKN`>g#l*AZl#LvrotRnPDkpO@T7jrhfn)&CZyi>k^0ji^;bGl5UBW{Bc&@gDP2uN3S%aRB&FwMVySn$meL~* zDI*;z2$CC?R4fxx-}^|#9a6dJNI^{Au%z-bA@!4wRA+}&emYVG;YoGPgw&rtQr#R< z+odDbJv^x%8IV$SAF1sfQal|gh;$ov_?r59#msXQO4{tl@D=}1Ay;gFWf=-Q`t_K_OskQ$VZ6huD`NlMS;>{Ii7 zq=q=8hNdG0ftbUR8kWiM`AH3TNEM|cRUDqw$V^BT_$)QbAvHQ3DG12?|J72xeWb=Z zq{gKq1+k&SlFDeF+R;bK**~SH+dqY2s>71XXhz@3M`};UQhTMd6hzDpODdzYuaA#Z zi9>2)$D~w@$8V7u58=EppqDYY=N7&6Cdg@?FksUJcz2S}_t7zmBFO4fAP$*o1^mBpSQMqZc@)h-4cjFsRt+;I;g-Kw`)ki(#N*uTi&E&73SL`DK+b_(yQ zuK^!BNZ*jc3w-QwIZ7(8gJH-VUIzJkfwX=Kw7D z!$lpCzD$mpY8K%T!YmhiNrP+X7mF4y*ROy;i5O;a4umw!fiya}XRlqi=r_ol7)&zG zgb~#-WG7las$ zPSzZ0z|y0#G_^y+q(?tsSbgujiVOLysaTMf1< z@R?%}&l?O4@*E!F#uoh%d9%|=(y?fseh&l%MvLa@_v(*|X7lv>uvt-eKjd(n^7IEF z7ZJIwkTV1+Zh(5eelPsp2Y>g&-vjWsRS#y*RcUKb6kHOa@gOv{sQE3EMIz7G+vMcD)}Is4`TTs zfd2HVyl-#FNrdX#oBCUF2YOEqn(9Dy&!SGxqSQ0nfjs?yKD@7gka98(8QOb`{%P0- z@x-H(@hZ!dbXwXc|Gk`ZD9it# z|0v<}iySe)M=6n9i8t!Mg-~{{#L8reMKsQ>x6Vh-M% zqhc1xP<&c=LYSMfAf+zlTjz+1pBPUC%!v?SbnwQU$o~;AmJ^o%G6L)jfb9PWn4eQ% zxvsmS8nQ|M?RMyBh}AI!*mVM(=X9~A7!h?>&FPxc%{mQT=$@POe}{*5SIGpal*vXn zr+cW;Rc1<+w-2X0ORBs>IOW+=@;s?>zi`UsQsu&M$`w-O z0pXPAOO*$PQ(hod9vn`2p;UQjIORoB<=w(5FP16~52w6Ds$3jSd8t%+WH{x0rOKnj zDeos$9ve=1f2s2LaLVxgKx-CuFS{Fab`Pg~fK++UaLP%k^4{T;E2YX4!zouul_!T& zu9hlK4X0cqRh}MBxmK#YPdMdeQsuI6%FCt7v%)FYNtNe>Q(hreo)=DerBt~hoN~QX zc|kbk2C4F*aLSERio21J7hErZ8Ro*|G@@lE_0pXOJrOK7zlv||A)!~#|rOLJ8 zl-s1r%fl(Jkt(kUr@U6GTpvz(om9Coobr09@~Uvk2TGNj!zmvmRc;NZyg{nGCY38#Fv zRQbAa%I8RxZwRM+u2lJ^aLVUNm2U~Be7;oqws6W9NR{shr+lGQd1E-`i=@i!;gm0y zDsKs=e2G-~?r_SNN|o;or+k@I`TlUqmrIqmhEu*ms{Bwm!r#sgj2pjs{B$oIOUtA z%5Q{IzD271RygHbrONMwQ@%~A{9ZWa+oj4Mgj2pls{Bznw;glbcD*rc}@>Z$xU*VJ=lqpA)aLNx!m9=on4@;GE!YMx@RW`yYKPpv@ zhEsk_svHle{J2z^g;Rb)s+<>2`AMmAemLc)q{>~wDL*Y$?iNn@8L4vjaLUh0mA4P4 z{G3#Ihj7ZzOO<<6zbjQ98&3H> zsq*-6%I`~+cMqrhfmC_VaLOM_mG=&({E<|7VmRfGrOK1TDSskWo*GIyGEKUVDW`kp z`$TB%(pDZ9}$|3t@0Xa(!S(pXnY&qnB zEFkB|AqQpwIadxjI19*ma>$`sK$goPcgq5@LJm1R3&{C$$l@#@7sw$;W&ycS4mmmt z$VGCIpp41K<+1poR|gV{&L94SwJS_kW;gO zJU|XPJqyUB9CDv5AS>mNWm!O0$suQD0a-1FoRbA)jT~}b7Lc`a$cii=m&qX)WC6Kc z4!I}`$T~UXk}M!s$RYR50&=Asa{nwK>*bIKWC7VAhpfy3vQZ9Modsl*9I`eG$W?O4 zVE#XA zK(3cV9+(B>fpW+VSwJ2nhdd+;$PIGH!?J)pSPpqa7LbR?A&<%e@=!VCF{k3$kVcbJX#KUMi!9A$RW?l0`gcn&cFfIL|ac|{hGr^q3%$^!CKIpj52K%ORt zye$3WfV@Nw`A8O!m&zd@%L4K; zIph;rKwd6~d@2jbE98*RWC3}l9P+s=Ag_``zK{jv)pE#}vVgos4*5zJkk`r~U&{jW zIyvMUSwLPdhkPpw$Q$I4?_>daqa5q zkXz)Czh?n?mmKnsEFkZeL;g1l$b00Fe`NuAuM{$(WC3}f98${y@_spFP8N_4$RUj^ zAh*gPqgg;cD2I$^0r`*|l4Sw;upBZk3&=<0koj3aJ}QUok_F^ra>#C3Kt3*q?4AYW z6LQGyvw(b34!J`XkWa}Wdu0Lnv>bBBEFhneL-xr6@>w}#zbqi1lS39}0r|WfazGZ4 zFUTPWW&!!49CC0LkT1z0hh_o!vK(@^EFfQzLk`aZ@>MxxaTbuT$stE(0r|Qdau zZ^$9XW&!!89CCaXkZ;K$ch3UyZ8_wgSwOxchuk|0$am$C6SIJPPYyXb3&{87kW({< zR0c*qNDago`6w7Nc)IvnL@?g);K;`k<&==k`$(0CMn01$e-UhXhE#dC$d?l3uY<~E zQsv>1ZzRg!1(jz?m5U?aOO$^MD$kNCkBs~zQT{ooJX@+fI`WG|IVHOD9I5iy$nO&6 zKY}fnOO?k*?5NJ3Xq^!e%Gn#?xI(JDyHxq_V9WER%6k|}Ds4jxDld>K?!2b=7=DJSwjYqC>^O+p}VY;tF8vfHK{ z_P=Q|pBvjbb6p={R`}S%Jw}hkn+=Yms(J#M?*r01IFp-4x)(_I4oc4e=^dTf%p=_g zr27V?%RsuHGktla3qiVnP%Z15e%%ywh$W}{;87Gn|o?FWB}!GrHHk}v|5?MCfZBe^N^5y-8)+c;QJ?l6wH z$2e+nSL5jXee%0*HjZmIPTFdm0@Z{hil?<3XKgilY>IqJdguG}W^6;R*QUr9q<4u= zuWTE7eKtkDCcP_tdNa47SGXzi9qC=?)0?#oy@8t|Kaw7NaLQws+4;TG+GXgb$j_vA zhfi-#{`P70hHZ-c21C!T*HQw|APU;A--FS;gyyKIf+OhlxKEc9{1wZx)R&^}+x$T1U z@(V!9_PlQg1GDejji1_$-#k#|`8_&@`omHAv)$C&P1C1X+@Ycww-wD!K9$OjRq{7Q z{s4+*7x>%m4s(Z`cC&Z8*~cIMo}XXTG4KE{#NP-pu-zOqYgZg@ zbI7jFaOaNC;W^HLzwY#4H%~(g^2c`AknzDLL$l$>M|eauFq}s`Eg0O8v@pWc!oqE| zkTduWbF9^e@g_G#BjdU0X(Y;{-bO@s$H#a~i0;l~9?>|D3sJN4R&!6M$0Z&Ad8s*kF=6v4C(`FvevrQoS1wilEK>O!+lL2++odwWB-q{0`&-01= zV!_BPzs9zt{l@8J>Mo^S7p+KpA^?<8xC zb1o3-Irkv!z<2NwXYFRA4OElQWk5Z7PXV-w_w)eu;=PDUGmQqG$m4tS-a@pM_x6bH z$ai!_?Z#?lzBXAnNBGv8SN9mRL{02T5=0y<`^c}%-`Je&h!-1_tWLi$AB-y=PM z44Um8sEi(Fpv-QwbyQ*X*W5D4-yJz^Fbbk!5rogJk_De zlR?^UbwxhhD>&!)Id-c&6er^~Ks^|IZ(&~9~CPP6vK+&gXW#&;8~ z8h>v!{ubA%OYDYM<`0oIJd6($>@VZPJnV<_;e_)#jT2ogtt+36ST9x+Dd&9=FA|7X z@FEXlF)t>2z|=RR-MpsVyvdnlXHnV4ZO9+tVS1|&GjFpU#+?RN`8*Hi9lEBQw}XwG z$!WE3a=OD2x)X$)xu@AT_qal^elj<=n_I?@;3Gtz?&c#reHzI}x>mB;x8(Qnu)oJ? z@P5d_+5Uhn*Xla~!4WL>50Tu%wp^P}&c%C-*7)RHyr)R+X(FNrz8*2<7Be1^VV?0=6`B=jG&VzM` z59@me>qi@F93LmJ{>8_6u*UQ8slIfm@6zIq!LNWNCj1F}g24KnPw-&v&UYtXf4IB~ zl*4?){^Jn)(U=K` z*xr0^3D}W7S98H4HdqNS5nv`S@qkU_6D43rgjGx3O39wFlk_T)u zpDe(lzHT1vwOKUZwpkQc=3-))!lwwZZhVRdY$~5Bz~FYtW3yv?HgiTZ+QSB$#-|A| z&Zl|6rt|57ad=S`7K2v}VQuhe)9Su_Ur86v^bNZUHr)o>kMAeI_Tl?^!1m|+OTfV#Ja>DHtx| zNe{zH4o~s$5zpV=Y32go1PD(Q0V28tB&b#Umb5^*Ft`|_wyJoQXlq|yl$7okoM;_9;8}cOLn#H+J$p0eUXv!A!BVkFIkdukuZF4&-XST0kDiS9>6vd2@%58yv{1eo9wr8tT&s<@3DF~Xn@4mFU*n28tzF|iA;dEp;Q(v-S^;qsU+aNb$JYr6-!rA? zF%HeHK;nbz061yLn@(`RuFKE&fZ_eKV0I8cNPwNh5AuL* z;2Q*(xC}X9r#N8O`>r9*I33In7GS6GgFRq}@Iz9&2}2(}t37(219^jQrgI<<<%bH$ z3;3ZP$iw(y)Y*%+MlW*sUFv|{=mm>jVR!a$ez*X;iXZL)JAxnK>nvPqu5plVmYn)< z5+2Eq6iCm3T8Q4nkM@Wj!;f)Aoz`xVyU(%wSOIYhKh^_r z96v6=+cVAInlep_;Xa-pFOY8I$9s@Y;3o(qGw|%LK)KC#rG-&}>udCO$;@|WaOR^6 z@rnFI(cYc>L{EDs@sp@MoR&RLX;0=S3+YY#WRLVI{1m&N20U!0Tbon)sX}x!Kh-08 z8b8eiw*A8NJf$sAHi{`w{&SOfOzRBBMz0f@)OWZ+IGvv^TE2^)?rHf9euhmFPd_4g zCO=b%-owxIh@QpIvPH2!*3G2n;dz0wDIb0}gwd7$Cia2DaFcKKfMd}MuGGxztMwq6Tc~l^tt;pP=T^lJi?WqyU{P4Hn#>RXlnCjezR!vD}J-5 z&0F{_zBa|h-R$ImJt!ZZTluX5>>GZo2kbU}n-7e+Klv0W4+Uw#OcwoCGT}ewyHvqA z(v){Qzg;x>J-^-4;c=txA?$(27M~%TZD8Mze^zfo8RR@ zx|`oEki=sqaj}I9&OQ7dA^IPFk4N-gey=O)7~yH3Bj74e7~wvCpMdz2-{*n2pWjaq zcu!}y_5gnXMPn*|z$3bq!>tqC{zZMaf6w@?kWOn4@&^Tk&L8wZJj5Ro5cd2c?!_MF z4-3%pX5&ph+O`p2jVIIluHa_NP{8V|7zbGKO@)tc2FY%WIgwK*M z^OuF_cKl_J=qvmcSJY|kMW2^AmVA}JDj<6BS3MA~@z-1kyKk@a*M%tOuX{w_;BUC1 zPHQg(FU7H*?Xh0t-{fx!h#mQx9*DR2TP_5g0lqW$)u5FSX(!24_?qvegAP)YZ}YcB zlYRNyo+jVn?}#Q-r^45RK0q+<@^=Ny&iq{u%zOMjA56kAz#BowC7Ad5`vRsvf8PW1 z0sp{f05K2720CDG27kmOun+l%0&Fn<&;#}n|A;tQPg_`fY^a0umV8`3<{t~B-T22I zq)+%K0x33Jn44}@Kjoha(PI9oNAxrPnJenF_O@@XfSHMSf6hM_5F`2L9*8ga7X&ey z2A7O5&H;NT2nK!<+XLK%1l^xK-t*oq#ptnR3?E98;4}yEL*G>opVk55K91l_NAM$` zYgvM`Y(eY!iTkkQW8c_Y;?R4Gz&r={6W_|v5}fY{E^q`tl`+MwgVd!Zj^Jm$g@c8- zpCh=xBlx**It_SKGH zvxE4JOt8%nT;m9SD-&Gr2p;GNekT*$0D|Ir`6d5SIPf9-OOFG8#lLb-JO}A}--zH( z5a48o!<;If1bofE7Dz|(uRTcL@Nei;I2uj`2kEE$T=(`Sb`1Qw5<9LvcEb6_r?8)M z@ZGU9yc-Y;lwZ=^V&jg^QRBZQy>t9}zjnO;Q0xR9HU2x&yTGsa+cx#SC%w!3dcSW& zZ_@Z5`1kFxE8An&2;+Gkul(Vg&F-8>#!}27=b( zFnOf&ml!uLF>d+e1C(+yg3j~(PW##XlhJ$J8&~8VmNy67-&_g?uK;s<%P$#YzUM_U zxoiKD{gNx0ZT3rwWG>q;=aY;{`J-dZf!vekC9}glQnSZltL;B8G43pX#eT(gp0Dj! z6zBQP{+sJO@{fd-FO>v~#~7c=?dPifs;i%G>{k{2T(e*E>gSK|;RIigS(Mk$b^CQ! zKYQ%g75)5f|J|b>uLULec}%msu5Q?GxVqYFzoF>rrv2t05n>*?zGcd>=eQ2tZ_jZb z>bJhUK-QMutGL8?e2MX-EZiTZw+ex-8I=Fe9*k$!7#F!OH&FQkz{sBj$GOjWcaHs5{s5j; z2)gCYgYxVA7w^u0QFb1D%bf@3*ZD>7&MzuEx7~8*HqXwdYc}O3v% z*jKq@w<~{YVqBfu`8w~;*C{&>yXDTq^6Pwucjr5lornL$t=jX-!05<}zrJ#5gFBW8k1M~Fw+69%L@W`}wS2DdBah{yh0*@867!aWyU$4F-o|gmxpq`@HDxGsd&e1@xM5PPm~V$tfNb&xq$Gr}klOO>J$ole7yF zqEov~dq{g!a_Y+H9@RaDcB*cPZW-Ehx*SO(Ii>p~Sp3sd8YB%tyHVOB?L+&EUZdAZ zPJO06OJ4lxlj|R8ex8$!s+TNlu?dJ{x>COHK<} ztQP#)Qr*(n(hTir%S_80w8t%%EmtL{Z-#GWU!2#sukSG5chPS3J?MKxa^gem$$n{Q zTlsbO>xp)u-+I4IlGC63t^VlGzlMJk|K@1N_|NvAhxSMR-~6vjPV0Tv$E?V~+Rr+| zIuh-6>tX9L$r%tHkQz`5?eKs}0aMW)3OFBdL2?GB1U?j40qwTHLxIRQs9aFhpc-gj z3wkeT6xw+~p9QUzoWU`{WrFWR+djBYa6hzbf_Db*mYg<=Ey@;)wwW#4)&=cC+j<+W z({{*q-iH1|VnZ@Q%A)NM(l?|(+7%&NL%x!np$2>!Jp%2sq3uFDqMZ@CEOaH>3wF^i zNltsDJ>6agZ8Lke9sSy8*;m+COU|&cu#~WLw9c@GVU5ss4jT~m2HLY>H^T+V86Fy* z6rPH9X!wNi$!M2{ZwcQnIU~wMJQ{%+6457OXv7G#TOtlb9G0Asp^-_EI6m_E$ZnB6 z(0&}bCUPCxt5K#Xi{y-|991`}0ouV)A4N?>yC>>o)M?2XT`js%bW^mWqi05=o-yW_ zh?r=!bz)k?v_d;JW^T-Uw5MXO#bAELX2xd4V*bT8iR~EM3GKw#PhuCN-5Pr^7WH=c zIbs}fXv;gQIclPP#WBP&9PJFpG6!;ToOb-~$dR0Jwzv{;$!HtKwTWwwc0k<7xY1}g z#eEmIAMJ&BF&_EFmyLfs{t2|r;RwM19IA4- zcl*4U!6#gczcM{=mxrtz_PsbahJG$@=;iOh(3Qi#SLc@}JXNNL?okBF81CgYU^ZNH z&4w&`mfR}pdDLK3ku=E8K%irZNI zLKL+!?!tE6ipZZXWRWXlFO1i&i2j*E7`-z7!g~Gg611mAg|r09G8E=9xJ!{mO$u=- zl;tSw<4}~OSs^cpvMfbmEbh{LK+hF{(kRPQRK|l6<+y&V)q+|UkrK&e%6-qj616Hq zZ&qNNtK>>E!a|F1;*jS479?InJuop$L!DbF5kP zQV}1k=Xk49r(!T(?=g2NCS&#-w}ZMBqjAe)=UZ)Y8@Ky5T%KMoW+j))F5jYi7Q^v- zXVANtX5gJepJJSYXBJiI)ncB7XC7J9U(Lh)>w@x676z!9xH9paD-If{=Hkvp&W2xR zsk!9-$}MNYUy7GuZ>m$qW6H?WM&4@PR`dCvd^|IHf!Q!~4z%;em7+gCID|BF*zsP0$r9q4^^s@?Kblc$@!Oh>EP{jKce z{CK&l+1>hb&1cz;QK#PDo_g~1%U!-%G*->{61Klf3QiP-|77YbDoh(ZRmhn!$Mg@&pJLrhtxV2+B$mI@`zf?LS0MGdS=lvwVs8( zo}M+WL_erCEfO{LtgC}gsC6web(L$&-)gFLEqvc-4X#4_maK>%Xg7iO#4?xJWKxFlySr=23s$* zmUF;+9{jG(gJL@mJmx z&8QlUrs}kVY6u3ZDO99d!proeFrVsZw$oE$Hq{k}Q$2Av)z@ZH1MNt9T33>u)peo9 zy0O$mcbu9^Db!5Lrst$p)Iy(3&+9u=EBz6A!H`6)4V~yk!!c@Oe45%CcTzi39JM#~ zqL)mQDciJ}I+@N=XLC8~Vs1`d&2LdR^8)H_-b*k02-L$TiF*3frd~chsJG8Vdd24~ z^|6$rzLwYNRm(2w?;B08`L?40zRT!!KZyqVJw_tzH)xRmZhFTW zOM|T)Xoz(M4Gl2Ruz-3rBA^ew8?c4m3k;=^fvxHNz~MA1a5;?$($j}QrD<$XPZ}3= zjwS?$(8S=DG%5H~nrze36k7wDYMVvVLPBYJ$n!KK(K1b@iaH|6wR|Y zppWenX}b*qjp#~CBi7QgNIzO0*@#v|PNS8PKhvrx{AVMg z2GD0w+i6Yoqx5<7P+A+kmo~;|Xj9A+v^nNo`Xc5CeHoifTVhAkR)<8}99?L;;~0Gv z_ayCzTTMITQ)yTH82Tpu2l_U_LVFVG(sv0T(%yuVv@bD(_9srD1BqwpPzf6yEm47v zm1s@hml#DqlvqQ@OPrz;B?IWkk`L0!k}c^}$-(qf$t85U(xv1wbUFD&x{^GReoNj<*HVbCr<9=IQ)<(Vl(BR(Wt~7NKM6vrRnVk9 zD2S;81#RkFL6>?^(5GnyLt2VpOsglD(k2Mzv`vB~-B0jMZy@-kPZIn~1qjwsErq~R zp9?{yqlDnny#!n7{er#B143AtkwSQx3qnLjEg>>vvJjPVMTpLfK1i`99h^?P1Wtl8XeYqw z;q=<0a0WP&b_<*l&Zv6~&IIS9D+OnUGwYVY`M~+=Cc|0aERqJ!7tUXI2F?%8PjbTf z!v#nga8@|0Gz2aHE=YPAE)XtI+6NZ|XOq^$1;YjFb#OMgQ0Y8e2waH13S1~$n7$00 z9nP*F1{Vewq3;P74i~Op0T%%mrJo8H2^Xoq0T%@qqyG^u8ZO%KI9v>z!%!M77B1E> z6wUz`Z|DIR2N!2p1s4yOXqXO{0GD7ua;8MMl7>@oCE!XJOT(3fOE!kVCBY>byTT>I zr5c;UrNE^ar@^Jdr5lIArNN~c&%vd`l{S6{R|>9_sUloyxC~P=Tp74B<{-EXxcf{u z;WFVeeKy102Y0{ECvauq%3Aoi`{5q&;p6@T_a93$xCh|MTdKm9gDYp516LmIAIHzArxGHc}{5HWk;i~#AfO`V&34b0(Rk-SYJdSE` z)%=^oRfntTUk$DXTn+zua5dqc^dAjZ3$B*`b+{+t>i8drs|{D%%5$;~TwN>A$*16+ zvW|qS3s>LT7p@*$J?k;J`fyKMx571mYYM}PN5Q=a_hN8AxHfR@g4@Eig=-tU z8?GH(hv3z4?cv(nV&OW#y<{`Pb%g6^>jL)@Tqj!-xNNv=8`r24To)VHs54w=8;`dO zTsIq!w<}!Nkg{;y;9d@ihU*U3J)}R}%WyqI+QIdJ>k;x5Tu->(A*lD=oaKpn>;YPr{7jA=l7w+Bg$#Cz%y&pap zZY12u@a=H#!;KDK3O5RFR0QwEqv6Ix@Lv1@+y@aO;Ksm>jpz;cA>4-%hvCM;eH8Hp z+&H*#k$m2d;3h=!dB?+zkL&?A0d7)c3%H4J6C>BbO@f;eITvm++~g<=+!VNJkyqfR z!cC2805=V8MpPxZ>2TAdCc@2tn-w((ZYJE!sMBz>;O0bq12-FPc63v?IdJo$pMaYS zH#eI1p?Prgqj?|t81CbkXt?=spTrp97QiitX$AKQ+@hE#;TFOzjF}I&2yRKt7`Vl7 zi(`1dUjnx@hWGnV;XaM!`^Qqa<*|JKSO&K&wiDcPxRtSu;8wt`h+Pb~5^i#)Fm4)?jECfr)M^^OPN*1@fF42N3}x6#oHZUfu~ z2hZJ&aGM=GcQ?Upa^%2mhWpZS3hoQIFXEEnzJ%Kv7X-HjZcAKyxUF#8;~KzigWDE2 z8g4t>j=27CU%`D9w;yf?+^)C{a6934#`F5v1-Cnn*T>gzU&lWIw;S%;_)NHO;J%6H z_3!xP9>x;r7DqP2lU>2X`QWuWvux{)B9}18|2Do`pLIcQ9co z+#$Fl36tOs!yQiKd;Af&V+nkZKMHp=u@>AhxE~VB!F><+eInm0et5Z6-WAmpoo7XBP12eDWR%F9*#c{hZN>e9T%rSDR6vQp`F$ zSIpQ5C&5V>v*7e_y38;*1Dqk_CY%vYpVu180Ua5@k*htBTc#{7q&bvxNk6 zD89D}B)rx{t505Twh_(8L%bL@M}p+ z0TvL&qfJCx0$oSp`5H2sqtTk-P53f*##O~Y+$0PA3~@?AUBC`fN9iRgTk4Fjj(KjB zG}`S(=ee=cIJX;@=O#*%+-_2yn=H$8g(gL?zkn4kdGT@9PfVacyl0`F|tw8SZSg(O`0vuN8clj9~j4(V~LEr5c_2*N_R?-!gMDD zDP4C-kQ}-*f)uR#UXT)X=LM;Zlp#pv84oimN|gjDS$9E@EMg8(b@4}{8jPBZT8t+d zwHb97PciB;>M`mw8Ze$_G-N!(c$U$K(U{SM(Uj4Q(VX!dqXnZS<9S9a#tV$rj29Vg z7;PEt80{Gy7#$feF|rw*7@Zki7+o3N7~L5!GkP$3GAfB(iF)y)-i%imeHeWiuQK{E z`ZHc*3}C#@7|3{o@h0Of#@mcRjCUA=86y}&7(*Gu7{eLwGTvj1WW3K9#Td=_fH8*g zA!96K9OEO#c*X?AM8+h>WX2T6RK_&MbjA$EOvWt6Y{neMT*f@c$Bg-m1&mJ^3mJb(_=52zV+&&|V;f^T z<15Av#!kjA#@CG9jBgm<0%8{~=pO##cZ|J^eT@B#1B`==LyW_WBaEYrV~p<^KQOWw z59zKGJ)rxI#13Ld66=Uhk=RshM&dxRH;HeHgGlVfkNPu~iOY!|maq|3U{u7@C|!4o zC{=fY=ziTLqSE-@S8Of5h)s}tPS$--Vr4OlsH9{9bVo?*ueFjkP#Z+LDhwy%2}V^$ zHAZzt4Mt5yEyk0K+Kf7krxHc%Lzf zF`DrKV+`X%##qKU#z&0tj0ud1j7f~ij46z%jA@MNj2VoXj9HA?j5&;M` z7RFY_HpX_wSBxEuos3|uPz*vr_**v~k?ILJ7}I1EsR?mUSDnH^Y6)W-|Ycc9F8Za6$ znloB4?2NXI4vcI@7e)_8A4WgMK*k`(Fh&$(Bx4L?JYx!DCSx9BA!8|H6=N+UjjAncY2<7?7$f+GF`6IE=123z1td-sCy{tqJVN3L+;PNf Y;&nm1$sL^Gdefault(compile)\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Full\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/waleedbinehsan/Desktop/Quasar/build.sbt","range":{"$fields":["start","end"],"start":42,"end":43}},"type":"RangePosition"},"{\"organization\":\"edu.berkeley.cs\",\"name\":\"chisel-iotesters\",\"revision\":\"1.4.1+\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Binary\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/waleedbinehsan/Desktop/Quasar/build.sbt","range":{"$fields":["start","end"],"start":50,"end":52}},"type":"RangePosition"},"{\"organization\":\"edu.berkeley.cs\",\"name\":\"chiseltest\",\"revision\":\"0.2.1+\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Binary\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/waleedbinehsan/Desktop/Quasar/build.sbt","range":{"$fields":["start","end"],"start":50,"end":52}},"type":"RangePosition"}} \ No newline at end of file +{"{\"organization\":\"org.scala-lang\",\"name\":\"scala-library\",\"revision\":\"2.12.10\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Disabled\"}}":{"value":{"$fields":["path","startLine"],"path":"(sbt.Classpaths.jvmBaseSettings) Defaults.scala","startLine":2531},"type":"LinePosition"},"{\"organization\":\"org.scalamacros\",\"name\":\"paradise\",\"revision\":\"2.1.0\",\"configurations\":\"plugin->default(compile)\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Full\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/waleedbinehsan/Desktop/Quasar-master/build.sbt","range":{"$fields":["start","end"],"start":42,"end":43}},"type":"RangePosition"},"{\"organization\":\"edu.berkeley.cs\",\"name\":\"chisel-iotesters\",\"revision\":\"1.4.1+\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Binary\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/waleedbinehsan/Desktop/Quasar-master/build.sbt","range":{"$fields":["start","end"],"start":50,"end":52}},"type":"RangePosition"},"{\"organization\":\"edu.berkeley.cs\",\"name\":\"chiseltest\",\"revision\":\"0.2.1+\",\"isChanging\":false,\"isTransitive\":true,\"isForce\":false,\"explicitArtifacts\":[],\"inclusions\":[],\"exclusions\":[],\"extraAttributes\":{},\"crossVersion\":{\"type\":\"Binary\",\"prefix\":\"\",\"suffix\":\"\"}}":{"value":{"$fields":["path","range"],"path":"/home/waleedbinehsan/Desktop/Quasar-master/build.sbt","range":{"$fields":["start","end"],"start":50,"end":52}},"type":"RangePosition"}} \ No newline at end of file diff --git a/target/streams/compile/_global/_global/compileBinaryFileInputs/previous b/target/streams/compile/_global/_global/compileBinaryFileInputs/previous index 78694279..ead21954 100644 --- a/target/streams/compile/_global/_global/compileBinaryFileInputs/previous +++ b/target/streams/compile/_global/_global/compileBinaryFileInputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.immutable.Map[java.lang.String, scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]]",{"2.12.10":{"hashes":[],"lastModifiedTimes":[["/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-library.jar",1568150453000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar",1589510348000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar",1589510262000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar",1589510148000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar",1589509848000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar",1589509915000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar",1589510008000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar",1577893485000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar",1560177906000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar",1576251410000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar",1544681782000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar",1538040348000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar",1589510144000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar",1589510158000],["/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar",1568150359000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar",1512850018000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar",1562890310000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar",1585569703000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar",1588664866000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar",1567195024000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar",1433863301000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar",1341868082000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar",1560177704000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar",1554476959000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar",1372459476000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar",1534538933000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar",1549182974000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar",1582880959000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar",1588664788000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar",1554946238000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar",1368451282000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar",1540666280000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar",1547658114000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar",1588664857000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar",1588664856000],["/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar",1440588567000]]}}] \ No newline at end of file +["sbt.Task[scala.collection.immutable.Map[java.lang.String, scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]]",{"2.12.10":{"hashes":[],"lastModifiedTimes":[["/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar",1568150453000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar",1589510348000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar",1589510262000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar",1589510148000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar",1589509848000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar",1589509915000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar",1589510008000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar",1577893485000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar",1560177906000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar",1576251410000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar",1544681782000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar",1538040348000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar",1589510144000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar",1589510158000],["/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar",1568150359000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar",1512850018000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar",1562890310000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar",1585569703000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar",1588664866000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar",1567195024000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar",1433863301000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar",1341868082000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar",1560177704000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar",1554476959000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar",1372459476000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar",1534538933000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar",1549182974000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar",1582880959000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar",1588664788000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar",1554946238000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar",1368451282000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar",1540666280000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar",1547658114000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar",1588664857000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar",1588664856000],["/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar",1440588567000]]}}] \ No newline at end of file diff --git a/target/streams/compile/_global/_global/compileOutputs/previous b/target/streams/compile/_global/_global/compileOutputs/previous index cd36b640..bba9b0bf 100644 --- a/target/streams/compile/_global/_global/compileOutputs/previous +++ b/target/streams/compile/_global/_global/compileOutputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dma_mem_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_bp_ctl$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dma_dccm_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dbg_ib.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvdffe$.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_ecc.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_ifc.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem/Mem_bundle.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_lsc_ctl$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dbg_dctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_decode_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/csr_tlu.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_stbuf$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/state_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/aln_ib.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/tlu_busbuff.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/pic_ctrl$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/rets_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/QUASAR_Wrp$delayedInit$body.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_mem_ctrl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_dccm_ctl$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_tlu_csr_pkt.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_decode_ctl$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_trigger.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/trigger_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ifu_dma.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper_module$$anon$2.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_compress_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_div.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dctl_busbuff.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/quasar_wrapper$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/pic_ctrl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_dec.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/dbg$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_dbg.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/CSRs.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_dma.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/trace_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu_alu_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_alu.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_ib_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_aln_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/QUASAR_Wrp$.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_dma.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/trap_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvclkhdr.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_clkdomain.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/param.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/exu_bp.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_gpr_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/tlu_dma.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvsyncss$.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ahb_out.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_pic.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dctl_dma.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ifu_dec.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dccm_ext_in_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_stbuf.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/predict_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode_64$$anon$3.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/read_addr.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/quasar_bundle$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ib_exu.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_bp_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$gated_latch.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_trigger.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dest_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dma_ctrl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvclkhdr$.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_bp.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_trigger$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode_64.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1$$anon$2.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu_alu_ctl$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_dec_ctl$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_bus_buffer.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ic_mem.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/inst_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu_mul_ctl$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_dec_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_pic.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_tlu_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/exu_ifu.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_exu.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/inst_pkt_t$.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/axi_channels.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ahb_channel.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/dbg.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/sb_state_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ic_tag_ext_in_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/CSR_IO.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/br_tlu_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/gpr_exu.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/dbg_dma.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem/quasar$.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_decode_csr_read.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_exu.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dma_ifc.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/iccm_mem.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_tlu.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem/blackbox_mem.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_ecc$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_aln_ctl$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_ifc_ctl$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/quasar_bundle.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dma_ctrl$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper_module.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_timer_ctl_IO.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem/mem_lsu.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/quasar_wrapper.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu_div_ctl$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_aln.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_gpr_ctl_IO.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/CSR_VAL.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ccm_ext_in_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_compress_ctl$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu_div_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_timer_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem/quasar$mem.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/alu_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu_mul_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/axi4_to_ahb.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/div_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/read_data.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/mul_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/axi4_to_ahb_IO.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/Config.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_addrcheck$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/aln_dec.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_ib_ctl_IO.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/write_addr.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode$$anon$2.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_bus_intf$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/axi_channels$.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem/quasar.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ic_data_ext_in_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_mem_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_error_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$gated_latch$$anon$4.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/quasar.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/write_resp.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_trigger$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/br_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_decode_csr_read_IO.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_bus_intf.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/class_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/sb_state_t$.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/decode_exu.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_bus_buffer$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_addrcheck.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/cache_debug_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/tlu_exu.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/load_cam_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_IO.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dma_lsc_ctl.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvclkhdr$$anon$5.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ahb_out_dma.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/state_t$.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_clkdomain$$anon$1.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/write_data.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/reg_pkt_t.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/QUASAR_Wrp.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ahb_in.class","/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/mem_ctl_io.class","/home/waleedbinehsan/Desktop/Quasar/target/streams/compile/compileOutputs/_global/streams/inc_compile_2.12.zip"]] \ No newline at end of file +["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dma$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_ifc_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/read_data.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_tlu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_tlu_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dma_lsc_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/inst_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/pic_gen.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/tlu_busbuff.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_aln_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode$$anon$2.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/div_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_addrcheck$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_mem_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_dec.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/state_t$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/quasar_wrapper.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_trigger.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_pic.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/predict_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_ib_ctl_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvsyncss$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_timer_ctl_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/reg_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_bp_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_ifc.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/pic_ctrl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/mem/mem_lsu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_bp_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_timer_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_main$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1$$anon$2.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dma$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_alu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/mem/quasar$mem.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dccm_ext_in_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_main$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/sb_state_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/quasar_bundle.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dma_ctrl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvclkhdr.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/cache_debug_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/pic_gen$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/axi_channels.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/exu_ifu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ic_mem.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/CSRs.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_decode_csr_read.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/dbg_main$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ccm_ext_in_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/dbg$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/gpr_exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_div_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_main$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/inst_pkt_t$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dctl_busbuff.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/CSR_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_trigger$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/state_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_mem_ctrl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dma_mem_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_alu_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/axi_channels$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_alu_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_main.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/mul_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/alu_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/read_addr.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/dbg_main.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/class_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_main$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_main.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ahb_channel.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dbg_ib.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/axi4.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/quasar_bundle$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_error_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_decode_csr_read_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/exu_bp.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/csr_tlu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper_module.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/QUASAR.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/mem/Mem_bundle.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ib_exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_mul_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dma_ifc.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/quasar_wrapper$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dma_ctrl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/axi4_to_ahb.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ic_data_ext_in_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_trigger.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode_64$$anon$3.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/br_tlu_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_decode_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_dec_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_bp.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/dbg_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_addrcheck.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/pic_ctrl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dctl_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/dbg.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_decode_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_div.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/iccm_mem.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/aln_dec.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_main$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_aln_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/mem/quasar$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/tlu_exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_main.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/axi4$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dbg_dctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode_64.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/aln_ib.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ahb_out_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/lsu_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/quasar.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_compress_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_bus_buffer$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/QUASAR$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/load_cam_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_gpr_ctl_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/param.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_mul_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper_module$$anon$2.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/tlu_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_bus_intf$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/trap_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_pic.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/rets_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_dbg.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/axi4_to_ahb_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/br_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/dbg_main$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_stbuf.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$gated_latch.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ifu_dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/trigger_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$gated_latch$$anon$4.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_clkdomain.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/write_data.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_aln.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/decode_exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_compress_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/QUASAR_Wrp$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_bus_buffer.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/write_resp.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/mem/quasar.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_ib_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_IO.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ahb_out.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_exu.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ahb_in.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvclkhdr$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dma.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ifu_dec.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dma_dccm_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/axi4_to_ahb$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_main$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/write_addr.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/QUASAR$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_dec_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvclkhdr$$anon$5.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_main$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/ifu_main$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/QUASAR_Wrp.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_main.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/exu/exu_div_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_stbuf$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_trigger$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dbg/sb_state_t$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dest_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/trace_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/ic_tag_ext_in_pkt_t.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_lsc_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/mem/blackbox_mem.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/CSR_VAL.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_ecc.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_clkdomain$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_ecc$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/QUASAR_Wrp$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/axi4$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lib/lib$rvdffe$.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/dec/dec_gpr_ctl.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/ifu/mem_ctl_io.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_bus_intf.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/include/dec_tlu_csr_pkt.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/pic_gen$delayedInit$body.class","/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/lsu/lsu_dccm_ctl$$anon$1.class","/home/abdulhameed.akram/Videos/Quasar/target/streams/compile/compileOutputs/_global/streams/inc_compile_2.12.zip"]] \ No newline at end of file diff --git a/target/streams/compile/_global/_global/compileSourceFileInputs/previous b/target/streams/compile/_global/_global/compileSourceFileInputs/previous index 63ddbeb1..9e5dd11d 100644 --- a/target/streams/compile/_global/_global/compileSourceFileInputs/previous +++ b/target/streams/compile/_global/_global/compileSourceFileInputs/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.immutable.Map[java.lang.String, scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]]",{"2.12.10":{"hashes":[["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/mem.scala","e9418660ac1519eea2058bbd87d585d5d47343f0"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/quasar.scala","cda24ad15d9de2a10ff5535fa4e6b0acd1245a96"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/dma_ctrl.scala","317c73a6f39d63e30b592e7261455062c6f31a0c"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/quasar_wrapper.scala","7de89776d7736202c5586c747a3886f28722a7fe"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/pic_ctrl.scala","23d46aa6c2bb3ccea125006655c103c982f1b9b1"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_ifc_ctl.scala","58feaf508d092ed1910a9d0dfcf34bdd11f3049b"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_aln_ctl.scala","c37fe97894075254205a512d25d660b5f15788c0"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_bp_ctl.scala","474eec82140152f20417b6c1e4404d409f7f4a9b"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_mem_ctl.scala","9f207697371dc1f28e50e429fe84ae24b9de4fc3"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_compress_ctl.scala","3e2056149b7e8a89ba0809ff0082c71e3601820a"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu.scala","02c1c840ed0f45ee3b585b8da45554e11766615a"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_bus_intf.scala","f8aa1a99581dafdffe531465f6637f37d9905113"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_trigger.scala","9923de9bfd2f504ae2bb2b15c42a32ceaa2d5846"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu.scala","ab406bf532cd75335375aefb2489072385ebbc7e"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_ecc.scala","d9c545afed0cc63a9ab0b880ec36abca3e7fb61a"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_stbuf.scala","d9284179851f33d9cd92a94f31bd327c1fdef9ac"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_dccm_ctl.scala","5168463f9c0e776c36750656998fc360fd269ab2"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_lsc_ctl.scala","191bf9cc863939ecf0cab24cdceca1b9b64223d4"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_bus_buffer.scala","2f0d8e280d886bc80485e4b0341eeeb04812a552"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_addrcheck.scala","3c84af93ccb882d99b74852439d20c3b189dd518"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_clkdomain.scala","d7ca34e7d329d7eef0e2506c7a07d6911f2226bf"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/exu/exu.scala","013b036929ed7c9f917ba73eba950f188939743c"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/exu/exu_mul_ctl.scala","fd528764c26575f995645a109d8b55799591874c"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/exu/exu_div_ctl.scala","dc91c0c780fed1ff374122dea516b6252fca923f"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/exu/exu_alu_ctl.scala","369f3ac01e07eb8a2ec97049e878647a148eefef"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/dbg/dbg.scala","5ddd0ef60348a8c846f9be03f5672f1e699e4571"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/param.scala","6a84cc0a74174fc9ed220355d4e478a8ba85cfcc"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/axi4_to_ahb.scala","7d317f9c4391b9208baad34bef685a94deebda2e"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/lib.scala","86cba6b253cea7c85f14f6816c06ee783c672dd7"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/ahb_to_axi4.scala","0eb6908965b8cb41358107eae90c06a3202bb11c"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/dmi/dmi_wrapper.scala","619171c0b49373f3aaf18f92aa659d8c05acbdfa"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/include/bundle.scala","fe3fb275277cd7a9157cb234c209e23c52fe038a"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_trigger.scala","cb83a19d8b65a41e5dc8a61e2b4198c362c2d912"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_decode_ctl.scala","cb54784303a8451eea6df9648ea012ad0f2b317e"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec.scala","7c91d79f3efef190e30f1ad2346f5113c7a5598a"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_ib_ctl.scala","76e2bf13097343ace8beed76c0d1bf730db133ed"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_dec_ctl.scala","e1f606df5c404d0d829d3a680836da2d31e9197e"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_tlu_ctl.scala","cff6c4a3eecc6b3ec77c870747b620142bd9244c"],["/home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_gpr_ctl.scala","ef85566d41d8ca0d3781ab005c86097221e11c28"]],"lastModifiedTimes":[]}}] \ No newline at end of file +["sbt.Task[scala.collection.immutable.Map[java.lang.String, scala.collection.Seq[scala.Tuple2[java.nio.file.Path, sbt.nio.FileStamp]]]]",{"2.12.10":{"hashes":[["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/quasar.scala","60f2e4d43fba75da63ffacca0444a6c071dd2707"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dma_ctrl.scala","708b5c9d7b08f8702549acb90b032c6a85e60360"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/quasar_wrapper.scala","7de89776d7736202c5586c747a3886f28722a7fe"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/mem.scala","e9418660ac1519eea2058bbd87d585d5d47343f0"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/pic_ctrl.scala","7835541c9f7710f0c83d07c0565fe1c4e9e643ae"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/exu/exu.scala","85221245f1752c377c5dfc3a60eeea0db9aeefd1"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/exu/exu_alu_ctl.scala","369f3ac01e07eb8a2ec97049e878647a148eefef"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/exu/exu_div_ctl.scala","dc91c0c780fed1ff374122dea516b6252fca923f"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/exu/exu_mul_ctl.scala","fd528764c26575f995645a109d8b55799591874c"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/include/bundle.scala","fe3fb275277cd7a9157cb234c209e23c52fe038a"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_trigger.scala","9923de9bfd2f504ae2bb2b15c42a32ceaa2d5846"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_addrcheck.scala","3c84af93ccb882d99b74852439d20c3b189dd518"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_dccm_ctl.scala","5168463f9c0e776c36750656998fc360fd269ab2"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_bus_buffer.scala","2f0d8e280d886bc80485e4b0341eeeb04812a552"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_stbuf.scala","d9284179851f33d9cd92a94f31bd327c1fdef9ac"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_bus_intf.scala","f8aa1a99581dafdffe531465f6637f37d9905113"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_lsc_ctl.scala","191bf9cc863939ecf0cab24cdceca1b9b64223d4"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu.scala","2222b9a2e9951b017e6c7636d9df11e7cf11127e"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_ecc.scala","d9c545afed0cc63a9ab0b880ec36abca3e7fb61a"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lsu/lsu_clkdomain.scala","d7ca34e7d329d7eef0e2506c7a07d6911f2226bf"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dbg/dbg.scala","4506ac6df314aa7cb87b9ecb66c09d3e083c4c75"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/ifu/ifu.scala","70412ecdf76345cd476f90c85f2a388090eda485"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/ifu/ifu_mem_ctl.scala","9f207697371dc1f28e50e429fe84ae24b9de4fc3"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/ifu/ifu_aln_ctl.scala","c37fe97894075254205a512d25d660b5f15788c0"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/ifu/ifu_compress_ctl.scala","3e2056149b7e8a89ba0809ff0082c71e3601820a"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/ifu/ifu_ifc_ctl.scala","58feaf508d092ed1910a9d0dfcf34bdd11f3049b"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/ifu/ifu_bp_ctl.scala","474eec82140152f20417b6c1e4404d409f7f4a9b"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec.scala","ffdb58ee94405a06407622ff8ebeeae2c82e4f2e"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec_gpr_ctl.scala","ef85566d41d8ca0d3781ab005c86097221e11c28"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec_trigger.scala","cb83a19d8b65a41e5dc8a61e2b4198c362c2d912"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec_ib_ctl.scala","76e2bf13097343ace8beed76c0d1bf730db133ed"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec_dec_ctl.scala","e1f606df5c404d0d829d3a680836da2d31e9197e"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec_decode_ctl.scala","cb54784303a8451eea6df9648ea012ad0f2b317e"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dec/dec_tlu_ctl.scala","cff6c4a3eecc6b3ec77c870747b620142bd9244c"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/dmi/dmi_wrapper.scala","619171c0b49373f3aaf18f92aa659d8c05acbdfa"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lib/axi4_to_ahb.scala","e78e2c1c744e3b5510f7a066a824ee79a5321b40"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lib/lib.scala","86cba6b253cea7c85f14f6816c06ee783c672dd7"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lib/ahb_to_axi4.scala","399af162a0cef0186f9f7020e1933fdc3bf7683d"],["/home/abdulhameed.akram/Videos/Quasar/src/main/scala/lib/param.scala","355df5a2d1b5124028f0a37a99d9ed2f90ff4bf6"]],"lastModifiedTimes":[]}}] \ No newline at end of file diff --git a/target/streams/compile/_global/_global/dependencyClasspathFiles/previous b/target/streams/compile/_global/_global/dependencyClasspathFiles/previous index db3d244f..3fc0b0f5 100644 --- a/target/streams/compile/_global/_global/dependencyClasspathFiles/previous +++ b/target/streams/compile/_global/_global/dependencyClasspathFiles/previous @@ -1 +1 @@ -["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-library.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]] \ No newline at end of file +["sbt.Task[scala.collection.Seq[java.nio.file.Path]]",["/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar","/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar","/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar"]] \ No newline at end of file diff --git a/target/streams/compile/_global/_global/discoveredMainClasses/data b/target/streams/compile/_global/_global/discoveredMainClasses/data index 5249feb6..a109e9d3 100644 --- a/target/streams/compile/_global/_global/discoveredMainClasses/data +++ b/target/streams/compile/_global/_global/discoveredMainClasses/data @@ -1 +1 @@ -["QUASAR_Wrp"] \ No newline at end of file +["QUASAR","QUASAR_Wrp","dbg.dbg_main","dec.dec_main","dma","exu.exu_main","ifu.ifu_main","lib.axi4","lsu.lsu_main","pic_gen"] \ No newline at end of file diff --git a/target/streams/compile/compile/_global/streams/out b/target/streams/compile/compile/_global/streams/out index 0390d08a..918ab064 100644 --- a/target/streams/compile/compile/_global/streams/out +++ b/target/streams/compile/compile/_global/streams/out @@ -1,6 +1,10 @@ -[warn] /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/lib.scala:25:5: match may not be exhaustive. +[warn] /home/abdulhameed.akram/Videos/Quasar/src/main/scala/lib/lib.scala:25:5: match may not be exhaustive. [warn] It would fail on the following inputs: (0, _), (1, _), (??, _), (_, 0), (_, 1), (_, ??), (_, _) [warn]  (ICACHE_WAYPACK, ICACHE_ECC) match{ [warn]  ^ -[warn] there were 3745 feature warnings; re-run with -feature for details -[warn] two warnings found +[warn] /home/abdulhameed.akram/Videos/Quasar/src/main/scala/quasar.scala:292:8: Generated class QUASAR differs only in case from quasar. +[warn]  Such classes will overwrite one another on case-insensitive filesystems. +[warn] object QUASAR extends App { +[warn]  ^ +[warn] there were 3746 feature warnings; re-run with -feature for details +[warn] three warnings found diff --git a/target/streams/compile/compileIncSetup/_global/streams/inc_compile_2.12.zip b/target/streams/compile/compileIncSetup/_global/streams/inc_compile_2.12.zip index 594912b2edd57fb58a9b6d0b11c96979d67545f2..1596eabba1d52f9165a4d66f571b4ad9cd3899a8 100644 GIT binary patch delta 314923 zcmV)LK)Ju&r4z!;6Ae&H0|XQR2nYxONP>@%4I+OFkYw3a7)W&w(&&wTQyOWc*67zN z1a3UtnOT3eq>bw88m6r7o>o=$44+2nmoHy-qjH7X`=*I9L_}VGEW8 z76b?oAPIp5A%nb2I2H$X>>@|3ydN)N%j@ul!(OlPde6D{oO{o`@4n2es+Xg-+=^&T z<$Hgb@7;Uu`F|gtoBy+)dqed1|NdO`V${5}-|wcE;zskJvmbZUw0SXpA&a}0o^3bN z{_xTV4&q^)T^hyNopf|*n8cm<$=bzg?NZW-hr@Juso8DgzxrVo4+d#g@AlzI>B2(X z>-QFF7uDD1Uorpkhd=+>|L2$f$;GG@ecOL&U)dQR;JW(uA-kJ&Uf6GD;w4}FUG-fkV>Kk@93eoj66`RF^#!XNyXj5+~=_}?{n5# z^9!0jo{hFkIGFsIlz^`5GH?^bNB_UY7 zF(|-+KmP5q@T~v`@@HQnPiX^tOuK*L6+fqW$_Jwloc0wTxV5pnu~UC88!U+Df4MXq z;4KWYwAoHZ^}!3H`bbJey_072Tv>0*(mO?ODM9w~TaaINhKl7#j4qGYH}2p+N&3H5 z7NnSEj@n&NSlk`_@n=fY9*FvzNz(OR@~{3**~g(i7ajYZ=-X#O^?8#2i_w2>Sx`fD zNK)h<{$6PejNU-l8(@}ysx`&mljxME^FRJU*<1{vR)EffC@D)`DlBWJo%mkb-0rnU3ypsBUS3Tr;cS?I@@GS) zL<#RO;u3b|>dBw{eA(}aJ3W8z9iPze=th6BEUIZ74B=m^m1Y63{pc6U5;b%*646RO zl2HfD)gx&EeCqRMF*HWzcB_b?{q*mscfAmOuxyiAg_FW zp>*b>)GCMhl&5&onao7>pp&R~4>|>u`sLp$OZ_y8I=rav8qpt_fz1&&m69X{KH%kxjfaT{{OP?r@*D!g4wd8K&uYRUvCrQ28zU#aGeyc2eBj@EreEXbsY4)PH zL{IrBz5}M_2rQ-|O}zA=qNn*$P_c}toYu&OQr#=fK|5$CD&!YX!$11PvH_K)qr88X zBti*c28w1i4tjr06MUB&B2}|Ytpn(%Kdossurl;AUEyW-i6Ym(i3 zx-9j{G4&b;L-d*D_8anOJ~k8W!}XDTymYBX5&C>& zzC?Y46x)zzp)?8V1yJOEe!pxfO`ejxholUJ#tE9sXvTkUm+ke>?lxPkG{9X-kdaI$ zGo=s2El~XOmPQF~7aM}lN8n51zNmk@#>E#uQ?~D=-D6R;kQebK3f{O=7#S~a) z>kIqXZ_Wa*Ko85i^U8RK8yAthUB^RiN6(Z+e6y8KN_sfS5T7hBdL-VV>@FY`K(D;j zP(qrLn{$5^5>j6*Jx-~8#(8gOi7xg=R;1aN7-3O5SAktuuD1{V1&)Sn)qd0{i!PIX zcaWvSVSy(3-M>?|!jkv;eWEnKwL_}yyLnPAL1AIu+-`XM?2EryR*XVZs6?$VmK9h- zbNfyzRfzJe?0m*RK2x9sg6AaR5P5vIeA8FC^IU(cp}l4L?fL1n~og)71HBCN!!Ix=315*U@maj^PRzglMSj@$|Lc3-^WPk*O0Kc&s7!K(QQNi`+1oHkNvu;8`Kn%c(9 z3sOkp1lTl5o)T1ar(SRj0a0@AbJ1TYBUx3dXQd^ly0O-P!>5GPM+5LWkd00hlJNP1 zvdl6k6-jF5rKcdNsspc~#SfQeUOIm@f_ZhhytqVwJ_uV&sHH1NM0Jfn3Wk@EjKGei zyd$Yh$3o{Ikmgig!j2?FH@&+2${9>>nSC^$5^CL3|DQfx)@Izml>3%1{N}7sIVf3v zxU_s{QR(c;;y?a_vQ=|1X1Huern-K#G>PmbJ%<{El$QEVPJl78n4AJ7xUzrj8O_v_ ziKbINc2%Ay)2UAoZz!*9U$o4U2=@DB8)Oob7xJiFc9FYdTzs0IFjz!Bc+{s7Ryt1-eg_QI+Vo333%1>J(Il8W2c`$|pjVktObW z=mMwp`I%U=!5o$noO4oc=EY{&4F?=y^YPNWK7N-AiOXLo-3go~q{(D?C-D4Ev{m$? zi^Im~QlsCOPrq2LD5BI?;IAys?p=I7&NN^C*T43sfAr-1N9UryT=;)b)i&Z%(m%+C z?KHb|w|Z%RG#U)SvLE!T7rXJ@w0E(e-MOUxs$EK(2bUUY_Cnf8?_ElUms;&C1F}>* ztzKNbSd~|vR9DP$KL2li@mGHG;`|THMSo>(R_jUj+rzZ8s28-T79=k{x#+CuRM~P7RM{g>&>;KvK%LkW-C7T zpGWUM8IXO(CSKlJi{mOlc6qJZj9XY7v%FYcT3K$btSqj!7FV0=>y_ndb@9X-_p=bh zyVKRRw3bwp)un%>bg{9#T&=WL`hUwe{v|nzkxwZMm|Nz!FxQE9=#2t5&P6G+L*AyKO!paXeXDid*Z8Nzzzb zs{xc7t4nLkwWY=NX04JeHmYmu&E|4-?bL^EB8}qZ%hi9CwIx9D^`w%nuC64DE32^c z<(2jIwHAD+w3@Y*^|((%wu^wjU>#wGIIt+nOlm6hgF704>CG#6Lbs&S)ISx(kgD(kDYS~aa!liJ$3d(*bd z4KkJxk*|L?)3tSgb#rxfaivzNCCkfefNM*u@#5OrN~^X8Z;w}7C*QW8T_E0_041mE zt842^mDT0i@=^^r3NY4YZMnI!QfVx=s>`cuz-P{7(Up^doO*8B4Mb+&o!btF+Q)wFOLcsamTwQ-JwOW2LrSYbEh| zZLxJ?aC09q@lIeJH4v3>lyJgu-m3|S&;;nJ0^0>lZ>-0_;hNP`Qx%tPNc3u~Eyh)l zRE>X?aS1a*4 zaFtflT3l*0o4~~vD@*H*6T_OjkV)Kv{{cK}DM-y~qf%W>fsj{#d#yKH)oQw$!2efk zr{G|InT&Y%Iv`FnU2gz~hFvek0OUrkQ3ZcyT8kHJNg4wOT?XEMI&m%1x24EhUkA-( zr50Bb5SCToTI;RVO0AMs*B2LCO%PhG)s?ly=DDNj=~L}nH?@)!wO(6Ws;;iDEyHmx zHrErl5U(!*Z)t$^UQMd4X4+bhlT%|D`<5ldTeZsS%KGXesDSXI+FH6?NtbHtt#p44 z!N1g4Z>CE?YNw|xN}-gL)oQIIOYvfwCdo=;F-?H3*FXzhS_M8*sV>zT0Enffnz*SX^HOnboQ!pbIS} z%_L3YYGb{zvQz^qTspPvi1R1DxUvogKvJoumDRMd223VdUj+u1uC1=EfU-~p;HRy$ zb?$ET)T#E3@dt;(lI5l47z9=YP;D^=?XLogX(a{r9XCKxPFGuvm1=x$7;S%^=)K0p zE)RJPEWM>_0&HXzbpJ{M%%idj_70dIX*F3&*V7d^{Pe^xHM1n-ODk)Q5lh_{2!Ypf*IbO}}A zr3%2IwG^L1Sn+rZXS<+$q)C4hSo7l2a?)H{1-1>4UT)P;^Q^2_(q;_G(W#M{8$?Lk zvbhX;?aES8TUlORZh^R50UWDVP*qr7UWL!=)wNY%Uw?VpqNV~+JN{ZpO)03lD^-yH ztLa+2w%&*rMe^k-!n5RoSJdOY_=)$FU@GS z=p$#!b?<(9Zq|+8XBBdb{^X%joz36>P}$9$W>lP4dK;YC)eL{ns;%72`wv9lf2QPU z!e{4Zp1?7)2?wW#h9BK16B-56dS=!a{PaB7i?#p{p3(TKS@)2P{@R&RV?Vz!H{%J5 zGinbRefMeM;KreTWo~w@;bzqIKl;9h$_$5n?(A%4M$Wb?+6)F9mfx`PB`AVCIbR3| zD1k4`rm%X()pLK*Prba9VCk1$Hj91*v#IibN&2zRsCC{9+S<&brg;YSz9o+Uok_~| zjHaVR|K*vovkN{qH~X=Avune5a_$|@cPK-v8(R`JqcIcFzdKt>?!~9h&S+TZOiGzb zo?thNwDFf@#LVe!8#gnf3>_vo5NXEk=ufZ9xfwZS>T-Whujm<%<~%t!aI=FxRYEW( zFm}1*QHnDvmw!n*NX%m7+{vjRoTpU=6$Be4&$!iW^pz9CA(%7v6K7tcS+Fy1XEmdi zsL_9zD=|&(SLaS2vUQ$YwmK3RSQ-7Sn;u;9$g>$wA}oJq?(93(%wQtS>>91iV0hTcy$gkDw`F)2CUs|--JHwl z-_Mnq2>i=)Gi!)5n-LJF*CgF+L$^Ys zjPOBlTE*#|aZc0CSvlkKeeh4u>lwl&`7Hv?M^CTbxV186I1GbhTFcX#=&>G>{qULc z>vn%WKlf71wVP$DsOV1?%d95)=;F-!^p@L}$r|ycwtI9fiicxvf^3 z%;K@87FK~ukm1o+9cxElzXExcrWCaY1 zPRc$)^?5e>3`VZ$2+QVoa%k&}W;o4wG;4XVK#{`jlxH(srbGw>!?3E%OEBMOMh#?V zIjL?ojkZf1r-s7HLSi;^*Jm|~sl4T4amNfSA4CRAcr%)TS00KX6bvISRdVzXMgo7! zN)x2YvJ}KN)0Xoy8dyEM@d)Kov$fz$TX2?+hO=9+tyL+{^MY^?>n)9<8`*5&;7sM1 z>72*1hnCL5KdGH0y|Ncf2{4w?&uo5ci2_eEm1C2>lrjb}btr zgy~o!63@&N?$4b+eSNYp6-M!$kfnc6n!RVT2#1Q@(c}O1Nh7~*zqVgKTS74{rJ#)q z(Vo6oQ@(^AdiuIo@lbl^>5EhezWQ;cq|@ROO~&B={fbhW=KaDePH!*s{GIG>ngG}B zp%C&)B2M2S#k^y9ua^vmrDeR>2Pd5u_6<8bH4_d2g`QnX8H)ex`U=;HES7&Ip7=Fx zsJfKumcZ)EB0R?Ll7vTKxKvrfW8&PZGRa0`H5cYk1Sbh`P2ZwbZOH8lF?Sr$L-C6x~xlMTg!F@JC z_N%Y22Yd8Bz~~tsRjIv%AE z)Ar(hK+*Rh^9?r&esEOxA@g;689!jYU&AIn?|*iGh{_+B z`B8P_B8%#0kL&|FzYo28g}tyJoYw44>u1lF*{J)$N!^DmFx*o7 z!BO3ZM-}dR{@|!)m{)VQw8rcYj%jws^ivO&(?kA&gY5y5go-ud2T1at2$Gyw;SF}J zf57u+cT&Ih^`*4d|H?!6p_AqTZO;H>?gvslz3%Uh1bDz;X2*YEe(`MiQwtuP*ZnBE zxy70sr|{sU?!$Blw>Q!QMf__RM?QYyQR_|{r3Yj@yCeG8*;6-td2l>4JDzh-N9&vK zzI|($X2aW0-@J`KzKuV=?fm#Q{N#52Y#)hUp)OwB?v2j<%$ex>@(}u}0cie5=As(i zzqoZY=(LmeXcK>wt#&7UW-w~^d&33ymD>oX+lO%nK-6gW(*0rFJ0|dV z1@NO+=|8XZ`<*oI@yDB4+Duyu(IfifMwZ3*qSxpTPaS{s65Mtriu8qBz4qwbQ&Hv8 z!7x+E^8DTIrRU?j@sn#$_6KS2`Q{5x#@*)1(xvCKRDB^EsfEl(uf?xj?8Lo07oTZ7 zpC+Sozdjdzm)>JD?R0MI^S{mKfBSCrwmSdY-ud6w=YJc{|2Cih?PPd6ch0xfIp?p7 z^Ix2JD*1ndJojAmZjHhp>hH&}#pCh1H${&-fCqs04>yLxesb;y=AygqlV37x^7YZ{ zc%uiScIV>tgQM!x3+LjpIQ$!3{1PnTTcb6J1jj<}CnS3NID77sbJ0iUW&qD8+x<~G z1UetGMzv%B>)m@&-BW9QXY>w+u=&o#n`!#O&HjH2>7M$$fMoyGRs!eW5Iv@ET-@mO z`lA?uxtqRt?!P|6`MP=yVrpQMX%xeSF`qA z0BJPu{NSl}r?U{fiLVVuK=Kzi)u&zjJ4go6Tlk{>=GIY~93WVB(&0g8bndfr(chS@ zRGWVx%KhZr{h&C_zj@4|ocr-J(Ra^SZJ1(-|J9ro{66*pCcpxc0RD}^wMU(&ZN{U8 z6QSQP%|-vkTQ=rQ4efUk&d24x7P-`0z}S`LqK2SCGtR4AT;Vw0oHDJo_ZF!cM!@PMd!d zS`Vk4THQ2}M*9ap&_(V^eD0Uez^Ttt={*+tfU33-I(D+?$9f-L$(QiU6S2o=G5XgF z(KqG}N$Wf9UaNm`SN(YnK(lb}f0&DYh>+|6`Of{}37cO&kz9W6 zah8&Itaoswn?d;+e717+gHvkg<**Rm4mFuTE z7Db<*Ows2)$dMnPdXMS566&v1YtQ|)Ic{uNh`Gml(@L>+JywHO2GvZ(ln{U3o}fC7 zSpQMOUQ0LYPpdqCY%cnqnJdpzn0^J0+5hG~>#I2bx`6mRzgto#{zsx$pmwG%oo|-W zO#f}s%k<~yWgob;vAeMoy^7lX>D-O;ho$yo|8vo6;NnGbBYJ~(q2ARni_7gU4k88H zKFB-g|5B+P>rtd>y8c`? zI57ajEgb=XnDHxaod4drQaTZCM31NsAcE5RD0(G+z~Q_2gXrb-DSCgk`c9+HUweeV z*6haT)3V#$JqDyLn1{Z1Vu*(Ov;jo1Bbc~x{yR(QF7|lzN`A10A$>YENxAJ&1WD12 zQ=^>QPD!8~-8eCT+$KPeM=z(-jb6#eta#^1*B|a51MBCfpB6yjt|yO@u(3DJe@BVE zI$jgKir23$pYNC2U*dlq_IT+=^lH37AL{M?`R_SxPj0spgk+9&`bmRPU zrIoh6Dtd%h4;RmWdpX6JuZv!eSMH>}=wZHf{@HSB_+A6pPgkO|D1^VW%(Ac7YF=Qk zpP2Q#wMrlx4bxGwkv^RoSKOi!fh%+aG{4eIA;5&^&B(jwKmACVY5e~*ie5)Ibj(1{ z0EPpii>QUQ8^C|f`Lmh;eqb*sy}ldUUm#o>1OFF2`vp`n;gPR3UqBXuk9gEv0hLLw z!#o9bYyBnsxQ6>IR8iqA>*<6bZASQJ-|GdP^a!JW|B5J$}gq+Wo2 z^k(r+e?queCXz0ni@QH{pyrF9zw)|<;^%2X*a~vyQF*L^Wdc+1E&zfEz$<0PfwS6N z;6Y$}IWN zfjLb|mlAgoe*(SoizhYT-SUvXGN&WR_)kpxjUMBRau#y*c<5?g zZ}e(=1*6np#p%!I|N7ji%P8I-Mfibyqp!AqUsU9TpXJC^{S7;RHhKg<)8O0-e?BNN z(WQSKxP0N80&cvZZ|}l+U+5}5$+>djF?06wuP}dJSjdTIWnR0N@VBJ4;BV>A3l~E6 zLfDFs?;)u#-$R%1iFwbda=PTMA}j;w#d!-Icg8fn-?O;`X1Ii-Rz)Nn^%Z=Q=e(01 z54t4J&Uik+ecQMEX;1Su0m^ z%lgWNcjXl>bj|wLaML+83^$#-C{f26+!A%{Rk?4{CGI7wM;*e{;ZXNgoyz^0-kBg*@&hgk#Q1MmXj!UU<@V>CL~zbMd`Oep-L< zH#F+xHUyUtC0sU#C?T*C{=_GFPRfAa^1|8}T^aK@3U6LmDORQD&lTUh@MaEQ!E#=% z|61Tn7yh%57C!&yg1Y(Z^4AuSgouEVgy>Jn5eRJ^AE>@`;oalhNApjNyQ{dD>(C4M z_%2)w%T_c8nI+2W0AoKU1q#Azy-R-!xi4Lq=TPDN!~B=TEHY*!X3-@d|3G{6@eeLZ zQWj>9BxP|KSxMd=LRON$dSR(h&%i3A5Rl-OWNXH{l5EXfL~@i-3M5A-YVj)hY2m^X zj@J-sJjSqy@_FH0`Y*m-hs=Hi7~QG|8=jYW4PaIMKGforc`c!TvN%}0OsZ~r*S z#PpAoF3UGs+f%;LUcK)+6a4sO03m2y6(Zpr@Iy8SS+rM_9Qs5oHtgPS-MU2A60b+cW{Jh}a2CjzQN>G^| zg8wY255CF;MxC#6!KFOPqH>oG8 zyw|UipB65x=WXWsZ;+fyUt2I=Gnb^IZqpZ}qHeEBwbcZwq}pmO%JZ;ZFL@sJs!xL+ z_oPb~-sw95SV0oW>#l#SBtM!fE9s(R6)E?cV-=|@YGH2XLm(MKncZ6GE3;ewDGyw_ z>*RsU>y7|WelJG=s4K`x{lunFvJ*bbH6-v^?iDFa*ik5j314Fkh9a1(!O%x3M=9D7 z2!QyO8@c*?%Z)BO%CIwd6vbYAH1{g#*|@ zd~rQTvP!i2B&#IrR@h@a|D+WP^7=yf>k_}3Y2q7mbP2T{Cj}yx6M>W=Jby?T(h;~+ z80<%JTyX2cJ8XZ>AdrXoTRVC1uLTFb!b9%BS6r7&j1}`obC=||DB~uOgd@+&_~GSQ znTtL#G~0K5Vt`AzZ@Ib>U2D$5@BmG)@EzyLI#PLqjwc78U347mv{!H{p z^fD|iNk;Q?Ul+YLdPLraD{xzvd*FxHMXz={;NZnKMUPRk_htRf%k5r!bQy2G64Yf~ zj)9*Zj?RCgj~)JsqOS*awsQ~veq;2yKvy05{&sIf&!Jb~r&mM|-J%=tAXTGAml1tv z8(m=iSv&5uKg90_nTvnDESh@`|NG|X4dEs~v{APR4ldUI;iI9T#OEKyRosO)H3?o8 zoR2ExLWbVOU*M(JN3T^(61duncRRqpd-v$FdTf8SuD+S}>M!Eumq%y7q|uvev`0hQ z^FrL~_j0H62DQ9;D@%cI*7Re0}76@Y7qOH@cQjJ?#!g_jr@AavfNLzh4tYw)TU5>j0+JIT-Gz20-Vc*BedF zUm1Vw=H2flaOh%#LS%hh*=OGVXg$q-{h$|T_v-JMfY$a{VXgIyer{_Z=|T^7b~8&` z?IXfKWEXm2bRJE+hgU@p>w`1Pv4xc4V1^?sx8S4HKXs`LfV|xs9E=ESdIVm0V7sf) z-OybCp!6%OE)m$=yF(OnLsji;_Io4HkhXuZmZt@l9Q_F3_b#8hd9O9bYeIIvI!mlb zV}XM>bZTbvhMJ~f9v0jJX0Ti=;K?%=ODyIku%FFNKVjG~@8NIOELmA9&LkB-5k2ma z#USk&%oZfbhlX`r#K#6e1q5&6UVCCw_(*tBU2jUzNIyWma=R zMgUMNba!pw1E|YoU9^5IE5<(`Q$AbCkq8{bGcKErTjByPwv)bi(9Y5gbRzDg!*nFD zLqDr3!7BimiBr(~uxJhE{8Xns7^F>FwDAY%XB@Ui`{tYlb@biG%q#st2mt+P!8!8M z2jJXEdv~;)63b;4N;TWeBN5H<@AH4rTi~0Qo!4Dfyx)QgNaN}~ET&5|ZSIcZgt0c^ z-B=P3RQPID$=E}8cV2wB+!d5R;$XuAI^yTrdX0c{J;@XnR0-h1GHp8^GA_WW4Elqe zbaasQ1VTTGQM&;E3+whZ0{PT#$m^S;cQQ+6gu8q#y%Q(*G}pIKfxQ7YvM+y!x5zIN zCEcQ1#@zr&?=atOV&?E2q_Y0qG|Sq}AU_s>HS70j5MKE%I`N(K&JBXVSVaWT^gRtc z-}HShgK0_%(9HM4^o|eHlHY@}rN-bb?Z@|d1=kYf2EoGPo3IF8E z=0E;Mo3ru{z7aQ+;cF^Hsog-~B%IH>Q(ossf>91L@(_PFw2)(7#y zFeML3az{KhLx!uKYNwdI1G!LLS$2Pi3@O-h+pd&e z^g88=tG6q4j5}vqA%(Y#=-Zsq6WM}d=_l#(waN`=(o-}(xvp}39tsFu;KTjl2n7wo zqQfVv7-2gzC;JBF$yG1yjsPp`hm9)HqjHO&m!he(F;$AYj8fj6aXfE2HOE{+)?MuB=01AxLZ_! z<$Y`5B?^5t3NPy2FBvkv5)V^*1j5KL9OQsx1}}uXSB5Z^ zR7Wm(kn9V-rmcSyI6g_{7Kx4EU@QavlEf{&F1yrhSk;$EX_a9@Ljmk!b19S*H0L~( zQmj;fR`8)rv79n=m}wn>I1?<0chkirKD{&$eRva}q(oyqix2f3IzCHyn!jfRCW@Tv z4~lg%6^9HlW)1DTv=fv0c1rQ9@vdE0EYz?)#Z}X;PhWq4V5!yUJ+fOAmst$AVvSEg z140avY;+|*poPv7v~;h*1`3mgfi(JHW5kw8cq%aWfgsc?JXOLnuEwC=7{zxOi0q*A z)D3-fmxa`_T9QCAl~dv&8DO;DsZau7qrGmsepfG;s0js>A|nig)ip{Al);M{p-8R) z|B|6wca?vHn2xsXSg?<&paDUk-D{>tzDR532}UdjL}Ohucv_Ayi2?ok#2dTB97xN7 zHKS2-HEqQQ`cOdBs?-nE?}rUde3D7p#9a+HcKB+=?TRPh4>K!=;L919$^>Nk62hS0 zYN7hn6iS2oEokZoy%+1Odn=Jv4Rd>Yj+{+4XBB@?q%5^;Mk=s!n7;`T(`^s)*h1Vx zJypHVFxH$xY1IeoAAnQVZvVEyb7o$d>U>0qZCnMc=_p$*I~a_JxJiSK_FpN%N9uNHZNt`Fz>xYmVzi(-i`smG%;X9XxQYMIxjK-pC@_N6&@noEDWPUluU93hKz?jeg5`AKueXXMIlcJXlb zn*{*tA!uV7%|ieHZ84#?FdNCl1IYxl7^@O?30@$zo=xR_e1g`yn?%o!|3`!WvdbCm z;VKJ8*%8JeWECn{t7X-&${JxdrPXW9ZDe6_qN&uriIyuYRH>9S?g(=9%uB682|j=5 z*-qLBd7H{NCZRe=JMiKjh-N^RhS5#0P_Sisms7yS#Bv5WWSorJcT<5S+HvGy6nms{ z?k6@UDIZgRML&`CeyPXSjB4xiQAU&ob0GCaQaOFrrh&yC3`eVJ-Pva}L|vn89O6wG zcMmFV6_3&tVtUIIw>>M;H^wo?#BhIod_QW^N=)n3!veW(LgOH62-~DeNP$3hbIL(5 z_x@RkCts3XMH1^^AE?uYQJ{ruT{1=^3C9phvr%-1f8cDI{0jlNTo^VPM* zkLz|luBTOqHZXtnURcv5Z54m^8g~vHRZOT`d7S{RkQWD#`bcuH<5P^|B>#nPu!Vqs z>l>Vy|GcU-Qu@E~A|N2!ciY20AGKj+D?$ob6BiO&r z;b$-FlkcNHa`^$85I;yHFFhOZF&<*u&S#j?t~|Y0|CXnB>bu+DzD0kB6V5HbaG9pa zBO)YvaO2(p^F{dd(6AoR=0HecR(gGub_X@90~o#1Bq0#L&|KECIJgWi(H!_dRc0^) z-8&d`(i%~z`OY97?Hg~C;aW$$tSlWd0wSaOFsmq|*oLLO_Z`t?>lXx+9vN38URDk> z<>;bQ7wHgV^Bo9LyMupvO48IpBf0`W+Kk{- z1$r^L{xBX}sjH(xoj6TKwEc|Oh}b7Q$^tCxTtxxbufO-wdkZ;}#vZO%P!0ma#~R8} zk&P^xbAyG}ViNDYL9W4PcHUfVHQ9Iy!&E?{j8XcK>8cLVbv*9DvNsgf_S zD?BE}Y#P~>P}Q{LgcUi5*kcP~TpCEuF^i^!_F_mvuLTHQ)qc_Q*_R7OMSrxPW`_WB zf|n%?Az8+{ashLdA5H-gRdj#~aq!{<6jGM7@kvn;W_%(!MY9Hl!iF^%8TTfWDSBB~ zJUhtZ`hQXR(b<3Yb3sQg#=GTd^!3RB4+L_rAJt8KHxrbMd@3RK=eTXHTR3nQmLMdL zE^zL`iENm}lb!U=R*%gd_)Ndo_~g2QffHGr?i)0;A!1g;rco3CUVuHyb;M_c^b4|n z;7blxE?Kc4zDqPWFcv(42Phq(%>;}J0-&i71ya5~y-j}#!jrULp|XVC!pPu(xCh1z zZ&+GE>~YS=dS0YA84wj7d|A{AJEpIcKLqBtoBZ_4PMMeeofRhRa3&Bc>S1vp*itV& z92nYQ)r{<}F`F=|kt8GbCLBo>1%q;qU=|`ppT2{vfb7(DKp)742dUV15|CIDFED2$ zyv6ufgWrGf*bmZwuMp6@?5dDH3P`)F%`q2(2xwPhr9MLVZl9nq$wyY!r?F}O@!0qh}7=`@wxpl0M{ z((fQCxBHy1cerm-C65Hplsa_PoY{M|YFXqVwFG~|z6`MsvfLbdNu-XEf}>x6UKUc$ z+7@F5&&PcJU<5SN9@B_Ayj`2t?5Z{5n1mK5kPa7X8JlbQ0EBjJ{LSW@x%p-AEml-u z>2c@4Cqq1fHVY%wV4M)vk1ql~NM9sjHas6SZTu7TO3SH*QdiH!3B=Z^g#ycnecWxA z!~1{C1*mMwk;;b4v0+k}4%Zf<3`M||y^U{?mXAr7^F5=+;ih@Itw#YEUZrWxQ!|TvC2SJo?}jk_{E8w zrBmeR0eF?7L?{qSGUTwpbHEq`2mmSUtOS2nQ`ps&w)bOJTG5yrdos-4!){sFgzbr- zPb6-QP#xD!Lt-p|J=)lm8k9&YXgkhWquDD0BL#pH2lLKY;RFIcPIThuh!QB-FCjdl zsPajv9Wo&pUC>v+)Z!q6HsI7=kMCnK8jmJ@t0hZcrPO|NE(b*wtimu~r;^J#$1i^g zyS1guno)!KNPhvVBM^U>AR*Mub`uk$WHiir_Q*8}D138g>*~$zn_CQ}2|1Xs9DIc_ z(+Zr2(hKDr~VIF-CkOoP##GuS-?tJ$@o=@qBG?20adyfY~y#j#f4vy!t3u z(PrGca?tKHp916-$442Fs8gB%5G{z{1P`{4RnBs(#Ai7+$l5CM+v>FM?1O*kH12V7 zIkY)B6@j9T|DcD*K@ZHk4Bz!G`a}B;wOG)}UeYJ09g1)+{cML0-Q;ZwHzVwU=g(A$ zi3TEa;)m>%gjdvS^op+L#hzo5Pzw#=dJ+#g)~}wZN)%X!C5qTa29!2QO~*tqC$?NA zAo6Y^&7XY*Kb@w z@~c0$eRc0?dV=)UVxl1u1O>vzX3zp;IT$U|CjB(oNe*B%(1s2rYpZ|KKmh?KU&;ts zW6|0hJx8rHG!E|V$HJ|pfT-ic99Vap%a)&1oK@%(g!9p7Qxuh%ki%;XJuUf)^Lj-X z8MM+QM6BcbR_MWWqQ$JHF~O}cuj$Bs#V{-aZRf}&SF!#4 z;sNIx!1FVm;fEG^1JHkutEu3%0nd3L9U1wkQEY8xmT|D-19Y5~)~pmv;o7j)-7x1r zfmLDEaho}I&gZT%8sVwEnecN{NZ3V5g`h~j7nt2U>Un!QsZr`>r`nzcgks+1tOLO_4Pw2HmZxM&Ip4m&y8 znkoR8;5qHeU3<<|dbBIph!N;O0syPSIXG3?;Bg`gOumbZ1Ev+D#t_m@Jat6Bk2RL9 zD?3{o?-z229X{-7JVxvSnKJ4Nd)g@n`^;EqxiJk|8+j)XNJ959N&}-{&Ef~>>cq#3 z!W@7Wo+_aQ4;+8b@Ss7fnG^`Ymvgcb<5WelB>ilM6WbsO{M9g3B%<%2zLmtBmZgdB zE)}2LjoAUd`OJ;0S9VzZh&*@2u$Fz4sS&c>xOMFs-E*TZNay`tvyqu+EYf8-MJr=i zvQ5r}2XmoK=T}^Vd)POpe*8pvHy(rfe^)v9Y~29)AT)nl)>;!tAzFtC(rA|>Fg8j7 z6Z*zGqqhb!zdR#ysvwUxab0D=4g3HjbCsBksRucvx@?l#$CLt~g}_yNP7)OvNY*CN z4z&EH1y|(6G{<5F`h3rO=?hLv0zfS6n}WJ%FxJgsRcnp9 zJz-2OPFsKI`J_)ek+RJ)P^1*87koqXcCw3IxO!G{pwIz@azSwevLhf#N~n8B^h7x8 z#!0DmRV9Mf*bv#+S_j~jBX+Z}`XRJqC)iM27oq(t;ylI`O6V^W0uz=Y@~P$^CYO|s z(!}W$7}ZrUfiPlK54%v1-*(s~u^)Fhsal~pb47o3!Zv-j?Sd%~`$GltS$U3$^fdRB=incZpR~MVC2eFjQJld!v>a6N2q65){1x>KK|xq#$|;ff`YU&DQPA~!Q=SGa}&*cWn8tqV9z)a~Bk6(m_HS+(pfSdD*# zw8n-kGZ$gD(AqO5C*gQyS#z{dBN%*8>{%G56H1&4ikjYG`U+omn{_$K(77l&faUtm z7AJOkPC{l~MFmq@IYheADCWiG;jiaSj4BwRSKwG8xN07ag)T;oAynf)z9>#0T5=*+ z4!eDO^yVO|gHFivZXm63kUnsenlsJC3ZB@v~Hj($!C_3{&_@nZ}Jyy^Z*t%=(52E6tlT0M5{XXL#g?wV3g75l|%0G zbyVcH5CJXejPLt1IcKAwstr(0WI{-HOHsWW(lCn|diC{N*XR`79zkrR*^fq^lCUy5 zrg&qn0?tVpfqi&>I^kke2PuEttlC5*lJJ@qVIP@xvcGMDZ%zxNpx&TXOTQI0d9c2N zp77gI{z_q~9%f5`0=-NKa>TwmEn?^cVADaug7|r#^}FtDZBXbgpmSws##s3w*n;B` zX4}{C0Hl2IZ;Q?q*uNw=PEd2`X5|!CAZnyQPpnO=6WS2asND->L>d0=#UD^7-d3`ZK$Ymn}UUfwT-ZmZCv<}%HU8Ha@&B~gZ2s_MM_bq77|uc zH>n^tjLLYlxx2HorNhdo1{jUP4SO-y9O8zDFZf!atg9s3S2X?6ZhE6!D{W&H|6<=v zZM<&=Hi*z7qSq0t=0<;(ncM`b;|%33g=;(Eo1nR3p>W6Wt9)gQ%y~kq#+dK$G!vWl zlc5Z0eisqHPK^Q@ae@p~(Pgm{&`KLsif{-5U3vo|pAw~(@xh5Z?j;rX8_9^I?MD_W zMAhdazptg$LE9WuVb&IafWuGpQP!>^6_Fu?(8IY(M(kR-M+bk)*>@^pOFp6_T;jJ6Jdpo9@VPY9OYPtmDv00+TuMs;5Hcs9s z0IG4%!wKV)cZ^ixf#@-bdm_a5VFI%VA7L0jlpEzFp~8ND(#|kt^Sq#T9@Q(6_|)hh z^qQ!I$$kLx3)YgLWfvy821@V|Yh*Lz6V&1dwLKBO1A?>(M!{PBaPq85x}m>KBCRGs ztNLSDO1=z8(*_UFvsHm^36B6>t?BZRWP$J&=f;eLlky8gkq!hNY7i`h0#8csJ}N$l*OWrqYF;7!P!EWODL# zz_=WbU?LHuwEhr`M!3hLwuexzQ!d!@K@Lxv%iFAf0c8)6#%-QH4rywC^iX)>@@F2( z&CdYEV<*+=RK#v{LUmyxF*;b^PY`?>Y!00Kccp(MAA;2vboq4WTYRdpee-jARWSF* z$$*jP4@dZciFk0`)ZQ>2fV_JTzUO@lO&up&V131H*J#|D@b^t_-N*qx5p-LK-UfTU zjQ_-cLHWr{9Q$ItgEQFO%JVS~(TuYLZb>Yd#B$(wTQ_oS=CiNL&*lD^SCv+u;SVD5 zs}%A*M6fj|ifhiIE6Vh@dHcEC`$8lSHDNwZ!nTu_ZL^iBu|1^?S=Lg(V_6mD&KD}z z3gOAeJ8iGv<4g<5Wmj5qoZJ=Sboqs%aNL1^ei3EsjF23ehg<6wWVVUqCcrwO1Txm? zB&BJ@g)VxyEC|G><3RR~;4LEAMx@kCrPoZGN+39o6LY^pSBNf{&v>!m*Q$B2vQKzX zRF)&L#j`eYF+UTyJK4MqSL(W@8s zBfjtJ?Z^mf-0*Mmx{y~~7pTE(Tr4UW*x>Js}J8jdElXUth;9!17 zjXn3*>>JF}YbeUZF^7<6l+m-in&2XTtSc&Z{qigAlu91_@Q1H-XJ$yX>a?nq-?A;B zU7^QC*S_TStYhd?`Dqqz`5wNedYAudR=vlcRIPHxMo0w;-%`6v>zJrIiH@?iNACnP zb&R&;)O@&llP%?#QiIB=N&sms(ssBg$(>Mu8?cpgRyQipf}GU%f_!WEj>05=PGO&> zYtkvToq|zj(`Y_p6C20rK2E!Hn~7JT5;+RcWUWVLCmp{FjA(rAWazls4ri|bWbhS- znJtK$rXlqtb!A-VkwDXB(O_AGm+uP&r?69ougQ#U%WESHC-UQ2pZ?@VwSkVbRvmI$ zwf^iAcJ?P;RB`J0A9}(u(J?=NaabR=n`sJ^pNxiqE(quoq$!#>n}A6F>c-wiePeTT zYj?N4bz|eowXGT*dM_>fO4X4nfaCHj*Ee?Gze*dT+?;TaP*aW%x<{7YNwPlXW!Nkx zMdK4{;k|dGn!~9wvSz$+>geOqJUmvrd*dX*cDsFc_84+@WYycEbJ(MQ9)2Z%q%&UG z7QVT?S>M_EmhES52DDdf2Sccb!7oLQe$kQ=G|E-8RF=nMc=RbX+YsW){b;Hze@I#e(aK_>SW}8Pk&U zIBJ18o{g(Jga?xyEaMt~X$JPTM89D*0w6nRTWl3afpMxK&#iK}#>q#o(kKVZt>)B3 zNqgP}RL+^m&7%Ug!rNju&%|;ve{yiH1p2!OIukXZ0FV^{JrO;Q?4W=b2qh`-fK`&^ z3LPxJ2yA?r!Q%ujaz#eKn{*ktWF5d-an>8x2_E#iD$6f`3qmh{69YiaF$S7D8S$s0 zuKt@j{nGJUsj)K$fw#XkdXwaO6j-xsAFBqMs+9nkt%>2(r4%<~oTw^d|8)=!a&>%+ znrYEfh&dLy){ZsNHlM{W^XbQ?zk@a8%M%YNPL&-GQb;`-pr;mY0J81kHM&%I8JtIr zv_bTf&y|x;VxlE{nL8eoH7kTlM;r;7ezvY^-I3J0Lp?sKN#vMg zbj}w7lwPAZ7YybN{9>hWJIfYWq=>1}0vUQE6h+q)PJ0y~W4$Qc%@7dHAzf!bfW4^t zu;KyV1w2zJM|pCGb85tx)t}zcM}*_)^ngf2X0aak=9F1~!PWzt%Z;6~nW5i?a9pnF ztPCB{j04CliPw5@*#-)rNX1b^*ft8{Or`J+cb~DTlh~=w=hz%Gcoz``;=`4V-7Uq5 z>E3e%%M3AayuL`!%E=aN+(z28XUY|%pUpNS%%pM&LoW!*MLIbzA!=%ecrcTw_DmFY z)9%F=58`2eoXv0GP5N8!^!fO1e7OU}e_0=4$SW<+xAxt+DIvZ2ubgqMO1I^lW1Eee zj#^?O!4#fxp@xksYY%6JxcMNWbSWqi?A$5Q(p_J)FPemQ_Dr7z?Wx_ks7FR_`ySDb znR6-pg<=a1wMulZ{ms{_p@SFU-~8Wreeg(wQ_Ic zl%+4$IsZ^=6_}N$f4tuwQMd7yeAPe=$HY7=*nl?V zc_BQ1V$w9Xpe~j0S`0?%hFTM7{WylV*PJOdFIdHGTHIWwz|_W)zLuIv?Ye=EHNXP1LG?BpqXr;Nb|)p^ zSaeeLT&mEkMV!Du4NnsoxYK4s(GjSXje6RD9gJAGFtwu}#n6HZYPZDiT8(-FXLZm` z+4(f3S?`4t+6fQI1^DS1Yo^y?B3?VTv&!~Ux9V4J?ba#DPo!$n)2ykTTRyv-nTI0_ z;Irc576JvL80EqT$h9g?BT-&ZK5fm12n#ekmA26kG;~=oH3wVUP7bJ|3w@M%jy!pP z}t<)CNV*^D0TlB3GrMFo}|3u-%>O* zTAaB*#ss#^*m&gyOC%=bgdk~sx|XMZ71k*9VHja&c&B9=F3T9xe_v6EyDfPfT~B~y zOhP0M1gR?YaZNf^Zp*|Kwj2Y78-k0}3}d({v~eHKzP^y5cB(O%=H;P*K7sGT+-=L>asv^lHN{l6pNyi!10Kj2zlzcjsc^8}ghW!WLNJ-DHMA*aW7{3AWJ zZAQ6C@ryE+Z?ZTx{alZk3Pdc&tV1zZ zPnRzA$e78$oJwTRN)`P`3Ohc34cLb%8<*e{PF?${Gq6jxr@2qvU-t}Z2Vu)A&M9nL zDY4D#pEs33J<({+AKci2hu=5@0)T;Jn&^If9F%O2=ODcNZoJ2V-?7r}rlJ_9;Yl7g zC&t9uKYpVfyddV!|Mzc1k&1(Mb(JPg`6Y=A*ZXSnFLt046XP97(~ot339}dx?0N~1 zsO_UNlYcX&YrQDOWy4*T$%OLu$F_c_XbMK-xI>00=zgs__8mBX#r|%Z2rLM-rxa&_ z7oDl-l54f{hwCwwqA7V8yws6AdMun+pUA6A7)j!x$gnSH=ZN{b!x3-NYa<2X!zl;} zc45rZx`iJxN~wDYCem#W^CwZ<>M$oLiG6?uF=}_yjMK>^$OBx;L$hYT$33vZ{RhRA zFq^z7zHLu|c$sv6bcA~e3jIqx2p`bMUKmI*U)bu88u<=^>!4eR?Nr!(!d*Rv{gz3t zE9j0_)B}&QgHTROpAa!(`Xr+Lg{|ORag7M<&_!Oi0^Cz?j)!*I3o}vpwh(c6MOMxW ztJ{%&V*FsZgIK6-m`4J@EOO5zt;(kAhMR5qE|qYHr%643ktQ}e3U(7JZXT5LoaEdf zQ+YdxIkrs(y6^kQGx>^#77An@aTYask@QAtT*`?GMa@wh{|P!+41*`eF|Iqw@oh~S zbfp*@Aedfaom-)cbsBQc>$|eGhuAWyOLk;s*n<7?85NLcrr0^G-J9h;ZB%S>gz> z_|PVKNDT$-@wD3>Jqzd6>EAIde9=6AfzxKgGGrlo8`GY8@#RhRX;=L%$&kv=T+|(_ zr~n>`hk0z@7B3YfKLK<$dc8gNW-!Mc~wO!fX+a>0qK0l=%Wd?RT1=5nilG2aTNRJ>fJt}o2XH34k}w%_oQK(;=m%-az{)TKdm;(1h|}SJvUW)d7(!Q3 zFI9J7IX5?SS513So#8cZ;ONyhj_LLY2qH;W0wGYKhRrv6BXcgfCK=e{M?Y;#cP_#9 zKV~+%Efu1&OeO8*11G5na@fU~w~iGtwGzyO|a9%#RW zT(zFIT6HxA#o?+rO(q_3dkxKC$27ad;x?MWAev^3Y1xFMS7-~S4}PIX06w&U+vvF` zrtC%cuvb9AO?b-+H+9134(vBI#vs5>E2BrW_F&H^AW3x!FKS4C*|XtLlN}`iZ^-VB z4*SETuiNKaWzVL5!IvnLx>e_HY6bl@a+Nn~XpLHn-Gy?>00PlbQ~xrxusfWwtczz* z31^^Le17D3^W*&_wSv@SZYgo{9-z5m8sOi~=4t)bm@HTdS1MyJ8~x_=-Lbs(7lpMq z=*c+f*%+tWEYD1T&^~o5i$^95k~UpMVL_Un2owb_&584k-GRnqOKJ?U8{pG9QnAA6 z+IQi21A20}@dRxBeeWfBP0EcyFv4+}G53XYRe?5e{+}wQsWZ95Ltmt&DCTPzQ?Omw z2`8lH8$=LECY=}Dv85;~5a)?O2Kz_Y0io1MLhYf=&tX4*y%dadV=7&2F9{stfGmz- z<0vQqJ$|B|oWS%g#R7qkJDef5!yZjZUvOaMxNff);Blm#Q7$I*jd0pHU~dLwx3rAu zhYdRUw0bPB5$cZ|r(5ip(izPhzL~iT&#MdfS1T-lk?q!e>34LTiDzb_7kh}N>1Y7o z!kB3U9`K^0p}+!Pltkn; z4}g#Ac52w4Xd$4rIG&9b^OxQpy_x(|OwgzIfaeF!a907i6N?p|qLl#Bc!MPh>c})4 zh|tRV>^^$dBm-Ymxw!xj)r>)DEdQ71&Vk47T+bJO-~?44K<8__^v6~8$JDMKc+Hhf za~8}u;qSez-97G7w|jNGD0K|tE1Rs>Ob)ohvv;nCnF+d!b3oDuhfAyP+_)~W_V{Tc z-*?tzqhHLu=$}704d*n-AGo!#yRkEcC;LA^uQl)>?Ot%Q!^?GF z6a43YJYTls**L9ZyY|Vm(L7U~s^r%w@MC9{wNBGq;WwMRJJ0QG?``=f39C_aq_*k9 z)|H*DjrWs`5)agOH=nt2^$Iu8ym9Lq4~ll}rQN+}cD8Z|V95wD>&o`t^^F_bH*e*S z7r?v)njt6wtk1zCw>CHQ%L+(stcrboXNx0$X*3-DVL9bmOvR1FY|)3!Oi|VZm`b0B z9@l-B#5x^=A=t*7$92{Ij_=95h5)uL*P_AQ4fL#B0ip%98!u_PNBs&oa)&eDRyY9Lugq8V!jBD!G`dk?UC)B8+Z&MwkS{faqnS0@2ou5Om z(t=d%)@FWtP=^ER79Ad+hy)^kf11iSSW)hoSqQYeZl)8=*9S~d{ZT|o)b!)c!d-OX z4Gy?e?ssa4)!Qbg(3)$w3A|o6gAKK_5HrGEgw@|9nz0Iihl~u0yrNRjOqT|KyAyhM3P|%ii)PL8ALRI(tD95zBUq#q7PZN&SO z)!<^h66q3Rh@>jEw^JrDZAdLiau3?5NIo>!C%9*kWbsc2&#_zdt}cDq=@Lu(D9FFE zLe>B#FONH%nQA^RiQSQ1VkGUjVo^UjK#%2Bv0{yQjWH(os%t_C%2IUDcUM7wPliv;J|((M3^9tyDw++H$10Z9>nj2r^|^Y&gjL?hQETcQO{hidbD~e6*FcoUb$9To5ULv2LUARq zZBFf*MuNlS?A(k5*Dbmb>)>F3^?A4a<))4HM|> z9dW93YBWDX_NtAohUbHat-nrR;2N#o=4N44m1HuZM%Yq+1?O@`f)-w52ZtBuLK9Of zy|9SbIDbX3>(nu;adRN8)}nFA0rQ7>3s?>z_>fbO#^Rs2Bo_rt-9$UkijMdqLY8d6 z@kzP9gi&JwzgV|p0jaCQ{HN-U@3E*Slj+uA8`$VRgQ^z~y%^WhI@GN&n7I`-bHdi4 zPG_b%%5g$}Ebbd5bw0K%^lMR@&?r2J+nG+dsb{U8X-8+>rC_!3&^@XJRV^U^_Z|0u zsj>$!zt|tv2ib_#YW#k)oy2#TAkqZ6$#}(|t(@z7m?T-PZ$7=TeS?>-`a+}%XW0;~ zH+e!-54$wb$LZQ!VaBe1YIRKw?}(mI(CZGeGy(R1ODsVe-72}w?^mRurrTR!$J@A* z4im2d1FZG>_E!D+*7g={41Ro&f+!OWT6iaL;4G!FIdfCrWEIb2SwttQ+fk+7=DvF- zXA$mE69$7e<2}*nP*fdk^oxe0bbx^BIYSFSNZ*84N~K~yMr>#sY}AL*Oh;)#g`%Q$ zOCzg)NHZ4}%8y|m1duYWq`MzyBa=&-?^1>i6mITfIW@pa;R7d`(J7-dQMY6!93Zkc zbe(H+rmA<~Z+u0?eFv(XjXUBU?06j47Y#s=M?vnqDjSg5ro5uThn9%FK8{k&B+A%+ zHh_5X9iDm{a>XkF>Fj8~-=Pk+x`fGZYg$Hs!!|`+y3I?*8EyrA+<>I=y}=az)&~cj zp()~%-8ujg{8SFbVKZe;|3!H)aJr5tl(DsXPHrne2wTQeoOq-wqAjB_rz9~8dz0F> z9UdZtT>bDMBu!%th@P3CSI*3+1 zoWF}Ja~M{|{sybD-=Zk=s-dt`1LGSoZ}77-9HSRij67wij0EK^{% zX{zojAWiNIC=M7_7OOD}w1Of6=2Tb1frfNJo%QzKww_=E)Wm?=`qnMxT171p!*dX@ zSx170W*KEL03!&-X_QC5K`YB?x|L@Qgs$EFL%4Ood7U;n0LYYOVJE(4+Bi0UXq1EH zx8XL9IOLLqI15uFY67}p9kVBB<)XbKd^y(~O`M4$x106rd)qfz3&DN5l0u5*_J}(~ z7Br5;B6EBMdxK#cQt`#t8`jBB9Ca$R^3C0y`m;Kt;1q2pQB362Uo$V-&Dfy&Xx*K# zJ>WIFNZxG^2Xx~Y{VNH1;m1RNT7%+rrW<@fC80BsF*FX}m`-WTx!vg5xDJ@;Qb{}Y z9``qc)v7ac+T%?Kzac}Y7^N@0%N$>8N^lAXgOR7Kd?-%94plz$ zP@Gjjg5&z;%}v^DiudatYSF{okRGTr0o9t;sQj*uS_CT3ux&>nbF7blS~0hCt(eEO z0WECbjDr_}2KTdmuMgUy2nvwSgik{~a+Dp}F#z^5{9|dUtS^$M!k)T%{h6y>9T7JAaR(U6>8gCYTH|qXYOh!Fds|X z$-tEJCaG&QjBCq^)JR~AO@6+@D!>1unOzXlQ)@Y<%Bgg=p=)t{i;nMN3|qwxsyB8XO^+W&WIuMu!|!^{8NH zc6WsGvT9l-8#kMOv7Hp3H*K91)d5Q|bS{J29@k~|N~S({nyMQQDqcmP*=AV46xb%C zHD5(tMr(uDY0bpcCdAJv8jp)Xhn{f_j}M}wgz!vbk3^9u$w5FCzUS~GqqrcIRI9Vp zZ?bM0kh}gC4oq8Jku^KKLcu?gDB`Wn>zwWw61mYxM0|XIXf`%SUsU#yRPosO_hdz= z@3W9DY%3%7ldA8GjZ(6JBqFkT->BmrWdN&yqgSb#Nxh$m7ST+xf*b9(ZQ9P{hSdHK z<3s&pwC+L4!K|~e`wl}tgVVINRt;Y~2FxXX!lC6gLapaavVxx2g?+E#y|8_BNHmSU zNJvL0FY+~iikoETGdU!c67_1;rKq1aTvvk<=>@Vc&<9yLAnAD6PKMsb8fxyYaNKbD zB1U~!v@v7E`S4o*wY!R63@fcVYi_WVJgSd&deTha}P5W__)z3-&R!=dmV-c`2*-R&$ARwYqi1$VTKwZ^|0I+F5z7Q22x5=|8 zqqQou5WNl5N{V%8qxc|2zOONW*e`5BA?n?<*X(B+SKk%AgO_46{S|w1g*>@&P-CKh zBk)qt-Vr@f;KeneF=C5;=o7i0)drLOxZP7#Flp0RQuv&#UpK|&YU|yh9|u7`evl0~ z2q;xB0;KTl?Hf%i5Om}dN3JUg#x36;ml_w2rL%+(h5tdr&_*Cswtqo-hOuS5oI2S* zAU9<|>k|Ybi{obCv=}|%q|yP>vUKHtE>o)54s4w8!3%-m4X?mv8zkrfOxAso69Azp z7mA?q`9qZ*y_)xt$VCtcS0+Z}QrMN$no${KRFPQ@)m$b;2&>^B z(LmxK2NHF}`FIme@DNFv;<)=_{Fh7B&- z2=^beqi#Z-^t6#H>VXPUV1*uUQxC9qiCVjX+QAgJyC%Tk zX1}^@uVQ%v3LiXJ9Zew0vYvSpRmSv3k+e8Rx_2&oU_zkoV4pxbtqjexAJKReR7Vyz zPV(NXyfrOEYs$Tj-$hS#GK5UBja222H*UE|r5A=g+lR#2#k`_-MsKw)CejN!P0V5! zgc}%YM4EXh;iq8U5nMih7&K8&L#Etd_uhmtNB}Q4jK-Nxh>TVy@%!YYB-JoHWCjQI z7z_m&KbMyuV*LI+AxH8Sk>Oc69~B*gOlm&K94CyMnG`@iPZ@lw7&a?IMmE7iq)Y>{ z2IPh*)s_Bu7V_kY@qFm4*`X?szSp6Vts z-iPyrP7vu6(Yf9XZDx3o*95+qz+L)|-Y`}s~&^1Rd(hur2;$HD^4|vxz?34&Mae;H9 zd~|-I*U|-lJLA!)Hq%fTGwD)pD`ZSUCs`U-&J3)7U^_=u>CjD0aXe(-j@wM6J>2dA z^XMhC17sE5Cc^%3ILaFIz}=M3)MWkQz&L~{b2g_ZFk2jq*1#$NZ7vJ6@oTLoHX4CP z;;M`pi)8Ql18daCo#gI=Dn6*Ix26~}vdaS5xNw6fHc1QbSwl_DSC$ixhsFBoJd&C~H)g>a%25~xh zG~9M!%9hIJr`FqM)C<72!79C?U_u-%5Yl=_yG$MP$C?WPq*1=1=~BMg?ka!T(D9F3l`#k zBuR(E1!}3OH7sP42-kJS@)|K*JXQ*NIOhr^uKVX*S4ssk4Tl|0h%91zXFX?@xxSdO z>W#8+doeh{zzOj^*hp+rJ~c7jHRb|xoMqlFJ8QQ+?mM>e0;1~@-J-`2?X5OX;wkF? z>;zauRD}2`$zekO+p&!W3^!Ndg4=Xq{F^)`h=nbH5qERkKlIR~$Q#a|(m z2HgMEHbk}G!=4)qC(j3nzZfvbf#)2uwSU7*O~JD=UF+XPc!o%OWn*_sO~9dh-0wh3 zOwp-qsPr)Jq(p&=#)LN0nH|S$IYDM3$Uf_4+_s3%$pq3R2v2ew8KFE4xb9%*!#1~? zRfOGP`eTEPTjGu#=rw){tnc1`f_H6oREq*b1+s?~d6G!P_l`!!e837YM;D|$c&)&3 zv)n4UxHAG;9OQIFie$@7cSs<^h3TgDuU-*7hBh?*%kC=Acn`e-^Iv*}Gsnm4gzT8d za>kfH_l8Tv3vE$E))fL?s}B%JxbG`wBvj}tTJqp`*&XOkuCZpOH^tb0G5o>?)p6Z6 zV>%tGJ_iX)*vZWdCr?hv85Qud=j-pKAAg9Td|-zog&RjBeCqENfL$VZjJQ7o0P2Xu z;_>xt{$=5Kk;7&BX2A$&F-PnL`tJw%r%UwaduH1Onx$0@!Gc5u9sfzB_Vx3;`X>g^ zBb3;2!L3il@Cvy4Jh5kgPeZ|cY12eXs2-^4p!q+fSR}oJ)uBVCY|48u5?@Ga4tetg z2>A938Y(A}e*t+%i&_+avq^A^7g`C*GnO3qjT$}O;V62&A2MiYI&+`M4QQzvgVS|( zQ5CaA$94GA3*3NKpps<;mf)1 z)u!ubJLr1EZkTo}b)zc!GtIPFMeVK3I2_}zoYFn%jg}lU`OLT`?XWXtSq-&{CpxaW z1JGO#C7Ku+LV&vL1w58GMy|R_tI7E;NxrIG8_Qn0F>&Jp6+$AWX!;p$k!iTt`>EK3 z!W`E2kmu_45cRNs4p?6yY|(o*?Q4gfy8;HnjT&&AqTpw}Uq40|-4pz$N@^R|m}-^B zh*IqK#7q)Q?$-SPS`AWpil4RV-lp zt^PrePlvPXKOo>L43#?5+JR+Z40>y_?yd13%Yv!(?#R^%mn3^3g}YJVuS)f|PK2=k zk%@ARz9l`6d#i@27y=>>5B2ghqX7+{Fq;_2A6o0fc((8TWz(2uM zIOhNCr8RMXFP;^b^OR!aKqZ=VJbqwY279dI1xC9&^uSFe{D79hz8#&^= z0idegp#~RWC(svxUy~R;O6u%bhNOj>;j0FeebbgMA^sMQ8$`T>1gGoD;U1LtMMfFV?yAwqQ zR^j8Vi)4UW#X(|3)M082Yi5{sHPR1pni9kybokC1QD=XBj*E#G62f|m(kX~xM;6@c; zChNGxCpp1=0@a6ZJ>nF8myrGdpLNbt&b|!*{U|llS}~Yas}Y)CKRDW?N!{ap=f>85 zH%gt-U1~sxx~@^@n!d zD8W9hP5@v+lhlB(hqj1$_Re@6%sun5y`G4DlE z-MrfvH+5Vc9Xm^GLTk{8eLpAk_~aqv-B|2U_STeMpn?XM*2@!ab%~(ot`|~&N^#y> z*qei;oUQ`n9A=)8)HxRBLUHX058Al*+F%#OJdhu{nXY=3n3gsH-(Uug5&Ce#JriFXL z1-w0zXIY)7og0tv4IC=-Kw+PMv=i>LrE(PX6zIdu;V2WQVPR@3up^?I;u%)_{zG#l z#r`17(s{}seiRQ~)61D!rTCR$#8+-@U%Oi0c=}5H8VxiRP9i*cXTSmWPAG1`F@1Ni zeDg1oi)LN;BbRTZp{-^wrW|>5bK3D#boqwqj4i!H7=d$(hjab3rX4ST1&<;EL*E4~ zv`NKus(Lx`2RVk?MosR{M5sfO1x{zqlh?M*o ztVxY^kc9BeHBA?_$jN7aFOF@RL;VAhtLSMLguZ5)*2!AnARzTx>!y5wFzOi1W{hHJ zWs$Eg@(WMggr=~k4AsbK-4ltpG1XA5F@7ws`^vbUE2K0_?iFgF!u_(8vSUm*x2+16 zlag-8cuM*zeUy$a)Vi;4NS;Iea6MIWk`oIk+@ZwWP~gz4s-oF{RIan@M3btRPjp$T z6%3tn8(`#}ROp28B^;$ljB`gBUsKTtD5;uzb`s&8i#(5Brz!ZL}O`?nUd)zUFzg|8N$6_^z(cy2vd8|Cv`5I%epR z z7=R;|+IkR*m`+5On8{7X1%Aw-9ddN)N?{Nr^h{%`p8W6&4p~HhY0oBwp!k?w!OP&% zjYZ|dx-Xc2&`cTi!JjybmFxOA<%G;1_KsAUAbPys@Ix~sWqDQwleTAeUSrQEKS4Aw zpOFt`$^nJPKD*iC%0G>{2bBQ@^Z-xonf!LYM&N|C0sqk^{nJlZ=-T~X0C3-A`+x64*s@tmmhM4^ z*!aK9H+)GtaGT$0`4oC=hP67Fa6R*}DnBM!vRF&%hbI+DVIedPwZUJF)*w$|n3La3 zIiEX!L|e*v0^8+pi)!xumKhp`8>=_MI1ey7=<%140rn3GoqV-6*jSg3Ij=uVd2 zb-vF79gdGKYGaxE8YL9Ja#n?WE~0U-rZ9i$tS|k9^s2Bh8PVxj5rg@?@u5RDM&w`v zyl#8+EWoPMzq8QPiJ1!~Pj!KdJi{D$A$l8sSTcmJyWf>)!b*dOml!uJO`tL zEUgcC#?B!&0K*w?>8K+Id*~=-uV1H5nPLtzKN`og665~ncQL7>!vTR}N?|Rd1SGlk z%*IvXYZ^Z2!q#0>_Gn5BnCSY}_Kn?tz4}vIH#WEE7eyWv^NXv>zXK58C62*PoZ#ZM z7Jb4-t zHgxTq7B-u^JH`d!4U1MeP^PK3X(V}lbK}-7w}rUAwY_U+1}f#6Kdwl%>(-0{(fWk}shXPuDsgdSDhLw4fCXG9|1!anUefIvpV$#!^Xw{~t`qmO_B z3Lu2wf=;h*{QuOwd5ol4av!FDo?b4uYnIDplQxfCa(2n#@)+zeGrPLFn@uiIU0pL% z-aclls%Lif$9~AGs&Xf<&ZDY^AOr)pWC4O;Lx2p50&G))2pNXygRtR~pk-Tx@J0c4(CtaWxS14XAY6zCvcCPeejyvcw3Boea0Vm$Mf*u1Kxuh^EtwB9RWkT#8NaU8x=d<5Y3$@p^^&{f^MK5 zw5r_@ zu8OhRk<}A43)((^vDFh8|GjXDVq@s&`03$(`A~Ns2{`zu`*C;JKNE}8G#MI3@J_Xj zY9?25zLMf_?1sdU4T+Kk;^zHSg zZRexFHPf|!*BqsdY(gSt2r_!99d@w4?y14I2g^u2O*&;M?vk0?wPUogoJ-ANmHs5d z#K@!>E+9JhgF^uerJV2J+$wZwRbj0PD<=;8c3Fr%ekL% zV1f|lov)hGjdb1*bk0oY_Bf&Nv+9&6u9UC52F4736bp0A&~VhihFEB~-V-s+x=4ri zz3I$U-|fj3PS=Dvb_u{W~}Pq$ErLLAjR>Z(g?xB=q( z^#|wLw@jKTh-Cp6V;&S~MsuuXJPe#=xGSd0g7;;T-T4c`U=*sDFOwOy^uex0UeFro zMX?xvopanO{LMuxM+o5UCj1o-To6}Z2VIBC)kV9r9J+Ztb0r@Wi|*QOcg_?%Q(6W$ zj^7i7%bq+vexJ`eSO1Qc0NrR%ARezA1nP)`J(dj4%OOkY;N2&|%SnM2jIE*|QVD;7 zwVIGt-!35lIpl`Zqw7vEC#?Rd&BVZ-;4isR}4XqSy}_E&S5_ezYRA6+2fsu?;S^4_vq37{`&>IJGsT%rtM?TRYxvv zI98qY8VWT#*!y7=O_cPaQ`Hk(HFbTR`RZy2JUFzYr@D*CW07!oRe4)}W%^Kego+G%qhe}Qvl_z)BW-B$0()?F5N?QylqN(?1}nN zXB5^I! ztl6{&$?_C~-gFoldHt!2UL|>jbjCW4!psb@Eruy8Y&3ygZRVl5J}+U!detm-!|cIp zjNusDa5_p|gfnoa@BwkxV0<=z=nTXx&&^X`Jt%#{Vd$8p*9msz$>{2$xM*~7tM!1D zRruTcPmhj|zVT$wbfSo^?K8gbsLp6Il#U+~y?on=l~sLU60T6oCO=c1^AReCIV~&3 zx*E)uO-B^Ji4ORsP=@6*Hkz)Uj8PX*Bi*g@MVL?KHp)zw=rVxg0A8c{5YrH_Y$^>}{l z3q}n1`oT7nP6Qjzd(||l=$I%}5{_4FvEU2Gwz4;b(sA4FwgeE;eN?H zaJ9M49XQh}kNPR{Qp2%he;oK$Bv**5B)UzdgX~7DEL6{h*95eQ#^ACiK!&Zl$2wg8 z^<+SNoOQ68rR?B%ESFThf?bqO%OwNjv3iB%oR}w~X_^)^67ijz8E-&!Kyxq%aK}ze zlbI@q8eTM+3QD-dk+eLIgQ*(+CC$%ai3P_%&DKe_V7A2vlD8s=4#Q z>d>MM@oqPmD_?<%hlWTb|Ku>L+T?tx&us}V1TNcq^wnZ_@A%+@{q#c8RbFGje5}0P z5ypRbysIIxP#lDTxfxqIwS(q!6KHDY$D=PuN+@wyFn9!u`_Ny{Tcrc^T3ZV0;jI6$ z-K17A3LCO47Kwxwe<&LzVs+pr1{U*+I>yBzaDNx~WarEuUkKIk<6h1jdAtG4(JBr1 zzkVF2dZdU(A{J7i(zKhoa)P`~hIgswp#_pcxKpBLn|CN+g7Bdw2t_pPh)7z|IFD(y zy{FHf93MP+@8ChG#Sp`A=PW5KVSUcdg$Zo3TTO}7PMsGHe-sTG<0ongu;p&$m1obt z+=25{i0Mbd*G-_mkg6iJCr!B>L}kEOYZ*#m_Jai<*D9Y`ktt;z`5ZOrd#36rMkoMM z5mp+X1PKKjFI*m6f590(HB=uT?H%qO2m8BjV!h~}?K4ZrnJhiyuZP_ucF@s-;=>2F zeKh%En~A@nfA=>GLO1;{*a@87l$5WjcJGI&Pq6N!dzWW^EZcYKfZKYWVp#N+81yUV z!+z){`H`(3vN$1o_ru=FMPzx#xm^{{UJVI)Yk)dh@Ud1PI>4`&(;k+0pc3gwz}T7F zWPp@I(>@`C^&pgnP`TxqgA}h+qUPfkBEjcAeaDrDfBQhoC^eG=^9w=Bi@XL2nLIw+ zm294OSXjMz|H{LEidB6(xv(Yh3bjr-E&KTWMB+DMH*5PWdjI47gZD*pYQ2hvJ0$^p z%blZY2mZYs*&Sq4X{bGclx z1Yv~xf0{|!(v|Y?6nIO|C0!d$zCal-Vs&FQ>>SKbIbh)Ll0i6yb+$CZQSN>crr0rG zY}CI5YRDwd1RKQlW4JP33DH_rr^`J&;$5RL7fp88A}O&!1Xl!+oKL0{N|TEV#G72$ z{R)cE+R53(SKBL!mRlq*35w5<&Z&?N#ul&$e^&jWb?QVeivmV3p*xhd#{PbYWgAQL^No6d3b;y+{mNz2{;7+T9b?lSmPO_SATQlsC8D@CG;ddqr>F zmAZP@G!UJf1Y@>+z6zTL4jzW40r^0PrbSxMN9db7g8UZBFW*a!Q^FcQy!C@Q|07sF ze{Az$(MaU2lDKUB8*h+eB!CV z$H}r2>r!4_s$}ukxuHl2crK!8p`SJE;b@pJ7(h%ixT7JaKjL%EH_mf8SQjjHm)b!X zE{B$sV%cg7y+aTbK6JQXjsO@7CR~p8fBd7+>mtiivVtdq?|?;;hr&b*bdo~HXoTp< zQMP2INXxaWflt3*s#^cfbliJ%`mI7VV7?r@a`7W3SspAPViwtFS)Au6tKsyyi{8x( z3ERg-$F!HO#==J|vDbzr_|EDn?8v}$-RNN99_kWS3>jkfQ5L9%D1bX~F$s|He{OX8 zYK&P|ya{aW(IPSKY>OUQDjs=6r>sXMkhOEsE6N!;>K;8bU&WZOLCm4MpbkWmNzovD z69>8$dgY~QtpZ;bL?&O0`^h@&{1J4yJ(P5$r&Nw_M`GFdr55wE!O23CJ{7RF(Unvj ztTK?B1gKvIpI>$bA3{qtMje~UM>LZ*N+^3wlWRS6p!pF&;FMOETQ&dyC8H^U|> z_G0O)d-4@bUW|)!rIWT(b_GQ8u$GDPWJ-~6V$t)T53Mzl1dI@2`6I-QHkhP(To7;~ zS~faRFsjr`@mkCC-?6igjAvO4RWwS3tOAk)0j}XT@IYyKass1@q2A$Sf80OjXO%9S z4C~1z)a4)(v{@ymXcEO;wWAn0t?IMM*lytOpvS*=Szta8lHAPAjK*yu#lE---V}S6 z-Aj`zzdCn`GrwpmpSbJ2gh@n+Egg->;lJQ=IXubMWW zrVaU_vL=~o88fi(#d0hle;uo`UsS9Ri0q)SqG97UQa;hjz0B`YGHrmi8V?alfVq51 z$&CsskyS!rp|&78()U=ndZ}w*q0n0gy)WR9Dly~}v&0I06zVa2ZMdngB zDXD-;$v8m^enY8yie?EF1s~r_*@fkA) zro+F&4@=S8__`;uFpdD@Bg9_A5)=~ZqhcvzTfJJ;X|mC^mr!IhGzYjI6QafO)8g6D ze)0aJXGdC2IrrV3{`m^Jx(3u-5*FhkDZ2~NMT@81I2OGkfMlHyA*=K87O#QiI&=iP z1SN>;rk3!@74TInf3HyzyU1QsP55HiO}xYvz^oo{DHYQA&ep&8nt?DOAaF2OetBbb zKcH7&(N~74M03Yt#QW7ii&;o8Fme1%px?Bv#AmI4`0ZQ&Xm2!l8}XDc0yY53YLpef z`Rx=jB0GEN9Uz?^?Y_h$9LSB&2N+U-nIe|j>sfQQ_;p;s>vUvI^z z+gf0}l79)`{T9vr^pT-55K}d;V3BUmk5n#W-~HIu4+GWjmyat|H!*j4N1}q$uzO9X zB{;*Lvc)Clxb~u`cv-kmK5GZy8jUzAVYYiT_QmMMQR)z9Mme$)i4G?(^d|dJ+AThl zcnTl>@@iTje-ZL@FD4aIlg3vKinBJb(999&XUf2JfBCvWqB<~{e=UPaoFMr`x48sG zgCRC-@jhu@<#MJC;_+21_3-*Dg}!2cjD_FKvH2#*&EZw6LGB2;0e`NjY%TLQjdp2^ z2RdkQJl%8F=JNZ`zvYHP{OPw)MMeX^d9{i>mIWBAf58rm7$Ov|oL+Q?vTA{88cTlm z^aAXn@yUQ%;ko2HgJNYk)%`I?O*07C3It_;(~qmN!PCZoN*I*cjHb#*kWkoCGXNtT!^v16{ak>a`cH&{)lvBrB?dEeqbU-q7zNuF=zQ?x`2E9! zr-ui}-#`eFBYwUW2OzDepT)5cD&s!hl70oPf3yJ8c*lPq{1f;CJ{7l)#SVk9d!6T3 z-iQuy<;x`<-O(HjO^|C60lxX}hsEC0C+{4*%S2saA>lnfyJ&yZ4?b9hM<_wb6i^;p zDSR%kafPVgB%zQ4{z$Mji1TjOY^B8%rDDJz-TH#83)2DjBY7FEG0s>R zf5zq&V3omfk{d-di|-T0>X4+D`uB^1c+JeQ$}|V?8JFN47RU#W_ID3WzR`}qxb^v& zzFk;2UV+Pv0L#RT%c>LJ5Q=__2fN^K?>>BZ$iiY)D=g@WqS9J+#n497JtoQ4neM^iST{Z$cg4VqM2O!$-iDUD3M>qR zyl}5@Gbr+B3@Z%~9$2j-J)48^Ilm;AF)?)36s`af#iBbJzpIp02^3KoEsL!-nDo?H z@&U)>RD_eNyvMiRV%eaRhZ4sn!YD{V2!LHK{A(#@B(DT^p`HoJg}51nPo6z4e;)0B zu>a_Y|0cN{hdqG0B@{BUqz1_onFYKie23g3zWiV2%kL~l*v51s(8QPgcU*dZu2_&{ zOW~wfF?qe4hAkRqkzDl4zq1^SMHoV-60?mrSwT!75AQ#D81paIki?3OpA_{GOG_|T z5kmGel}$cod_31o+chsTE)Q+C$ZcW?7M1#gYas@Wh?^@#_Z4l#+%VqGfU(N^Yy zvIL3zXz9B|G>60t;j_kZkd85>((1wB)Pe{dz8ke(dD2vf%^(;!rA&?6e{*F^;1=`a zYk%OikhKfT6{F^-`0N5XmQ<7u(u21U$xMB`zku4Z2cm$?!uyZ${_kTHa+CBG{2p2N z(WKmZ?s7)S$h|0qv{73c9~=qTUNOb&i9zQ|!x_s=V=unMI1ygv8DP;2b59V2bOB1@ z7szxeJOEs@42le^xUl)Ze_mnBID%ElEjUH*v9UZt$4t7hEVotBjfKt|ucoCU*vs*t`I~Nte-bS8i4Fd=o5g>V zY??ZVRoWlaq-dL?gFm>>TqViJWKNU#7&xbi4;NmJ+ip>t2Q!mpwczT{Dy@Ku1f8(Z z%&}0?7n8}*p~@g3qrO?3ng5+m(4j6p>-&9`nn5<`%?KCR%XY86WNcOht`F=IY%D3a zj{7I_T@sy0)#CG}f1)jNV;+I|W1*l~Iw}_pjw?%>)Gt@QPHHNmn=YwDcsX)eWDiLw z4r@)9mwRmmmYkED$bTZ!ym-!vB=Oy}4A%_2>15cJ{g=ECO}jthNC=WOA{mGZdLuKf zPfKEmN`fvf2?;LW#R`{C#5cS4d?#E9I|;TOe*a?Pj7*XXf8(AE-T6trl-03IpdWZD zhka>RD2KXN6_msF_Jys&>0xj17S!btBQ*w zHNmPuV;2qB)~_XV!!G<||%fDNd8lLC9dKlQVf*R7NXAP;oTp`Q?i7_Sz6^QGW` z$C-l9(�|lu%L#>Ym9=oM5Jq>v13-*3h*%idU}xa3OO}c(nsuBc`fxmwCK*$N3wG)0-`7-&eiNox`=OS zd$^2n&XU+8d<71l*Cvz}KLh0>Z5;pYn%hfFwa#SWW>FB8{4Z?o{gyI+9IIR%Cd4wz zRkh^sr*Z!R7*bBq>ccS$@U2MF9+?)be+{m|mX1s)56fOH3!I1{LCm@2*jxOg%sucC zGemjip}~Z{VahNNW6_?SQeUgT&9LS<2nr4m@%MrxzT_8FMzkv8RvMgAtQK;aBbMVhQi|qieLT7*?XiI#w9>cdl=J)`nYi1o*Ed{&`ZV z%F%`@Tc~QD^9swOvU;>aufNccfA`vHo)x8|uKLr<^Bf#s)kxzc%r5t(#P(?b(uKL! z4>~0yJb&ZcFz?AFki$^&{vUi>slxfe@dJts7LT6t6QcqymVX^=ik}-mWO)D=;Z?|I zUB!IOprxya3n~ZStQYv%kd=79{HCe)CMxOPJK)pJ*lsH#Ky^C5%vla|f5HO^^IPSV zuLXc+U2+K~Ra8uLW)3kd3!3D*QtV3uEDKx%o+B$k`4r^@dpLkds-h#1g-C+^mG1@v zADnhvA!yit_q%1%D9-{^3AmME5>oe+znz_5nuOgE zq+dA{^H281naW?gQY*$+f9B>jW)KNDrPT{*wirB<(4ENs?PxQbqJ_8_tX94ld(r$i z?vj%EIXsD%r9y6STw=IIGl#P`J%lOv_%GTYTgR{s0CNvT^rnc|6_dR-Dau%+SGbNCumsu z#A<+<-C2yO<$+d%V%O(X#)^3oSRO-*a1s#xw$VT^@j;&`OQ{DMHNdYJe*i>`fcs3O zR?Q(m(Q=}K%JmAne}zP$X$Rq7(MMmtiM&}+sjD#QOX^~#vy7vma;)}|2Q9ki+um0L zy?bU6BwPQpunZ$c}!+r05wwPiID2BvP^G3GxX*b8KTn5)qyL**uayqLQkaK zvTi-B0r@g|Pn2oJrfFo%{g1C<#SW*%I`omhzHwt~jm9s>(yLV?o8sZ71xOc#>PceP zywuJ0%0|9He{}+Q^HkSA@d>QSko+UozVt^o|L5y9q=u!smI!QJiiMbD|YZYY9*<_Ep{}re~0XqdhlxCpibjzYzcNfF*I%-LWK9c(u((1RbZ*6_;+3wNqVe#Q?dh>s|o;DG>7BvAD zgwxZsI(Ld;-$MJs;;BBihVZ$g!Qunh*>H0DW-la3-n^wxxWxyO50t&R^@dQEUVML# z{&hq@e|!2rU$*D%S-ExBx+^w_mI3MAd*otRK$VNxqqGTVr`_>*0=x~xI#S0eUKc;y zQAET8Y<@A6whnhD*OJH?`~wGc2EtbmSDGDnr;;()oz2>UBjE#RzxkQ1@8A`7!iD&c zKXa8>1K7ju%Yi$|u9%l-ElU(YTk5hwhiqV>e=_k7S29|72B-yARCLeU<8gl|vI7nE zcr~cFVTrtYsyZ4JQNOw~fyrw}t1}*?;UWv}iu%{rdGsyjuQMLw=Y&oBtRs(D5om}* z3Y&)w)`$XR9QJCx<_M{C&oL8&;Q?@eqySh0AFPqGF1nWoSV@tKVxYm&bvg|X8mLz< ze}Um99=!9cpjoiH@5Y)__~J~eshrzf;|ZnSbk=R=3v(t@N{}isSJo=&tQU`2txm1%T|who;aIbl1a-iG$z@KAehW^9P5e_ApplHeecb|(;e~1yK z5+QzjOF_m$*DQq)8%`9v%#^AYv(-WnV;Y5`RqW2-vn-lW`p(%v0jEM;95#K;0}Qbg zXGonK;^lS&cmmA;(r*?5W3VPtG4^GoksMfvOxS=AbT9qR(K3W)$Om$p!jz^d^4I3R@ya5Ln|Z z-HV)72@RP@w!gPme0cEiSjJZjdlhJ=o5Lrg3B_CiYv4^KE1RWMwoj(;2*9NJDO?+V zn=9BVu7f~Sg`!5rr*#Yvp2D0Kje7BiE*ZB?iy#pe+3%Fs`jRjb9C3{?e>cc`cx1ne z)sO?u!h&;|EYA9~i-9)EQ1R-v6Fyf5OpXd6VUdo5gjbwAala*Q#yJoXJ13`Yq>3Lc z8m7MGUhK(>L>{aY^Fm7?60x#$XD!yE3FjUlvh6-Og(4z)(DXJ8G0aOk8BDW`?fu4J-I>%*Syy*$=XHG^ zrNp;#o?brU?^r%om1U+#!R5b!Upc;o099QHMKr7_iVe%<{y;0g>xspHk$5+ow&6)x-Yff zGZy-h1W5XF4N5mPRUCVlEJ1OF@`Z2|Mg4V&Jb>AXL3pLo4@*rlK-YnzlyY~CiiOGubOgH*iZE8 zYb))?)p)3tp?RPb1`ZzgM-qa)Y9&fJ2gNK`p@30L>03)$f0ox3Vovv?;7OuRvqC&b z%ohS6EnRsFd4%viENtZ+NH|Sf49JL4Io4@t@H3#<{)MpwtaqWtEH;ZhqnSl$fQ}?5q$(32TRSCFP zm~Z>r*MmXvU#~o6PMs09ny=#jy?FG$t2tKWJ0{dRhiewyD?H|3x^6WHRGV|sz4^xz z>C6>F_@`7>=LeF#8i0rwQq_ou#RF$g`dD|Sb1ydne}#Bi6+k|`8mdso6BOFB;-Vub zuD060b3Mg@pd`khy-w}Zyp$BK;Q3@;IxSKd6YaH99LRxGRq18So*;anvz!GjvsQu@ ze&U3~iy=xV;)AQWUkhTQ7FR+Z7J%rvLz4m@-H%@EaLJDcuMrRLpLaz*RD26p`j5TL zqPk?{e-9&~tYhJpF;rF+`bYusNNyNG!vwkDYdFJi*Q@qv{ld2yPK_@k`p1*@s4s4P zJ~wQWJSp~jet7E#a7Upg8iPwh7{9cc1VOe`AWM}uEan3t*xc@UcR1iJ@{QKwMw=8E#3sEmh3 zNieF}3(MrB&}U7gD`X_0#DdW$i45I<-Np3joH)7#t|I~35g0@U`fB$+*3+x0?qSH` z)%`S1PFaZ$#_mtLGCdJi$dlJP0=Stge*nTG3^Blvljb1oO!CA)gGa3;Yacy(CfA7HY z2;NVHb|U**|IcfhHF`U3lKWeUaaJ)Q`{kMuE4ubx8ths+*0k0zb|q47!rhgo3b~1J z7)bhdY?(U+#rEI)uEmf(ZHu_}`#!ATF{4+76&Un678RQS)? zdo*(HhPk=DR66zmC^OJKMR-rvdwI-Ljt> zcbHfY``rmv6ZI4D-LkNUIIzCZIjxB2sH7C~Z#I6eqp3f_l%(#~zq ze|CyMF1+2|rR*#44t!1KkEC9aaH(TlmJ6zX!vI`k9da)R<8$JE!FIcR^DYZOH{%NS zbkPQq$u$5Gk12CJ~<1UYM4{y80Z#$;9VHHHA&Vmo=YF9D?#=?Vc z^Go+eJrJydygpH$`Wl~Y{VXz(5{}07_}h2v#?g-};{bfwwEZ!N$)*@iZcZ8S&i=T2 z_wts#tzqA2+~cQ3wY2ANlF<+3GP8y;dA%$j6d7j<{XujSm8VEpt?`y0SP^om-ryTb1*l|jCr z_oZ0a2jRI3pE)juB8SC@c#kWCS9OS@pGs0_)*g%tFfXDbW9ovCboR%^3?-TI`DL&s zDzDJH?Sv%@4e#o3~}K%sW~c8BTIw?zd-bvn!|f5;*M(TQTRVjsvB2N@2&({v&r zSQR!ZOU_k!@`eqd!zMAWV41>Xwl=lw3P>RdY+~k#w~)jvXI~g~${qf2Yy+6!+uafGXuS%dM-7u7ksuIBLZRJF{2@i&6{%F8lGps$SZsI~EA&Xyo7h1K3eZ50GA-r-$YJ-$#rm@+Jat8s`JiG-r) zSTJyDbAoARot;jf0d5;V{?jChdFU|-3LLB+~W&gG5e2+ zc{kNIe~2kUt7ip1<1<5$T(W%05?4DzEMRx*F7NVJDgt0( zlfcAwklVa3|IO`|{@4@^k`4s~Mttz>;L*ck_q_+jql4pp{)+3nRjKBX+HOQs0D&i@ ze+HY3?>nAa%s|F;cg%!q?l?{hu?^!inJtJJXpnt-rVe*l_m?kdxHsaDAB-fnH%22* z%puqlT!>zJBiQEE2a>&F^=+lZy|#;pQ!2fyEVjOErz1=oPnAbbYq2y$0tdI1kc8ay z&`*_yAtLVY&&r>gQehvhJ+OvPT2$m*e@iVX7)4i;2~9P&n)s5lVqwv#(Q;Ct*b?lt zf|&kEhYN4~wr)Ubf?edrnB}fBNQJG;IJU9C;$QeP;B}Y>c*jvbD6m{ZO}UXf(_#}^ zgc9yU&rkN~3n<`qx0-y=)v0VeA$MUU`sBhi!FWCyTd$pofw)tgm*@O9a+mq`e~Q2t zcq|R4(Jm*W(O{wNpc-LwGC7z&Iw?Gwb{TAuyUee<{E@V|2!vBwb&N?!lrR#?NScnA zZRFHK0}|$yd`M>QIA>>@<(q{?3%knpOXfq4P_wDz=CnL;Z{K0+`2dy;yO>JkC~0WI zkPW4iBC8=Bv+?HLlG0P=_G}>?e=-JDFYDnCFkCdtlzaqHqFd1#WQI-ITN_T4ND@Pl z`-VD?36-lE&kAYRC6|Q<5Z~X)8f)z$wNjicheL`LI+45sjf7NcJB!xUf`^F@SRUif>lbjH;7UHvgQo~0Q9R8aF~NXfp* zeya7t!HW*(Q!w1z0?80}`GV;^esD-H2^m@6h02PS8RM@h3|?i7s9cuXgMZu#s!IE>(|mr8G*@sE@@kGb7Dn*N+-vLMcP)j zB}NgaFnE0`BXv%6j_NOF;`eRUeeMv;l4(*mvlzQA+}1mF=k@fgfRe4N73-Tag=s z$d=5_8)13lE$@}scxx0;V$Li{Nl3!hxmsZcNZCdf{15A;HD641vNxaE@?aYE<>mMqDA zSGoe+dJ=PgP!_?GO>^>5jV0^j4KJ0d-CVzMFt+dUH?ilt?aLWs{tT$B>4rHbjFjb;E|-;LxVYa!hABZtxM>a{cvs>iM(x#pE>EfNGMtx~s}B4^T9Gxr z7Tlsyv6LZa(_2nxWDd*M!vr}bHg8~5i+J6Z%u^IwcY48}af7+nW-Eus-DTnOWFYz3 zXdqBL=NJsi?CfbV^_(B7srGpA+UtH&a`5|u2_Khdf2>t$UUnNLI2t=#g^WA948+U5 z*7<+;>@#slVklz|N`c=3@5UfpbCz&OgO7p!r$I(1E$=}RZ?jk+vSE=x>ZNJRyF@8O zTjUB`v;*HY$Cp)uR-J^Lfh1Lfh_fHJ4gEw$Jfo151dR8_y$9DYk$qdTZ>z^Yi-d3v z;X1wffBr&?WQpfMm%8_(!BRN9&8iCS+;awiR5|cprQ@IfI=)B zddd=s6PI|&)NKt*1L)>27WNPB+# z@s!<@%`lVK#Y6^nSg5I_*qN^aF4-4tRUjkVaK0Ockql(`77Bxf&Xrm8q%wo{GdpFn zg+eBT_79767S0Nsl^2Cnt{)pX>fo$7e{qF30bSkz5ip0s%;_1XP>RXC8V}}1G%%S? zVIb2~^VR-qVK#@W!>ft`oHrhpSZ?>Knvd{%808r@5m;RB-c~Y6X(ayQS{TqT3HkYr ztsh9B_LKUlGBk7uHCPd^n&6u>RK6pxZZe<}1d z#%6&1z)#_>n)UIGZq&g7R^nLmo#3mOU|Cn?6;vRPE4O(a zElFA`_68Of3{W)=p7+qy##b`s_N(Syi!-4_g%@b5R5iulZ?-_ z9zwW)c*UmZZ@*@UUh!nizg>p3SRl(u3!9mCxuKc@xhIChi}h2YI_nSnIz4$K0EV@E z3HVU|vfo{5;i)9Yfk8#7B|^ieimpC5>Nj3XpS2?we{hm=fF+dS;!_Dx=I6OHW#ON5&Mc5PR3wYcb!VC7;amjb;IiwZ zyFBmFPAy;#_xOm2R;jm97hE7@4dD6N5?qE@hWfYzW4_R9yR+5%r3c51?hztbyyr=neikV493$}%jRf0T)erQf9y(l0g@8VjsN zzRrPKiV|K=e{IqBiqY95e!2zCN?v#ofqdR5{KXU|=mn+7cZ&20-5Y#>4QUbEK%dG= zeZ`z@_f=dM0inoOkqeBDRX{`Vd&+XLXw;kJp4>sqUa!Fs! zayAM0vs!-}N1525p%3-P#d7?-5QW&^?%$@@uzZH+e?>Ir*GTjU6_=V|)Ar&_KLJ{& z-+`O;QL%`kW$y2_qrh<^5n~6wdUNXyVaXB|qrsZ7U@=M{{!CccEIsawZlvg4{(`nt z7A1;>-{K=$eA9qoS-eX(yu`U2HPDQ9kk#7f8n=kJ-Vrq?^U58Z6BpBTOFW`vj8kP>U z;p_^&qi_k&y`P=2~XG`BKd zYiW6&rP$8V<*1f&p5AmY?GFdz#03RfdxMw`Zw?j|m=lV{yIx|Y{OUZX@x}-x%8uBJav;Lyw>cEAI0}pVIGSXr742Eoo zm_YC@VQErS??O~AA|VA~vy&+)EIAcef70Z_$Q*77U(v|uu>Qlu%$VWwW&dhMS5*=D zavWF=`k;EA8$-AL-`7!3bD7IfI_duVx<6cfAK(c9gbiS1EXea2a()bb?%P=kl zrt#}T!S?K&N|CjO8|qJzGVZ8DygI@PAOBWcJeBB(;V)oA@n2-^8oGr~e-1{H z54Vc&vbvk}!a}!+8Sk`Ap3}^p0t~*3&m*M^Oy3+@)oShc~r^ABs zfR~5g2i2m#d`WlS-n_H9P(pd#Kb=Z8@*{6>%;s$w@h zKhRI~6tvS3eRMGO`vZ4h4j{@vfBn7J6fyPf&Mc@H+Ri7G4=F3%wyf9Mn%fjkh12yZ$)j*zqndb6QY)`swDij@x?w+*xUg#G} z)3>jf`>(zqH}WX?FU0dUW2-_L${wTmq+?jbHS3QhP#edc%INF~(KF0S)NR<4TP$@Y zJc-{1uf-_m>XrC!SJ%ir(J(XJa#%&flL9}~`ky{aZrIxvbim00fB(g2W5z-6aIk>C zGzv|6K0Y|!JANchIpNREqEU4CBV|Nf%#^~%I7t~LS&Wbo!YC5o9-OL&6x4D9CBQ3& zrGWX^|=SvqT&J&r7_jjKi?LSN|lqyH%fRk}FEtrSYCKP*V3TZ3yLk5OZVJE0Ke-I0vsnHREV^&19&7e}G z;iL%WQRO)${FBwlAf;RL-tMF0aBCujjuew4JI~6A0SD}m&C(L#Wdn-WP#Jx%E77e$ z3jp?rc80&>j6leDXqTWdI-YcWoL!pXqbBvFywOz+@dR)*4ZJmj1GeQ+)YjvvDj!MO`lD_-VGrZhApr6Z>f$ljPt%Z^S@g0S=apLh zN>MfJf}77SCgY8U47%l)R7`bO;h@nQobwk34UY$te@Gi%l$$$<|5RkG5BW8NMu6ho zyo&WdONM!(z4>p~#XSA^p-ybNzL1lEFK-W*N>)mMv-OK--;satXPJQ2#}uE1A2k@h zZR>D<3rQ-sr}B}wf=gRv_F=rGi23*h$tO!*zy{Vo(<6Me^=qHp`i#Y6$Zq?Ws-iJT zyJd9Df52Qv%&eI(%mx2G)(V3Z@g|l6VY-PWieo!E-zu}%M)4MV?26D! zxuFX6-EcDQA9M9Z8k|`blOZT5L1FZvm(|7(JmtvpNIf0DP-w_DGFhC)uZ`7ID(K3% zN~|8Q9Azm7S6cu3YnBAGX*TJEuXNN+zI)<^e~pLu{oP1$W5rxthvRw0Zi>JA+SX@0 z!~n3?e_3Y^V1vD^?)TvA=n9gqH6a>-0Q~5dvzg;Erp*h{`p>>e70i^PX%ENbdH&OH zhLq^wbUf&`b+RMYa!;k&-w(=y9h@|#0=8v`^j(zwp$dPgyN9n`%%%&ma!*>(lnX_} ze?MtWrAjs^PDu{W&ju%p0={-4rP$9~lM|gyc+xEVsygklsHi0Dgz66W$GeH9FUiOy zOt#2`(hyqE(Mqth2k#vh@4k0f93A|@eO^jFosk>Snj{qA^CwLqHIe09=LwNfu1N%1 zG^XgpiMF^HEa0ShuA?)bH<--u^K{lg}Y$K+C`na)H9t=5=juASllbIo!ICz zfugruE;4gm$t*-O+W_U^0aQBdfStJX9;g@CeRkUCA*Lp7n#^l1sLDmNff;RbFYw6o z7XLk*aAzi0$mD2$+?%rmLo6nEe{X<(<_~dG$q9DQjpxfzUqTtYdFGTfV*9**B4Eq8 z;4L<7nK(1xK{JktM^(7!zr>u=xAVG%gLU2HmZOs3S3efnNbnEslY$or|HM;h+CKxG zTMw9W6(+h3kO&;ySDaLOTzXOd5dQ*MtC$X#bLsM{Q02U=20zv`d+9s`f6htteH?w3 z%NW?MQ1}`Xu}vGjeWIMqA3+LEi<8qcsjK|5=(t!t87Y&_dS&A^&Wuq^WVm3F4xPUqYsFDqHkk-540;peITJ5f1MfEtC9#)vqIhhn;CdD z8<8x9YfZ-(n>I;Cs198iK1#yOT%1>u4D$YxrtVc#HeWH4GnOdZnoiQ{2qlY-c8KL&(b~@B25g#4e%;-ys3_ z#m|ChS7Nw;JN034J3ga>F#Y2(&G^dR$Pl(rUl4N^ZgfU|3bQm|rh%=Mvyw?CjYe(6 zBnS&n)03UkNJ|rJv-(JQd_s(Tfa-NWyf_=1f5Id_yd>2sd<-|DpiA6r6fzcm z12j_OCHc)tMAGlu`tCXQodRzKi?~Atd@QlP zck5=n%tVk7ADc?Dn3u|R-Wp4pMkHmhUs%O=OH33U`>N8~zSS&$t>s>)ltd5NC@F`x zP6#ZTp;`)iRUGNIXCC54VaDpP7|n`_e_dJ&jiG6z_d+U+@#%vUXO_CPMF!I)Zfgcy zf@geKe%2w-R-NJ9aqqBSH5wFKpIMH|v1OrX5!Rrz4*g0TQUfWLaFw1de9*Bt*&b!> zhNwE$76K_B3K^^30E=^!YrUWe8@oD7kl&*#-A~`-0mw#gV z3ozc?N}6kffCvOwaXWVqhlb@95KHAf`wY%;fSb+KF!W&Gl zCsXmMi&8osGPBOBbt5|Or;8G)Nq1JCc)-_nqT*0&%Dwz zgUu;Db~N}lzoicqiD%Z4TND2>ihmr6I19n*iaki4W%H;XDW=2*bRPMNfM9t(S8li# z&X-TFS5Fh(rLkLX)>e!ri|YxZrf9@0ArlkDY)KGH__lh*|GJ-(C9c;jDlV^qyM|SY zlp@XQ)mZVhXz?y{;{q1jX4~1YEF2%s`;l+Of+;$kB*s{YuR>H(qX7J1iGOgrKq3k` zJn8tBI!C&v$?YJO_=HtGtThB`orodcD?#+3qz1u`N!j?PquEbY(M6B9T`rsFWRU*^ zM+dju>?21`c97Y)&I5SUsp1q%t!*g*c&G6}XQrO&Ia#zVP`ve9*9~4lF(}b2{RR(tCc*K)#)E?lvH(n zAf1e(v%B^DC)}wBgcqD7^q+jv>N0tr$-kM@lVgRl;J2#XV<(MVgQ#D#{%Cor!iI_h zEhXtfBm_%&N`Sk}rQzwaAq3BgpG7HW{0M%pEkFfX4uh4!DeTRW>G;b-cC1Y@-lPpbaLbY7JnM`dq+AaBc>kwf+q9o z(8bD9UH6h%nElBw$s|_7XG1?Mf7Z?Hyz6Mw1^33KuVk++UK^a;hc?y)Hh+B979DBT zy+&sQ%$0#gZphzoVzm3o+yddm+yZU(` zyqix>(NTvA?SGRc@U7c&CpSu#;1%p6XN&T41Q;=sCMU?U7e9y-)0q-BqP;0T>6KC^ zSQj;S2%z)uWTd^313AC|0OCZ6ANFM9-y?^orjpmOgs^(7sxbX$XL&IJX=bNc2~$8E za@!6|N-N_eiGeGX)F8-G8B$jb_<^gDJCNo*RIHWASARL9Ei6?g$EWvrD40WmvN0r#Vw)I6e56RQ=(j=3aKEz??C> zaYW1!KY!XOELrF?%UmRLbXPQwv;SX4#JsK?+qVpw`55Fh0OsO8L4at|qnPk1W*cG1oP5$2pyys9_Jj)P9 z#-dvtEInwOA5ak@FVCQ}pg4262y(%Xv_QF=rGMl}Ea8x^>vgnMB66#UL#tD%uvo)I z$RkfMVr~$mt-{)4_x4zlR|T7KW{;b0kU*2K4n_N0BF3&fC<-||Dj22-YVRv=J{E%pAIYOElIA2>rnUqF`F@>q$ zP-4{TVe745{S1M>5oE@oLt(go;WMj?8w0Y1eB)ZqNoG_zDKjlZdPiVrNjK&V8-Kjz zy)Jp1_GdBPRCqn(tl@V#zI#=NsN~?3ToQ_9b$L92Ah=jO?ZxoXLMIV7$*EEIhK42IRI{{5xpIC*+pO{QG4CS4uUxYQH73+ zEDp5DB-7WH?M{nLd2BRp&)VWr$bT(1CU)IQNq{laG$!_|E>KUxx{Cbgv%OqbEmkRa za8=l=W}2>U%3807${CyW*k;CWC;f4^UzAO|Oxk~yl@$_N_an&=X}uJ;%|u#-Aj>O_-G^Kx|28s1@G^HAyl>4cdZXhNHj}K0B$jFZ5SH!8)PyK?tAA+?NeYYV zkFJhfx`awBy=2azvVUuaU(vtT&9UIWPPAr4*vID6bf{yT)ro?Mt4Wn^~i&3O@w_J8&o>0=sQ`@!y`n}6h5 z8PLI_KAEPbk$QfCqCTr&cz@w1R%PaZL_JWxW2f~iuT$&t+t|K5S`Nud`o-6y{6`99 zRh?s~4Eb~E)o@X0tMa^6$T$i?zKU!vOm594z3>GWaIYqt^Y3vdiGTlvtZGYfDM}F|X`kIZ+L1-#Y-`)I$I_xfNmkV;jfk_5ntE+=at_uW- zo%Qf4UO<_ z@AIj6Ef-%X;!>J}x5RrXzg`D)Rhp8{&c5vJ+GZJW%T!nER)1*;mLaNfiuiX*RlZnI zV|BW;q(nEGB8_#M{h=9ItA;pNXkQ+A?}q4diGWaGQY_k?<$lgC1Hz- zSsvb(+C_1wLh_CqPJy5rGg~!$8<@SjTu6IZHmi0cH@-*o`Z|MCBpFq$%i$ApVM78b z&eTN76ZouvkbkaH*T9K}{3$aX`B?clOSM#yOYc(xuf)@)iD{$u{G;}`HD#O>6R8={)az7F~Bf~wCH?11r9HI#fUQ--fKyOcOiLKyO<6FPLmucO@H()Ef8MvwMa44lx9g$?o5^^ z)rtHn7iKy%AXzA)LGWA|S)Ss2i^}OFLBD%SJr3^N&S`e>> zHuMgmOn-cM@bFl&jzk!|F)l}2w>yQAB5DlAVJlidC44>WNpjbDxmZ3<2N_zt#vfOm zgHVfP#&8n!jVFU-vP#p1XqWqYd$vb-er_|f+%_ag7FMZg%G7S~NmN%s9qITW)1I_P z+1CDKFA`JI-{CqPxz!ZGN)_a)h>a753g0amE`JFZIsBu#oJ_uy{(HA>el!>k=~dmy z%nnRW0PB?X_J}xfb-CDc9no0$x@1WOFhrviQ)CkZ3x0uF!}n1zU$VSr`zlVUPT_|V zCsU`3WP_Xp8!`3a(ZE~W7xd<+-JMN>O0T#@R70mAVlQmnxnek9(0`!~t7B%tk{Kux zihp)Pr#3YV`9q^$r zQ%A)hRMnLAE7rGM&K z20_p3q$10uii8lJUc1Ck$SDHnYyR%jwb#p35+5UXM82O2Rl2CTqz0#Ct&d_Z(UR6& zUsvM!VYjqC>%^)`<93+@`Z90P!}7kCd!*d|e4%1}5n}1i%lf=-cWUtpMT~oW1%*-r}EX6TE)naHmZ7)RBo!cs9}O#6+>GInq0Ze<(JQ)-+wik9`+Z@ zneQkE<^*MV9~CB+*7*w*o7-|&aK!z~DKHL38v-L&`TM@NBoNE{npcX)AX;cxDxc%k zYAG?wHj*Cj$OCd0rsP|Sflz9}FGYLsk76Q=b{Ku6_M^8t#03#kDrg}WuV?Nv8n}8- zbpw+~qePqFU1X8%9_<&q4}TvLoJ56zEW38a98myc#N6`e>F&eg_-XMB9{K*GXGc0t zuIv$NH7B=)I@4NBr<7f184^hmTy)_qm=yU^qJ$o?2cKyxPBKyGq|zdA$!(pQ?kY(U zC?UM{++r+UN-W8P~YJ>hBSude*A3s z--|)_>SOv|sQkv(0c6vth_~kv`lv*Ntg65A{2jN7nH8J1{)f+QeUD7#iICa<>Sxnt z;Nh(zG-hQJg=nJ;Mt`GwVQdXhW!e^RXKsZ?YvmI0UCko2h-UJNh|n{{cQx-YT8I|p zAXXavN$LYboH^6?`-n@Nu9AMlx4=7Y7Y(D#Egd}bt@f~IySQbI#>+*rmKOhMHg9R+ z?^-RomdcLC>J0Gs6p5;!ZdXCa8>Chxft%$$F~><$r`KiD}J1nyT?LOC!!E zsZOji>j@#QULUkN2*%{NCp3@ZTomA#reK!Ts^5PuRaq;`hk(_Wb2@>Z`+8HfA@E2j z^J_K>=?cDLJ7#TSGoQAEmuXc(Jeztbi}{M2Hqc@=H>hm>`Npc*9q>)!0=)?c{UwAM zCWM))wY7N$)PEuafw8BGRkU_uv|=s=djHL0ho7=;8IdjtMr4brMsW|-Cqg)BMbk;YU^GhZLx_p_@i52$PR8u9F)A#2)gH%FiyK8s5h3% z{--_>Py9uv{C}&1YQoyr0Z!B4rOTJDWzu+M!r+9Zvy1je{iVP!t{!vAZ88M0)gMg7 zrmN1RIDh5va^^Q?D@gJJRs@_CZq}mRaM3>Rt-G9QX$Je_A#4E-etR$)M6CgvGa&Hr zsDB9;bjs5MB2M^)*NhXe8W1=4Pi}1Q*IV)O+~jav;U9jYGhL^Uuky4I)rp?s&!Ys+ znH)rIk>1~bjc{AvcBs~W^R*b}z6=y!0S_PHw|~bN>M>K7;ld+C?-Iy%buo0-52~F{ zTu~^MA_YGaCer%-5;Eb3ZqFBzt*#%+(fVhuYiS#zjA7O()ZJF}h`<&PAMdZnpP(Wh z9q|tjk5+T6I}muygFP|1{8+Bz{i9o(B_&CqIIKVJNrXX%{ zaeu|(lgBS4I$D3`7B#oM%_Es;M(iz_Xaj!h;?!m|Wr3a!lP-YN@bteryney%4+_B7_N|H03-4c24_a z9@~fehGk%5k}LB`dQY-rKx&Y#cn&1&t$&Nt86}5O|ajX@ChDR zMs1*79zuhNMp88sGB{*{^>IZE;0EvPPr6k0#4AM8a@;$V6-G`wG6fLKF~#?8-G9`P zjBsJVDj6$FW&L{u77G;Q44xFuPnD!VwsTk>K2XPa(UX}f>yOzrelT9IE`l!AD%sNd z+&1{;)*GE!dknM<;pxD>aQi?IEQ%@fHXM?|>kxzixF#PJpuCC;arF7QTyBjQ$6bd0 zIQdjp;zj9@-XGlhKKSQivS<$rUVoS$nEA%m4;Z(cXV?Wu+Zn?7OKYtx8((nI(T5-r zad8cem(sJW=Rm&KnKhU)VW)gB;a7A9;rAMJ!7OCp)gB$6kPs`CmZK2M6N`IAbcW`m z7Rogs(S@eAY%NQfEsN!}hl<}#`vZ2d+W|}A&4mTRZ_ynd%;9}Hj&zM7!+%HuSVzEF zau;N?fy1jyxyUUmu(*Jwo)vca(>do8KuHG6a=Wjq;95U4MTt1#T5T$iO#ns%ll9;& z<|TO-1iu4hnJKQg6mJ2Ilp81Uxt8Kxam#7-jAH6`Sj@TC#6%kPW>Q6{h_t=kM~|3O z0#}67m)8?OSFd1U;oK;U8Gq{_K&DT(t@Ap7jgTI`V@b=+a9@Z=Zy5vZ+)+xabM3^z67%4!3V4- zf(~FVftAOH3(M)xREbZ8;50{e3=;E9L}*^T$r5ue!OGb&z(vW(;D4g$XH}mwDMVIP z*t&ref*BWuLz#&@ifI}lg*Vv}3~Ykt#g1hvjXRZ-gfoy0JZCs>5i$7BJ2N6M|7rE? zW?pi~u4Hzgvy0~9j9*wEq8-bSLp4eRMsB_^j@8b|X#o;!j!9*;jxuwc`KW}N2I7Ik zpre*`t$eXtEFcwZ&wsLIn6-Qxy1#P#11mU4A%1b|^EDQR@UlnI^VYoF5xha}*C;po zcI|K?tFy0w$~cen=y><=n3s^OTa5;J0u}Ag zCt!0GE&O22u4YeFI34a$VjWM7sj7?l?Ci9a4>*M^-NVD(Z+{dI_uoI3##>$rV+QaQ zL*rE~)Gus(u0o*5Vqm!+@&A64uR&x435k_%Ku^TupS%4&;LjkOG_Lf~)2Htj2Tz{t z%gv~(Gz67VwvA+UsA0>zBc`B)QG?r(22j}p#0zVBnSG3Lzddu$KhIcZD?7L`-7dED z2@BL<_?)rHZ+|yakRI?n{Eadz&S|QZJ!QFh+rVyuM!vs$?8Oprls_2e!0Hl2b31iX zbL#0e64Tvbc;dYZ7JJ6Pa#nXKqXT>WDR*q@xJyS{;L3{`DhMD}7|hNJ41d>Y6dDp* ziO+~v3Way+O7Y$6k0q_MqUJHw;h@ODVZEvu_}Cq+l7EX z5A=v;UU2oZ)5N()P)=qh?w>RsVi)d|FC4#Y{n|))IE980+$!*BIP}VCK=9*e5T>la zY7h61Q3LFK)rUgrriZ`ohXJVs3%n8-PTDkFoEbY` zH3>>B<9~|DCAO@dA2KbQ@pYCK#Oawiv(7xCDpRo$2~HAh&6vkr7Ex<8tNiLlVdWHL zDT;~bJlbZ7h4m82?6kM|kStGFQEQ2h#ZgotX6F5(B$Jt`v1EWE3mG_JhAb!!OO;M+ z=<%UcL3c1{n+q9yxOsLIwj6vGW(r_zK6JKuoPYBnYxm~J8rfBi2}p1b9?9{!QsOl= zrkZ7A%Apda3jOJ`<9!LWZ8STbtZ-+b%_0^hTT70Cxeb{+uO?62q58?|-tA@g6;`YL6y}!53JeepzXFGj~O_(IcHo54_8A^~IMdmb;Nm#I`1_Wy* zr+>}FieT5W=>iaLYP+w~bS_lKqLFxjPo4&g;PLM9-g}}utb7yYCplQ6WiSFU(FCl- zU_DI}Ybka)1j$kZw80XI)I#9a^$S8bp7&1aHE{d{mPqpR&X$P6*}3WN+woGtmc?1Y3zDcys@?pXpMQXN^+#_%?vIL2i0l8wPt+uE;noNn(tt-o zX&d;;K^JU>9q~=sH1BKSyy~nyoTPZ}1L{QXk0Q`PHK(O_DIJ;ix*wLaR?--yOy)rp zi47~@C09T~xpp!5alk){7l3IX5vuMyu0^)=s-(1wXN1i~G1b_xOwE9*E`+$;pMQ!8 zd3tnh3jF{2gq!Q-!FK;v9fx5X%6wUXn}w13j|yztf{T1kqF5X%?Cn6s@#Fy&!fS8l zOXM?UpHS$ZEGSghOAvg+N)hg(iJutk<_rV5_z9zm$6wSA%Un%~fXP&ObgzyuOm3T$v>z+kwi;QmAw9|C{=<9w)=nb1?dG)j2 zvY~{nKlyDYG@|KA|L-SI`G{<@shN=_Y$qzK7XHA_(SCP=dD))A(%4C7W`8xg)<)fb z)Y~HtvYQ67k|ow+gVH|ZSGzn5tfF%j28l!4N*LA>@r?8hYJgIbA@L)U9rOQQWVacA zJl1qN-nh)GI*vEx)Y5d-sP3pVzO+`E{VSg_&^7!IYZ(6YXQJAb7iyGPr@?`Q!UDZP zepEF&se>bpt1z(H3pw=uE`N?WjEZdQ5!P~z3V8c3C-Uc6Us|I2IM7=PEGnuGt`1L% z3^~UJ#u>>uOl4zGG|<2 zLGDnXJy$j^;K38fx6E~#52fZ^&?soWVK?$fJE!a7u)({qb4Q|>Wq;9vubd6_C;44R z!C&K!h0v(55_*kM^W+jQ)4$=F zf)>6i)(Uj&y99E@NPiqGHe1R*dV_O5$)I4ZA{o9iIpOk66clqQFCT;(Ev*FbIpSFS zdHo1jCStV)YHFklV9ir;(C3P`nW;roks{0_}Yk(W=gBlcviSLPcC7X?=Qrr&yQry;^J-P2eGciy0hCL z#P;`NDCTb1!}(C)45K|!u)E)LkN^&-H$S+QmUvsjp?|t(`t>cFm-b>aTvK-1Hi4qQ z2|KK)GrYy;za|>q3)M=NF1MKMX3lOjx)sZ&VYLHw71l9w1O27fE@$u7Vb+CjOP)0v z-@0wgzIu`?Nyyv*-YUw|Uh}#j9JJoc@COByU$an=aK!`xPiN8$C#S8wzV1z|`jv2b zYarj%PJgA%z}(%)PNgkRpRIv#R&nRhZz?*XO)W(upwqI$T8wtO>HAV&0&f<4J{e!= z=h|+!^vB8(w*dj$bbki_?neELTV*nY(FjOBV|(vT^bxt62B$4$fu0RnNGM=sz929& zAQx}c9A)}^q3A~8sgy?Ng9|>G&sn4|2YTwubAR}G$vj;w+rfXxt0){3@pMRf8U|g) zd}{*iZ7@XFTa~KRBF8fbFB#NWHDMQ+QbuL29yXSR=u0$` zGbLL5;9xZn$%81pe+#n zUVpUl?xa2J&$|X46v%#?m+c*Me_!k_*JJIe@e%=l>2(P&yQ@D|j0E;(Q{b@8F!{7N z4W3Lg5gmfmBfaX#U^~F*Vs5A6AUjc*k>}X|&{@A%9yA<|*iFe@H7{5y&oT$)<=U#? zh%$2cE|V-fX*jA`yHP!MIBaEy-|oUKV1GrF(CIu!NqL(O%T&)LRvb8bV#P?}sMIYm zZG#!4(7-RO_LluUYj>$PQ`&>S6`#^E#>!1{qN~sZZ`j=9I$*)_^_L5jiB*NIi7{NN zVoTJPQgvMz!qj1O5;z^DT!~A#F4$n%#B~l*Q*>!Xja;HBT!cf!v-KIRH`zH;Pk&cA z)R}Spel{Yx7*Qg1H?EZ{+XSkwuZCdAw>X5iu4T&M4C1gdBvQ_N}Mt+=?$YZ?;}+f9AJ4vT@`1u(uFb#tI=0rcQ~ zr~QQwuEL(S`OLJ;DhQ!_vF4U=0DqFHr*27pV008U{jWX&+NM8xTMBOeN?onY%4ro9 z(;wpS4_x5)fcJ+WULQ;@LD>^~@+rLZvaJfRl@j243DAoEJBj}jtyh0~D($QD@Z zOnFV&N{Gv*O5mbyB4-sc=aukUs_`6KzjBi%^-2;O)MKGJp+9kxEK&I9=znZF>Pzif z{YbI(65X6B8=dsd7XIIh^L9BA&Wk{p$J0st>7exM#*?ju4j8A=5i^B0-JUIUXm=bo zck7==Uzv`&x6b@;!0cGgJxULew`Ufyd@wsiEuVP5ksEC$N7bA6+g<;Q{@^U^(g$r{ zWT2U>c(CY>7tQ21i=ntMaeo97c>8DM=FvwbL2)I(zfv&JGpdBQiD_*5^UCbF9BO}X zzVN&154zLtqO{Lw=Ln&*lt!Rke;~-Vy%l+LS-tW~E$*M&Ygeq&3=rVWt?vkn#i7f6 z6$asVU4z<*gG7Ocz{#~d=vRK$5p*<|3;e2<{m!+IhilRkNm2xh+J8yah=m%S_zP}; z^cnoBti3;U@sr$QTx{e=x4z&~mdV;8ZN-5Rri6!pH+$7k1@cKv$K`{UkZHdw1b!L!5drTEEW z0TSU%3Nt}jXno+NHU%EGFYI8vuEwclgU)^ToCvF;52yD5+=Jod^vzxf{=RujpDti_ zrv1FidvogzVSgT4)crmB*Ae~f#p3Q*BDb64zyS^1V-v}2A~%wGaQ}D5;|XY0pd=ez zSb>aG507-)*5ii@Fq9y2G!FjxvORpWSwk?X=-Qo%siXxsv5(pMOP_Q-41H>-D7uT; z@aC_4vc@k9ed7g!<`W3`EUk-oJu{Q41t;W_*ZnoKOagZ4ag~r;x1|*u98I3OP`A>wM8X6c!NPhl1<&wB15jl>{2|FMCWmrHB;c$v8uJ_KYn#Fx*%3J%8(zScTvK!&-(n z|I#U5{i6#o41Lb2sz^-Aac=Xr51t$y7rT3V`$tFA0w`rVSM^h2EwqdA<$^ZCyYsIJ zgQsrG9UirdIl+DL&QBysPS3djCr%L;A`e%FVSmhjj+Y$RC!|DtidbsRs52c-w>?9> zDGp53;b1*1nC1zWvB2J$vg}D9^Q7yOB|rpj_gs5CL+%zH+}xoMmgvk3NFsblUq|J- zEKkDDfZefqIyh0qNM@eX*oUIsU4)ej_R-}wZx&_U1?)yrTNIk!ANQJK!h0IZMm-s( zZGYIo$CU)qi&JhDxngM^qQ;a)!trk5Neb)DaS8Z1P<2r_;wU#@uY?{NyaQzpGI!CD z)>u3;zJ-EJfnz&37=%m2sI^4E;s6J;1Th$_la!=Fe&An1!w>y&@=;KfD|OsYY`s~* zg)-Sh5z{i=HyCg4j(g$z$feKaxBVgJj(1$*LIW2Y z$ak*2{Bo=Cvl>6-qQq(y9hWQWY0X+;O1VNweANyw zz{U;>vKP>Dh8;vq30GCQ(i)@M>$$kc3ELvz$ov3Y^fp)?Po=1vP9H9aAv?PAOvJ8$ z@%2lSkjslU!@W70SG3KFCg6 zGM!e;&ju%pVm3HE6JLAUlnktm+yUyJo6%L{^uZy7!lI#6U2u&sqNyzd0-2XYIv|VD z9~oe(14W1w{4ze(?v`K8!{cLz|V9iLw{?VFV4J=J*yZkIVsxb z?ZMEsVCkk$yek__q%Uv%c$JNh3r+2O?2T>D`%Nhq71S%q&*2s3YiedWzt;zkqQk=5 zB&GqHRAgJ2uSdl*i1cMLea}9M?xyM)goFw!4qJf#zXbV*Dw)j%oMMx)NP@mn=QIMA z5q-ppN30&&0)MWwxkJvhvTem+d!z~OlqQp_<{@E;Ktc50AKv=G#93ocjs$W?FwT&) zQpg~TaF-98W!TJIbu|D25R;sRUL0gTt!Qjx1!TW1bz#OB;hDq-7$C()6>A{dTvkT1xQ1NV` zSI1zMsG-*+zoS4v)VjEaY2nwBGXM;o9IC$q-;ZQNqaE_2Tgu%IgJ88|R?8k`R z4C@ViXn$8{AV-esfd8E7)xa_teUfT3wyl|8I$lFNV7ZR7yQT9;vhYArw?c0wx`RV+ zXrd`M_Iubls*H1!7;#Kuvk1J9Ep8XLQ1UXJ$>XJzTdzz%_U1}>A6VfDT4~hL-{2LO z?!d6z3x}}>S0@hVk)RFClJ&6eu=M{jesifg*Q8_{AC1dj7CqX~oMxa#z@{B$~ds_4yj9Eo;5s-wd1~1IUBYeeDmtCX{prFp5D@?YX)Iy!H2Z+dsvJ!A2^xIjW^_Xz1rvq{PBk zn7V$hjAn*gdeS~G*3o=65QWd{=y>-S{1In-Xf0#PygCJ|0@gp1OlYvK3BYQPN$ukn zEU@`wTR&XCO)+{iPrt*=9e;9~?(U#&&SZkf^DUVGX0NU2@eCTvqRRfX)qf3t77`+< z9!-*7WeEdXK+VgMpxP^TEaj4^Ni5Mo7hnc|K+4G~XBdP?Pn1%ND^uZKmqgV-3jC@X zP8E<4IZ>2pxzlu287rz{iQlp_f!3{tNQ2#E$DvvIIL;@LDAZbVXMZ%7BMLR>kiqE~ zv!N}ChDqYFTv_DWRq3AQvYa6ekef^HCA6wXkws1ga{iBVM|=EYX={yu-NW^+;F_Hv zxvY&fXhWWHWD+&%ZpB5qf~0Ga;oj2uA6`>2CCU1pcg$|)NWu+6PIa=Ey+f-yrdWj@ zVSgN*nTwWi%s)_h)PHf;KbS0~1PpmeOF4E_6M?X5o}+nCdJWqsrD%GEki5-2;}f?k zm!o2$bRBZME`Jf4e?v;2?UUfxEk2(1)n_dShWY#43!GWywkgn+J;GbuDTFG|`w}X- zGV(~|KqwM2@9k*s$;0B&?$NQxL1*3C_^fu4`;TT!QN_zrqkp!6l}&#zJEef9lw-)= zQghA{8q2FSqn9QZfPPPS4mC8oo0;Yk)TYjVDmCg)hB~1k z?c7G8-r$E?SAVf(xoa)JpDeR@uO-HkCx!q?}P@@@?Mny+&s@ zFB`2X>GxDy3LW#azVD2$2q|cdR-F8nQmMEpJY2)r8h`Wz9CyoNy;L-*VZ~=mz^Rp? zY6vRs=RiP#5ZUF&IG0`IBJ3{-<2lutGn6zJ#lmlYPwrR{4@shODy?TBzaFB~b~{>5 z86`oNlPK*Rm89@hquiqOpTwhDe5xqb*gl&WMHal&X<0%gSM@lMD$Tsls~&1dwD_y; z63$}80e^oyd0LR2O#dq`w}S-G(o&8^`eg&pPZno=oj46T@`=KQe@PfHS2DiVkQpX) zOL#j5(MHTHD(>L-lwyuz@+BqdoOR%2mndAyJ0^D$4K($&DMws zEYG@BoSmrh=j24j%p}=kPA`^&@@mwOBB;v9a(^0AlBrr6T~nA3y9_s+Dh7yQtjY== zU^e?Bu3z+-WC1B=V_QscRxHVYA7A>y6c@c};+hT%Ina*F2Y!8SG8jrF$E|D!GsDK% z5+SQ+UuE{sgv~5uTUnF94-{FYUMTCQj71}mgRWMV;Vdw%c~P>x;>?p(m;n(@LhBc@ z1%Dyx7ttoB{c(@WE!d{kIshk{*P3s%ImQNjV}D497;4T)I))FN^TSa-&@M~Rjw9)G zbsc<|j1=EM1p{T25j>C1L6YDI0Am?Ri)1jCN2*Q*t56#LkORd)@vDt$;tdESwIVHl z8v^XJUX)0Z$rX7q)Uac_&rW7Bn3#Q%K!1$TOl|oTKeVE`CW)Hsk`SzsTPx8FmF9@F z6vv^Ka3%`5e%{yG-&ub;Y;L;c>+Zo1pfmmVzOOXmU@X<5 zgsX@**rn95CLM$ye4RgiDY^Q%)uY^v5!mXREUNt)uDMQ&6+INHtY}GN#BgdT*MEfV zA}lV;@{Y*vJRCCGofy=RbXvZ8UrY8YtL3ALc&mSp0bDYL(6`w$xZuio5}SL{;f7LgR=jpyuh-A#SatH7QeFjWJQ(%ve>8S*oFwENe7< zU4-`Re1^?yS~ixiH*~dwxPy+>?SEqGPr@ppa?K#xd}Xy2@bMeqA>e$^m!rOfBdt&` zs=T9-k>X}mz+>Q~x)VWFG89>@oI*>bw948M@>VZZ8Xm9pOY3xnmUb&JDyNghM8)HZ zvLNi?=@i5MiDgeVss54d?Y@ZFTdZ(0Sggz}Xl#e)s8Fb{3Gt;IS}ozqA%8F{lCJAh zI$*uNSR$DNB}B_s0#xB~00-Err|pGXx=VmdmR$zZmQ&rDjJCY93<{TAGu$ebW;TI2 zq?S=UyD1B>>8Ka|L?X0fVIxjN({vOh-@YjCeCl}RjBf5pLrD;Gh-FsM^o>;uQ%SO! z<(y>o?CcHmcbbh`Cu3Hd#D7|yJ1S>)qP$E{N+jsy&PLf4w0K!WVqBIP73G%nfn5rG zLg1<0hlhNW>*ZJ~XG2$H8GJTj-QY1=F(?>=6;m-;ITQZX;A$x#8s>k`P%15@+fIUx z`A8$YHOSIZIYQ#4NjSx5epv*!C=nbn3{mS_l{HIqu~EE>&Gs*C--!(Ml*7>8bF=khhZrl# z*dP`-ju)93lX!ftYcgyBvxPXm{K8f1lVA0PtlF45vE3I?t#F2 zdIQUW>er&$J4+&i87@<$oW_VoKxGFzd7NMeYRcpgP+rC@_3)&%oTh-A*{9<7TfcH0 zY&VCdf)k^DF*%{=Ab8$7e6HxCsFXpbdPm_83Fb!juuvY!M}Iduyfu(3*=^V!q@k2JbQ1CBaSDv7?6CwZoPl4B9w81t(vB?xIL4le#U2S(7}1E5 zU^1=($C$tS-hZLm$q`i{y2y` zcZWvH;aYbHouoz~L+p91`HFK{2M`v1rX|!|v9Kwj@HI_T@TOSA$llnU!aw%s=<{5z zdogi%V54ZuQXKdYzYR8t9X->oqIv4shC;x+3+Slb9)Ff__fe1XUxm7593hblte)eY z6D#E^+ueb6sP4my6aT1Y`B68jhK_rVYAV&^x6y?83NZz2i&T4no|dq?kyuHfi+$_= zZ|+*4q$cV=39x;y<~ zz~m$-3V#a2!v`P&qJS7g5Je!t7a$69q1%{h^t z+kLpk`R5n;1KbadWya?wsue@T8%C6lyEWhd~vVYo{a;kFm)RGFO@~Ws(5mZ-mh?g_< zt!!z@)k;e)OzKu#R4N(DtAjeLf(kZT38g-z%AS%T=P2LlU9hvG5}mx-0k6g>*52e* zGBt%UT?=9I%9p&hrRU=6=3T8)%JEn?=47-tik3s%g zTYn#F0%VWHpyoz)#HT&=ppr(GrylO4T`6@CrwP-tws^ADJzcM;RfG`*ZXGMg5pPB}(J;-_;^3Vq)HY;`sXX0Xk>W2zBV}PiWxl~Gy`D>O&F0L5 zD!0>{wB{_b83>^cChM0KycFw<+<=)3#P?bIu*=vQh^ha=Kq7d%YPjuTjsW-!L-Yt2|@_Qmmyc~ajY`=xCr?xmQv4R z_^0PYWeOULXCj$W&-A4bcmmsYx+i+?G>r>vSq(GML8Fb~;OF)yT&a%RXBR--tO z(y=AjKYWau5W;f=w2;4X@-TL2 z)0<0-?fVe6C(ENR=~aQ&%uvf=JCaSwU^sBx`9o89DUBrJ$usZ;k2&-raPF0cy17-j zrrC|K$SydS1w2YhsDFS-VCadCda%fa!m;cOC%YjIvB8i*AE;tprQW8fs|ho zy4&8}q)Np4Qh&l=IN=o>wr=1#E~xnOJXagNO~Efplewi~_U0CPwOY`XWOc#1Iq?6E zQz*i9W61V21-^WAjb9|DhG$=4nxwrdoOcl>=_6w;AM*0L(8|N@(sfh1$wm6gQ;`b^ zM5vo29_51D1&!3pfLu!}$I?Sw_y;7ng!51qX|0dYPk+LWl77?QVWda1;E2*dFF+5I zAIrzJl-q&&gIa8}0SxHi%Lg~~uOCF3lFnU$mgr46=`I@bJDRCmk1lA^GuU9EeUZ(im@0$4p;+`9mE-Uhs;V2y6~yd#4fIgHa7qhqm?UWm7xnTGpghymlxG>) znI~M*MSmXYR9EM3^+@-4Sm;kY+XdY{VQIKl&2`*g0Y9MY44v1id*Kwe^&N3m5S##W zK#ae4idu2h-%_D!ZBJ(@PsuYXrLL!1z_a60oF=xF{l(v+(dk4v%rVWmW+rm&==j$~N{Bb_xO)nb2;ZWSOqQSeb)&#{A|jXsoV z>~sxAe@ic_B^NuWny4+3NXxNzu1I3%w{7J5h-DN-bQD9>lt5hH2iWzkF&s`@)yK6s z7byXe24V=`)o!3UXDcYj1veGoy+n4L!YTiol1&-@tx{P_xK9ng_;m*OtvRgf;q@8# zGB)Lunp=NlFG}bR5uPOLjhu$YS&NGWA<3`N=14-w>Enz{`c`vF6J#V;wOHDvWV(T7 zHdW$}U>0F03#`Q)8mR_W7RdFUV_Oa;yB6}=IAV&tq>_e^1R+GMJ4ANk$eny=U=W5V z;k@AqhMVSOd?BBRIS_IQNY{uIt?4a?3WZCrlp}xKqKA+bq`fdW`GkcA46bkJcl{MS zSt~16gpH~5*$7ZSl)418_7E8{c!xJHUbCh_O7J~yWLU$Hq1W-M0<~1L|{$dOV~+4`B(hPWhOwP+$li7(?TRhZm)HfPYffEwOeG6jpGOVA@eTO}u@EZL@p& zp3#3(O<^F%ZV8wc{`0e~vz*+OO|lzs?64Avzm`zp2XtzH7~+T5mtdz7Bps6TmMpXi z=y2miaToE~suhQYWd36%#5I>|I}YhW)(8D3tjWg#+Ba(AJzbd^x&T<6fzAYhe`5Ve zx0sdjCXr&I9)zDGMj=HM;k|u$5=+QR>{@?*MkHJ~Wmj5-7>RqtQB$JPZ1%~%l0G_( z=b2^~V}WWw{Lp+B@WSOZ+O8C^XIJj&LhA<{Sw8mDwZY`Rrpf^|aY*G(wycm4 zi}UodN*M#CECFp4zR4acl>>-Q6;&Ax=A&#MRFO2~@*2;LhyuXY&1rZCOsZr%lFa+R zUrN}Gh4!^4Rp5nT)JuNHf>;Xh93OwYsm}NX%n)6QJPab#z)NUTLpe^ai4Gxc8|G~x zZNr*N@DaMfoX^^gRQ3a+1am8yp>Zg9ahdB+!nHu1W1&L3t>`beod?iNy4Z@R4;VxZ zh1M)9no3xk?wU}Wnk6a#>&f{pnJt&i3_;40H7#FcDEVSI)wgieq)7$(w}F49X4c#t z??;{xn^uq#wh)u0xy3<`u~{O-p2^mSd_PDy;);1W$1E*zGlB^DTxHi$m%rb)Q zI+Hpv#uAp0OCD~aR9gNPYAJt|luld7mR&;PYw?;q5f73fLdKmhI)0W-AW>SQc;)9< zG`T;`7FNl%dZ^MEvL64dx1qopL-qH}*J@RXE@SJVN`oYFot0!ktnJnJi6NY1^>lCtAc>z*(=5)*7o zpC6ysB&v1GPYbhmCY)@;f&sLb#A0)J+;lt=LRiSLZ%GJdL(PmaD4-+9c)bs`=vlo(@kV5zIa2vW}S6NXsUlXg(5UuuTTkuB3#s= zl(%E4Z6uUcAq68*giFv6gcGiJiXIYS@!H0f?1X0L(N-d{B;Lh3iRyJ}vscLXN(ByC z{a*Q4VaPQz0z65ISz|92hd?;QB3ORRMy4#L8IxY*9Vl!1)epNIol0`xFcCnb{7ME? zXemj~6OP1*ZgzjFR*Y)UBz(+9B)d#PphFZqcwyz(@zToDePX#p&w60hDa4Gh5eQuw zB5@i@%-Tu#o4Q+6m;!>Z4B_0(_rwL0jc}tg)k)_!$FVUxl&b0eIE1PgyZNOvfn>SA>l_u}K(;2f{y z8$Yss=*R|a$H3}?`CQ_p<33&d5h7f$OF4YwPPjo`$)y(I5?>tM08b?IF~^M-*SqfI zQXYCa*Smpj7keJf7ds%|gDdGAzLbIEjVh}Ln=~46c=p8H#}Ws=lTonFB|GtmrqCHu z2fXadZkK;dxZ3J(eV1M*0-`vPLHB_oRu6LhAwspkCZ`a)BVp}13E5Y0F$Lc|NmyJc zZYN*VjHQa`mMOlqiIZ7??xM2@=vi|%habpqrC|!Ccmy4J2cum#Q;BwopzK*t-< z#b%BOo3KX~`2z?8evEh=y_JkBFTs8TFit2z=D&X+ArhVqrI3qd$yiB0f^D-dfTko` zP^<{hBK03w?AGZb1Q2)7Mdyc#;A_}g#0`Fr6On9gw&~;31=<>I@-XNad#T?z;Ytyu zk`Ts*k9nM8^O3<#${4^RX19j$JkdU!A^wcs*wHbZGiQ>LlSh|`M!6@f+^BMD169yi ztEzvjt^g|n)2=M3QaPF-z=SIRLEu$)u0vA>9}r}m-l-sTon`vqzlrI%PRCG;0i^UG z9GfNQ&`dR7{cvM~HxB7h)+U??-MBOH@O~HFiVwcpg>z#gl$Sbk;NS{_sV;P$I)vu6 zQkONO4xR#XjsqWK_6T@LbY*Cka_IxBN>P7doERm}(N-6he%YKRjH%2v9xFySQEK)T zw;SYqPSqt+Zw29(z@F^ePyh~COB^PX_$en|+}!3fFPvVsuCPv{%7}=NU>`R@=B1B% zIoD(G4F09SO_wTKCwRWf{Lb~ukp7M9PUuwNL$>Ge?=>U}jsF0pIFJ0g7|X|U!ES&2 zwVsOV6TjVAg<@h*b_F+8DT2pKYqBvHcH;O%(i+pM;*sSgSaYx{RD|be=NZr8f`g!3 z7B$L{C?8c*5hIY)(eMR5ljs!#YmIinnQ&dXx35ZkHk?k;-^*!R0M-$PkiGY}fX>7S z?h0rOhO+DfGwR<%Ba1`qIy`ZWi4=bvtXO;=rkYbtsnYH&c!`NF49I4|Q$)bHqA!Ej z>a>6=d|g*I6^^Xi3%a0vcsQysFQaNAJIpmOsZPnIH368$cjXZzDw2)zS|t&0-UfT% zG#XE#zpxv9;+Qi;LTGFr3@&L0*J&y=@h9vz9TGlV5l@Gvgu?b(P@0K3!c-tDe}A`mXJ zYMiDW$<75O!w=noJ^+#u*$eQhb_O1D>>Jz^5ND3f0S_xWpFy=Nn0o*!Q!HiW3zi-^ ztSZQ<7FY*dZ=lHUq1N^M%m#n*AnhhMi-Ow&<@e3;BN$Qsf7RcoPeS=Gph>fkM+KPk zq{>Z=(dgw(QQM~knMx(N!e`2isN%O8o|q)ohGUIel-~iN9>$Za8?RVXzPRuz4=7$; zSCXnnvCgzp-x*<|n0I<6k)(Q=PCwMN(gV;RF$a-{smC_yHO|ZC0Lp(w8-daZOmuLq zUJ?l^L#H7+JChwRT#s4kSXQ?O6pJA^O5?M5nkrgD#I>Vdy1I$4s^EXQwh8%3)wCV3 zH++e;^UpfzD!Ne3N;D;Sof>s0-OYDS8f)krMS8e6qJIg9VkP*tmlr_=i!WkB5faL< zmbD_?7vZ_8%yb!(sR4iMRIEvW;e=xPV*=Vx5R<*y9+o8RN|0BK#498tJ9v@1veml% z4CgGX2gHx3VvRS)Gb7PRbo1b1v~MZ=;I4qv4-dAL;q5!er!$^7qvcaz(TE-aK0OxP zQITkdjV8?m z$%~)@CPz&Uh6nX9QfHW6;YM_nLEy$IM1u7nHWW&*TLZo*$coh8Il6s~;CI!4UW5lV zSR!2Dy6_-~gU@;@VpAN6A8Ghd{QmBM5-$t>u2Z{RN_@MN2r_B0G1Hnw2h^Z65WN&? z9R}YEc!hIHUBrLB`6(r@RYeJT#HxEaSB#4e0$i;`I)cB|N?A!*sj{$B(GV}O)8O2Q z^E>?Z6Kn~?g?vN(ATTgG>-REcPbheSyB(z2Yw4rx76wE71s8Gfdo{`wbhloi_2MK+ zAI&N`-1KApLK2tvw16|X4eb^WkJiP`EH&ukI_SmiUFC=(0@JL-tr+JR{zT#kmmPq<)(>1FUtVFaAL~si zvEyT_lr4_nkwAy0olY{V^Q)899)~?N@gl+)9;&%yk==n+`AfBw?iJeAPD3m10e-R% z#GudDmaKo#Pjo#`26~}OM|5)|nqG6e2v-EAc2NYj5*72@@>ZhNS|7b+Ch?3r(jb*@ z`dlx8%hd9oz9*e@DLmwE6!hEH9f~dWG?cJ3zt7J(NqbUf@Y5l(3#U2uAn)`#_q&B} z&s27?Osn@l=^b|RZ3hQc@7jeNBY|7bGR)~>I~>5 zl}5;&r7`Ipc47wx_nC^lEr01Sdi6%AWYT)fL>YE$Pt}f<*Nkkm%4}Ua*d2JxD&vobWxt%;7)3`dfcBpPdEF^8wM8OsgG@#S_I;ORUwl6Oq`> z;I-%t$%LKBM}L79VlY^$8d|f}Kv}L-pBg=r^J6=XVX^|J7AK1ea6}@gIS!0z(2f27VQ2 z3Dlg7Nw%)Bt}Y8W(VC13F;X_)*IIu+FOPHb#i%IK7~qX%5(rsQQ2zwas;Q=Sfi=V$ zR1vAn>SVN5ipcCg--eUQX$DyKeA|stK`i=)M+{gDbOe+HsULvUos!WZS_qy#2h1dwRJ^B^Z|!cP^bON z!lF(%0qR!M6+UUU(}0`HL!^I(GC@441{Rtxduk~+V;87m0Yl)pfMLEwB?5*{F!2%@ zmBXxSE9$i98dkhWi02c<{$k~t8Lv4(GZSYJjpXz$6VG7lh8OCI4P_8Trs~L8v$Rx0d{S*=6KI!+B?K3{=0qdITF&hqST@?vl zZuK7y0f)E6QUEKSOxu4g`B-}R06TwkA(I_Gtj!*JLl~E?8(xUzn(e}H1Qf1|)Yc6r zPA0(HHSUnFcvGg|xy?_!dmfqWE8f&UZhG5Kyh$4m`HFY%UAuPpi8uO>`+ddR`TmE# z;_X=Xg|B#bPy2_jcckBg2&~xYBw%Q2v;Oc{W z$F*;Y1P56CFm%FBrG^ho*s&qG4BWTOE2y4u%cn2#6K;R+pI-G7u6^$&KjH3}dcaS( zM`qqS(MPy_+b8-5_uthk)-Ib1;D%a5`d>2q`n;XX55H=N%z7b$9J+Ggb)5kpRDJ8h zW8*hRg8k`1fLk&xv1B|tAk$ccxdii3Ct4JvMLAlOqTO7*=gS8s0JOOED~wiz)M^4h z5`1n1CBJ`jjjdmP+)-+RIuo3($;iEHe|<)1A+VT~R6{H-M?tg#nXjDO;U zHTJ-<*Os23#%`$IwD-{bw<5v8nga-$R!YbH;J!x|o4{Q)Va%c}25|4a{~aT^)7#%S zg1h#`eP+ht*e6DC*G)WZ1b5c+cRy|dcmJ378Nq*Dw)3bF+^MT)E;fOCf{h#HVjNrcd(i=u_=e@ky2=10U-!g*x>HXV{;QnpLP9wMvF4|)R z_mh9a?;FA0zH6Tm++}O#%`}0#?a(44xLdX?F@n4D!5#m|MkBZrR=jQm_rs|>jNl%+^L-<@?O%OhhTea_ z9yWsemmNoq;I1CKZ1he8xKF`M%LX&JFKzk62=1z{%;2ur zFz&bs+}l66#|ZB9g=^;TGO=&^_M}Hm;BHzl&j{}LBabdLfxCItdLy{s9C*hF?xZ6x zKV|~=q4AR!nZW(y_EnD?q4$MnjNpHMJagY-6SyB9{@4iau4#vj;LiBRtR*IJ?>)HH z2=16Wj~l@~Hsk106S#}toBM_d+>Q5ad&>mw6DxNb!9BQQ*;W&{>)$zG1oxTkM~vV; z@#cbUCU9SV_}EbsxOa}(`MC+)=Z+o!!UXOs_Z%Fv+r<9yv2nMXz@5C|fw6xkaJR1b zXr2k&#s8Qv-vsX64}blb3EcMm?Tbv{KKSlzBeQf|psB zVqEzeSd`qwp@~eqke*$)XzYF;kgl$NZRO`n08+hmnbKEndKE9MJ7ynS>I+uQ*XLP2 zpe#Diy0T)F9y{Kg^JJAZ*t*;eD3XsCqVY^dd^=Lx8zr;BPr#>KEH{5Da#JkVW9zhI z@1JbV3Rw3BTmexI|7c^Tn9C>aTy#Wjw53qU=A$_~o2iYo#zxrbNG8`DMW5=TcA^-K z+qs+Vlsziin2$ELz)QU0WTs%l`-Axg)Suc&-$ z6V^LY#|UFj!{{j@Y4v}zpB?IMjSpD28YZa^rl(J&52FV^3ZqAen2$C+I=LGd9P9U{ zXlY93a)lI~Gf0Z?BwWiVdF1vNUg>7d30TvO4GV5KoJ<2w$>wbEUzIH7TY;oJoeoobB@Sikd&q{d8Zv^iO5DMHbk$rkW`=>S~>*n>X^qX&qPrw^?i1KHFX z1fJ7myR>hvB}A8y|dg&(vyvbud~NnM`xaj%I&znL;LBY+@h6H^oN8z;OR8 z(p!EOM$ZsQdtRS^w2O65z`DyflG4E3x~VaCi#@_lWTLH^Vyci#H;;<7rsz`X8>v&D zhtYFH)Vj?_51;10NV_SYN%zf@f5Pb)%+^R*@kk1PN=d$IHYNLWnEp(R`Qo@z+shf}dMc$xu#cAh-CDFqymC|+ga zBeL)iO?Qm_^TcZF_JH+UKS=@rjX9_j=?5e)pq(N=LAD7bSjDQ+_Lc05Ez6JCGzyQeOF>)XD|MBE${&QK3x1F|XB z2XRJda<5(Jv6SE1p~X zX0kjLEEv)WIorJ`dyPh`L4d*X-?X-D2hZ97!mkqxp2~W*dD1 zJam5{{}ZwlwsPKH`tE&Qt%(630?f&5(TETvwrE38c|H5Jh3!?quCZ=A5%L-?#XeBg z%1@A@h1Bf0V;5kW-&rI4q@}5txAWiyu)uDLrSnPhUtovV;*ZcI$I|(m!{hHd$@;z3 z=9`n0Nf1WmpP&r}6a;$^#t#qy2mkuUk}7{N7uGGlatCM%x7V0SkFawExJtkx5g&!? znADrI_nUd${O1XoM`PS?6Ie9H9XHCM8=qY`t;)2OgQP`PLy+)=K-2Qu+xPjrYM^{8 zv}B6SErqsB?&dryGL#00IpI?sAdLM5W(-sF_3ckC?;bogd?V)aO8~L8!FvMX8?#cW zVskQmiSN1#dr63r1aMCpsAExwRfOYlxQ^@6aWYa2mnZekC#~#0WN=`qSSOarh_C)e$UgRkvoyi zC0Tyc-E5j|Q6wL`^<=&084MagHzA?{2tbofk7pKg&N=6tbIv*EoO8}OW9~WkR@Dus zxMa@JNHL$YMxA?+Z z-};8lTjGDt;-jpkAKk$H`Rysbq=1kArH<@k%sVn*3!|zCh4_})Z znHt~tqaVK|`L;wV(UXV_KR2;5HoYs+x$y_&S3WZ~G`BR;_LUzz{40sxgO@KYjb9pA zYdz3+9{z=d|Gt5>fwnLF&BOmBkv}zmZE0xy#O!~C`TORE+P-=J;X4w=#|E#AjUJi5 zvM@I@HnYC*{U3i%@*U<^WfF-*Y+`0@Y-wg-ihlT`hd-1EJ~Xg;V)Wa6|L()v63G)Y zD{BKY;-Y@bAHn+&e)9a+#)j56{`|*pOMpZy5lZ;KHu%zj{4Y}jvzO?bfBx`oiS7fl zuWx_6_2X|#l<=q$ft8_wsR8`!!*8Mg{E?Bdg{83}F|bAt|1;^04eWngG& zZuKM8$;tnJ^2);Wp^W^ZnSr&*m&OnO)31%b(0uDdbL*!T=GITltSwE7a)JH*-Hd}YG!V2^5SdYxxAGogFm%4e`a9$ z(%2dtF2z*vZ@wjwTAP1LT%onWb5moG)>PlbyQ!3jUYHtKTN|5!T2H?rCH&U&*QS48 zJTf;kBL)Nn7w_1jpMGUxWc~Rk7S<-_W*{oLFkA2D+mahr7RH7qFHDS$-Zvoz6#Z@J zddHCu5BuDN7zDGI!09L>PIyx$;eTadVhu{;Jo6RAU3|;h{K0c8bJN$>#?(a)99{nu zUbX%!gD;FfBECAYad9cX`9~7@4~SRUMNODbUV!+uMJGCfL;FZ ziSp*RC3=_G7XdAQ=aN6=s%5{iS$FWg}C)!!UqLbJZD3A z@Mosx21cOEKBAa+Q!3FV<~caY+^rMByEIZiwB*D3Dl~L|h9;JVuT8Act)Kd|wF=>I zS(_un0EWk}mc;Of)T1Tt?&9nuk_SH`=iUQiXqvX_NA%#e7+AsKBChUaH{3@7Kd~^d z{u~{;A$_<{2nU|H-p`0jn%Nt5*1P$~5{16G+0V_5UYi;}GBvj{26^cYh;T)##ZEQ4@R{(@ZkKS z?(&)WQ@E^Of~JMEWL=r&t+Ix{42 zCRrF*5_6Imb@Xva^j@X_KA9iDL;e8FIk`oM&}1>!r%Y2+#!(FK=Kmu3YvKbdVld%` zL0HA-CdA|T`tchJ;%QEY z5W}NW580T!(SX?691*o-9>GxoS%*!_Xlt$Wx}}155K)4^4=b?i=JZD1qZg3=U;I=WCN3MDQMn zhw2!Z=BWdpF*2{9BiiObhn(+d8UM`8{3|oic2rZQfIs;0Pr-R%%pnh64SCO>s#a7} zqT`hqjxuqc2bM3vMrQwoE?R&8aLF$Ww6q~Bj-Dd5EZ1V~Y83ELaH9Xf$oPf9Yg6kV z+ySvuOZIYjI%0(cW!B8g;D3Mr`(W3#rB?_??1c%j=@G^Wly2wDqfb6Ju=@Owxsfp# z2tU76pc|l;E4sKm-}*|yO(0Pi5>v&*)R-Iyt8ekZc3R1?;ytwaNs@p5PV#M2tJgmw z{^=W;SMv5lAkj57d4A*DKUPZQP!pj4 zRVxMoF`5RiaDV;b!<&+Ce@5&N#C(1L4fAKl#5~rfqTYE(sF#n>^dZgIZ!d4;KPN`t zv_L)Vjk@xPaZw`x&9{~fAlM0?_ggkhaXwM zZ#;zyW3ptSLJTDVJc*SxvEAq!-qyE-=OctxSXuEk(m+ohJ$Qfg;bZ-Wj~_hKfB59t zQ!o}W4&dr}_~A4CfB5h-{ijd<`(u!2)1Cttuk8JwKhS^pi>LaZe)jBFAnIV^2~G`` z7xnoFXlihLqPI}MP=j`rzClW;-l)@m@cx5m5A=WetlXY(XndB|{pB{hw;NeG)?}DA^)D^T%ybp)v>4Wz_1FajG zQ$lD?A3l4i|K#KMAOF*B{f|BYO|kBTkyZ^Ly6e%bwirElQgk7-lfDDu)^ehd`hoWc z+y_JY1<;J4-+uP^AD(>jF<1`1ED@;>h)!MQc^)8Ee~*8>O>niJeW`@o$&(L$frjzP z`(QXHdqE8EiGF7Co^FB7lgb4NJjzE;$sas>@DIQwxY>#xkMpg{wvRfnMx z_Vxe#!PB4J0r9zMM+&5mi0db3Q0@GBmV%P0#Mb$Wn3-0fIC;ECBa$opD@T=tz2u7I z*^oU#6BB>Wjyth{cfGBR2!AtoKw?jn51O~|hfp&Zfi>5PDUs?}&tF>+|6ahO!DHEN zh3+Wu5Boki2ww>oafNwKqiqx)UbSjkKlu1(PxXKK;8!3#Z>?Vs{)N11+IeP=FIRYQ zFyM@bj~q^s?tbSfQVqhL>52IhvrkP842_8s#5sS<;n?dNs(e(?wN)~J)SBg&#)gLa zMub;wWUT-0J+Lqo7b}E+pswcQU;G?i%Ttgax{!vpYBW_J{_5FdYVIdqU)u0*{)Oa^ zRO4Zyxlm%&y6qMxi{av=4*1c>`cMBmNQyqFm*5BeT~M>7oI7w-eDbr~rEnp`p+bfsa(pU*b9gxK?t;AST6Y;7Lh~<;EiFxmAt6Mh z;TNEF-N93!dJ@E~y&`W;|2H6EGrCKcvr5^OLSuecPbKm8n(+ zvPdhwj$gp*v;2}c=I2J1z{W1}OwO7R+-<~2qK9k{W@-Cd!6xHQ9-HW@?+Eh*`+O-}4(@`({9&vi$Au9oWXzk!#a zGQS@_c>1CKZ4eNjN_Z#4lYeggT;JN@r9PB9;Bb7&PpP_KI9-Ti=o1GyA1xqsAzXht zH0zG0_J>bEjZKm-AKnf8(F;psV|aS<>6DxDC7pm$UgCf3bNbJXb)@aA@Vw z!$O0Qv41=-U|C+`+*<+D4oC>26a4(~&-Fig^6_Jkl2?|3G$*-&jLqN*0=8kP)l%qf zSbk|qY{$lipBo!~p>O!PS|{EGwo`w(ZgOgiB!vcP;A$2Q0`=f|;BXP!lO;J`!O

    kzv>p%GLAu+|CJqF{cm)B?n zRZGR3wgu$-^hV0?!+RTqb&fAeXeI<&ak!wKf=iG1U>VK6lk=aLG#v|j{W4J;uh{cRIe|`vRNrJ(YO5~pDc%D;u`NC_+=$-?o3Sx{A~-_GKB2pTyCiU#w>u~I zL1M|O_QA`ThE*wkZoLD-L#G?CqUjFsvrSCZ7(tq0sEb&cld!`)11G1$GWbA=_5UTY z6%eiv;m4!r4XQi#CKZ3Q;eYz* z+>NM7-MlazuSX9tt;eO7Bjv-mn3u#?hn81YA1GNM(S514ZHiIa7Kii zGlb9`$&8l3GgC|FpPt+Um4~exV(2HROcrs+A3XTQN6)}eA`H^tXkb$owXuq`j!eOX7PYo9(Or$$zhjg1@=ft#(`EP`K_!QD+|YC%>{xC9Q# zGh#FRrMaaMh~8_cid67&vQN^~%JvkwEHd%->Nq0d_>Quch z3IxJ$J~DqdvnI@?6)4(C8ANUhG9u(~fg1bKbU9pW#PTpPdkMd6{KonRC`^-S58-_J;FFKuzoXju2(1bNy%#`D~iE8CNgwGRM zk(to*Whvb5kP~TO{lpxukcZ~4&5XcAxd-8ms=aH*K2pCQGbhAZpSxhEH7Z!f4W)P4 z&ILj9twekJXP-Uz6*ZzQPABJQ2&n<~b zVr&U~3WsbJv}sDM%jwR4L|bGUKmJ6Y9EML+VUNu1?qMBTK>y&{rGckbAR3o!qUm~B zsE(OX$Mtd;2-d|b5W*`d=?X*cIB{uIAOnPZIbNXKP{pcmu>l_5wWVlUa@}&4`+=DFFS1VKngv9XHR~A{+JL>kN<&CZ;w9) z4So|p#67V4ASA9lP=}V1*!0@>;K7MVx)A%llY}rJ79jMI9zFO7L}uqSNNA&ehn!*A zl{*_s+%???UD2}#9Cb!sZtVW%I$A)4sxh`CycBi99n2?g74sOW5%12H6J3TbBoyxc z>Pi(#Aefkg*2aZ@2_%D20EITmh6mP$_{h3^{#^e#v1`9H)`vQ(qk4OWvlEcPs=$O2 z!j~Zp>Q5NznaVG@d+zPasO-z~7I}Bn zRyw!EeH*2JWeIC|hxk5N$gtniV@V8R@SVF$r#K3o-13*4^OE@Z3w;;Zd5v94;f!L1 zC_q&~OrVnH3_z1g&b45#i(0H7c?;yGZ4ZiRLI;f&X}GUy_v{o@&g_NB%h#4L_o@H# zD^MGinWGEG{XNE$F5zjj-`?ZT?G30}E0S9=olT>!R$bAsOZvJy}VD$#5CnQ3Bz~A>YEJ8^_wy zQ>2W|6>1TX=3Y=BBX=xQD{{vQ4{z~>Sz0mtCVDzUqeE}OLt1|M%E0OiV%K z!Ii$coZvhuR+p>$+N89oARIEa60GYE>Euya)|kx$2PxY#AeUKp;Taj4QYTVNN_sSrN~cl(mH716@t~{}*vZQWG=lJI~F{O^prAzQqI6yDmjg zM(Dj(eNKE>T(o+NIFHc4iV&SIi4P8c!WI|!@1d-mB>63gLd8@WJ%33!dp82q_#W#o zZx5gpG5hC+>m{k zZ-9r4f%B~v9UaJ20N;L@pM#PK@P!}mARcfcyDbBwX)=0rwJCOWMu!RTt$7X2=`w?$ zd6$PfQkQlt0WJY(m!&KLItXC{e039L0TP$zECC-m^ssv5OTEdgSGB=&D5BEnrF_HM%)fq$!#XsVCi zPUcHo`I>LgMOmrtzY)FrW{mImVBYTlnD?jbsi2Wtk!7=gkD>9>Y>qy3bC5Fb4|~m;IWCj`rO(E=mpY=yJ;U88@LNw-pzxKI~}-Ns1N-yV6d7FyR@9WbNAM(a|4hA!hZXx(UYRuUg8XgB>z?ReVoxvbK} zLKMp z)uzDGb6p)Rj#4%wSJG5$C&MsuN3jDS`KBsPaI0mkuY}7@j@^ivBor1&| z*exc<3-LWzd~I|!5}TU74VtHW26Q++P-N*ywGfA>trdHxiMWWG>+C|241<*AH7^I~ za`3Q=P4_~f7Cdaw?O>A6cg{rGg&s;xJ_N~Ddh%Ioxv60+&4X?L$y zEPQbfL}&G9!GAOahOXLJ5Bxp`u5wIGC=syXs*<(wdY(48D${ST4$ETR0r^ojJ8~Rc zRTZ`E$El&~?@Zp4Vgahs9@}(mhVULZo2&F!Ag__kG&IFK$MfiZyp!)yCIqB8EYzxR z(Osr9zco5&uVfKTnXApKa)vv9P`)0d{3fDlWNkVNIe&$4sjTQcmEZ zdTzQ1Ro=a{umnM8BP}u}VHD#MHloB6MwgpcPFgAj?tz1o0(>I)HYhBriqK=DLT3}l z$rvc;B!9Oh8F~D~bN>naM+UZ5`+N75cvi@!DX~EdE7WS&I4oJAucU5Mk70DSJ?#bcP(VIQb>QX)x z_9+`{Y)1JsEP7K>RW_wi<(4U-obOduCgc(|uFDyxVoi`JVKgtP!?@VRy5Q?K-UWU+_QS}!vX~Y-yWK7^9%`{) z8;BCn;&_3RxmOAe)Y6a=oh{@tEKxB_ryN#)nLOZGwr7eKhUGfeV-kAQ7=~rj`Q$Yt zde|6tbR}aWEMpZmWikq3iJa@46X6gRe>=M~9XzU%)Sl=fqDYk0{-sR?y$(2brK>>7 zW>HEnFZ^`yEQ(*$b*UaF1Q~4S+sdb?lVOM1bUv3bnb^6GkT4>T5sn9Ex^Raf7Ax3N zm_GN@W8o&L^R?5u4BVt4U~NLAflK`2PNQTPxWv|Xa9K;C!97qP>$#=S2A5nG+nk{X zK!-~L@?w1C)LsLf2n$1GQS5B(8l-f%#I-iYsLpOUlb z4W}yCMzKn2Xy^?)mzF3Y7T?GZPw?Qg@r|j=wH6u8^JO3!XTT08d@ zuyKpQvrY#+sy$LlVCoL!Qq;VKPpAJ6X+TBg>`AVG8P?oR-_MtEfr{9|fq1zp(6~FA z?90~*RMZ78B}myo#ZZ33k&F{eEQ{(HQF4NRbZ$L05h68EF_Z7Hp@+tV;rMUGu30g7 ziuAx#521>u7%Sf#)n)P&Y2GL89G=2yG9pZe9)}5wa;s7@d5Zk?nmCmYuWx8&sZZ>k z#B6eO%1&qXN%9_dv{x`Ni>3Ui4LwF&rmJ>q1<5gL(EzW2ltck7DAKr6vMa#EKT=kI z)~9qh@sF9wDi<9Fc#%CgImOd}7t81E@iHcOvD=$9AY=RM9-htiVjOrec|4z^U?LWi zqrsa37GjZB;Y>DOq9YbI4I`zhG8fm#C9IJIf#AE0SiJVQqenq6Y4Ga?Ry@DozFMv(R{I)iPKUg!z(JD9N8Cg z;fblLReJ#op4cmG7#32#j&u3YoS5$G*iKBYaEW=B=FnzL&!cd6hd>F`25NsL$)-up z1trcRFXHqtpoIHz(zG59l$afKy^^S8BwbN4L>H-Bg)JPXO(W%f^U(P|ZSc6y`*t-{_P_glw*Ob%p1`MCN)(bd*MP{8(hYkf; zRODB;@-a$w=-jbSMguGY_TpAGfJNuZ!j+f}Smb5)H7GHFh10y(qAsOrm!n$`RXvjm zH%5Dv3_)1au;Z#=$h)hz&aZD`?oES+mf$1nFTjIh=Y!(s8uy-CBa(97#kY&*}0Bo6v~b zY|7*_dm@!KBrgcqh{k4rW{`uB)f35a_^eP@TTi6ewa8>S8`AJg8_CyU^+XEWKD-p+ z!Hwvv@+2K5xN%tKoG)U78zUGj(xTf=B`_fdABQEekr8ex+}!nFTM)*7f!KAbTT_AsT#93B z!meKzOL0^Vg+_2Fj{f;JFFA(dNO16PgY2>dp4-C20y-p9Aq9WN0z2A@y&P%P^P1*I z8Kr3ld>ru+-L-bAz!4t!zu44|K!+s(8K3qAW4UfwGsvf zvc6GPkF65D3o2KxrgSkFNbJt~v{DNOa#_??O-IUY*Znu-91bLG$F7pcXnf>1pP#~> z1?1Y_Uf3)YGJUAA{S{xaO5+nI0bK1>jB24ls=QVsSsjmmJiE{LP%$x@rq;%@Pf!cA=w1_ z6W3jN+FB~P_g1cnf8g=Zchwg_*r0Ozg*8M9aB-D0m#d#}9L)Aw8&4&UR`K8P8<%ov zm5QbKStYlj(!A63rI6E5$u%k{4w2y|`mpcoOjy;R@|*iBo%==ADlst3%JP=CjN4x+ zaWbWp%g9X5*k9>tVPsslic>`xMy59@;aJJ;q0~Myc&VeBe`m-fSpv6}f(|JMrs+%Y z?Ui%;Dm65RlUyt!};r z2FBtRb-AH|nTvq`fv0R%yqnszbVu@>cXt-!x+AreIol|-;5ST?U=7Pgx$(!O09fx2-25iFl~4;+L>hhS?M?*seN^>7iK zbC7Z~fDHrQfTO_nIvED?NtrFR7qcOs%oZPSi8jdRf3m&u0-M9S1Kc-k2W2?Or)D@M zU&xLN*_BRZOSE)QI?ZV97hwUQn4;`09gH0`0{gBoVTVP7T3gZ^WzdSi(q$vi3A=1OF`N(;*4M-G;xV^ z;28%Xe{aPSR77;lqHrfHiG`b6al-z-fEft83d-G6un?t?t>JQJ%W$u-;dA*nAxdLq zp$kZpzfrFg?~{@=9)?jWZb4)p?fqi<+!3XkC8z7fmOE973h-&(RS zX37>`lqpG}D4(f8nJ96U~b_PixR&^jm6iz0Oi_;(JkE zA$I6f7|AAgbK)srqYdN1s9VltZ%>6(mToZ+@=BxM&1WL ze}%iP^xjD>hs7~`3=dVDUD_dM@K9IHc2NS=ntKB#6O(ta8NIt;(revMMy?GQthEW- zAUohPX{na-%2u>dqiG8FSUA%h+b)}BjQ#ZuIO*Mp~-Q9S;? zb5X06`r$M&J9O)~)jfjl&r&tV^PEO%f2A7u>UzVk2-PiqN-V8UpM3flO^o)ff-EHl z$#M=&a}cwTtkSWSNd*_lI@)(m;o*8Ijk%8GqY`vYFQv(po*yz=DUD1NC2Mb`G#Ta_ zA*XX&!_iJox>PLLdH=EqB_K4i64@wHTOKxtH}oVYE`lg}9u( zcCrOpAg=MFY){TcNP11pNxlmrD4LiN^Jbsgzr}SnvhTSnRuPsijnkqGZiBX! z!gxIv*VQ*;*TU{>RKM02E!Qr4EJ4eP1})RsIcMO=y8zQ=vz1b+q8Ve;W^{kAhq% zrsiM4(8~)jF~0QoTzc#*yKez2x9@|@hLZ#ino8b<-ReL(YGTcdHK0V9ZH31e58oZh z#;(AhS(qB=Go2x3qh(QU>rMjoW(4(6b-|#Ykb=$T_ZL?5aIjfl{pqy~(=TZyW-km2 z>D>XvC55Z1c1R9G3FBxve`=~fp?L>!t-ZO3=0KZ=KJmDh&tn^f3{h(Y3WHXng!Hsd z;Gt8q)<%1`!EE<*H&UF8S!ZTpNX)tYfr3CWn||9Vj0u+D>9-o^)e0{CcC^s!B7=3V zPd)+Hr!hZdwOpD%btF4tF%wLAd4A1gF>uB6wk@bLf04#xM;jjDvX=yH zB}o~0+=j>E0j>AaZhz>s5QE3XT%0Fs>4b9+)a-ZLYU$v67exB)Rq3(uxCZajLm8ce z>zrs!Lxzya!5s&TJ;!5kvvb9>d_1J}F4%Jk{d+70*HAS$#R;2|Tu+y8<*@)y!THqH zR>^UllzjY~k|bO_e{S*0XHta04y(0~TB2)sRtITcRl3;PZs?DY~B^&3vtY05jYU{WZvAfZuDuN$a zT1e#h6XbHN?TVOTrf-uL3W5Z9p3i?G*-6CZ`L;HlJQ22nXb@t%gPlHsPsOGqf&Lz#M(3uD(%N)6 zC+)mFT9+;7Hfbu4Pn(CpB%?`FpIhFTkkO^7VY;k{_a4d@O1z9DH>h%MRc3;4IBpyp zs`n?A_IZbO9!fy_DiL#A+fC*6rcWY9i1) z8g-?Ee@$aA=9Y%ZIBD#}mgZ*U;=pBZXbPisVF5icJWgiYqc|r-ilZ7sZ7S`N0W&i< zdk$T5efTavs;x+H=H9R#E$^M`>ef ze_AQ0b%NKz0%>$1y<}i&ik?wte?_j8nXWIGigQ%3)Adm~r_%~nM}@T1$-COJ^^?Al zQ37fUM+%#`{_sY-9vV_;2A1LjQ-$o5ez$i;6rYvSAFNFB!S-Lj1CAoj4;a+rSHyl~ z2?Ayky;+%l=l#(rfx3~xb4>`#!@)U$f7836dtyIc2}uW(=x_BJ|0DXdLroZnTbgi7 zY7^k0+1e_1^1X)!uc(EyyqY?$<#iKxz_7hfh90iXLuE;Og@k%;&n+#e4oHXT!A`Q9 z-B*h=W)n6C zj)f@9p(gn(K-+X#t$;Qv&n<{cGBSPxf{I7xrK+w5@Uf#iLd_yxyZ}p;nFFXt7=Di zld0=|DBfdXrM@7rRu-F$A~BZw*1)~xXcT3*Re&x`?-Rf5tabC{(X^G3G3q4`{|`)e z)wd(cg!!y3jX=<9qN|ccW+de9Tq#vUW`PPeiAy1-U(7*)9Wuvo0>J47f0~oe+mR)Q z9t?4%sl`pMQXJHgSsB@+z(E~>nVl}^nVA|!S(6YGR1=qtJ7ue46_dwHZ zK$cw9MTI=KCCF}`pH)&9e?!`n)q2-KL~RqZ8;s4J`D0`K_rTfy?3SF%i)=N_G$E&o zmiBpW3F_P0Vi6Uq#z^*-hEYzK5WipChS#H>MqTw+?wordL#1^^e%oRUV6wKkxG&NI zn6z$mkts-Io6r(M4}>^VaLZu5lJRchs!SPoe+<7UY&yYT$zWm2lh18^#y9Z)j) zm=n+P7CE`Rtl_v$f3`LIsm(2^KrBJXM*J548(OMdO*Gc=DN{o(e!{lG$YQ5`Bah1A zlIw|_DMsLAC8AJ-LvX^}v-Z@r5eMrPM`-6#R|^;+pu-51ZMww@Fc6OYNtvHiMOxFf z9`R@^mXqM4MT!&d7Q`^l1m5BRID_3IwF+u4Lwhya7nAB8e@BEk_-3ZNCrGXhzA0F3 zJV%$Q*&1FzLN6wJDx6cNOzOh!w9Wz{hrQ`ej4eQ|8NPaM1Zu`RJtWvSn=KdQ!14OF zYP<~0zSe(j_8a0>v%}B-kai(op>ebX`$GehWPk;2yC!BYOif;XZVk?ALQ*jANOKv_ zi`9i;Pm^0X?w&oKw?z|CNu5ZBQqRWDe!~A&kT6grM@wX5{82Whk){0Mm+mA5Q)R-xMT??#!IdQ z973tUv%QnYX!o<{KUE=M+KM+Iwz0icp}HIB$C$r(L9Vuf?Y$HoYJZ`WBeXb!tFuR1 z+UvXnf0~;noaEX-ry`fc6``s?%^Fpw!39t1#(=6AdmYLq5l*0be!1>U zYv_60M{(9pNB?os8mi zA-8wY;bm@umfl6xSJ#tRuK8Us+T>X-z)@9ue;G?5GA&fqVsk-{2t!pRMh({T>8AB7 zOUfiTWx2eltNp;#$91zoCusH(cpUkh=lmRDD4oFD9V3Bbrrn^lyDv(qf3Y*NK>knAR(lYZ2)+kgB3*lVbUR~72*=`i zY&hdS*bMgzQK&sYV$*>N5`=~pCyFsNT1$IbjDk(0ZF+7;iYZT!=|zw$+ShHSUVu*- zy#ubhZT|!htHmdmED3S2+VN;@l$5$;OJYrv_TlKlc}|CoupQR)X7IEiY;zM!e}Ova zg&+n{YiUZmnv(JzP-+hCI$(Em)kTa&-F%0NyOgTU8QN&ly942V)&AJz(mgQV=NKwr zdIUyb_B7BN8dwGO#8f8FCnE13;E7oa`VH_cKP*B`klv8Km3>fqHJ|){BnI27+_FV< zQm!PbB3XeY<)#z&FZAg9iG{h9e>L1c;L>PVK|QZ-sKN$t-NM>A;%~uaC%?pTbVp4G zzC4wVKx^SE_;Qz-@eI;4CH!~vDY>ua*ez~AUxO?Y7`4AyaP7YD}Dyge*x1(`kX}d z8*o@R(5}mJ$6y1Qm4rO0S}jn6`2s>^wGMsJ?EYah{<&nqG>5ozX|i*asa$YM03g3| zqB$xQuRXy{$R_dDvRi7EDp932fp(XDQ9=x#H$1;Sft)YKOA-&uhl_X&YHuxg zC|bgy_T~dTD|qxC9K>Kye-mpcCDU7}_Q#=(*eNP2mN0z%JHX3(bB^ z$i@i|>|0-QaKgBZ`72D05)H{i88mx*I{RLC`!kT`IvdhqYT%YeJ^b zpnjBNJ9dnH1Jix58b6Rl@6NWqmUpSk6kBh=T=_yV3e}?MB{7`Cg`J~1bhV+HDdheU zvSaJXC+pb1B==t6e=+Hrql=*&Q~j>eWem!(!>=YCDLXRa2wMHOE46fi^R4$OSE^p< z#&nfmf%w$pCKUN1jj_hTbgJw)RLvPnt2?C64hrqN!~E zwwEg8kdxgFyZ)%!h80A4119%k-C_7x*W{KZu!-!h(N+2Qf9wPUq5Vef#+LQiu%-Lz z&a9NOQN)y1X_noL@(3j9=`J*36imN{yVRId0G~@^x(=sTDA+XS?r=}7Si@e{)sMu$ zVwht_odi(CFjPz!epRMEOqO_+r6L z#NFuMfbg!1e;kxd(d^xDipEbxg_0nZB^g<7aIz^PWii%7g|d#nz}|Hq=<6YNc|YixVZC6}`?qn&!cc3Qi1G zt_=yB)P1)#Rbzt2+?_p?YJ!mmG}VApm{TvB!ssVxe}JtGUIM#;7H>Hi-PV>C30-y?EMgQSZTQk@G5e4)JX%0755L~$J#$yqx3+45fNN=e%3TYsg z!nQ(CIt7udcGtnrx!~$fx^06%1FqU7db{eWoF3Ar1q;W`!C1`TU9d6hP^Jp#siJF0 zhj-5wfBGT;qjEj(fMUm`k2P=q^t|5Lmf|p2d*{$ukyZ@$(kr2ar+RZ6G>NZFtO$?b zr5Q1CUjZ*qmrmTfK@0mXJOnp6knG&1Z@x>szBX4K8wuz;%l2(=3i+y?@9OyqW>Yi3iAi`-Ui?)3=p$n9?aVFX0QRmkm@TirjBX+KRM z(gd{4r*|T|Kno)h+hKpi{E-sF=Z3mpPss5Z3Bsa{ZEWbU;oVKg`Drc5;M*Yk(r=gD zf0S{2qp(NGf_F!+I^$GfCg_h6Mln94CQYuAjPSWpE-;w4DbH3FB0(JkXLNOj?GSRA zm{J|(==!e$50UL*Zb=|#)n=E`4364^j136gXa?i>*XMzux+=v)tzOVRTGYWboWx?@ zTU)b5#Vu5p;x_epdmfrT5uLY0UEGl})BXCEKWl{|dBt*BohiX=_NBU#XHd>8ZF0nC>Vj6i^X$CLl1m z)xCs+pKSsv=G4!I)I(r2sxTJY$oD?j^T-(xt2jKhz+7|)8CKFT>Cl07e-V{9e<2rd z_CQ~uk{gk@1CF!1!%)j1y1!xsa!A9 zErH`{#krn38lmQeKtfORyzJ)RNWM$05~dWOb9TP5=k2;Qhl|XA?bJ8Se=10GYXm}K zql(cRk4(&gXUdQz4mtPRw_Ooqkn>#6V{dg(ktIkV!LczDgzYwc2RQAF1j#8P-6N~b zMWk}C5o&_Gug>P=0$n<4-nZW7tFlQyVGapJjutuFr=k{6hQuVGW*&@~%PaV* zRMKGE&7@sJALWnLJ_=KVe{?yA|0A1D_b4k56yfRgt2)1VIfqU^EplAfqk6N3<+diu zR2CEZqR=>>1x&b#R6ex|NFftN<7;PR#S(4A1O1#*^|(0uhIiDaoPo24_T^T}=}{n* z8rA>Yz?4|yAzq`Xf`y^lK=#OW0-J#MwAqT~sn{q;-K1H*Srb^2?mp1udhGd!ZT^k=vWmKJjcbl5Qb$kb*bGKQ)(2ps+A zhK1bjvE%NOhm7vAL9ye9nC`Lr`%&ZMe#E4(pi|MbUfiu@1T$a>hikTf0=7BCUC`M# zKB=Wc^ShvEt!qw3f7>LfR6e*m>^I8&(vE3v+wNUaEW^!v;>@qLiO0eAV>9|Mc`#|ICACjD1=TxEe-(WC%FyhQAa=7NRgd;e zEe!7w_w7We!@aE_S zKApvU1NINc2DH3|Ijk`gta5~D#l2P6qX-#k6d9HFz7w0RTh4|Xo`(Pp}MoXdD zEl_f`ex}WPHL(QQv2jJZS}P1PT{?ppy?nzRQWMBtelYt1Ix=fY6`0b3>CLb_J&bOJ zPk(aEGe>uOycxR{9;0V03@nW_~MVbHdShp6(yXqAJkO4;f|i*zu*ZwDI%d|O53YQ$+z> zZ#Vl->_*e7U;Mt&^zTkR$A4_2Y37X4)PZarHCIb$ji&Q!OR|-WM$^3&oql?_l8l+b zoh%ux>SDeh+NZ~Y^PUvgAX1uyPq$%-;&+a9C5HVLMXZMm$+KF2gXz3*# zf3>*pD5m$4uJqn?ma6;Wwe1Wz%dl8{Vcgo0E~A(9diY|!l*@^aZ08ROaLuHb_oI@b z1J7tC9ng09$5b!r*^|RA5&hlWJ7EzWA{x$GU2sYE9?`I+ksGlwa&9x}iBI$mgX6v%WfvU9GpF%8V|?*kkMf}-mYd)N7Z4vC$Y0khuLAerm1;{&&DtUyt*S89i{{F zuI==fNLm3ke^QiXCC1{F@hhYjYa6=XFuF-QE+&`iXu3&HcvOdoncbu#JTvxADECM|uLI zB&zmA5MgR_vN;uMvL9VrVP1S(QX*-@!C%B|F6}XFf7?q&+u&4zu=Ur#J8Gy*#Etkz z=SR*->0OVh(^K&l$IgyZ`YXOeN{<&={ip|zrX%^keLw27Iq0uz!kaeE1A)viUDcF_ zjey&aI(E0WT*B%{-JCv=CZ>W+0jm`b0{Yv$+j}~0aKbgEli^M>Zg^!q+|yOT2(O%u zO>K#|fBmTE+#EwBn10kDJ077jTtDiR>yC60^Tpj4WApQ>ce3nw^@YhaZKc~%@@sgU z4$^zwB}KYa2kH6i$t0XFRd^oNtfY02&KZmu(sYm>NR3ZZvO7rIuWfWoF&(7mr!(^T zly~A6Ix|4dXkxXQS{Wk4v*hA8rAJBbjJ;9gc^Rd7Cy=MEp zX8Y#yU9FQwBimf68qcIO**1SPJS1Sqw*Hy{{QEibv>G})GDADOSqkZrYdaqVKZ ze{2ig_FIzBWm|vB%AA-j+dNCRT7_)c*6f{iF4Q91l0qg!6?ECQmOU1wRLiz?SIS;ttYyl!wxokW8CSOLT<0RrSUbgZKO5ff90yS-m2p|6og?ue^roPTydsQskxE;oOE5SYA({ny_nBdb3smB zD{_vSt1FpuLT@&Pp}NwtjBS)$dj8r9M$Msn-{g3d4ih7~#N`0jnrUu-Qipl(3WfST zA~uO(WayQt^4hQKn#I%$6iAtOJU{R~cwA`jm*X}+V2ZrZkf?kqTja&eUKPvfeVTn{^~E-BG1)5qD{zTe}}Gp{7Y0X>})#9+K|J{7GYg}!kmYc(wd5EyOQCh z;c#16nL?ukQ!89I1UMx);GG>OVJgAe9p`P#Yeo{v6DmbCS-0y}Gbm%qx`Wh&IoWS7 z>ymwUT_rSGw@{qW!)M64oz)0?U9PP2D7~x@vSeL%W>1tJCF}N&5)OFuegr0Y%Qciz}0mr&I^%JjILYCuT7HRbX~c7tb>fH>lzyiZDo|M z8@CDUk!o~ZZQo|RjHB!97rYYnC|wupvl!09=(>cGwQl;GL1wc@JB4apH&H*lEn@3B zTc_4qoUU_C>Rv(&A~Eqme?XDl_BTm*W!=) z961+yeYDBP$~m9h9Y6VdXw#HjC?s#e9TxthRa)IxP^k1A?$2-g@g*y|_+Qc$-Gz?i zy@&5g-XavvLsJ98FCLn|`OMe~DXJUa`SD*!{){PIkQjMwZ9>YHfA2s1o!*2ZbH$7>M3_Cs8Db>MrQQ5AI$kAc8ObMTI7!=|3 zSl#jEB0Y>26)=#XWazPljiMTXx|N1cd}O6qEs*98Z1NOXft0_t-7n(GA&0W9Rt2Vk zK(Wn%i;z{g-`gCxe~9*v#~*_>{|;vbP5kVJx1||EZ;H zZe{Cono%c~ozv~D$CfH}32pI8x>PxK@$z8llE#qibvdize@6CqGPM8 zB1lK08wOX>f1Twx-4Hw0kSoC45f@Y@+dtp*0JX!pzDFu#my@bM-MpJOdSd+ zEN8shrCiC?23^xLgUp!EMA2cbK*O}G7S~Tp;4vQke+*0~XV$55__4$OcG}zRl~pWy z3hBx4sh#l=Tw}fD$nX^&wFBGFcud0u%Sr;flqCL|+Ls4QPRk{XILp~yVV8ihF-LAr zxQI9#bHYY`kmNmNEG3cmBuZ1O*FU1cXg6H%sS{tUKfT@0mt^v}zhE^$`}emr`?s}D z`+Wnnf8T)yXy4N|T{Qc4|8aPx7_)D8)+VNjU@V&W@lR+6pp0WpLZdUQIa+4OW&rCQ zUv5*o&2*ef(;Us_(7{HR(;O`z_c)H*3GJe%=^U#U>^e?r6*Rq&5Z}7MXXu5rvFbym zmL6q+9lmvP+`E!*gOd1-|Dvs_Sn5Vii;US+e=I)iVw~Ad6*UzLzU~Rtylo5Jwo^vk z9;YKS$uvy$kM-!PrH%7c+`f|CCG4ucc1wrZ4=ge+Cr`xg2UfRccglW!mrX-!xRzJJ z-vXYOM;Cl{udku;pg|?8*H?~vbg!0PU**ZYwtCE_Ua1X7*$Q^=uI!lh4v|{4wbs># ze`<+p1vk`cDF?g*d@?+?wZMJ%!MtN=n~*E_f<}gu7?V-m+^YPv++W7-K$RL%9gI_l zHTxM2?6ywViB8o@TyLhxgw!?xt~XP<|Ja=7O(L{DOrdQ{s|rp7rTXmg11+73-vt*# zi8)wiH03nuaB%}w)-IK)f%hw ztHoM+8;!-}9ZTr14^F7D<#s5FviIo|Gx`yo7gx9lZsPh8MQwQ7i{2)7@t+H`5o22Kgt_~cDmmSBCP%~Ul-k@5p%j6Z=tYYuTq5Te3N~ia>-+v9^wRrD+l{}+$J?%%U%6)PCJ^mBj+XI zH@BW~XsBq=!+0xRWr=<~Ztt0#y7_1r`(}2Tx!4^1j+Q1f`w?mLxV|wi`-A5?Y;UOS z!ZS+5>G~4Cy|l><-}>Zc?dmXmeYrTI#dj_UDlVTEv*Q;LSLbegPV0i9tAqnTY}R#ANRUQsd% zm@!M&XIBn?iK|>+bZ&3eVbq>y)n<6>X{|lCvrW8WypL$AxYJodMTmTz8tSB4YrnTM z3z{XYdhU&>lq{k8jYDqswX0HY;kIjW{Tl6!LuWH_@mR6=e=gX(bm_pBT3?op#mlvp zT4z<4OiM7u)Z-(KC+cpSnqum!#^FebmH<~r!T2)vg*A6U#gtc=f*bov9dSO8F6jP1cd#86`%NFkg+k_K4Ej6s0J~Nead`vU1D*ar*e~4*jl$JS3*|nz8zR~2%QB+pR z;tOkp=Fd50PNGqVCJSlWSD?rHC|LEXpoE}FCkd`@vu9(a9ap!Rd70_OdC3>)GQ>(~E~bL7UZEZ2%j9TR zx4YuMf1=<9WNWe#T4aoXY*u%0f{azLxbIoAjPk#<8vR$`q$tjjS+cm}Jvv7V<}SH5 zMes2Nigg=xlUk(OJuvO+AEu>=@Le#RJ+{MnThG8i%<5cbI0|+n~p-I}92fcNOBq z_+1cQnDo!uiU`}vhmytEV!`hIdK)dJfr-@ze-%o6Y2fUC=iOY_$ zKe(1-3jRDMYlmrXp@-w&J9kx$HLSNm^>%+Fx3cee!}c195Zb;CX8ZeUxRrVF`62U~ z207H$G_kZ(ti)92C6sK03#ib&i`#COmUr?Dhcq9`*tK?{VG~JOUWj`iMD2wfXzBfM ze+#&F1w|^fCJC}ry3+adBtdn_!lf9KB(UAzOja^>G*sf|U%l2SW|p`WM{nja-@cQ3 zRMaQKRHbcHG*t63RcY<>4t40Ds08o}*fycxb|g|&s8+W<5T)Q2s)gtH6!EbIW-H;N zj=Jn>vGww(Y$dl^Y@xDjLWwO1n~mL@f1|(k7u{NmyL*B_TSZvlRi&+}BFv+5s#t+9 z|EkL!JkeuhRH}U1cChZ>JD}J1a6-nd;A(DIwbf^b*0tN-kGzl7e>u_UVBLye}z%e z1NAG4_fSw?l6+sHP!+9^FI2t}a9@=kV*TZ9FM(v0&-p$*IW+wLCOPzbHuwL->7oAs zHut+)rkWZAQ;^03)^U4@~0S5cloIqdn#i^lsy$gqCIn zz1z6Fx@SjB1yH9UdwWE(Hh$IK`BK>ncYD^sK*Fb3U43LVkItcLA_ph9R1OuBl$oxe zbEw5RUN(Q)jj{N+|u;^Hq)K3_%;uvC@Jo7Z=4-qwCQ@ z91u^{wA8h6p|s_N&t=9>1=g&=!Ud?-@26)M)};-cK-tdNc(L_jrO?o!3)B z6kd%s54t!Mc(oLFqh)|su886~DFdXMTUs6_PuWN*QFV$DNL6vWHYue*s?D5{au`xw zmsOe+R7ho7F|{hte-?S;Sbh}(hrA+c!o+pDjLNS1hEqf25W5PC?Z^`P+0|5R-hdQf zS6#EoTU^p|K%^S%Xe#!(2+*o2$PAG(HsvQI#e0>12zTwzE!H6Z%Tq%wDE|GFs-c7^cJCi0NFeL(4~ZfGNu7m zPqCZD-E9#Q*h&fONoD|BgPS+|l6&{VW&h$G7sXt<#*ipbQhbEzZK-j5B0ApZX!I5f zz9te)$W|^me>3eFHgw#mN*+d4g5DmnOhrqtCIRva==@K*rYMN{57We==5B>~#ed z+hVGP#;)D+Mv>BSSyoMD36F})>}i|LY>Kx=dpwbeJ0JqEtiu)huYQb`g$-(!AXZjc z+-(Cxe-NKy>qWtZbXK<1=(b4VK=a&|BtD6ih2>66OX#f3U7xSUl`lFgTU?2HPC;eO zrulv@g34yK1tkIql?897r1M!Xu;gt8`thtMx~ z_FgJo&GX#VRU?t75{fg)*)ZKHgL&9YLUb0me+xawHmtdQ;(%*?Hh!~?58yCsW>h{{ zz&ys*7Yd>RnN>c;zs?w3B%m6j%$cob1q!!%R`=SGWa#pN;UYHZiodd1xd7%m?B8~Y zX_zZ*Y)+-1daHDsJN0s!gI*h}Rv`}h^COFugQh!22Vdk#Ax3sHlyf4XFtV{TOPqj_ ze~}H&97g$_T3tG~o{-{wJKg)g{SF^WTESw(WRay=oje*Q>nWeF5WsG(qV6u2{GOm~ z4u%$RP=aPDS09T51g-w6hKZnUsv1=wL9;I=cypaWf~KDfwkoJzvC2_LqzHg&1GQ_z zgfxeLBHpYh{0R5r19n=xl`cYY+y2pPf1VglBCemi0EpW1+KzXu2c4)zPEUCCe-csK z-YsmC(}-GSXl^AfQFvqWrigsc_#TDs1Xq&~W@@XQrJm|A(9=FTa-0c;1eZ_u?n~)V zE#gLbg&zY*4mxwh_P=GqY=+xh&pG2C?5;bvT!ss11a4WI?ad(!To$4#^IVtVfBn6B zJ_*8^^M_sFsK95~t$rhm4?wuxgn=<|MSYH?I5MpQ5`g%CF@E4YX!#<$S8 zne%4-3wp-HPF6IyM?AtxmrVWle}n40m*D%Czrm*qhD@w;eT?mDIxai4%^P`SpaOS(X0*%D6nls+dreA z!M1Hf><){9)pjm+bL0R=vtH;c6-R75Z4;X^oZYJ|0!I58&vDlNwJq7{g8Fq7Kw(>ykR%Ki+H&%KZ$H_F#RFkuYjQhaH8lE*w3w$(gdowHeH@V1 z)m06ZaOkuyu5s-^#zgD#ZG{UmAFVr@YHDJ^wC<#`x0V{#cw8Kz0f+cL#rn=RI{7HA zYiilLq9)T6t)I?uf2p)?Z?Pp9X%6u|&=Z#N2{whtt&cQRqv?jFZEcq_&(+%3c^eooW{OGVEh(Yz0nhXJxJ6S|JO?Uq$wgbOi-}#V2U&$iQ9i>EAl3HFE&(mNF~OJIVmSVuy{|AqfeKU0uuu zDG)3ce{0QDb0}D>IwodG4q`ElN*_z}ZuJi=IT&WVH)=0x_*DKi9<(sl;@U?;rjh7ItIE7a`oMEc?#JVj^38O}8+?c!(8L9@WSZh-F+V ztr8J`@WJ0LAz+Ix`+%on5Dg ze=?MR8ETHJK^*f4J1}*HGEB8T2nx=TK!j^H*fgkM@Oc$1ruITptt|-y7KYZyhgh&K zp^*==u9StA83n|;%#oFzRi5PYia&B*N$=rT+Ku^6$^^fT+mi;#J%U8VVcgocvSFGP z;!Z3@K5(xD?+h+H6aX}9*Ak>bd|rjMf43EUCYqHsnYhJ3v)oyS6TA8cwZ$gk|Z6#_V!{Wlc{F3`pT=f4}Ex znol&8W!f-oNI;ae8QE9CBcZI5$l6pn9c3*{)&~gy+gH2&I8j7lSxxcz>1bwgXGX6P z9Dr--FpY|+EbFqObsy}-c!0I*HO&l<+!HK*^`sja815B*x_4CPe7e0WF*4R5MF7`y zNK!Qi1zaUfyXOM>!Q8v)9pqP!e}T98bF5jKHcx7o5$f0jmn7I)rskrAD&dr6QC{X?L%JNRia>nx!~!MEx^Q z5jfu?hp3c0qvcq_NTm+5iy~wIf?BP$2Xj%-w3B<)A!P7%E%nVbA(YiyhK?M>+u10E z8pw||^B@XUI6N}Sr%|Xve^t;OmqwxPc6zQwR0e zg~2IC9zdZATQ*L4bPAGENldf1o*9+X;1x0-u$uY``@nqtT^_lGb8iTwPA9+S?U*PnS|oM$d#a zx|F}3?48PVPnU)V^E{8xy=g1e7F#L-VADaqD}5WEBuOd*?yDNp%B-}D|yFJ6EkLubbe;_@oab3=U{iYAF>gI$( zA&ieI#*a6mXL;yY-n=WkQQ}NN#koe3!_dn`)oQATmn7fAn`)Mz=GKfe)>P-$hF3e5mtq zE|Z}j@i}%me^Z*lIQ>lR+IKR$waTWlQz`S!B5I=cxBws0U1Y8bDf<+`hqB7gR@qcQ zR4}Zx^C*C5A>A1a%@9*nG#C{C0!rDrUS<2JPD^xiwG^;sB}Z()v%i97XDblcW0-Kv zic#1Tb+tbu0bx%`Mq(wO4tv~rwjD7O?CDV#rLcUkezz~d z8e6$FMGC0kXRD)Xj}3yKF_W!aih!S}SXqg|4h{+YTzUt(hUE(aelMF6GhkgQ+Qc~a*2WIK<_lS;Nmj681_d4MOy ze=SD*H4P`N6gDq0_-`IyXF2s_d^$VI*)&DaEmv0~HPc99mACZWolgDNRCKgoXVDAj z=qUIix;8L|Z1cKwoC~6(PGkE3T|lD08DXiw2P1keJ>GZv8oMjJv@_HbkFe2lhu3WA zcT2yguooH@%5XG$jx~)q1 zG$n@2TY0NZ*%Aa$Y5NYt8H^IoFuO$;K~(qe-+Df}m#<#E@jQn9XK!HBy#$&cpJ1JB z_J16(Jb!{69=fCDD7`w0PE(30^r~-gRLR8|2674S?~1JRu`~w2745WViU7cs(9mv_ zFaWM$?OZaS1h`_m8nZz0Q%!PYhJ%J_q zHKsINcQHg3W=*!*6;$kHT)OJyK-g<#J%2k);>TWlhvnXeQX2LuOfQe%Bcv;SYp{>Y zM7ox4REZ!Be~7hJH)v4ORT47NB81>pY-&%N0EJsd{ow`&g9LV?)rrFoCR5EkW1s{r%%o?%5+QwkdbVh0xeEQbMz z8Ml_Nq2R;g>hn6{;6p8gJd2p;9e<^zOx`ev5)ZN7sm3OJ*--drUBCPA^3{v4zQR`O zcfQ77#karqEkeG<&{O0L+h@ZSAYrTPI5o;4!q%c5-sF=Bn=&``P;gJ!N;d1={9pbj z;@|eHbnicSt_E%8-Ky&I9_%0jmll(f&!rQ%lu1Vhm_qafD{-`JAkE-jYJU)SQ(MLX z2%PfdESpUya7TN34P(+`v~ur+A!%`7$-Dsi$y65YEr*WaYNA4SI1C6bXs9+p2qL&u zwPlPABe*!*{4O43b&uQ@tgHVSNZcxpOO+DHJC<3TIz#k0zWL$Ri+7%*06yUUHI|Zg zcO<2fxQy`8GzE>sm9DI3%73T>n?jEIB|k>u*4CZ9kgKe!v$h{h(|U^KnCwn2{yV>V z`+;%;dxwpC4hLJ|Jz^TGJJ#zv1R$#`Osp;>=s#X^y?XTG9X?YFb48@j@<@}WikuBU z&;gz^0cPxVI}t$dUEH>BDlQoc&L`OT=6EBxC_cn)!tSgbI^fG!)y@kMz-LTL$e=gI zd!D1(qG=-^27L2|gFLV)=s7mi7wKe^0N?cTdI=vNl8!Ec_vO=m!}=Bk0nf*8W<|b% zX5MYnIOUe(H_xYU-+#S){~leCGP8^JkV4EW$L^>{E(Itg>~CrwA`~v_;%u&2)v)O7 zuut8$>m6G8@r8eXdX1HIMn%i;cZlE7o@E)jsa=MSQXWbjJ53v-0y=f<$t>{PEcd~Y zX(QchVt_D~K}uL!fu-8I}@M6(zt| z7yqz{dnwF6`dBw>5(AX-rq!VX8*83pYn#mpG7_acP1^6`1SsY1`BH<3Oexc57N!OG zPC;4N0(<$KF}Hw+Qp}mDgkG@I<2kl;U~LCabkDG)P3;^*e5H9gdre3k$z!+)Q_wNy zRZ(3N(SHj_`M9wBux7$elEAm^ zxqW=-`52DXnmDk)c!Xsix4IQR0=i-t@05`TKqoe){7<-)2gs85yHQ?!bUiVck$m2# z>{s1Kx;_b=qgp0PCZsS&?d?;hvJj4H8aglK_!y4xkN)5!ds`0mYzen^}OV6SWHQQA#iI>-SrOu zZ8fJfsBx%8qOH4;y8jA>t=5yN2pIxf>nGyNMR@groS6fQM#rQzjgJ7?=H9(2E*;38 zAGW2i0U)co(X9FtGh@d4HoZ1u+5_S_(|=|d8h9|CJ-rLQVbSqyUs_uxW0>ab&5V&j zAANu|7T$yi81ZaNx-*wgJor95TQO?K06IIF+O#D`>Fhv6skg&BKxZ2#M*AcbI_nO( zHHru^<^3mm9jckP28jT2do(dR!ve@{Z$VF#%tvkqjk}Eixt-GAjtfC@>mE#w5c{5llm**sw#L`B)N~dYf zO$@$1?TI>EK|#IUyXF)$XS2C~>s3yGqTZ{H(+0%7XTI$T5>ilavu=M>^aa7y#HW8G z7QcJ*?pOcj)60)9KYX_SM}Edj`rpsbSmJ+5`8G1t{jI-ZeKx@YzU)PKO4k1e=KCKQ zQ2zdx4Tb^d0hyQeh5>^C_qTb60r~+6rHBy2uW~Q{jF)_g0eJz2xAutv0s#RAxA}?z zTmc19M(q3nms*Sgr~xsT1C0T}2TT0W`lo+}x66$ItpNcWw|S2Nb^-!___y(q0crvf zHx$qncBR^*^}}DfdOWY@_m^yx0Z%gl{@i}~D=*60`~#sX{ibsLSDyv`^Ya##*uWxx z7mhA?GS+YX)^8E?;=lV3-Piuv@6wm(lmTA>!na730Ve?g+qZC*0q_C=mzQCg0lNaP zJeMAt0UH4hmpYmOkO9HB%bEeJ0ReNDcbow<0aur-oB@adA(u6s0Z0Kmw|<=g76Ac{ zmzACYodMUEGoJz00cMv9paEk63%72d0p|e$1eaE#0cU@UUtl2{AwP$?R>}O-8g+zn zYujWm7_9je+Zi6Pi%_uT*13Zf6tGnu7hlSwg00oXu^RB?`T)CdISZ)`#3Q#`w?d?W zIDUC(ijTGlx0)wodGt2n==h}y8Kq5F*I8m!d_f=#@xgPk+@E0LwOxHS|J)DFXkYm} znkU}kBHw@iQ@GAg;X3~);X1$1aH|C{+=6-B&7KFHS9CbmNoSVO!*)h<2I{!`$l_P7ucin6tfSm93bI3BWpWLd@76^$j)fu z(P5l=<+PPW%y0_8xZ@i0YbF*q-?Ex2qqDf`{mC|ZluowFULvp=p*YAQvuHQB1lt9@!4Z%0tNL2h?^Fp$Mo~<)5n0X+B zK->0Z?I_rHKRk67$Av&!bVRD2FbDnVpJ9LMl*3eXHgb9VYMq3fpVORIydlNy0}yz- z%67hkxm)E(@e){DKfz{<-J<|^+r7=M#^sa%cN;7{`4=#D8(Y-P3K;O(dEMv;8Lv%T zX3ugFyr!#Z3&tPH0A3quv^)msTKnCAibmJ;kx|PcG(0D@dF>oLq&~&m{ez3N5c+>^ zT~w_QqHdLq6P`1wkGh%8TuvT9-O?v+iWEqA&SGqGu#7Q0rzn4BipRv>qU-C=MD*|+ zT|~4V#HSCjvm5J%013}YNYt1W5P(a{H2n+(a9Ncz%L)|09YqJvND%Qm6VvZ@%SSUtsDqpk6bP%ZPk!U5u&2UzEDS&i^MQKu_8IFyC5G(*DJ0K<&pk-5w? zaK`2{Y&Ifc3!G6LpIvk*1$fzX*xa>%5~))b+n3GYOMZwQ6{{L0AVI5WYg~Vjdv7Ev z7%M4T{bSF=;l*GJ`SX9zM8QUvkJj<2K}4WVTkrLs5=j7o4Q6V*mrsXe`8~<~VEg_P zEN&rqiXjO9PIabZ1CT7iY;57sA(^=>GM3Q`;j)wylV$)&mNA$6Pw$0n(u38LKlhN1 z$h0f-i&6$ecG2fdm4k?EHt~Np8tj^Rf-SZW$FThUB6#)p{>4{c|IG(1d9}WRO~z$< z`}H-Pv*X<8UQtkUc4Ef!%fa!&_Rd=;acz8m?(M6Wo;x_ad!0?iYO5g`TP#ZWj=O8- zN=SifdwmTvKN`MMT-u$>1FRe+)eT!LD0|>37L?!hhz8ZV57oCK5UPKzL$%bh%Mu{~)m9cRa>W#gmU3<`VWA$7@`+Osgvy$?_6>3>D%0&OOfY2cM31c8 z2$)dWRdD+XxCA}KjGJ+@XmJ1OrZ$wG8{l~Y+-vqxIVF21SU2Vqei2T#knElXLk6B> zNhPX!Rv>#v**%gjAl-kX_)YWTtB061y=0jvlLGgy=MH@H1Ln5aoMM2TWmM#KfUc*< z*oASZTTDk@k*wNwY?|-c-VP`CPGBR zUuAWUL=H8qC$@HX2JdvEg!RPl{XIRaXVBc6CL%@J`^Z_}xc+|$dQS#0wXuJuyeevgJn`@vLN1!|v9A7LpYXofXD~-QE;AX3rI`FY;Q1d|QdRe{ z93^bUWsWi-I4|Mqa7IKUZ2E+?ein_eo$lq9DX4@kMBA_-K?&PIQhulcCTzhy2h#!) zVapCmnSh)=qh+n7a=Ozethms@rzZXkD8q9YI%*$c?IC|#HqJjh@h59GC!9WVAuK9B zi$x-91Eoj#;LxFG*miiKQ3#N=6n#??X!v=KIa9a7MI^E|x;d|AlTli|Bf3+7qO{7z zwJNZ!;W<`+a@~vA^1LrF>vUs=n)ox~=$R4Fd0BGr_Mj9^{8_8*$r3^6tbZ?V8=B29 zx9Gf((-MDww(TkVU|`ZC?4U{GBCijxzw~T%SWK$1PUxd-w|k>!QZi*bRXG=gbc~js z+EAugVdOR_Q9trY8aIn4P&i6rH7KjuldqfT}7c^Vu{fRK1cduTyGHd9s;_|QDW)~8iOZHy!Vxr=jug#fnHotY{H zH3)wwX+WtKBFwF9e7_4}Zl;ZmJ*3?Scdm6GXn8o6@$6()#v*1Th4bO+rHn+!o653sR8N2koQH~W%bzYTQL<$ZX9f}T- z-UkQibEcA@X}VDh6%n}LLuYtZb=lw2bAuKef_H^LZctfN@34PFhU$7p#RI`!deDju z0effhF~b5B?CGb)x;)yYPRP*I zU8hSCuvdAPQ_TaDfnuXhZzSkMjrgY6S9IdHcb4T)P>A37^=%&i3xbx2&pz{1SN@p= zufF>RZ_fM7@tL3bAz%A^nkzPOfuDaNbH8-XPxS65dPkvmKWDsU0{kw&C*o30f^`wu z5qCTaR=cxx^oSv>R#ZB*BPLDFv%t^~4`>=vXbPCaX+H23w7ZF;P-F*h)4A zIfZnvHL42V<1vt*nWBOT$`FO+{3wU)9`hs_qSjfU6>H2La*Maj2T)GVVyps*(8 ztnj_+&WZR6FKHB-T)y)Hu(fqJo0Tz6~~t3c6C4 zYgvAczsXkK&1F>W4~IImT)HrCN=&nJ5cNH2B6o#{h|XaVgC~K+^|-CNO9846yN>3@ zg%sgdqfVJ54*LFE4ofrKoN<5s5#`Irm&=ucspng#rI^A z5STk;`YWokx8JlBDWoWSH|h23_-zqT_BQ$*KO^aCYr7FMB0xgBtn754lP=rNGHQ9$ z0O-@|DRDqfvXmxO(^MVDb<&~&k#9OaGpMjwfycs{KTBLoH9#HOTpXLU*rAjo-6RpH!og(`y0%(TM{cKoo(lC z&9gGf>GQCE(kMa?nmKb!KRgDa&pVNOYa}pG!8aQ*Ibenfy z12?8kZ?Ne_t?MrGSOOHa7cG`ZiLa;)iLalL`Fg`H`r`g`N}V{@QlXPksy=brIdPDu{OrVq4+t|)qPifEF_m-BlAs7 zY*P7kHqzpvjX4_YstA&!@<69oJAPY&W>XK3lvhBoyTp0zm z-ozgbNJ$l$I(uS_7=}u#$OhXC{{ktea%okFNv%&SNJT8B&IJ?$lIu!KcZ2TrVm*bnOosy^d zT^ugoS0et4;VVT(lzkn1xOm%1HI0!V)PVV}8nk4k=(hGH)aotRofIZStGAMss0$p3 z`m48u@rb8X7*Zcv>H7EJ9j&Wbi+oar=7^sj6H+R){)yd8ph62N4w;hDDzLSI^-_Va z0_%V4ORN);D=@RZ%EUt|u&|i$SQc7=ZIq1SkG~Fw%^W>5#4kx2~=Qx@pW4gqymc@*@$QRDzJ;~nGq=Zytpc;ja-36 zC7283_Z65iy<$~DufWQdjF()X0=sn2hx&gju$qnAb&*fSv)v^JQKh;e^Z&>W0SoDnPpq_;P^(Fk zZKg{BRg+cI6CF}gHF-U#3=>eQ$)kwoXcoPiJPoPp6)|+kr`a+(ku*$i59mhK#D0v+;E5e+H%$4*__V@ zGh?1&eLMQoKt1cLfz4Wvu#MuP1wzBYf9!wN#}6;Q zfAj5&57^bYT`yoL?^QePwM660yZ5iW(J?PReDeH|XYZ)HY^_(2EB~9WTs1*c@n4VQ zc5mbPYb+zGU_g3*Bshu8uM~ktg2c1#D8^WNcc|J%^aQ+p@#_7j7k~5eo9~F1p-wOs z(1zVcxGTj>{rK0HWf}>3$e4dPHf2e`hWuSkl!2hN^yA0)p7FoO4*~uU)2mZ?9*`Gb zd1~FU!C($$pb#Fc6>;)^;r&&lTrFjJ_FMdy6RXI}PaoglzvjC)SnQ?ozo8#(ZiWl$ zIZ$7JuVdTJhx+=9;*6CnsINa!+dZYg&BXp22!Gi`%kqowzkG;oZ?rRjjaWtT z|9$hrC(Kh8kFUdE?iR~Iu*)G9G zoX6PQ(EPHT!9UPHi5tO>K%WP66a5z!s5(3?;!g^ z%HZ7%$A7kt(5X}`|Gk1gRv%syZTYXUR?9`C3@|pFsoJlJvkkuSe)aZ)FX&`zq-pcs zu6*xz7}g~e66bLLH{-t?Gi@J?611MW>0=3{+FvrB^HXigBtDZlA~m(njWC$v=u#cS zWZ~qL3LR>W$Vq?D_wk^S38sX&IHK*`|0%uv_BSs+efw`bAJg?&)VPASWqMxFrAe(5 z0ngw=Z1=EpQv_Hl4pwUL&Q+?VqW8}9oQUHh7GeKZpefdPPE$W>v}iS_UT{#Og=1#2 zmxmZF(zQFcVg{qdsCn5fB;V8iG{XD)th6|_o9ln8p1XhDQ;~l|^oC-M%LQQ~x*cOM zVsC+s*fAVQC0laxdUpR{e8A=kb0<-&#!}jeO-gFFFH7QIC2_9S}Lt_RW`{zV_}wSp2H028qP?c564ERcct2o4`{s;9EL2 zS>CuNz1M%71{@Xfa#V9F%xmcfdn_Jd>sd3S43?0!NqZzwtbO?C*<;?k!nCRGL_XvZ zY1tl*l>r`+iKdbX5qVAc{sVCbeZUrCquTM`9Z1S1nMYRj$DVsjR2(n4ys!$CmPXYJ|LJ8w{GgHZsnAE?k)EMg|jk@0_KH?q5Pt zZkB(NY6HTCv+cKsJO-c2QI2Yc2l`ankK=j?7KMM*xZvh3z_4mdkpt|}d*XS-XS{xqRg^i=hLOD$e2GT=D;F6JEUIdnTYGyXA+IU#Jtr}I4e>17xtS|PVsAn9 z;SIhK8oI3sQpoEvd~>GdQiqSE4;i0Oq}G1{r>jCjky^{LtJgU{=4wf4*EqR=tL63_ zw@!jtag#IUvGlCC*7}@SIcRH1X{*0f_>Tv^6%{{JV3pB%$zuN*!@H8<8w>t%XKkz0 z3X(uvvO9gmqg!E2uCNn`tp8$Q49EXt1mx!4hStt83i<(jic1n3r1W$zz#Z( z&KVrZPq3n^6X$&q{r0O@m})rzHl=xrrIMX9IlcrE_0P+X_+mpM?2{FSY&l}fv6NP4 z0%3In$x)pOiU4ofCfiqj!y@o+ht=T_Zs&V-bG@9>cGw zS8T$0!UycMT2Hhy5^oJZjlFvJ+H=X@euJI1%5#qcUZceFOEV{cc`C;YZkDgC{1fW= z#D|QgTYCOy*o;&)ks8Y*A4T8%;Cc9ngD8Hyi!6$Z6G8;3cDmonVjO=9RAnyyb8-Pr zJkjJjH%XJ3B%%VSAWdhH955|4yVAPvOWSvE-eI@a)T{e^deV6Exl}C}3h@}L&WUyt z#&*BrK-`R$^^Pt!O1whq+{47L|MmR=2H$7u!Y^}qkdvvrGqr(Bb243K7)J#(CsR;k zq#jqHNKU4_j^^)BBa?r&e6&Xj8<}+3wkjT}mufLmRiXflOvC*Hc4TDH@wxRvMs2*( zcC7t#CLdE}WzaD9AI|mG2ToSyG#``NYOWOc_j7zj_a3xfW0f_fmt22ki!akYrOLZX zR}CeEC`k6F*_-}SA|?C&c6vn@4qT&kaSSujqO&#(n^#j=>HbPzk@Yb?_g z9;={G(^kuk%PS|6TvZO6gLulmd;9Lq2V%V)N@)n<`PGfLufKUiZ0Z_IVTlAqu2zfF zN^BgSKjGPDyxV^U{{1<+ygeyo%Sx@7^Xvs=Iq56f2{+8COJoDat*pV;DIN{)rsXFE z%gGo1-P@0}g(S_`c@7$gA7R4-Yk6#cUOW*m^y2%MzwvB)At6JR{=U7ugu!--PVT+D z#D-xlu;O^(nug<+UZu!K8MnJcQ4aa|uUyEUDm?~ej#X$&lfy&TG zJmf?%_#WG{Z+B9Z99)z4Dmg8t)p-Gb=%P zpKwMjt8L1BViIm3fpIy-uo6gY4Jq;)tmoUtGdxn)= zt=a{k>C9p+GBFJ6%0Iu2l;Fp$`P0faj5J#pJgGow3w8# zIgV#7_#M(|jw~&E%HX-3wEva-@O}T`UIedkl#j`%Z4<%OxfLwL)D}BkSRU}t`zoyK zi*9d|1kKcDyGj`m`Z_O~iZhh=i<-rU-sMgZ@%$V7~g*V;`Q5)1j=ejN)BOBiY~$*XNxj~ z^1MZj-ygSsw)l}^k8?JU#B)fEDcMDrIf{S##uR74?u{6*$Hgq#B3MAgr7rWlhC^>; z87)!o6BfZ=lh$v4eV(w>ULpg!T#^j#Z9;Fuw?fa`#%6*BBLu#-m-eBHN)hO$iyOA( zi|B5;`1+gZ`*4PUn{Kze%}S&r5ybyHT(=3Fq=$-KEYHo?8jp)~O19bbnscD!YdE9Py+s}ToM@vK^Ryh(YeizX`Ae&r9b9_I4;Q~9CcXkY1a_*1rP6{QZLjE_tO-(YSvpVakQVSfg25J|4saA;%Ibny-y%cFaPcU zDT53&q{ti*vB*HXXG3FBE*XEQcA&5ns)`JBoZi_VamhFVk;j`nyUM|W;u%wZj@Kt$ zq*D}4FY(pvdsrA)BrEIbI{bjO^x;;uHH#2Z-{H3|<8wZ)w=?H#am4a2RbGjZ%f3ic zgeqxbd1IJQo(+@zP_T7UDP(W}R(!)%oECkxcgBf~-=Z&{9~m-u_YHsgj|53fHk{Ra zBZZdSp7LqTlHQirI9}PWSmP7RyR*llrG_S-&qmscnBU|Zj7*Fdvxw!sYw86xXjKGQN`S;wJXgo1B|I zM5?8m0{$aQk1(Y1kfMK@w^%Fu9wR-VTbTb2;r zh1!W=uAys3%C6>?@yxI5G>IwpOUys5 zuwwJYJEn_!MBl!yRCs)x%jApuU&u#MdxECNQ_q{Kbe<1JZLp_V=SEGf$oRF5cBOuY zOD9jM@9dLOg@G~sc$=7+{HNx+SD5jV^`p4NI%|HmJr(Nb&Zd*6R9A*s@)O{atlAVD zoxHGZuR?}%02zN>%lw3$7O6H*O3bO8W;jT_Z);2!)M=SXVqUt?x_FUlL!}EH&8Okk zn4I7?>LNhG0PUeA(HjB-Xdm$#nCG#{m--|2Y%w@{uJ(LOOu=exc+QoC!l?_PXYOn; znj|m0WefT|viwwLJOG#9o}m$90i0KQbA;qwbm&R6uSkDhF&I=od1&|ir5jSYkG(>} zFx-#tE(j%+Li{(sgJa*pv2TE5zyD3E#t6yclKNLg3`pj&r*AZ4QL!saQcAF94&ZA4OAMC|i zaS>W{&)|QBwF!jQr)ghj5rAV(YkG`4-^k9)G$9tDC08XkBEZ17RFL9Nl`svkZL2Ie zPRNICLpM!T5*%zBY*j6bxUfyXSv-!kR|IV9*B|{CCTdeJ1ywUq+l`+#6ZKGxsI4z- z48mj%XdBws3u(-jJ9yM#+Ce!z<~CH!#ca*-Iplv_3D$irk54rsZT=Hi7%u%f7K>zX zH9SETH4wG3^A5b$CvGnG&s;%_ylsomL2JorYc?Xha=*wt0UzOAYL{YcSqRU|zhT0b zMtCE+9?=}>pmOl+T+Bsy^68#cGbX|d&8@DrX8SaRcAUP#26z(+b+d#8@OGC5+t?1Q zMIC>KQ&tStM_pf#(gs8Dn5paR74g7ae(B)41q;lnGy4?`-_Ny;+yew-o;-Z%;_3!A z{CZDCY%J%KG*E8C#d3%1>JW&Tp8_Nx3 z<@x_3dW6+pjYmx9!L!wfG#eIJ>)1L9!Po#^US!o-aKKt~a>cEP0&5GJ>rhswCM2WV zN6G_h8JqdYm`n8Jh0Aj{aJcXBU*P>bakh7LL*oKN(w28I!>iJ!1B%UAXs*IDBEWx~ zkLE(d{8I!NG#7oDF@_rUPY{_nXXbbo&BT226&jbGQ9Qbdb#JJwuG-`{yX9S9%4zLj z=h#e}xe@Jo1%|Bfi^H}0(Nfy|OcUATX@)_2TN8D9O9tAj)32Xd8_-@^Y`}(;i}qrZ z)NK+B+KaE;^`|Y#GL@I^0t)S|&U=3bidkrHVWc?5iiP&7gF+(s_6^nJNjuh9w71td zSZDJtI!`6ujDj1qmUD1?bVtW8xKTgi7ToA>e24J9LwMh=;Kp7tMs%kwT`QL`^Hb`B zw2w?fSBEtN{FN;R)w01~gJ(}Zw0PkcMvcR8QwQ(Tig1>*;GM`?S zsl&i@)wPU^?9%0_-U52&B%G42xeW8-xjgiYY!%5&Ks|Ye>Qcgldb<~qxiXyAOYa^U zC^TTe#)MrDe3dTiW>_U$^Ws!BI24{s7N z@m_fOhQ@}0_cFHC?i}~6j*)+^1RH*pt}C=JT|^_k_B1cG%p~GdOnF3@a}nRs)aZy$E;t||`t zQW&9~f82a9j=Q@!>m4cPLA%sIb(Vw$?J8E%W*7|7)MTGMGd7fKcQ0Px4HC{ttyWm# zpxov1W+VhH3@E3~O#Kn3Dp#Of_=*eU&gEMj^f2GtZJ$aTJdhjB4n1c$a4qT^eXVg- zIeGj{IEO>Gx|CTV;Uj;!gu#YnAp^-xd4;rakX+WXGUp$G#Aa&~Q>@rPEaO_2C!v5?`D$;2#0Y=Hwj1^5GIA(61Bk_U zdi_@lhaC@0s%1{m1vLxHxR5!)slPbJ5D}sxpIFEwR9h z+HapyFw9S0)L_kmVcOgJ1_29(6(xA3@r!Q#qb0)@SQyr|R1zn67ae>O9|jCVbmOmI z-Z|jIFzQEK7)F18<2xAk9Sr++FpRxoj4&)Xreasfz*+SNF>O*7&RWzKC1D$%=LbhU zwhW+^)!&xDwl2L`2(0Bkbn=LbiMN>G8}(=N*TGC;WasLE9WY#scP9~ zLG-6g^wc<$sAlS%`-^HXtk~#jrDC~F%tuc#xzYVrGUv0xyZPT#SNoAUeVfYDe#DpM4w!#az$s&P@4^BPoO}Wb4;jE|y05{< z3J08`%cs0-*ud#_qh9XzS&JOUSaFBz;8`V0jk87mO>#0P>pYt?MfMdYA35wVb09XsZB>^=i|Kr zBj&~~r!uir$i-VpNzH+{x>tJGVy%>_dyRkfYy523PXlsiMlUNeEGQh7-kKX~#=>Du z=WzjSE3LTwqegx?tm`IsPK?E20}bj*%XiVqC#e?^m;Lbb*N|6;o!gy45skr^|9rQ> zO!C|84>F+_agg3gFvIfE zWN3e(0mJf1Sgs32dl1qR(HG~UE;MG0gKX#|sR0#HsHXsC!)C$-ylj1Qx~`eesVOV; zIK)WhuSc~SGj@91KU>x(;Kk<2jHEmv75y55yWK5~Au)K4h9dv&hJg0VPNyM>wwiua9LU{lD(%4dyLVS;tKBacSs8?FPkXr7unt(~RbKF&Kp<2XR?nmC&MbdZC8cr1$d(rXz0{(yJr*IkS^tFf9xGZ3;P!VSh@t&K56IhH}LfeiGGey_K zk60Ojy7sUPnJvQagXki!B_j`U&f9MhU*X*C4Ohwd!vxCeW`B&38$4n@eE#9HpAien z5oA^N>{>zuVE2elCxQD~-yx~+a(MpW8A9#X7xUq;E@AHG>gf2QL`p;P;2Y0EK6fyGBPUQsJzsLwlFxT|Vbc$>^@tQ3YB`7` zD4{+l68VQBb46F+7t;3Mco8xs@W2NheNL_jq&k44UC&_&KW3J%PLlmm>JOj`RutAv zqU;Ht;Z7*J5RZ%mLHmD&9U4@XhVSJ=0{eNiot#*4Vfv7Nb1loVK|3*!V9xkEsB1TM z*;#MN4%oNlHOTi?Vh4Y}>kIgryi$DLVIlJ)kL(zd%i!QZha4~*6~Au7U?{3e4*wp5 z)(`hK+xcX~(ZHigbiIEEMJdEq?kM4p387W+f1zPvkv8e(=(xzZ`kd!>#zlo>)J~ z{S72~b|grt^J>DoL(4FvT?~y?V&%l?s_0wPSwL&s-tEKZa7DfU{3Vg1sak_$&B>kk z9PIiYEL!k^`elE4)EINZpSJkA)sg|Tqtni_(4uyG^aarN_9~Gg?{mjzjxI!0F_dRO zY~E2OdtQKN?|~;O^Eo{Sdq*QT4~T>`r%K3x;42BGxY6dQ6I*h2#`Kol7c7-#4`HO0c3xjm9j-t84NSYKcktdzx95LNe2(1P-K{-22BL@WfU-|YxgIq9R^@9tq#pcEf~1>hzK%K zvcAQxPsV@wr3_o}aB+BhTd3e&6#6ATZy@~>a_Dq=NE>wS-#2*q^L}{uTqqe9;=aWM z5q0L@ImLcgsr<(|{hL}*M!3?Z==?pl=WE$eZmg71y(}0w-V$RyUq|M{J#BfOuLm8< zWEs!%wJ*cn--=xWo$SuC#i5_=qMlQx=c`huUuJ*TKsUC-YI#h<;pW2&OB0^2n*mkz zSZlC*U?Z_oW76|=u594IobCC#eHk*3@qDf5>E3|EN<#&)#y$kMxiRHmjD-*S3O;1j)HSHzp^pLiza{zVjn!jPz zm=p7kTg3(dTREbR!jH!qU5$veHf6^;HW=Z}wp}foo)3|lvR$oR(rjS3oFj$4L6%tC zRj-3oIl?3q!Qi3G3(zt@Jd73WEYEfXGTDC!hKb#kiPh%wr#9Tm_eOqa zs3p!r^(b5|x50U+#>UK+TToPkq?N2S0na3LCOJaGk_ma8YBJ4Cxtosdp0{Denr{LR z_ofxziXeYfsJY6P^4(1*wGF-^mb+<3Wyvkim6>!qIJ}ysQ9rXu_TGza!}BeZ)>tY(RWsuCopCDIqcW9 zW6f<|tX)Sb4d!#?+I;-^6BiH>UOsFd%%Q|^;_VirVDM2y9Xw83)g0E*{ib@zccqB`<&M@b&wkCUeBc7H2c~Hc;J0N-)-v#f^U#aTcsr zP*&bem$JV3o0Ott-FNg^}lD; z2l5xH%gw&YcQJF{M=rwnE{;Vj6M1IWWH^lQNXj)h2hsQU7`9~mM)QAiZ8(mMag%L+ z0<0rrdDrkJlZOUdox+{)U$Hz)A#fzz;nm)dlVnX$roPm_!jV#75XPU4z+-<&T zmYA|N>^i^bw@P>q22Zc>o$J%#5*2L))gH%=$ zGIGrHSE0QFD}sDIdG3EiltbWU{GxFdH)YWkA9|(aH~@xZjh5K(9RTMul45xBgKgi~ zdJA0h)oV}fCC1qK`OKznaP9mi+Xgc^c77Ay6-yQzf?K3N+3sfcX#+O1;zfLqzV71K zT;rZ+c=WBL%-7kP^xumbu56_H9w`R$wbm(e#F)*NrUlDb@9 z89gb(a1em4wt{-BAKBX=qrH5C`K&2xX|T7yx@CHydYh+kQw0`+vFBX3rz7FC7i@hay!?Tvs)FxZLuUTk9 zkyeE9HS;)Y*|cE$n$>7eJS2EuGaub>rwqqZ@m=iefbX2aQmHfBzZxgzns=RspEZA3@XfnA-7j*ju>M>t#hM&5u0K~mcd=e* z%Ad=7>t@52YI$VG`KrwE{s3D*q`zDqX;C^fZr;!hN!AX(i?`=GK1fIs(N}G>=gJ!` z>o8-rO9Ha{YQ$`ht(}>~qE)y&vB5Xdx=KqZ!B6xXznoUvybFuk zk}C^;$Xj^%8stGa+dDnGqqU%0Fsy&S8z+=}kMmJ35$V(%`vJ0yek7o3|1Vx(YyYUF zV*O*sr}n>eboh_}82C^9Gj$s7u9``N#%uh+-%9??`+o$@Zt2FuPf4#d;;_Sh|IQ!Z zdG!x}0Dr;vU7tLE`PAY2L_+!fhmPN;Jk}h49H0H*kAHCgwR`tod;JIh=l!ql{FUT0 ziO}BVbJsf`-T4oa@4f%8C8GCSTssIEK_*l$$eQ&L~QzXoKg>cm=bz{DnwF6mk52 z+VRm#xPU)JB&zL{vk-G$K`XyADBp%(ZQ4`$b|ODR_`-l+dS|5#y9Q7ik>$(JgHMug zCuw`}@-B}InK9MK-zi!UFtBh=^iZ{cg}v5JN(voIiQ(~Kky7`6p}==Hs5rX{-p^96ovj$+Z(Z4Pn|GX$4m&FycZ zmJ+oqLE&xAsM)*dok`+3Vi32dFYoxif)#@hKf;MY;5WV#gM8Ow{q|xIag7@z zQJA=S+M2nF)zSv|U1`MJmRNmr;i;Hva9u3bF9?{etexD>5h)`C&&0)EaQZywU2?66 z-{(n?2Q3&zsn1Ow!u_UK=AkvW z;9an)pJE9{3jKW7IFr>Qa&mH{>=UNBtS8KZB@oF}Y6``yGILC3PAqeOP)=1=?WHxY zYP%GA$=B6(jZR;&N2wRgByBJRBKwNgMULIwVu|OCgjb_%?;-MDOpUU(qCeDvU3c`} zNZ;UEq!iZ=X>GWLM`im&jDR{z`!j-6CM;649>Fz?V|HuRe+$^Q2x$&A1SMvkOrRHS0qb-@evVps!l9W|Vd=*7afR`REn8wp$@r!$5>R zstK{WU43EBufV7-3X|YHF$*mUz2eU(*bu7FPq2z9Bhewne7n24g0veczc>;RF85@c z%h#o)En|eYTf^#hOH7es^r}%I!KL6QXW5}5wov5geELvK(an>87FK`DnDF{kjZT>H z65gG}?nM!m@TQ+su3BIc-tDUNc`@w4(A)Xxb9)EoZq8SBE-{t4)qbiW<8*aRZ*2$~ zzQD|2r&OA|m;^Rs?sh`Tw4IJxNKd5w@v3&(S80hzTKP2Tj$?pdHyL&5mMr)ccy{}J zUTQW`stb`|Q?tQ;nKHHHU345u3T-8}&W_Hn5y9Ej-sLsof8L3+rLh_3CwKjYk`;5_ z=fC6E|1S)@{z-W1&i75cWFwfms5*AXk?ZGz0-`P0dLWj6-Nmk-&m<}6OqTm`gjcx*19N0Vb%dBV71VQ1y)WIR?s_z6Q)x76-xpfFeoYS zY7m>Csc(&WTw=U85@Y*wnBE)ho`Ew=Kijg4`Vs+uo{a9hnw%(R9sNO5!-v)s8NE}{ z=wrhrqx%oHo=M@^NF3>hms3wzT=bCRDFN?9kGSbPu%fu=DQOx{jG66D*4BtE>vS)l zzaAAqBQ=tXt_fXvmntwEAL~|ZO_IplcHr6vEgAal@NTb(BU$V~8u7E@hn^K-NlzJ`Z9i;m8 zlz7>@DBwxbeuM@Iq4Faf5c79@t8EP4vAKe`jN{WR1Z!k~H33JKa_W|OL)F_g|I#>L)~uA~wc*FnD|dwHM!bbbf??kQj9ee(S?Y`Y{1poId<1^}TN@r+i}n z)i;0Ye~+X1f1r?oUoOV8H}Pg=)Eaa4rUspb8ApX83Ru`>e=Tb0xjrF2@(VjhQXQ7! z6_{$xKBY6&w+cMDJgcQMU%(@m4~2E^^2y~%1Chl7+*x020HXTY(^6OPJUU?8Es0=ATGYTf`ik}DbQ-L0@S z#V+sGD0*$!?DG7^!(btwU7mH(8-;i=aP%9}0fVx$o*o-EZ+yZp7}4=;=-2oa5!XO# z(d08U_R`t@>CO?7FKG^57zl z9XF6zeK2duWutdgSF|xk$HKcB@C*tRbJ^$xnO(;M4jWxL+c9l|f&4ny)z=2ta@pun znwl3HPZeVgoK8`>IdRdOd+bZ1KE|c<^>Akx; z6Z3@M2J-Uqblw1Yl5O62t;@&GPGd4IZb@xap@ytcgWgD-$?>p%#D;w0-~x`~ zIrDiJ@xq2G~1E)mUH>x{~4TU{vRSf)5GGgvqCLv6Zza z;4$kDR?n6!O)%?I3;ec_ywe%o)@Ec(oE2-Glj7PK@5mCAFv5e+!SR^``t(-IRU#6x zz-E?%-BUC{Evap3TgKz+ua*`@z;*>Y<1F4ObY>--;}v`JCbs`uV4%x;z$AIUzbmPT zHx-*C^Hyfwv>}6k9(#1v*SeHgjQRM;K$DWU`$_hAUS)9m7pL zy8U|i9bAswb8&qiL;3g0tiH9vPwTq}7RKcN5zFsy@^1+?gU8b^U~u|hAUVo041XNeYtQi?QM*eBhm^zA0{UgF`nrh0^!jvSytD>~c42N#+ zgY>nrU&AQ^Ca%IF%((T6vY~ag6mB761ApoG4Cb~@Zoedl(AUc6_RX4tj?ut ztoTZVp6Ot@El!D0+PpZ7`gTO_{*0Xdyq$28XG7vacvQN&g0j;&t!cS}UsYrW>diZU zfod_Jsk1Yk9>TTMGSCN861;w4tJnYEbL0#CGai=+3ECTAU-`B28nv7rKXrNm^f9A< zM?$`6Aw1!1jbRYg9MeELW*guF`c>WmBkkt6H~uylJ*k_N;%c8>hnLgHLW77;;B0RA zQe;xeQ05U6Po49R2)rG)mK-XcDIrFI16#YJ#eh z*j8q(TUozxM3$^+v`@t4eAVQz6Fy%IJNp zNZ=i?)t@)O)6J4M;Zd&~GlRWz;@ow5_Bjk*j$ga|9%3Q=-hGf76f`Kp7vmQ_>aW-w zyx?Zf1hy`cKzF0*kqXK=h{$Dsp1Z)dK1lMLIulc6`%M3&9sy1X5uIFnhB6E|@`y3d zJ$=Ic7<)9`2UF*_%{1?CN_*7DnpanFlNbf73oJE6*lzh#sp>*sk1!Z1)W?shrM{aa9s=Q-u=(rb;4b?L>iO z6XbFDHK+`oDu9dwj%;EhGUGQPgk)v4aIwdE4rwjO9D(?+Ip64H0bX6v9O0Khy4=E4 ziK^W!gv@uD@K1Oftj|q{^5~?y>Q$%oBZI*=uQm3{>kOj*qbcu5|MK4j9scwS&NFvm-XnE_vVUe#gL02&1&r-_s2 zNHk_|yolWBpm+(@F`TP|*4Mm_;p*f~g%B$XSvyrODU4p z+BwDVqJ~stPog1!_EZ@2Oc;(uxc(u6hk=EqI!pf0b>&9>5xM*o-7 ziT$6zM*pM#{@O9Nb8F_?@};l-f#i2+CMWMJ)KOBAwA#ZYuy2L-tJu>F6j5=c**UlU zdaO&WW>T%%B8!XJW1}w0lNv=_0()yhrNWF!U=ON)h`cc8nrU4er~E4}e?7fvFc}$^ zy#K*{pg&Vwnwo4d-F+U;BD0qz1@#+;gYM6)_u*Zq4oc6LAS^SI*%$kxAM)FF$r}Gm z>|le6d0h%`3~1JF{1rXDJwNAQK+5E@UoEF@N*FC9eSBuB8M^`0tEKdKY%cq7Rs5!5 z5@=I@?vC2Db5QHbHd*jwa@hl}I{f&PKrdsxI?*xl>5oB*E-+cjcby(Sow6Zc!#yzE zuvrv@+yZUJY4{+5@Si>S z^s{>)P8D*=8Ub3-dfdtx6qVm_TOwf>NL8wTwhjS{(B7Y}X@>cYu{^qXQTC^da^%|i za58QLXl&0;qYbal7`T^v%%107(;c|Lu=JSlZq9;W;a7Qk67)|UOV~_zdHG&1k8LJz z4O5D6O!uVO5nlnD>At#Bv2M*_x(A$Zs3lyc`{mH)EFwSu+kXp!s?#38|M}6UK3$^XU6+Y(Lg9#LZ5v60Msq7he`S670g<(0VBCYks+3 zKFS&`m3k0e%-8?dRk`oj@>ANyxWQ$AB+WIXv}^m-2>!r@ZuiMgJ_e1EhZzDs=Y8O! zY8y8*p(`Yy+6Fh`Jvv(dmu$-W!L~;$J!|SFNgHTsDHkj(RSQ!w)=jwrhFAruxdu=1{iu$;V)Au73?T?tOnNEs&;vv-|0~ zuEZ3YEf4CQVh;cvZVlH6*#I;xWE;J%AAbra*E4)9d0;Yf&D+Nu%WdD@&0a8j7age* z&tH=O`WWV&!fOT`X!0|6H-!?F@Ed-|cPQ{X6!;A&@YVnB(lLU-j%v-KjDhV`8Ie;W z7PiatJnO+?yTXC|eKQ8O^9tO59W`V7(wFp2@vzf3UQWM}VG2ds9H4b#GI%?c#DLPa!x@Uz2cVRj&xtOI?jELKez>u;I zb7w2XICM7^yy!0BqC2nS^jHCf?$Wk{g3Orcu3&a=jydIh;kbkJv1d4cQuZj@C46+Z zbY9j0K_fzUzPFc_Cc$0UMc#mj3+}Q9_D}d@M9SN)T1D_+C%|1*WtN18?egSxe&#f` zYtKHMGCCqE5+W9ad~DY+yS&aeF%0)C>k`t~E~HssDQ3$P{np)w&AH%ip379b*1Rl|3mUUIw3Zd509_+$T(21a$a*mH$&9xiV&8X zvEFMQ-8dWST`x9&M4I!VUQ2D>5PRg!*m{i~Wt;}Ampggo#D{ueV=Hqwd81af(QAc+ zda*Zs|G=KHj21U{Si~7#{>S$s>zJSP(d&s|vJy7aggRMGJ}eKmPo^ zPv86G&V<2shWeMgAq2WJ{FrMsqci9H=sS~{|J_Vx_Ud6fl!hyc7LOB4J_I?}`iH^X zn#K*OUNQfF2L29m?Ev{rwkN-IGjKj7mtZ_+!b{F#%y>tAU~(Kuwqc*=@fXFTM$ef% z&vp+E!>qNVJ=&6YFqSoigwUf|>T63Q1;#U_YBZ=t#5e@zYBJe&e|>?vep})oAuVU> zn-qUT1>1wgqy=`fx3Y1o(1MC#s>ARsI!5?bWYE!MOpq0%b&^0EMhFUGA6wGf9rM$>T>{DPVZX)=1IdDK_!t=y+RVsJ z|KQn6XGjq-X_Inf}9jAAw!3rc`E~ zH9~#3i_qiyAAa`ndq4T`XFmraLEEWlq|gVSe)7p*gRb!Y2wUFd*Wl39FL--Ny`mdH zh9rOX;3pqE_z)fSX-QbHGikg1m&ioE-nEi!Q9&37>WZ3RsPh) z!4YkZqWI`e90l{_0yO2#`4GDozzxnh_M{uZ& z{xx_(B(H70pBn$jydJ;iniU(w6Sx1Gc*KR3Crt?I!beVyLTW7uMYA(M{p_coJOI0Y z%Z;JvCV%qtk3IsYh5MUGS&XjqQzGB;_ZrMao*4EoUOfFJ*ltNqLfPk6P84=1Xm03$ zrUMr6c|RbotEuE@idNAk>esRu9=U1f;TlA9`rxNO`SJUofV#HoRxx~d?|t-hu(x)o zf*GLb!3XaVmr}Y|_y59=ehII{6*Lupl*gd@t|8#e6es)x6=M9lb@f74h&j@p^wTgY zqI$Iq5mStt6ol;#EFlY-kAMCVNKNldLG6FA);x!Na%#vcXlpdu`sTb z6tCSph&xQc@)6$zap~8GQWnt>X*a{q<-f_EArv&JHgu(84k7(7$Jwc867|aS~|dMh6I| z*X_P=divDvD;Fm>$A?6WI9dpu=RFrvL8BYH4dW;_dwVu3P`Uo81Duoj+`-x2&e`p` z3uv0CZ^aHIifizHXcN;MfsovVQge(x^D<$rK}5YyyT?v0WNZg>;~6=SP{&qj`t2_K z1$<2OXVC!D)5?Ydq~?PE`tYSI6rRHgi^*y~oz#@1vvYf0i>i|FHc&78D>!wPh@YV3 zawiizALAa-w$B#wQ(xWa^gL2!phx29jIP%KH15qV3UO+G&!U#-N&#Qn={J2gW69Tc zYR8+it$5nb-W|Ex@|(4tZRM&aD~z^tvM4NH%GY)Vj}`1&^R%5uW6|RR6WUHiX3ez# zBj$`aRFsLhvdt@1avG`dG(2$P9UVketXlBIoPqrnz6j;of9(W<=er_gyrgHKB0EgR zl6FR>jPJ^S=-DuEDLjAtn7A6^MMRJ3Rz;>npQHOd7bb%5eNcE4+l{m-@Pa{O_E3-s z38;VF@|KLBWi@x@O=2gT-3MOBo99?T`P*P?rYTB_(|p!fB*`sI_#zd@b@sykK3DX4 zm9PD8riMCY&SuqG(bMB#h;VkXe+22QWiiKNmRL1^Xnu3Wf&i=kjLDC)VEQs$moKU% z24&~f+F-pkX1_gg0<+62MKV*OPx;YeiGVBmT)S0dqi@i!n&hp!*ndU5?V*O1a%5_&x|v};ll+LbwxgB@>nAB+cV9b%_MRSnFJ zBML8n!g<7PJpPKoDxPt0+l}o)g{qkB;|p4 z^e>zqp^c{x=}s5Yc74P@f|$(VT}!OWbYWw84m*D3O%UYMdn#ad%5-~jA8V}2v^#q; zLxfY64pf#!3Fs?2*Ss!HBfoLtREJKVkCT#) zHjVJNDR~gKmER1=I8xHZ!>}1^>|Cjnp0GNm8R=s5z^WP0sD#8Q~%H>y+kPNwm@19dW-!Zcnz>Lp?;Os5l?BCtxL*MTy*YsAFp%Qu04 z|Ky>Zw|_{9Lx#6Uy9G=~(9vw~>P9R*XeUG94f|)m1ZSZ!Y1Uk|>7*t+g|9X(Jq*f~ z@ztiv`P*v&UR7GLeN~CPV&LC?>iP(g@6%&6C0I@UJK!Qcpu>zIHFaNBM9VNz)2^%d z6uMzX`M31Vx}(j7A{hKLXI1TGyl6vzYF#QfhUQ?-_X={vbaDFpb0RZC3IIE2Ff*|9 z7Z|arJiU=OJ>03m9CN-x` zx5Z5&rsj0##3zx~oVu^B4q2LE=K{pB4Nm zZPn`RrZ2gI|5;Yl%YS} z*xCJ>FF!5y58gD#%TE=@srwQJOLMoYt-%H}Tq|ngdcs&$pnh+1)%gN{+MYQ5sVXho z9w~7c@>6AFA$M9<+V1om<%bSaS|S%wH>8_k1gZLx;XoNfkQx)DEELn33{}{11~thu zdR`@nRCp#tjkF|Z~{t5m1!s(Q^BY=xzff?|dsb0-G#S8=*i(Yh<8pOBNoQ%7`; zl+VtbAZSd-Qy9+R4>UJB!B&(OwKidV5zT|PXS z+-~Txh{?W~Zdfg}$ zE{?w-K6xGy)IxECzHoSs8nlT8lWK_hjY`*)%f0`KIt?z4unzJCXuGU$wlGRxV=ogg zte8cKN620tR+fl=aEA=}N3YW?Fyh$Woz(~vnEOo-ag=>*V@d-Xz7<7|BVcM^_w`Y8 zEDdbmRDnXufgQx_OdpO8!J_#$z`~wyril{k+aNZk-^UCqTh&*r$W6#ry&F@YEmX#gKiA5n7&U!k)XRdY zH_ZxDry#(8$WCTK-(+Y5PKTPcQb&&NMUcbs5zxy=uGq&m5~j{Kss{vYVQNSJLY0Ii zLY*#79<{`%N>2yINr=Evm0r{gCR#9!*)wOh2I)~_eRG{PW_(HM_CZ*Y7%M5A?v+uGtyHT<9uznFhIcM+TSF zmaI-{%s_NEwxsYDkhkWZS@HF$2lH7G?A~jwE;GxPTR(ILu~Ts=61Hr0{mMJZ9L9K* z=|C@kf8%o-Z9Y!nIbo4;Wn9_uJ1j+O-bmTLl*d2YO;F28-Ya>yg1V;D8wyU-|MtuE`xD0cCboWRo84&Wn!E7y` zm?Nqd?B3h`)q5W?8_2|0{s|4_e|n?(yVmo6zkt^B@0wap#ujvWcS*Pz#)UYkr9*3M zd!hnlx_;x_hMZO6X6HscOcyx!*3Iq-acJ( zg~UWVJ|`q=$&z@tzd@%MPn_KB9AF*8&c)8*9>}`zdIR6BKT$Mwqx*dbi!XL)UAnw{ zK`fz(>+M@|pn=_^7sPS%@|p3Sb@+_-i%oUvhq`XVI6lsmy~|FEk zcIkTEjNiF$8cHUT5(3{mb9S;b9=eduO}vep8pVJ$(rY8x07Y{qZu%whk>}LsiDL$= zoR{UHs*T~+41b(>F#7Z4bX4$nb|e`!5`y5jR%2l97vi(cnWzKY>gB zr7KukSn{`IGY`(Z+qW#a%mc6J+kOd$c~DoJ7b&4Ggn0apD3ha~16c6xE;ea1UAWcJ zhNyzB&mWVI7_ETutfYO^%W`~++Fd8T>j}v6(MaroRc_+<$BehviMURG)jjF$P1YQ4 z!;~&y5V7?f-z0u5OvMUIv{NRmsy976Q)O(r!@@vMJFETpuFcePQPS z>u)26@ddV~2ozykSJ$Y2d1G9c@Nt10<**Ju1FOf5&)^*p&u_A{9GdjpL3c$jbXUYw zE)8q@9(;(#B?KnCx4q1fTACeVh&#ES2>triA4u- zPi>;E%;T>NzZZ-(T(_dK5OMez8{C^+$^=*^=TJb7rrQ6UBmfzIgEP6l;q~b%cNaxi zf=KF3jhd>(8xBKovo{@WO;?J^l}+yIaKC|Z8!nwhksF^Nh23ZR?6q@vNIf1DC?DSk z&ARpY(TJa!O)xtq4a> zDX#7FM(Y_qNAe_p*(%LR7vT6Ry7IIsD>B4VCm-mOx19)a6qbnSwRjtHp}D#dYx)rt zcFT&3;t{=vjPLA2`DpSYUdHUQJ|rH+m&7F%wFL%P^2jf1CH=YRtl-CLkm55?fL zu%O53?CA99#UnT1vvg}J_k9~|9Qy}jeG%UTs?&4DH%thBBLZ4+^ew7-IX;4!h6_++ zrAA{LD{q4Kv_>58X*(XRJIknJ2O|TdL4%Ii)fo|s$fF2PJVLxH2jZw)8d-|9p{RU6 zVr)u^*|as&wP1_&Ywz`zFLDH()!j=B7g2KdFUn!1Lq|*2vqly9w;PB6)D5Z?c@UkLD zrZWRI@I78kFi?>6e##USF5ogTjJqIitV?};ZG+(^)eS9WnUnaJxM2I2u8xMUL{vw_ zieMps6SL#(;OYSJ{&g1yZ#M&jX-2iwYh#L(6cZl2V}f}U+Fqv=^O#4md%bZIEX62e z>5eA?0N`Q9$ZQhseFEmFjz9eL=I7SKEb)=op!5OAIx(uVK_ z1ED#7iGoPrRNkTFo7qZL{%3=z?Bnd<>S+Ie$PR97N3?&}Gd#nZ=8sDj3~8w%TH{A z5jEH1HI90dY@F|K51kT)X|osb%D8-~jHCX)L+VO+4P@Aae||owZ4iz0poveaNo;H`u;Nm$)ENQ96M<{h#Ayw>R~z+wPyPA498dH@DfS?7 z@H!KS`;oI7IF656L$qnQ0}Vj7bG@dGuk|_&ztEr-ISsd9bKvhC;kNZ34n4DFvAF8O zJZ4B17jcR3@50M;gh};oOyrgcWc?O@XzV`-u{Oox3hC&c5Wf07$!|D5B%;d~FsC8I z1ew$UkMJb&%Z!C|ExRJizy?!aqnErLp6>{>Q z;by>J4ty^Aq21Fq-!O*P1yqlY@1vfT0g1R0=;s+sL5frJ1~5KIZHEa;o?kkD$xGUZ zhI}d_-=eeZ2Y*Av_LJU^;ESN&u;I=oLnqp>Es?iD#!ie*gk!EHTuj|q!y7Vj8axIA z?&&R7Cb(^_qj`H~n1KfRqib?x6GW?zP<;OCAqXj~TCy}nd5as54MCZovl|2^_w3w2 z-FV`jCB4kqEc*WWg+dgqxp^3Wd`auRNyFAylAQm}d9DqPBzLx?z7{b_a)Y4@HC6_a zT;}acDw_7h!!e+vPcx2Kpiaa|6smDIXS{8b0}8^ zTVVNu6`FAc%ouIRq5-w6B6frS5Kkf;&rZo(}_|pq)k2iWs z=o7<;>ImSF5xeh=;yU#US;vV_Tt<)?g&ts8kSb7gR`+|zNQ_J1=g$cEoZfh)v}SS~ z)m@A6mROEs`$bGJa*p9*XW|!Edyhm}&`ot1uUz5I8OUuelHpj9`q`N-v;g2PG?cE; zZ8i2rpNrZ2$hwt(gFaghKQgF%Jc7-SyzyCFM7f@cMG4YFV$~u7v;VfKtxtmXcDcXw zn`oBdwO$%4(hQdl%Pycm8&YVE;a)Ck)NNSu#akewByLH{;a>WU9I5c!OL<+WKUxRe z0wJhR8tt%Uurkx8TKW*Z90r`@`2tUKRLG$@(9{DbR>|{!XHS0#pZLhIW>7}=Hx0!R z2?vC&TbNOV+1OAkD?F0-WukP^+Wy-|*vdsnJ?~MfxogzlS^$ z2{?0c)OulsC%$&BB;=!M(jR|7`xrUB5vztLIkJF5z4&Wp;r4Ln5KcsW>>!eD04ttILB!p!SI zV%DfT*0TL=u+!zUhAQ5uDFwd+Uu$;FML1%NJ3WQIQ!u^l+pXWjjw-*pj6O3rNumyQ z4?07p8_vXCY-Ot^%rKm3_2B%90Hr^?UO4e2E{dxXg!p0!6-CA`M|Y6mlYEYU#2t`{ zfY-KH1M(k)(TX@|!0=M%r8%FRJ<-_Ujwq4NZp48}#De^I7{hQ}?VDolZ=eZVR0*1@ zV4q%OwLtoqExTF)=2z++@l%9Wyn1p`D7Ak|d=7X)LeRVj&jF8~ z$*iDMwVD=p_S>hAMSk`-Y~E=L%g+rgpTM#)J~!Q9r1T-=VHdu$-t`w!ZlMmsZV4XZSO7XIuYI1P_rjX z!rH`ga?Z1%9z_L-AA@aedoH;!*`>D^&s|-eApQMvzl8KtWoin74%U~<@bj(GhTI2{ z4G5W!Kpk=!s#jizdiRtIhvnwdv%^)XW86P)!CBa#Fvl5Pm zp|E`W#GF)a6G?vK#8I(~DLbHRxrP84)|8Nrdm~zlq;wxT^PNz zVhSn}1~unad_hH8!{iE2G(@Isikl4;!ppdN0oWOTEE_Z-0C^iM6d#_68O9h!xkZ1* z{4eopi=l~#FlJ)SP+K(jwjEmG)D}hS?K;a>d1{NRnSpfXuu@uWadpxk&7kigzPe^P zR$wSF!v6eOWq7kSUVyO}d^2myY6uwe@nWCi6#nS2>4TPDq#z=7HO?>GehK2rHhab7 zaq<9v9VZW72N%_W{R~qHO2ZMFQ(6w7_jTt<49kS9S zrhQ)Ld+SgF{qhB5;iC2b5LM(-^#fN(>db^*pjGbfZid%_tos(HiFkv`s_XlutYCVN z9ZcuyqO@WT&FFQih}j%)rGxw=Ocp>q-O^)!-`7kjN!o+9y{t?rNm@=Si)1F1B#D*l z2R2M4$>jF_p^SDvfmtQu6oJIJ33n@;;ca1hFiP*8pL|6;xtA}f2zZg+v}VcbnjjNS zN6>H@+kzO7#;`_q$xpY_me{!oQlJ#w_^g?+6)07WU1XRxC{QZp^Fusyy$M@O3dIioq12 zF$%Miq=Owbnyo|SYCs+}y^dU~$Q%oQWx7jwi!n$w%O*KI2C;GD1D52>J#J+I{_>*B z;3g@ZYNNkOF5-)`!xnV%F|>Ziy0RGytafL*K6jkO*(P4NrlcTG#?|w9`j&5-QK*61 zH;4dCtee`rkR3C=qDQ4Z%&@_Vb~JSgFP>;eUE=FcYHu;39c5zeuR@#nAN~-391O0= z@JgJT^!-i&ONmomQ?e|^D{%@sW~MFak#|NVPDpdik6A*Th_S)~iNSG^+=@Y$)wco^ zWO&qVlIK$&(i&>Tmg&gV!32(hRrtq9$L&h3F$B9GgWJ%YIf>Ra7Kn#p`=u zeyX-x0Qv4F3v|Tq4QcJ~kQmf|{>EA=*yy}T#d>4C4hHO8JIQ-Q5vN z>V)6;d%l~O@-Ig@^gm%<${&A=#beYTb@!dAY#0+$V#klO%~%stmP!^<%y9Cas8Qv< zIYW7~JQSR6$ugjfjMhv`xf4?&cOy_!(J(P(Iq>F6!kw7X(XvZg5HTmFsLnlnEnodZ z$@gi_D*py4`l===??zyMVw_6OZYIfxp~tCc!t#Qo1}%XqsmtFQs}?w34^RqN<5Wu4 zVuCR~i9O+$QMR~oDnm_v-BRv2m9xp>HZe6$Wp6+gB4LhG@hF;YV464&)JB90*z8;1 zxtkyvf1HX}k~URBvrxk;mHReyTM0cb2h@>t05ywY@zy3Mt;`>Pg%paplUCX{<)k?p z>!wfZJxT;T9$xmEs@*tI#a}bmig7F(jPcz!XH8V8QQd|@X9YA-C9>%FH`xPK^3qh~ zglQ)0WU#9G#EQw8*zm|rvtdtADJ*Wxw`S8AO2cAv*yHi0l|4s77Kx$0EUd(a(abNc zJiElXDFygV*P8Kv`s0OpQQlUp5h_Vqf2GhkLSmMcujCo+j9sW2WVjJ3 zS9|+mGWH0SqxF?WTh0g-uQO$zEq8=Uzjma_3TEZ_4Fw9LD+bJ|4%M8u_n;#4az#^weiVct@RZr{&knkQzc<;UU9trQgOL%V+NSK88``&#oGoQJs>F(W#-ipwV zicm2VnJ?eF=G^n0^PRnzTm`C@lD~YlD4@rxjE!dJ<9$lZHH?7PwLfK3{{z13p%Mzk zJ~tWK&!cC5tL*0Wh5%1Mu)qBivQ-w6%L@4v2Df0bub!SuUz*XZQ?RpDDmHx*@R3E$ zOexxk{td|(wp0cMaj2x-uE&YDghhL;pLnT-Y>LXQHF!-%qp145HW#Gqgp>)dojM*O zA?3i$e^Nve3ABWi!K>a04m~1eD>QjuMjoAu&w8>%mWY(Vf0d40J}V+6=d5^INk*i& zBm`tA5huo|fW<&+8s74TehC-E6A>wKS#JL)Ga+Tr&{ZWzq@uVjI~fT~0MIw4?q@!AIGu0YmR zXMr4r3Xdl9Cq)!g=b|7%FdU zU)kZUU!LLcs`(%#UP8ATmy9n*Aoa6%z{FPkvCM2W?jOB0NSKYuo7Yc$ERPjlrLL&( zOvSVZe~%WXMk^Wi;Mj1_be=T>aM{(iR&M@LZylb#cEo;EPSwE$zLW=KifzW`M86Luu=~EW4 zFR|jXtafn@kx))jMPU&!9F~vrAbc`TNOMh&`PUUnkWR>b?Ler6eijQ#OLj%t-S2=< z?MAc!S41(_QtW>J8i(SzDZjNePfE8&f94er^eN1<7gF5V>@67X(!we~ENNpaBK$yd z%$&zHpNq`;Hp=RH*&X3%IuZ7@tp>w5M(X9@J$a`FbnASCFR`e#zq#pQ{VZ6hq3P08i5Y=p(#f9*Tm z@Ad;;Or;K+y0K7Qe{pWjJAAgiFTXdkP)gYtpmBa^c;JA;GVpZ;1dm9q82J2$22MDp zSqf)Am~S5U7bCiy7jLvwK!GWx<$U$#`l5_!zstU8KHxD&n;m_$4Nfj(N?8WH#GJlB zzNruTaC(1?h;^vn-S_k2(HwN;f9b{}EB2>5V5Qij$I2pn6U+}?mB8v0exdljzL;pZ zu+s3neA4$2I`@cq@ZvQ{tZRr8z%B)}6L-4t@-NV?v#xTrw-S{dau@jQ8*ig*WT`IM z-PTNETZJ)63WP~4DKTpXNusC8I)Wq_L>1r=a7bTeapgVp-HyZ*!-`ABYq+=}S} zWV87Xz>=o5gTn-mu&2FeMP91{;e@(OaH&)=T}_Ajx&SL35AT4T)zvxy+Ol*O*`rpX zEK6&>y`cGrF1Y?ixE`Wze#Z zfXg(kpN!$)X?4onfp?US1n{vj>}hb8(Ri`^>6k3uce!#B>(qxTe}n<&aL~B{*>B+v z$am@L#GOV|15WD7g+0=LGjo}LL?z~eJQ@zgrVt*JHzwWH=^lcti*8R%6|h_|r-zHN zunW|NHjLLL0fB1%n{K|4X#Ah^y#m@VZ z*M$r(!oc9vC7Ps*ARq-IWX7wrahVs|O`!EV_z<6|6y3jn z$@V{(CH=+ie`9%YzHF4xsy&CjMf$Tt8=l5a)bzf zH)^jz#MZ`p_az@&Yh1p*9*~68|K0*Ap=E(mHch>UrU<7t|)@f9>-LMoXVo%MqrwAS8y%Y*L=y zpWGy~)}&l_bo@j7M^FUkd4t*?F!bhU8=3CVj%%6N*nZX3JoYg{@Eui}(I=z`zH4en z5?IrpP$^dzFCK6aI_}KS<8dTO@c{_#&vcVhNd6)h_XrrBNg(Q}()`<05q7O#vtG^? zVaKjie>5SN-4B2^Y_m>C*)bd(--6UjpKT#pXsvFI+>xV2)l*~rope!k##Q+ehuNh( zr!lfuMT(vyN{cQfDA99!NtXw{v@%7{Sv#8Fnt;UXZ{HH6K*iGT1#&yS30!v6gYb~> z>Zv0x_9c+);OX{&imY~Q91NsLXh;Wt)>_}Ne=r5L1~PJq3-hq00T-lzkj~{@2`)#$ zkO%fd@rB&}+qZuX%in)~1N0>Y?TF0l6;2{y>s9GgY7LhuQ#S6-62>1y>+|X1Z~?38 z5_Xmmq$0(}W2;?9LWZ39_%gRZ#IDK&Pqo#^X;qodDldZ)rjNY%>L(P^$&?MZGutd? ze+Yy}qj!4cG*NFrcf*0wBHvXf1r;k0N?bQD?T`pPC2xa~tc*{r$!3o{{as{C+3b1z z$%KF^n{6|C1Tf(Mc5nM#g2Rx9rCUHBK6%%gE;g#FV4aI>L$3EYIJMG-JR*NFfGWG9 z2xHZ?-pewSFm`(Sa#GHqlCaCpjdk9ae;|ah%M(kzD!MTCXfyE$X^6N1PF;FuX#gG` z%rnn4)R zviV3zStAo3% zDIP+$c(O2WI$DJkCJqFRRmzzn#O0ETaRpmx*pWHZjcyOFt&HhaGL?p-J=@+AYwf`+ zLX6|4_Tb$kPN&c?@Z8bxMPm4{L|MMaHr_f1BsFEfD83Owt(FO+|%3*8o)~W`^xJ>O`i0?2QIu`9+-^7+1=R;T~leYhXfAj}FTVWNIazt&lwhMO{ z(3)u1`i#dR+go>Cb^1~(o!zeEhjjhht|7KV{JuoW`4+hJ*Z2yEsCmcV+yI3KE-?yo zJuup5C0I(Yqb;oLhx3>DX8qG!4$$DHn^axp+%a(T$7g4F&Tkvb= zFuI%$1#C4a5EYhse{F?`Qbp-&To~ZcYan?=_2ckY|94QgrtatC|M@#`P&7CQg(lzJ z1Vvs0Tlf+P3lZTLzJJLo1hk!2W#Mg|;qAH&(u!99n8oKeWJhXIe=Yj5)|%E)389&F zhh;JrmwkM&w;`f&*=Om+ts;1(cfkIhF;&7AtW^j2t;wuV!sl~EdIgn~9h$l9$8{^5 zS0Hq8x}DFY9*;Z+!(kZDH+MmKMD3ECN(oK}75Ym}A#Gndy4&fRT{a zDj4hW&27-!6=7t>?PZ@&I8(WyBKOE;5sd>H(jG1`sEKIv6j@k?y~VCjh3Ud?fw=1Q0U3%}f0*0wTajBK12)n-2j%P& zfBI^jmZ-R12kMGA9aW^}N6nD~vYm4JG-9n;+uC2}2@7@CUitQMaQ+B^#!hCwm@9Nv9Y1PKcngW!pQ&U;i zK#_<%H5q(%f9Cn@6OwO-^Mr_YLR!gb@0M5&Hy+uQgAx+ro)-GFL3OI#i`O8(Iyez` z*`ZNrp#SLyR1i8n<{Aj+({I4CTaK3qfkz{v4u?&ynv?iycSPFE_;^|<@z8F8*4905 zE~zX_iJ$qL2DB=gA{vzMi=~`@02ei}U(f(lVu^d8e|a|C1j#|0-g3O?hMx%W|54W~ z@>p0?m7mErE%^DICrCepyOK2SKB*1D&XVv<`TVPE-uLO^Gs{cw4GJvcGs<6lFFyMo zBUuxl#dEBU7Xl%D{4aflKaA7F6eFu6cR+<#yAJlP!0m0X>|lY$C->4HB}C1iyV#an zR?vCxf3kRXs&`df<0X>(x(+lurOOifc3YN`*vYl5X^rdJ9T}wn<)79U3>E$)T?X|} zr;WpNR*lUO0U29XTg>(+yrO32piry*gt(`16!Hc0k^`+0$8gy zwbuAMQ0G||A*Iozu9q1dJVuMD8K)Kxf2au}?u4nxFw>S)0O<$Emrs9dPwHuMB7!DW z%sy%JMMF9XOIp>`wO_~~))%vYvA?&ZL~4@9!7n06?zF%uR}QM4H{X4A#b=_|k;5z} zJ}J3+WhOzek7@IX$dQs-49g2Z9ha2MHNW3f9mU>XS3S@vN0peS+(Y(ND1l+df3#); z*)FlCujNom18rrMp-1q;9bf(y|G7l@AN$tanTTYO6$w-FVPmbB1YC7Jy~hHRoQaS< zc=atUvO_117spPmrMICwqF&WjSKu5i<3thD(DXC5 z`Mlw>uSQ5>;qfrvNj_x}Ayg6cF$=+WF3!UlLt?6j{bq!@= zGz;0+KJ84ITErTAI5_DkWtX~#qvpntjgW7HxHi97j!Er`&-$-^_txI#f6ZG5uz9e( z4sB|Gjf3UCF)QdV7_zTI& ziyb)(>bg!5U6GOIQkTW2)78d0-*7&Hgr3(KUFa?3eM)2eWhnJnt+U5DWR%EN54-fh ziG*4uOL^Lxx|l6S3p=)=e;bzZ?Z%>wU8y_!30@8|-ND!2zBS*(ptiGLpsFQawF4Pq zd=th0;2Q^^OLUFr(T+3gDH|y=R&g*qqO}{@AN2;%xemtD8wX)ezCL8PP{ydrVE+3>TVIFZg4_ zRxfNw28VTTh)kdYU;krsMp}@%zev}F9awH6wkYBwK;mR!4HCzG0IGJq`vsOg4c^4l z!1QRj>Fe;Cb#!FIf3}J)7GK#es!&i1g_A>u8f2`?U2ve!JU|9>e*j`SeLCe7Pz=A{ zv&n1LYPRykc6*=FLf#al2h9rU0m2df!>j;dVl-t1`f4TCB*}dj9qtNiMvBM5_39Rv&@C<0(zg$BUl@=pcf6sWPbqSWZu|W_rs9j*S zv?Izod+Xi>tcX}*Ju>!`AlOU%$4u!+&Ad&`O!L zpvHovY9hq;B_4(ap&B;zcAOJpSgP5IB_}lprOqtup1KsV3^}zssah%0vDMX4b1bkb zcJlT-Ig(P1QJMbJ0ZL}+KoeY{;Zuoa|Am}!8M35Vf2iy26QX%WWBU0eha?eq`m*Xd z7A{s@8)}fFmALf*O@%zGMdqT*?qQ-_XkjD3LYGG!JXMk_27bnx-&i(U1SI}f5l0-$ zIS60C+N!2XIn2iB9p2rmD!Q3_*gp+!>cn5-)6^0Faffjs>2i{uTxw|!c1+fQFwo0(&TCr*okT2$V4=EXTu*B*X zKMu<@P%^%y#WAeud42uH7K{ZgzR&hdzvvPTQ!`3Xg9%p4${!6;gU1<%U9UAo*L!?R76Q*44^t zb_YzP7oEbT3oZ*LLk`dnoR1?NQn$da&wLdZ=`!0IDhP&A3k1r>Z)HtqMJtag!h)k| zf1_>C$;u)BI>_lC*;JX;NtUTa($G^^PO)OPr10c0@`Np9~#)aOWtR@t>5i zY^2LBont&!@t|1OaIT`3#AZ&;7B~onPOAG%Kb)-QlehL@)l+0Hl!lLAoXFUM($%x< zP%+9RR}egxsDbr#uP6+_^Nmb|7NvDH@~QMv)`yIv5G+*Ralg z#Kk2Q#(YaFZsbMlqxh`qtGl~%qL@;&n=^WZ%T1334!xbo@nR7vjw1S@;tOz9e{Amv za^1QOk~_R7kR5C9g494KcLn7LO;9U`{>}|5%PhcG)X{@0jhw9R1vM>Ha43I75F@qm z6{Q>oSB1^!vxBlM*w-8-s84F1y=g+d#HXBHX`;C-2bVr%EQd?#b~M_nLpfD7T9?*; ztYp`TGpjoGSh7@tLd?_->J&&%f5YYcdAAawUY^e{t(3gaQo9|THW!N-^4kmF>2Axo zHwo1v^Tr!gY_-jC{`eH~B5#3F@1h+U%8wWCT$=)$;uD)2cGDVTmxj@SkwF=gDO@Y2 z%!#_FN-0WQu`#UQmYU}%fz3dbw_#0*6mw1PxO&i=^t+E$l=BFuocV+_f4z|tEu$$k z4x)F|X2@Wgn?C8z!2*P-YuH%f4QrP8n+%ae6pd@>;M9MiE5i5P=6sMw`MV%u`M61H`r-I~k1cM?t=bq4LN*UZUbtiX>a%d{C!o0L9r0DZD&^wp-NE!Ou zq0F)ZoPVXs2ny5p{x!ld)>GE#F0)XL_&9VuXmaPVT_1IR;Z;_uEZB*0zs5(z%Dta2 z%li{Eh#i-QI1fme*^^2CGeS!&f1BN zNT~(z;tOK>Rn8XAf@I-^;st>$_xWA?F)AH!ZVgsGAZ8x#Ir@ktqv;&bjmhaG3*31d zw0nUP^rCqk6f70;X-hWF@>aWL#*5Ih)#@d~5QnWu@(WY9s*s*XwSFm2%uuzQ6n0$+ ztci}NR>zj0>6s~ne=o{+V@DQUjraAczRS2~tRC&+p*GR$Abzp)p~)aeWiS5@cqF&E zNC@iO%#Yf?*zJGH%6%mc8LQf4e^)uv6;kJyItuST^LOL3 zZaClR5E1j5QyksFp~-#Qg7b(wVYbp~dxMAMRs%~WleASlb7{ov5UWjW9F^0^eE8|Q z;g)O;Lvvql@H#_UMDKv;$=FUV!s@Je>8<}ex0Gh_~+{?{%QJ+?^PJT2PzD}7$p{UYL&Nhf($_@FIT$OOX#yW zE8oAA&nWaS_|M_nvK$X+`7N0q4Ne0?e~M(6DxJ&b#jJzeW@F2+jMWb)Bw@USkAiEP z8$B^pEv##;XDl6QwU_7iD6Eib@iC3oD($<8CH_jZfAQrOSX;aJ3BB&^ms%5#=<74< zQqU`>^Da#dS?ts|Vp#~kSdSK)&zytv@y9}@RAFuDLeFT{qtUyz%b3K*o>Q?KpK+e^ z%MA#!V(+~Uyq%3ZGA1B32DWE&89a<e;2!lmJ_!Zv<+L~oNj{Dka(SyP5A?$iwJDvv$y^5`05N9lKb$|2dh=gg3_ty zdLQGwdiWxT#*uCPV<2!KXY#8J+lqY>5nP+lj51y)pQ$C7B(DalP z@=+91K+e#*0ROHR&)xy`!eq9RRpK5`Z#jWye>U7!Yx~yWr43$y0-1RSTRb$jx(m9! zR{j`WI881|4@CA;xeZEdqr;eoL+sY=OlA9tXN1}Dp`aEs&pq4g-uckOt=n83;b zZr-^rQ$;yJ7<`7+5>{<9b9e1jO4I9vRs|(6n=BC%vnY5wn9<=hVJXCoC3GT++*P~V ze?}pFtDaRaI7?Br@~PUAP>HEZGS#1A#82$Zy}x5B?SHV?(u0+~Rsm5{b+Cs)Rt|QM z2T63!FA-U*HC4}?>{w}kQ#zbe&0+B_83WOQ3e<6XQ&+gaR1RQYFg3W?&0`-cT6?R* zAb&w@FC^pVshx`wve182cV6B9q$!Sze?HX*UsIWisjJy89-H5d^UVpDvB;B=M0KS# z?a0JbEpa$yoPxZ!3K!s0H{Wy|bv}Fg;w6ZwEccW$4@igMV_r%YGacm>@6V2h-x>B) z(+l|O?T!^I9=bcACBb)DX{}6FKeiAG#c9Ot23^&qkx2XUb&%=N(ko}vL|Q{yeP0rvx(l3}GYnFc0I!tMW#LX?ARf`eaM$fh`(aQPw1{n0zRH2V)4P8Nb zVpNH`(YQTDb3rU$c~tPtm4&9wogBV3=}%wdRECgQP3xE(D7V5b9%?rWS>9}-798T7 zz92*p2ObfvK_X@m^Q1i1-HKE4e-7}R>1kp)MTuwB7n)coL6JGoe zNM&8>ao&!K9yDCj<^KV?Z1tWp`v=t21kSh9K6}J0)6WmR)cAR!u4p%~!mA1H=|(jb|O6>y9Lnm*ZNzg(!42_1ON#r$s0Jc(_Vpxh3;&PMws=c{r35&m5!W56D)NelA@mDg{ zU1uJZ89Y+ya_ThMB0}|8DM(wMMg>d8d1)$WW1tueg95<2b*fv1TiYIe21ax%|A|p-DKy0D1ne?& zYl*nWsD}~R()p2(#(u%tv zeLrhNg)HlNHY``NICY{bKNID#DMTxZ1~>LRy_v5LDZ1qH|hkGl& zd+_Y+Z9!%1p$)y)N!_?{45{6`0rZ0vE#}^?X6MIlYwRJVjVh^P_wnq!5Hnpt4K0Qm ze6wLHe{vW5hD4;KDnz%KC`DI?&UEb~LZ(8Z-Ya%aYOP}Dr_XP+!a7|C;fv*3u2sER z@TF6!lB%sg%r4b)P`P(Qtu+GLh6N1+6M1J|t+_%D`)v5L6n`bhXM!d#z8|KI| ze?=1sxeF4e;PtX_KT1i{{+#Y+x5z()e7-dN2U69G*S0U;+1dXXk2u3F{F=)T%)Va6 zOYe>Hr3HNUUwohb_4`v9`WHz5`ZH@I#!_8s3DqQVDUn|#*|ozGTIAQPCahJAD)abM zwJlM7m|DM%ViB#(a~QukD_}-`_4nkpe~YP+UsKuJfqWRDiA`Q2wi%Cl#M8ln^L&Y3 z#f$?xt%&JVGULF~=4Nu`Rzkj_7YEfMYRH$zbY--~Pv+&>KEOv7J5Kj6k~p-GuaxDD z0V%tPv8UPg6Qe@D!j21x?p^qg!xIz9w%bqbK!Q_t7nhm;RT_HYgZE3p^S|2Xf8G9u z8vhmM;~K)H(CZgd`-cKLIezN8I>cqie^snE4DwB6_ej6amrK1QJcgYRZKOIcI7_VN ze??6!gu=e6)ch~CaY4-DuGLe)1|ExNJ#$Xa7vKk6axj8ReZV*7I55L;XD=cStk3gs zjUES9)3;QN2MED9u#yVbZ5}-jf2`Tbt5(D$+G57Sd}xw)?07RMr00PpFJ=-g;Vk6V zY36z}pOy#K+dN&Wq)}Vj@v-Rw3bS+>txuF9NTe3;!X*)lGIIC2I)*GC^=ZKIaiy8_ z<OGx=kt23-&=JmO=7@!8G#wIr82{)03^w9V{~J0c?8@EUi)W!9 z7W)>ar6`VJGruuSMUM%KYpl&fxV8GL=iI4>?Xd!nY#BWk?0hq!O7@SB1uLA0A_IQ0 zdt*mmoz^qEo2cDHC!3lzfA~6MIZj@7?%!c2hMjNfGNB2ck{C9uYmQTpXTdqQ)^i^9 zEI6MKw8f4L3%Q6r!%q>{Xln~GllPiNf6G3~6%23rsc1*J?4{y!82d|Z6h9${0a+(9 zcuvO1*))_sH-chYaZ>#)1rE)27_0$j~D)p@-;(_X0;S zcDN;Xv$H@&1B?31Gc;HXte@x-P{3kRrh7fTKVIxwXqt!#7Bi1CXQWiHm{qfKEM@}2 z$ieXzF%uBBu2&R8M-_O=JZnZtu6G%BU#ufB5orR&GX#{`38j0whZG zx+oZy(NJP_&`BF@RV8n-$!{|_&?jVr$n=A%P%a8Y7IpOI@|Z|5GO^%5NhZa5r|x%f zsZjB(SJx`1K*dF4&W4f>6~k-Bhb3&NShrY0ki|GuZ12eoKA=k-oUNmJ>@#Y+&|qh=>gfGrG<;WHeZqGg%YEF~Pzx z?};pYNv6QUs-}d0%S43-)0#H;$jRpe%7VjuAaJ;?(?TW)?CR=G zlaK|hOqcv<2^s|k883?QN)?F$TT(XuI|>9Y_68kF=^(Ica%WwMT`lMX1=|Z)VxWU(5i1 zUAZ2$G7|h{jPDX**W`nQ>(<2C_^z0W2-VsmEtiD|=e_%~5Db1mO=3K~8DGwst^_}e zFmPR7-q-_FhHR~VScZaihMvq^AsyDGjt@GUfBO23^e-e(U|nTkc8Qz?>n?J3!+0dD zJ3icKN5MMpw(0;S1=dZTRW~Wju&yAlsf)veb(<}TK_UdKTMBK8SCFtSBz)MFV}6X^ zU|$JNJ@)-gG^Wdx>xGzx^g@b9E=4q?H*(~@D`z3Su(C;*#luE=)!rG>58>7-o&H1V ze;=AQVI0A~bi`GGFR!(j!5-@6_h$Ig5)P&cXob?`33SP@{s~KZ-Qf`@PUsJL1 zT1ry%g2;r|j*F^$1y=D|Ovc)kn2Fay>blkJ?8S!JlU{bz-(c8zuELDW+;`9Ff4FR9 zRyCMbA)+C((bB1GnF*Qg)|5}-edQGR5PW6-inOoK#^>77X}odw+5rv|uoW-NoQSFT z%*kVFL`lbIWkyXrpN`MMQafV=G<+5xK4MVN@L93n@}7u-&r;(Hvcw2{Rx^>8FJa-c z{*JaWs0}jzEJ(u?&xSKNypheJe|Vt4_#!Kh(olI? zw~#7Fp|%yD<~ac!wT)K0RKTPu)8UA+7?B60sP>R86&u*8GdwEf6ku2Fe^PrPr2{)p z&(%fYKOWfi^{R^{6kwMy-AH)U%qVUoCLmePMsXWHE<}PJ1;sge2K7lv6xX^H6+`Q! zynMVABw_)!IM4MVMEB&pg5VxHPq%p%Uc_S)aq$UPdK3}YI&wV3K_ND6i(e8Py{6#; z;bqTE@2H%PSQF2f9tu5(8(=0u6b!VnoZAT>$RCCdM@73RWC%~xS_bXJP`%Q zMfGKb%gi_~Xn%Hr&%|*~UT&)#1db~@UR*QbxZxVtBmw|hMiQtnI2S2NBxmetic!#z z+=b5P1n)v&L2_%Ong757a!DDdRRYuPB1<=a4+6Fd5>!YcZ|^Gde_Zw<+(D(We~62& ziT&2W{;i|Uo8P>(d3(*>4DS%HzBk2}7W0vR_dU4#9^8Egxcl?(7&I1aH?_JsD5PM! z+|0B_I)mrux0EJ9fxGaMgD^e?+%=~Mg{o-at|c(8n$HAx&U4=7LMpf`nD%Lf#n?Bt z*Rk337G!G+I%Q0>e;3x^KF?uNdW``At70o~uX&=;Q%Hq->yv@o7PyzWu(TmV!@ZI5 zOFG=!Tl1eq)Oh{t*8-7n?=))VP|SpT9qOuFxItK+1{la6(8)*$7}l}tuA)M~mE@g$ zDg<0|-tv^vAz)(RVu+Xx0Z+z^=`s@pT+WW4=2?Y+hKaN@e->qT5L%ihV?n^0p_Vi$ z3j+4W=avw$uh`SWM(RsYoSxCcH-p0MrGq#GT{o6mb4mw=0lHJ8$^;6d(gK<}boiH6 zw!6Se$B4;YxD-+0-_}ly8>5Pw;8vW;pyzbux)?DH{tfz-M9>Wio<%d!d8KPUHfc|C#BEgzP2k)gu--Dt=qL+I;Pt# zFmB7(fXzEOpc&8EFafrhr2NZ?oJf05g-q$9dUulaQDKOWHq#3ct& zYqAF4?oKHU(M2!ro*@w3X}`-M6VX-H##eGlL>JWNoJ*tNN;Z62*oba*`)W#VTHfB+ z-Ue3}d+ACx#LGDEh!oHu-jO8fw_U`34@XZbJ@F7`6yD(FZDQ-PXTksyU^Pd`LNS}Y8{Qn#jk^sFE80dlh`@)$ zQF#BB~Gbh!7zVk#GLUHm-iABch{3mk-I9u(sVQ5Ay}xe*uZx zqb_2UNpiiXxU z*u)x&E-rWo7g+CLmR?9fPxEt~dY;9obu`p`W~Cc&>T*&UojL1S-rti@Q^yLOd#9D8 zjcO$-)m=#OEn-y7vcu?XiXF?? zA4ylST^vL1BW+4Dc1)e^-X$S{Pk6%4jEY8A1^Lez;9B?(z-#7YMMeWbnL}rJLQ_8C zO;9>J`hUaeNQFdmK2v}8e<9qqq|X0J`UfVe;MKdABvY_qHXpEGd~bp;E#jm8>U&b^ z_XidI3y@O(&ia_KfT^;5zYD6+%rFxgC7^Lq+l}*!N)(2w-8*;UP%u>9cxe~C)6_z7 z%#w=9Np0jGjM6L!{obQK0wx8ulW?vPF)65%&4OJhFt&Y({UQgjf4uD5rm{jw#TKt= z!z*EHz4>Vyg-1oCJYB{u~cPl`h^9d>Uot>NT{*H&IrNYi%AXI%QSi zQkBMnYEILG0}3iUn=yEVDd?1y!NZUUdl7y0>DBGZR4b4l~tP#^7e_LH^q*lbH#v+$;V-*Z)%-w5k z-{f8I2rDQRQUTmvYkxJL1>lY=R@FQ;YHMu~k^u40d31t<_pC9|mFCr#JbeWB zdhbgue^gpYL)NmAMWu}`l;sIXDlICtB8Q8>ipP4Fd}>`ZaeqBQXs(Oa`R2E?^lhDP zBiRZ>UDUl`D#TZ&qPwW-B-W2A4Ftg00pu=kcYQoiLHfAn9a|Jz6ZD{j9sL9)*X`T?6@$iKh#SJ&SE%OBw%@I%MvU%z{4 zfA>Rdllb9R_CF+P3p@MQKl-a5-Td*58$Z7OqyKpGn`^(2K9TYc*Ux9g+#m{8O5yAUw+pPLIC)moH7Wza&W zW@=StcongDHiGCf-R^X%mr)Q(cx7uke-}1LrM_$4aPmL!$z}hoz3n@E{C@fNsl!{4 z9#@gd&Wx>`?Vm-|yqdK}7btGPpBHf1*)}jbXXT~l`?Hlq$%S135W$(oGYHTG5Xv!Z z3-b-}9i?ncQ#;2-E@cyO$!%^@(pAW1PCIZZ!AAk8+{}(P6*LS+dPf;LP_*|}U@B9F$@pA|=4qb(MO09}mgL-@#~`CsE7 zW&2}WM+e+?crE^G)8wcA^LuN2X)B-pPU_q@{!@dj|LCKCBjw-!@(V|5Pi5@WzfZaT z{W-+`b5Ejwf*MDbGpMi8=%qvufBH_FW0|m}=i`q*fBewq;jdutI-4i={`TRgpxHUa zM`3yK0p4fg@jaU-U)=ljOOV&MN~953+B5H@kNPR-)qy5AuQ&m+6SFSL=#De^^iItC z<=U?y<{@(khyYBGCo)_3V!%a>68Rdf9rRm%5-G72NrSa&U`b+#k z^5FCPpxX5`QfQ_9^vH%Ln3d*3^l9DknQVtZ_0==G=Z-e79YIZObgt5T1-FSSSQ~3A zhA{W>{m*{>>61sFe*6e~q97`Fq8JKo-`c-=WAhrjXRqI02OHD+e>5v?CU1da_qGAz z9$+7De;Z^Bv?qz^J+2R0oYIBt9@mQ{Q{k}6PYg}+cRqgf<(Hr=DW?J-(m#0h78?yV zwol)@edk~gTM=U;X*O{5&mrgGU<1GO7WQw(*7gB-yT}j2uk^vg`=32{_yDXJ3U**C zDAQQL?uw_IQ!>H#e`ELQEpTrQ^RTqXhJ)K@xP1@O&yW_ddH(d}TfA)xm@h0Sx6+5Z zslU;aV=j3O7^))RsrvDk_wW7u%ZDJdKR=Sym^&u9Am7p=9p0}nZ|?&YvoUx4w#Jp& zn0qfh;D~3fG55vzxhoX9!#i>dIECpv$Vg3_SN1R7;CJ#Qe`UA^%A?`fxJx9GTn9^W zDIG8}{_&G9AASN3Bb?@7iQw^1AAI~J=y0waA_4VI6wF`Inu=_aCA z76(?(=V5!=f7h>GV1vfS&i<=+7*4^r_?EKr0|B$>I30U=3LSO$((Cy2E!Z#)tV+x% z&Che8Sc$AchKx>*sH`;_4;&q-Cn#_HZi9~QmOnDz>RYB;J?B>zB{5AO+dH6qJns;$ zhs5vv2wSJ`e{A#kbFdIRv|}as@&m9kw2%jXzys{Ye>}MUG1#4t^X9UF<;mLSDj(U$ zykkUNsKRA0^cnZY*5=L2caAr)u~Io7Lo^Y?`>?*nZ__)xx`Mruka~3tStxu({`nW5 ze*$7xr!Pg!uISC)XW24DZMHk9tqd}quk5j}!=82MK-w|veDVsrB_Jx>FpTd=)Y9$p zjQ)+~ew>^IF@ZQhCe@TCRFuPg%nWnc`NZCBGF-2^iMWH3T zuxS>t5#6wPi=hQr-)b7!Sr!l(^fs>?Z5{9?U)UoIxQNZhi$6*@4Dmg}#=*w!2G}3$ z{lr>$>%^M>th9;0 zf6Cuqz}+JMih7Sed;Iv(6Pp`%KLWa74ntv3kxI ztY0lDX+7r`eJ)(Z$e#1Pnu!@cowGjBe@-q#DO;;!DMpk8Lai0M*VaAk%Jb$s38ayUOan;kB+DB9PL3xf+3KMak9ZSOKe~OU6S5K zh;DCxUf=93Fs%iT9$;%hMO;j{fVy4Z#Xey>@z@{o*{>kRc=7uAi?6Vic4jlke@RAf zj-R2eZW3E23ArE=(>*cp;(!ak>=6bWzQkZ(?8JdLTnHb-j*lOMKy^efUMv>Ded+ec zATo2|H-zch_Nm=EZ!u;zrQj1WsdfA)E0DXR-gM37H;NMD8!pK#a_(dN|)d)PAN**6e< zfPt>mYU0PiJ@#Xuk3Lkxofy~g5#dc3&R)|`LEM5Jp`O`dD>eSN_I6;ZAfQ~v3JTad zOfBSE%LACsSW8rz_pCdnJ*&6ksFKw~m4Kg{E|TMLfKWXmU7(>ld4orU%u;d$#`2H8 z{q7in%Bv+GIYNiyTR7(oSxpK^zH4(6?CiOwirM)B!L^rzFsqH0FVGcIEg%$_#6nv& zxiJP08~C1Q^A(6~sZO+(e?QRduU3mGz!_ho9^Zp4p=%?XGsULKif^abSU%er|IXKuOVB>9&c3S=#?pQy4a39R}q}349mOu$F?VmbeU(u^?&j4CL zrN5J#j~KUzBZhzWehe!UE?pOpDYfj}Uw-oN;paBkR0TyRGft3aGVfUUG=GV^STE+A zQRN+QIj4<+^e{eqzjxTzm;2iq+zKzlP@L@xyEpLkkQ8zLhVcM?`tVb1%>@}*r{`8;3GRT_ z0G*qaEccthx7(wXM_ngxV}Exxxi57C<_!{e_BsCLhLy~0R`>$Zm0cH~)(#K1mUSKb zgM*IXQW%Hw3a;ojI2OQ>F;2MW*4JdpOasRD*Z6>0?bpm8nw)v3S4Tq54}13Za8Y!1 zD+`yPQy>fh{!>cJbB1q#12ik6Isz_Prlaq}Up)k!E3SjczP5LPdw)=7BD?{_asB1j zPwl{gf9Vznl_PPPdb}aAB42CTtBvEq_!N4MM5Vj>KUmI&@P2hQmCjj?w6{R(ZrqU) z0(l%pfyLB4Y`SuyJoynh4RG?3>c{LPosD_Q|H$D%KxzCviuu{gWvt%7CR$M{Z$hZ^{V z&6mN|oB<*+1njTYO)9K~UbIc?lN9g&2kF0k>+s^&zqZF#yBBY5FgRjkhrvo4;@88a zt;ai~6k=BbauP3qr9CZiyf%$&a&t*at{R6@!N>Q$xc@PB$bVhdMHdJelZ`+DVAuPi z2rdVvxd|HF+$N-^%^a>#4xl-8c973Z=NR588iaX@_Bb;~o)F;~A47yTFYQ6(e)bxV z0nYDz^5|!uK70T=B6a7iflp*x7*g}H;Ff6`-;eJ-!LHO}GbJ0@K=uPLkZ@?^SV5WlP!g!d_T8PMGYHc}ktG@|4o%Lfl5T;J?$6q{r0*uG*f5ik1H-KxxNueBX zH^c_=w{Cs}7M#X>aD^HxlH{a#{|8LHLRNO#I8MQ!5`Re!O5;UltaS&!Bhkm+y^uK} zARoL-ZR=ybVq|Vf#!O_Eid+!kDG$3t=d+irJXqp!2RE$7b8-BEAyCj1m+uDQC~@Yq zeG6jy7xM-5Bhn+w5h*Vsau)96ILLc(-R1?pSlHl41fcQOoXZJhi-Gwk7?$50Jox7l?@E2~o=EbdK53zG;AXI5*f@vD5^%W(v)N$-GL+VQ+t8#}S*T%rEG_Dsc3Ii51oqQ3On;{e z%~<&s(0c}q!2eHhg5bh)DOqGKv1c>8sz_kD&-g-x(@-|})LQd4tO_9l#!!ZdGs$gnN@HjXKGiLTg)ZZf9scJe zU4fnXurG`8&s!rn3y6nqf<>=}8W;nO4gTj(-vD(|vl|i_-2$a;so^x__k?)R*xVlq z+TX>S7yd7BizYDTyEngfcz1&TYs&NR$5K38rnZg5K?_HlkP`rVp6p-6BuX^F@7Amt}M)TN-ewN z=U+Vh^8fhsKKY`dHR?h{(5??5?fMYZOz8YLR)esz&Ze6!bvZl-emNgnP)vktq&}(% zuLx3;vfKh^|JEFomDOJY=0V0G(tq=*G^7SGOQaAzx>nB9%7L63D7lab43gU_vMq%zIX_h{l{~p z=2Pr#^C@<9ZE-|q8n5JTh2QzQ$VGSl+-%CX$A~ z1v)*36JYs?(Rw^o_xG05u|0lM+mfGk~B|d>E;bjqP-G66ZNJv%^ zB>6Yb^4W=ID_K41B6OnJ?pAe-1p(r-a(J{cnMaYKg~d9xL#OHEFR&AK*=Rta3XyoG z_P~3BGJg`!Qgiw|tkhy}0GHIG93I2U7TQ1UPTXV?YJm00`AHEY1Fa|Lv_ob7?c~WB zRJRON!Q}uR1s`E&!Rz2)wZf6yh#uYtmoXk~V#^+T18g6Sguy)khdlP*z9Ruo&&p7) z%yRxB2P-&B35*vo2o~aG4kpvCs=225Y+`O&OMg0RRR~|+@?rah#3ZS31WrN0!7@r% zn`egis)|9VV1pG-Bkf7Hha8(=h#nk+3v|B?Hlj;wrR-?AlZeC%sY!?N z1njN)jfk1Y=^LPaMmuOFfNn0k=onTm;QTl7><(ZfYPp{k6-(UygQMhisK>V>YV$4& z^&8NZYaWd^KvaFRKm0u;!2axC` zxeSxzfAiucHg&#ouz&g+8_1MkAF&&KS*0YldhFI>r~HpWOv+k=l(dT)S2L{0Op*vJpyz)f(3x^>FGAR;;Dqw;hCK+ z(1!JH(AvfY2V^+G^BSYR;Q01y2Y-7IKG6~nNe(k3N-mWTzX9wSW{$WMwcG&o|hRJDrKwc7Fgxo%_L%lV=7q zW-Yq>oSn;<6?d4=LF6*-E@f^&pF9rhfBESCm%!jV*e^6!cnN(Fh`)4;5z-?Yiw=w$ zv6;8VH7G%)mGMZ%>IN8{9L?h~)H{!V{s|}vo7hlNr^<6;su;c8%19>VXZJy1d`Buf z04|5!=*>&X2Udw+g;`i5>Hv1vVoo7ThYAT4BS6V4(t`-8o4E^Q#6q;`m<4Ewk6 z`piu^32i@r0*<4bVg(HPi8uq#uBKI}Sm~2}*&9tfX8Pnv=t3*3(?Wp*G2S18<>uT# z36pBU9@B7pZ5D^LJe)`Q?(@yGz;)npsmo_B+QjbS@0&6wK!3+qrc~?OWfW03HXnca z#iJ(=A>9{wy5I$AY<%!P$KFn&$Ah$LotSo{!AD%iiF!`NOu}sU&0aCDwzjuGm6v*- zsKA)n8c$E1w-lr+ zt)*~=&o)IXt$(F(PS+g93mGIh_ITi(0i)Hfvm&d#7h2bY403Akh1LA+c^O$Oe+t)- znfb*r6~a~*lO0#dp`8g~Xy-!u$OWw0K7RQ5eXw8Hm@T14c;=QI5s zX(d`(PSeZGQ}Rn}N;*}vcf(Q~xnDnffxXee;cEw?T7NwfH{MS<5B&7ullvc&=fBp> z#xn&XCA6@3B~E}y33XcAtb|;LEiT^1kiyV#n4G%3-2eFAqfdd)m~%0at^D!5FP>m0 zq4=HET@!FN&rfW5n>m{yiz4@N#FDnxyrv+zOI|;D6k!h3MmF8rL}SnSbEqCN@KcyCCxLv`K8P_uTmy_~;t7GD~sq^UuC~Lg9tl z*G`U=$n?|E_OW>d)oXw~?)t3KBn2}OHM_{ehs#bxotaK=6Co2(N0wvTCCo%r&-KY= zskKDZ#+^AMzS2uxnb$kt@%r~j%hd7URWBEvk$<|RJxSqM%5lWW%3?d|ICRV7(5#t0 z0r3eE+S%hKJbSdbj)n_~3hL*;J?(TuiOyLqs9$taQeo~((7M*%Dqu&iF6}iALDd2S zjzaB7W4l`t9v#ESS6;Bc5c-#vQnhKu4EJ>qtS@l`{CXYNT;gUlKusCp}k-q;U z>3c6svclJ2n+`+vctcI>J(qe-x{TGrWq&wc`z5{JO>8Xir){6MHp-|9r#txBvvP5V z!;Y)nEgpawO0>AzbLg-`5|;hNiXh8RWBXi!LTS(Zov9|b0`_(rT2#T z(p*0KFR1Rl5#Ij`%iTZC_xHU)@Atr<_h;6Ii^Zgu>l2a5LzwhjIs;B&LwWp<9e-cE z{xycnVYL_TyM!xjJ+IZ`L4qo!y^I`@mLYD3B zbTd_l>{jFL8onx`nBIEh2BzgS(_8dRQ3v!Eyl}*Rh@HLtvuD`zaI|>^Jak!3)+}ok zx@I?|9pDB?T|ORwFF|>Nz2iHahJX9o0axDa>h`?2)|_Vp(d&VHy3;IWw{TF#cAAy; z^!5no?yeKpt`!A&0~~NABz*cdcA#vz*NJPz-nHplE->4>YVszGe5RXgBc;$AazJ0< z%p-Pafe}~Oz1c}#NR-oUUh1o<1QFBb6*%Y7C&BksTJ(gC>RDV8UWuv&j zf^zFKteB86P`jYjv&>qHjm_0khB>P(;qXL;HfK#;1}-ohR%OS-5wNi<{>&`+@f8TH zKg$y_{Z*4&bJJY2ohmtZuN|Fg(t0@1EwN^&TF`hKaVxk4=AixNE%vNp^T+=V_8-Bs zMd81A7Z+U;5d`=gCGS3Vq<=r&EaY1=PsP@zYj98te+%(D@DF%w!P0d9M?2lvr+Kv#+I{?3cm?|rl z#=Bry8xl3#!Q8g~{l9*e%BryFeHW^+)L2OD;Q-;WzC1zkC z;(&EyhQZK?9XOd=EmpD|F|JuhK4O&hC82eWu*%SVE+J99P8_zirLr#F zhf7_eUg1mBp?_|7hGQY3NW;rqQ0uAkyB#mh%V8-JgyW^P_OeUBcD5|VuQrjUM2fS; zd1ACuXvMj*ThXf(BfKcdd(9nErdK4Ss9ekaf7pA^=(x@_J#Z>#z}AHQRvwQ%KC||m z@lGEGk&-=Uj+aegTAUFjE=hIIcz<9il2X%6N*s`EsegTT*FY6=Dx^XvfSHD@B2K@`@kCQi#J#j^7S{^PvDbc zqZ;ailiGimCj01Yh-P*^S!zvekWwTaVLqp4u!M(Oic^k~%H*wl8Jl{ev#jEjB^bE@ z1}(8GNPjBlHP8`N90}1pIC3w(!A(4|_3J&CV-hoE7F$>9a1L5j(HA@$Ap5gFlKuhy z%+J1k_a!BUbOTL0Yl&LFlS~8+24}$8qDdyAO+f)%HU-4)Xi@=; zENy=6ki7BLS0K81Z{7(hdPt}%R!UI%hT`?c3x8*_mLVdjGFWccGSnx9hH>ea{VUMX zXd30PsTMpACWw_r76X_^l%cRJXj>3gE!~svur*=u8Vi=8$^NUGrxgsSl_2v~_>OEq zJjOQZgfR~YjtZ^j+bd-b=1D;NrdmR$?PtaM_OUcxxCM?q0$Y%M2yTE0!%4G~{jepS zE`LMg9MR#V8h6h&D#RCW^vCNQ-7{vGg&olFwbpZOW+ z3DxVJseJS1rG!X&|LOLnv3VYaJiZ;$+<(XUA@aCq_`05pAceOtbsxyl)bFI#9SV3e5S+h1w|`JZ z_L=K!n$bDYY22j^HD&>Vvwar2v%#TIt;Y)5>X`i;Lwv&xLKN@0=eSHMqw=1QLVu4( zk*y=Hfyk)&e3pX$2cXL|?9bqy5$MzQRoRS;&Ql)CDj=LwC_H7~!lGa)lc(HVd$bFe z9qGb{7jWQwgUXo%+mXVZ!p)OM_?MDu2w-y}vjn-5KLoQyaRpKm{a3#f>JMXGdmv=L zySge%Q=M#E2rh3hk>F{7)ByZ9iGNoa$6Im@N9ZVO8mQY3gWk~O$| z23n83GVGOL2le*K(jEn|x3Av4d`S!!7LYCI!bNmG?ospHxX{r+{UowKfq!S;71yo1 zqA0v(!O52NIub=J<}7RshWHl#18X7^Y-z|ABKWy!=0UZPM#McCscn|o{;*?KH5ZKB04bc$Ak`}NgrG(bcK#G3; zN|Oz{sO3K)(`_RTwl29=y6shU$rX>4BwIPVHY>9+ zD6F*=)s*|Z#7=@$w?y|N2(D=}Bf|oRQFlhi0|(Ova?sge7}2 zX)Rd30=vsuC1TW7a~o)acl2=D$$_f%0ygw}8~6xv;`ZrOIHL|p(DezU zr;B`ixnTh#_J2nBWrvO6pTG|Fe{vnnY6r5N=%lvwHghHqO==t4Tx$}MA~QF52hAFH zMx7W`x1feGFP@d^wsE=9j!v81fO+b&5EifOW0^GA93`a@;TpURL^ES55l)l2u;oM{ z!u3}LEpur+x52fbFac$9u!LJ5cqyk}_in&%IfBq@k$>YSpiNCIQ&0$T=VyL?&JIG{ zLTs<62tjq5kBL8aW+S$R?Ic`?SZ_vb8!iP6vt<4^fm-J=FQqfru2R>A6pRe-8E87~ zXc3axX;UZjQGC|4{T1kGKN{dM*=q%%R~1O<^-U0y?2#j5Bcuh@W+wBI%(L$0&?#h` zc@315$A2Y}^=l-eS&?b7kk1TG+(7?j`m)^G#F|1jn~K{%P%znM!MjZ-Tm;)}Vp$m~ zrP9b|l8+mZcj0xAXttzs8MLoZLw}--aRs~r@#{llat0@>S~pQAq;s+cE4=&|kumnz zjh-pBHj`nCrqGLr=2UsqU**Z@M5ruXdOORi`hO5aMWrl?*~m!7q(LmaVdER+pQlt( zyj}u}`_&v$z)Bnz(LTL3JOt;cCU(&%qC_WWpO7 zsDIl>Q)P4pP2lMi;q*ym&@5LEhADn9rFaE)sz(T)C9+@hMO~bi6Owvk8cMB~(Wy5B zGyN4p+r3X5a`t3mD4;Ej&qmAf?i@)|SV=fOCY&XXB;kzb)JO3tESrJjo-RgNfj}=) z+f9uUCR^re-BT^3kX^_Ix5gO?oz+sbvU`WJ^$M3<5NF+2L0n7bt@kDSSg*V9bU9HxgA$|7C1Ml{V}IYf zYLwi1czOST9)o{I<-+2Q;PLwPT3$d30^D30IbAff&I!IUE|#V;r!J+n;C1kwvl(`y`* z70^s^Lngz)+NZd&jAym}@t+=umVc40e=3L6vCurt?ad7=EUIe}l2Fqb_q0?o74)2p zy*zMcy8fJ&Zk=;&2xWYOQO#j>{V_EU4sqzNKjWF>xe^8fSf90)hNlBmuxdM`=#TBD zKgxuHK^_w!iYN%*7E(-qa;FU~PBzn@&CLxz1WgKeokkW7eth*_^fMPP!GwiHLi%k{#hrqmybut>WNfeTkfQf4(s6B1r7B-LSRS7TNrRCgZt$z`+Xw|D313{{_!>kPS>OND%j z_O4@XcTU0d;u()Or;BM`JjKh}Y@4gY()wyFPOY}5&W6o^Uon!}-haZ1ZZ0zo?c3ES z@F;0$psF)K%rxJLnQlvyBFuN%=XGUBQ|g|;a-*1PwR5q$?v26m%z@iMFL-F~UhF zyz0;E@m z?>mtqsZwowxq=c&g{DX3IGXm4r0uuxs9eW-!%&5kPH8+d7biPnpoqz)4kll8i<+NbS)l3n^jM&}zgMmlRfc3^g=y5nasr&sHuS0QW85DI@z*@2@51NhrAR;YC>k(OQH8=?3j&yuv^C z*6qz(*MD!obaLtmj~vqY&XIkEBbbUYE<|%s!IW-gDub<=%1GS72%k7Lz6AzjZv&SZ zVnx+%jF4Tc6E6HWL^ObEDtL|P2t)6VVHxt2k$-}z)cx{2`~b0nHp+wYyYSnwaq&vc znc<*uldia+I2k1bGb~tAZNSHLPQFf#d*xvY{GZ%jjY%-cER{tl~I;L(i zRDa8*=qATF6WvI#oroPe z+uk`^1*Pm2(YrPXT~40iX9$?APr4E@q960vbdBO8{F0L9{vLi(5JiBu*e9%2fC#%s zHR7l5PxGb4eB|eTtT_GS34{ItDo%g$1AmLg5j`#VZ>%XuC8z$?ixd&1!ZUw4sGN(q$O@`v|v-2kQJ)Yj4Z8M)$=;JvLknRsmr`=7*}fQM zD>+53TE-;gl2dKgNU_5n4wog{3s0Mihkry0sj@dLAzW%m72@3( zCS{hIMvuqy?15GJ>2|u5wbZ0`eVspAU6nG|>Yu_c6# zb!811{m&b-Aw8blpBPLO)uqeqHGis&)S78Tjp{P<>PkkbQ4JZ7YGAund>+8zQ1_m_ zVx_)RnQ0ZPwlv>$9zDET(P+EzwnFyssxL46pHT}|*%48V0%~lPlbu{9q7RR(gq*~4>$c+*TF@vX~~HceGRnlW=gD0 ztF4P3^m#Rzs8-Vv10z>BVnr)&#}h~X03OH24=2u z$@Q-C*`mM3za3vN&tCi*%XvU=d)SUMBR)&&uknU9Aoy?MD58VZ0e=G5w<^TUcr98t zxTT=PYsvYR8dwiIW#BL+}BUsESw7R(ybPm54))o13jG8w91R#svjl~D_3iGgL8 zHg9U3sZz;v6wH?9g8L}}v4{}S-^pQQp);vqRxs3%CAAmK{0FN_ zrSyVXW>WW}5K%DmZ+~7q5m*DsjJ3oLp*3PWKWXleQzFLf%$xuLB|C zu}&NzU|vvAC?8QltMps&fc@`qjSKc^Fj?_9rpf{RZfB}BUzm5o-a>kY|aFqwai8=ScQJ(f|n ztqK{^^#H%%M4L#~)s6U`>oCDQJ@K|My=2!rbCYYnVxB53byJ7&7@5JJGte$OjH0rT182M_Ok{_x)8zaw5e*VsLT z|3Rdlz23|40nWa0$k~quR;lgLp_=_&p9cuRRJ+B}U(M;((Ebe{x8do8xbnV_q z0@*FlvF@J7v)4R*G`(ezm_dkwnv8xw=AUT=_aCm9VhV|oV)X#m3Q~nYS>6xd0FFON!Av3 zkBKiJk$-k}e8(X;`Rd8{c*~%!)U-nu;k)W;xWkEB3?3RNbr;f$!RLOF?GAmrJ|d^f zDg}J}z<=elk4fKcJhEh=>%=<&zQe3eqLoG7Y<#~DLHBaxI5b0f?e^mBFWsKwRA$>A zT~*b7!Ax|f)xpJ5q*lGi=j2pO*Qzf>2S*XjP@lZ{6)28eFM{DD?wtH400ZfhOfwncN1Eco84is%iH-kSvS+i9qBuW`YYRa758VVq=F4dTqRekv+&pHp zr+?f!jtV4bg7}Mn`J2~IaEcceB)8>{a7cOVY`4!EhhbZpp%2{?QAD+9|J!D%|&!Ah! zu(8Nnj=%l$@84pdeB<^M+C1UW5ZIoWpMODGJ8G3(>pWXBK6chjfDv$vzH=ka$oY+p zVIiKPlW#|yHvi2tEI?OR&c%rkYWDiA&PzE%qTX8C>`r*M*~|&>cREXIa;Lu&v4J|9 z!$SmYGWEWa;B#bOgX>^mAaF~-s1dBzbWJKyg7n$WWSA#bYYZ3)70r1q|i6VI!qN%A!0^9Z-2VBS5Cn{KWy- zYtlZ0#EJ=y3JZp0ek_2(!o26t@SDcfw&XxrTx>Q&upX;k%91d=2nWpZK1@~m?(o&1 zoT^F>&EI5o4vfE8W9vA619VS?w14BCWBC4sk7)fY?G5>_(bg#rv?T~Ld z6m65?g&rKbK)w1hs)}V<-hTpp7n89_tD74j^YYMBiV~=AF77ut(d&NcK}~^t7J+*E zPF)pjhWPS^t8EM8{t;gR_v)o1u}%ApCp?y&x-h&_#T2H;n6o}Z>(cjC+r@HQ=z;(3 z?}#%1hmfLYrwOwwTRAd&Zs$yh6sY^}%p2exgP-DrX(|!E%+!<~iGNVa^|hE9Eu6fU z*q?g&J?Y)Rea&!eB&pO2;=@ zM^c?tK-fE2OSruoy?lNr?_s#-ohFnRzfpScFo&NBU+Z8+GFb!IO_)U*G-E^%Y*T;Ej}9+{9+j zCsjh*7(^tq60tWWU`p_(cH6XgZY#x)_)lGa?(*p)5SCoTrH-L}b!=6p`^l|20%_fV zx#qntXS!rRV=W;?%#`D+vjS%D+>Qce6le}%ivRTGlYbYlUf^LCXsVj=Q#fcM?z?9y zq2r9PJ{h&vR2yR$MY&sCD=$VIvy97i~QO%zvMPH<^@{LtWl5!fM(bn1>876)Xu z%)=TeVh8+NIL3o%^Z9b7PJgJYH<^Rd>Br@CRTB(m>qI{TH939dBC0fhJHK&SMv>ub z21}2Gtba26++0(Xh|H0R?!kr21fp}MTJDgu>g~(N#)7ysy?uFga667X$*uV?F<#So z%YS4S;kVaa28oy=eDi9Tg#;0G#>e~cXsJGSa3#zf_`wX?9?;-lZjERR2^B?*t~*3f zxw6yOqCg=|2SKU@5vx?+(|@oD+E(2An3x1h%zx}QO1s~1Xd1-nQ#9@V@M!k$vv7wr z^Kk|a${^+@h+8Om#YDVj2gk#pPJ)_`e*GPon>*=&O{(uF9g|8{q1 z9^Gjvf2MVhLzDWOQoPR^Z`@4l`ssGH;yiz~{>UNtu2*Er}>R*^& zSbr|1OZ{^$uOe8ASl7XkUYW_KF{%7i!8$Qb>Yuz(J&~szPSOWNp63VeFQOsm-4Vtbd+kG4a_5*zV6{y^x}bV|iXRAyjbl z_@aAFOjq2GG=}8DavE(EKzD<2S_J#oQsYrlJnY$G*nn^!EM0*06y2fBN=z%5BhVU;hXe z#sBO@Y9W^a0Uyp>Y)PyXy``h`eKAd~pPoJ!fq;R#!%clc3Jk1_-6)dL)cTRrOL}LD z*uEzIsuvPIzjAv{RCgEM5AtOWx_@8mdT^WqA;0$wOpg|^$?v@z26I@78#jT!$50N3 z8PvNy2WD+b2BaL3h4;OCbN{yk06>NVb*ahA3Tm`$UB^4!AxaKeou6VkZFXX+@AI!p zHl+kN)gIFN#SC>K_47A@y1nZ{z^2HbovjU)Ar<*2-C>C=UN9*sN2v<5B7grfu3^Lp zCA?RcEOp3f1!U}9I_Jt3Q8q6vGs+mLEf;Xqjj%Np=Usgyrb_YyS7y&yB>9aSJN+Dn zB)@0Nn*h%!X&(`jhEDp{iS4C!D*+qdnunh5Obe9_ONzIgF4te%)M!z1eO2hegv3ff z@J!D1hp$0+8{+lUuJA>Icz2<4hg@pt4&ViSH?$oaoAM#`57}6Vk_UHwSBjRk5<)3#(J3H z_M+7*K;~&cx`e_JDVQ6ILv}U41vd9ai6$6SxqbRv#ROGuzgU%OlvCyQ>UIBpqOqzK zL4@zNZCcI}{Mo;e{(pFKkbJf<<9B-UI_PaI?4C=@4&+?@u zeDo)OWJ>?zVYvJQFs1*OA6hjIo=jwfkC%i*m7dydpHfh$(tjSR%w!~;BqOSOhDRcf z6smWdBoyLETC8`xkgm?(Z%rP15DelMNd^%OS%5pU9#6p$st6Mk` zlc~~6lO+dyI~k!(H5tf9G7iF<3FK? zG4jlr&W!HfDT!yc;ZCg^){7a~+~oqEE7=&%EfG;G2tX`usivAkVL1DDZzOVUoaVTZ z;~IEBQ+ynN-qIf^rBaV^ndWFT<7G*$SFA$2bj_p^@%B5 z=lrpQXc+|tnDrVz>Nud?*%KJi&+t4gWcKrF!R(dP!G2DjQ)wWKi+}0`Y>&NNzdM8U?mfKH2E(UdJYTZ?F8C0)CC z=WqlqT9vZ$&mASNx%SI08F@VL?m=f&iCI!q;c9(p+Fe1VN=NImL-@?XQIfJ$<3y^! z=(56yK;1!=j%$vY;QjVgX;WaJQA8?O#MY-N6@QdM!DK^i4MOA}s*3cXbDmQ@vlZ6d z+yBIGl}FB|2MgxrB#i7f!B3UXV`i_ZA?8)8$bYCO!YpTUmSc4xgkF%wS?((=9u=TC z%bLu&6@_ih;WGm@nZDWU9a1WjdAvEOmTOHUO4C}iVefpKR)RFG)&!!dt1gP~AUpSk z)qm-o2vCSbb}mw7-N$ut|Nbw*-azu7BI5dHb?6M2M0GyNtkLl8RA*(v_yvznb>3qU6PDCmlXDv767Y}l%tmWF?ok9+swLCYZAA&Rr5^GtLdG$}&NXt<{K8pf6 zX?a*PP|u~2mTTf;f*DTKM7=s-Zhy2u$`ae3hn7@}$g%xqg6~zDS6S?GwC~ z&snHaH$ZO8dJ~V@ipzXf$jsP2}EYepQ16p`Sw3)z++raw$aJljSxqCnQm~F0*1nPEE{Ii#|Cb zW?~kf zz(wLvJBy6tIygJqO@9#}*{3P`vO*b(eVRWo7tOVkO&iP1)lO_=(#h_GOrEX$&=a*2 z>rAaPsCMSAICPFEc9YIB3fKhoaqKLl*BQ+bJyz?}oe><-;N6C5E}bK)TUab)xm<36 z8pF{QQloSO)J1uhaZw!6tiI+I5xrotY~J4$vT#K644$3jV}H&;{PfcHH6yh@9{~TX z$_YrUaKwh7CBisJVSG-FbRKY#jL){gxicZPUXy98Oou%TuzdC{*oa?U5TKZ!?Opl< z8MUlawNX1Fpp7`1T;*+?U z8#_@S;;}A+ntwUfRPgttvQnX^K1)vLU$*b6cSVR=(|-Q^gor|_Y)mdVk};}J)!x1t zxZRSyXMtmKtZBHh{OT@>Kbes~S?Vy?g)e@x9vg-vQd|QjpV~qJ8{zRVq$&bw2zDJ* z`K_!=86-x%X10tDF=HEJZX!~}p_Iqkd%TolIw(clkAIWPJ6xGHC zs_IYq}a`-l$}T&^~Nlv(u_Q;Z-asHxhiBgEY(?QHcr?;)8+P?>VKbFl5Flc zE#o8jaw*9nSJZCuaC`MMH|xY`KO28js*1-fZhtOBO+@igB)8Gco(ctxHL?%R(y2ZSBI_C}on|e2*7=Sx9bY1)IlYKbx?QBtHd$^C`)N&A z`=;XZoY;78MzGD2s&N5?qddG|GA?n*1hy=n;0y(mz;-;7XGY#CAA-2b<8tJ;-3I-W7kN@j z@j0||bW28Ospa&KpKzEJX@6zkr2xe;E9_Xv<0DvRy{$%-gx06l*Ay3zeDE6}A-6b} zV=Zr|v}PB}$mMO1p`%3^o$S?m-Z>^glYhOk>yJlZftXSbH=Oi-i(j~;hb$Qmddn=3 zX9sVrNhk$!RoL93f=2J^nhz-EQ|Mhorp+7)g1t4e zQFvA!%E>9DN#=D>QfcWBp)ig8oWLZeCyKT$CDNISX@m~1Zb%vYs<<81h2#3SUQo)S z-2vv zU)77PxQXUv10S0OO?@9mAhDO+^*U!Iy3(c}%tG{zQU+M>c?|n00TFd{h{H-4uR6hb^ zKLCvVY5`h)R zn4jx3i^-5hex>lvitN{*cy+ukZ!P?1}W&Z z^56?4;g?K#wfNGgJShEm^f72UKROpMO2dKW)0Yxr9b8WGf?V@SzRsCakQ>(bBydOtvGU-ZT2xQT-4ja_vs-s}=1vx$ zYJ$>TwZSKGr*b@+p(8J9i-OJK(QFa5crz7jDNxX>G;zxnna-#xO;^#3AB{1!8a-Xj zqg7r`>v{+g5xMemq8Wc3wN*xTlZU1t9q`jfV6yM>jHTu=(rjoZb@1M;QW}vpi?ijL zSQ)h}Q61k_slb=P!}~5EdnRU{!>lZnCVJ13X;Wp|$s7?iO;2tJypaF)nYjPv>7kI6 z7guGMEC{IHC{=r1a%PfRmY^LL(Q;0Yfb>2aZ>m19a)?7tELDH*+iE6ns-e_qmNC=9 z*umfm4kaz@RIh{+rB!UfyFUEvKDb(0>5?I`x!}SWE+S#mEoI~*?NUO!mwM#X{GvXz zX~)T$9C%fnF5q^}){wna)AYYHBKD-B%r3sQ`Qv5Ma1qKaMccIy!Xd@o79Vr5qxoa& ze4Cl`XMZOBSGIqOFlswkYr}^Dm&*)imHtz03rrdw-Up@XuD?PA+$rm&RU&J^-L{w( zV+(K3A_oUWlo&RpdTzvt5|Qdx{0<>|@Z(1y#aFYzq}n$co0~<*=yGu=JWl)(yj`UQ ze<&4W>Do{3K6?1(z2rZ%_m8yw+xt}v#}Dp;#jxUKxOMK|{rrp19^d=ylY3a+0QCBT99ZTdp3s`@ z+`sqe5!f5iO+k8x^+$LT^0CX^&p&qg7{n*fjS#i8M|bah@yG?3{UdW>DG&bgO>i+k zxeANC_a8rU`4r^tEv-V!fct;-@h6YK^5V{4^<(U_A7A?fOlkHf zILsjCLa%FqoC19Kzj*lIQ_vNO+@1AA|GOqZ8-=cK>6SJ6{0J%E=DA{H#j?TMk481xpP-1qIa`p&VSd zN&Z8h-@kwFvFr8QKLtbG2^|nY#+M?{mlYGvv7}$V^XV60ak{)qK#HmK0fu(Sw7q}t zf$ROdF83aQk*UICneFB8eT=<)P<`VR&+&f>*6}3XKTH|g0%5wplUdn09YsLEuyR!6%?7OudcYTf{%y{sj0p`?@j0E%&3zJ$Nx&NA`1Ur3MD2 z7vU-WKPA1^{W}n7iQC&%*caIcV0AlU2v#nzRdpA%CoY(Ij_G^!sf)`4SM2dX?|^@M z3fK0rySG4Y_OzPW)@uDUyX)`%^IFSN(lIveWM*THc9{?A=L z`}p&R*h}^guQk#@;^5k&NxtI=tg!1Zero-*C4&X&0{hy!`3aajUF;Q+&Io_|RDtB+ zl49Z*x_AEp_GMK|eT^KP0!SXwdyntm`3!rwKGocB925RmpMLS^6Kwu|;qnj|D^|j} z8IFmH>pPw#Ey?yH_B(9KYjrAypzl_`#yP$jaNi_qfWwzko^kWGvtov zzx(Omz$5$TF2GMeGzDWZ>|B3+2&b`3nW_=l7w=6lJKt1_$I?RLcmr`p!!yT|;uC_O zIxO?plASHO}};iL=oKo{cUbf3v4oZa-yigN=szNxb8%HNelF zuaME!9)8sS0<7u^gT#Nf=lg0~2;m)T&`|P3hN0}qDZg8|+?)@CQC67Y1jx~tCkGp1Vo9wQ* zTHl{foSF}@W1-a$9tGQ1z&(2p+qBm}eR^1o*gAnOcfm#AT!w$lu^z62rh?{33GJvP zP7Gky_IA^QhWmGj_v>$(JQ3QL@&_QYVKoS5PIyr87=%TbL*$evxem_v>hx4pZ7--f zhUd6>J_UI$P zYX*~3+?>cE2G4(bMpr3kjzo-Qg}+Uwd2F2+1lzG|E%|>8mM6AKsHsdtR@k(dd`5qK zADdfXQ5p*5w1IT_DYk+C2JG*v^g`M)ak-1n_w3d32|Q)-b@q#UcOLe

    EL)qd>Yt3hCRSXd@hBC}GlYe}V zX=H%}yVY%#MX)kH&gZ86K>wB`QQzN=jpB3%=B?l7Hnc)A4is z&&hHHcIU(1EXF@?ji^~bJah{zdNC4(aV5_GiHV2Ym&w=Im!bdnUZ50mt6Y`FY zALSi|xmng=WHRbvVk4wdNq^8Hzq_x78-Yn<~8&gS8baY|-k89q=~O31g#PU2Bqc-MfcWO0fAI)|q)&mNQJ*VeAh@ree(}{Ku5tS?H_90CMSsJYIhFVVroyc~;2IG+N2(Ntd8AjrO*yW2^`eUzNk7jmZLvnlUWaxgGYJJ^2c|VV8{t6si%K zM;cGu6_Am6l$z7$$-%|m1g@#aIRZvAOKAVJ2QkPb)Bx*~^OF)r3Q|wbS%=#4-N~CX zsBRgkf`8iqyb3m0K_nRQJ39K7*?* zVoRxsKxd91w`k4729X988kp1!H3f8q2lhGvb2RS0B=CX@UAaeoNzq!ut2sWaY`&nU;#PA;;C$B?A?sI~h zcU`F8fQ@;U*?1E~)i?XY??Vd06=yF@IGM_2MHBC;z}j>afbn41NZRGj$oXN;EEY zSzpgwhS|}-e)Sq#I^Q_jKl`;Utdw9cu@`++r6P`cY-q7t{-+=&WvxL*HjJ58Gpc#0 z+^x>0iy@(vrT*DhwvWF0>Wi;{CTDk1jmYC#9Y0A^nU6l44srx@F8Ur3I-)CVr++6_ zNr+|0+5|Ag;K`u);8DeIFF?FD_Sme59*a2HC9b89$wKgjKDbWF0zmlkbe~=EQo-f$ z;<+8rhxKmI06{>$zl@`T12UZ9eT~5{IKBJM(H?|Pw8Xv22bVz&5uczLHzN)vzkZ>0CZ8y${IKwW*#Arx>C%pJZ+jG-wS5hH!9Kf0=1Kwkqe zLt*7&a>Ekr1K~j4MRI`H+7P%}I@QUyg07b!#FXrzBsXP}#dZYoqaNi7L{wRL`+9$! zjk!dQUwJ@G!&o)P;dKWXi%$y#)bjPiCm0q1dm+JDYRd)X4!odr=zH^pTtR0E-ob1rjx(;e1B z)y!jnpxqFi02#WrsCV_|p+nbv{m!b@mh#!{m*D82W(cuwQIpcnN=f5Qx8W zkFkcC!?{~@Xwpe7b!%LM5>#3lkE~eT1f!Fqc>;!d=gBWW10`V-8!GBjc}Xl4lec>r zSxNcD0}vSBk;)E%>+0w~Q4t+BGKF(G8FmGPMa;k)`^B{o-8O zKt5U8A+|E?-@@xNHx(qb{qlb)IEikG6*0z_~d_y{W^&r57Vj*QreXUpK*T~rBqwW!!fr;Vc1Xv1XsPVwz#C8@@HG1_He<(x8 zk@-BvQy{)}a7#hDiYtdNe6}f?BN+V#n65dB7c+|B*yDjC14gUeW+j~6*RAV8TMBCT z^=kgkyqqMT$wj`Y^+PfhYN1oR&USYrJ==hx@!B&sNjrUXT z13!QC^ued({jW8%@mz_>2`nsLi4!4m0-e`3D`Bm}4i|4@NMV0yI7~r3ULJgU|MBNQ zJLXbMq^o{<|Es6iO(=eMb{p1Yp{t)Wpb zw~~8be)08FN?m`beeLu_h0H%3Z6BLgQhN=s$6cRQnxtfAB4!tPYWeI;#F^>zHVHBl zab!8R-G-To=(Rq%EaS>VY}}nQ;XA#JgJr+-9k2h8?D9Vuyzb?rQxcc-rzt!u9Y@@( ztZgS9NA7t%T62~*AU?r{cK5gi?;b5~qv2wLLH!bVq@90lsL)A^1@(*0Dk{u<4O-XQ zTP>m5*6_up{l+1vT42CYs2yo+cTd8@BZTJ)<-tA*jz8M z%30tp3#+Y~xac6lyaiw9z$yZoJLuv<3yT8?T~1 zbOwI{Vp=!eT4&0XnALW7wwY=``c?S2g|A8|O>ez%1Jepx(_8dRQ3vcTc;$qBk>~dI zFJ55J!^zeGcp9>txmwmL49)Jyc7U58b@^lf{s_tw?491@Ww`GgapldPVc(n2)p<4$ zy&fo}cbcW_6%NYToo1yyy*(m&ch{*~*NT6V8~{gL2??LR?Q>AJ-0RHeXz$weEf-nZ zyK3?#OhRTi*G5XA53B)wgI6B0OA8FS!Nz7cc_C3jZ}ZYzPbEm0ZC-(Mo_#j>xk@vi zw{?2?>IF_*ctzzCt!$Jou%O)f42~uw4Ad^@jjU#^#m44p8KXI?E#c@?j&9DHx(a_> zV02iOoeW1nYe)QgrA_}@YY0z6$1{)(G_7rj;~R2^sy`b$!4LDt9dH6HeH8{PPIkq8YQU4sfo4L5&^37sr%5U3YOLgt%ZZ_`?o;XHd%iuWVJ7C z>MmQLLx%mE=Y(M15%>kfRB^O4-UG|}s5q(liG26s1>Pj_9MpI2<*;g_ME6k7eh%S} zfKO3qj{eNf&fdw%GF@XcBl0;qj}}LbhjK>E1bcFt-k?<_tyyRxdi{h2S_vBNGDIs6b+F z>5|C&uh?R6fP&bRpr#B7S4+&mK*S+yV2E=prO0(2Mru-4=yPy7w_2=Xb;P)39c!hi z)|Z6VIigjD-sch$)$7b-x3*N)rEB@rEy@AkqK*uEGdyb{ifnk93u--6{cy)i^Kw{< z4Wi?vwf3q@#O`caieGdCqm^Qg&Xv82UcD62i;}$G+#zH3ii8xE>-n%o z`^pKsgnau3`w9G{*r*11;-dDSFxoyk>m$^xmX5`i_<9+wr9Z*-PboN<7WTVU`cdKoF@ya77Gi^CwB2S@JJ8$8Ajo4)Lw=Vze<0F zrI$gU5TxTX4|{(H(9y6x%H!%qz0 zzp`;w!J=9TF<*t(h!OHJ=7&y1a{&MFplYF|Qf5`2XxldeY?!kBjA*Yu4&#Np;Mm2t z8RXT zDk$=ov31H#wMTn!+EEUCcmVs()w`-Gv>gTR6l|P+jlU_Sh5$Ck(@T&m`C~9s6q6^T z$bSnLgM1*?wE!W@+0|89nyfM}AvnFkc$`}slm_6xNqm)&jKXjULe4vKj;mCu4#eqm zr|o}`OQc_A9l`lb4uG01r<=BLlLRw|b$^7G@aBYE9?IB4+%)}urIdLjJ_iBk6El3K z>b9U{KFJ0zMskA7=b+`-J(|6^VSsEtKUl)l;~q85j)|=n z%BNxdaRSS#xJj;xqTrf&mHEPJ9EQHp z@WcTrlR5Efl(w`cH?-Y`Q(L<2;_G6SU09Fvlc|<=F^$!O+gMWbVXu%w%{fwjo{hY6 z-3B3R7t<29KjYr=R+ncY^Mm8y?x-J*)9} zZI^e}LT(f)>{6t%nxFq$SJ^KMmk(A7Nq(p<{lit+s4uD2Zjnsb#jhr(Y zjhu7NIRlLhG(w}18+mW&aL>KxYToRY%i}72&<7D{boagIp7a0z!v7aolHDz3Q&JW- z1Wib2O3K|a-*q`nH@TJ`RY0^Y)?I-tP+UQWufafA#;{xb7nBXx>WuI{DhjmD*u{Yg zS1_+W&lDnrjpb(@-du{3G3kFaVaeW1S^>*fV0SsIM2tFWZUas5j-I&f)I!yI0Jjf# zxAPI=#O>4Ra0U)Z%J^m?%cq?vs>2x5c!A8JI!w&+FbS#h!ss)cJ!Z%W%eK3c?KKy% znS%w-$Y{ca31ddCAiUfCchQ={RG;(;hMa}`+ABv(8(g%!Z@aU15_f+oJ7j#NfyTe1 ztN0=_HZwT(W5RyYm9|B7!Q1UC(2%)3CA13hnl3hUN|X?9)N`bj+^1l{UDDbhIYOSe z2%fcT87x}6%Cbn6Z6^HHfP0jbDuX*aJYD4D%MB|Sv2TPQcGwmC6Jkd7pIirX+QDok zUDUSTX3pfHMQ!7oYfXP5N@eCsoI!KOoiQat>K4>6?!~i8-8L>aI?!#iD=<%A782l< z{aPjsHAhKlLbwL+c5<94EQHf!E^H|wA>2S!&@z{%a~oO<3KKv#2WzF+h2j+j-x>yQ@vIYdR2k6 zUf%>U$?iEaHbGiYZDukbsXXgh4xL8Unb$x`d0Z0JzeW+76`7_A`OM z5WhY=E@x=6s&$ifLb@hvsKU#SQ5j<&yU{bH))q5r(G+^|(3&du`l~z{T?mz>OYdM= zRUd+=sFX!9n;6NMG=!BmYo^OQ;`?IEyuK+T~PtmJ=Y5$)4g!$W9}YGN0SBB(kc zG)IQh&ONIe!=^F{N*ef6NMw{zTGY-%D1gkqNAnzn0!WuqG{vPh_OF1+v$>Gq$cU<( zQg-f`s$-;9@lI(+>5-f%-f1t>t~w!AHR_JBR2f}C6L>mJX8pkmn&s-DFvSnH6tBQe z^(dLNLQQ{cy{L=xQX-`{rs33j8C`laI6F`ww4M9pCTDLZh6CEd_-wKq@2=4_g;j*( zW5QM9NDPRtm_T$q2^y(?zwKT=Xt-Q{%sM`)lYkx1vz ze|Q*cE!>X?(p~EJJB};|*XLhbvmEx{W2A=Ie@IUaalGos)R2EZYL0)})DZfbaY#W- zvGu;xB-?ejoo*$R8j=`oE)kmv zM0}F#n;TeJRM#S;u%@$aX{lnE^qh*lJaB(v=6;-(Zk=;&3}t+SQO#k^{V+8T4Rh$Z z9}}4qxe^8gSf90)hPMMSS+yNf^hfsC508X`As&+fKgtrdF|?$Q#JQj(1(fu0I=j3ef>81Ou-1PJ zDtw&V9MpkIs=X?Y-BL1e;iQFRA0?FZp&zN;6*-b>O*L9IEvYskx1dQt2lcWt7Ysa9 zT1Qd;K#>AY>u4L-9T4cL{doq9DSI^vT7XA#Y@fH19^kR*vl7B1*V%QjGN~SxTQRPP zp@BIiI?cm9WOYaEuv`cARo5Yd*G_*=x=$r=d`IBIRgsj525CaV%Y_sirgk-EMFQt@ zxJ@maMZbAIM^~u&l!`OtW-b--A@5!1+O9#tOyih{H>ZnfX&lAN+H6};?b7;cEH16K zx6bXG0l#E4wS9#ZJzQoR+P|w$;6ZI@psFiC%#7cNnQ2RtBH}kX=5=MrSlWNyz;dG) z4%;}~_3+?Xi+}fly&j1pOCwd+GR$RUY3O@Yq*vqL{UtcmbR}~T<#+7v$Rv-Fr4iB{ zk*Bn0X{38Z9w_Kp8k22HBVt6BMtJ3=MQAOV%Uf03wtTkQO?5R7sto5#PZIdls1W-? zx58l~6D7?!SLP@LE02mkWz>Ib-rB(|CuDMM!ph;M_#@)flg|GO>3{d^)r+5C|G9jJ zwJq3^$KhsNQrc<{u4VZRJh!%o$NKsoV{8BU31|QGzi>AlMbnD=mJA`E9WJa$bb^Jj zHLIpnWI%*hZH|$c3H$ch3y@wNzOSTIQl;9Cas^aLg{DX3IEMC*rtN>X@L;WDefw~Q zlrCvJGZ!a2YM_XzrcNoc?kQK#6ib;^PEl0vEGnti5M#>c)3kXp%Wdbx(e(D&x6Y&; zDx<4yUP^q$S8qVZhz0B5{zUrw*ya5T<|Vd1-a{ol?r?rANp(duO&?P8&@4qY!xFeI zik&+MBJ4S?B>Dr|Mvi~hm9~ngGTsy`Vw5*~hfSGWgp|m)bjqNxowKCfqS-KF;2}go z>Za`|K10rPdg6a3faE-R-K%Ltm(Bd{V9$~e!(o)yJ)dF%2Gm`P4O;n54Su9&?Jo`Yp=D9`L9BopVJH}mhGU@MMX43@1*a=?v^OYFVOF)FQfR&m$pzQR#V#TXZ&IjCYv zw=$i{icsQL5bR+<- zm%jvkof~E@{yDIl(NwYe-?QSFx~Wht7xGPx=U$?sYBvkd!fjEtM@QWi0wy$=YuRrX zS<4^Kz#4x&Y(L8@8qkx|NU$dn+jO?QbF>Nw_KN6R8zNFpp5c24n5s{@5;3YD^Y~1S z;v@W!lIH#{zEhAOz+3DURumw@o>GhW>H9N$X)z!9x*rjze?DcL*~`w~i_wu3E+=RLH3|YqZ$OUT%5B4flwV=2`nr^CwJLR^OcAy1xR313)&Cw*yD9d1ju z15baOi-&(eDXFqIEFoODqzdtF43jdUrm^FRJbPhPe!875Wrdpbjpyq!I@C0kr7Phu zYO%G6lVvWWkUFktKa=8#KemR@v97EoqyKqhHX)Cv&L;+wMRnLgV#BC5Qfp=q7}aIw z)s+mwsD_P4HN?18{62ug;hsG^Vx_)RnQ4EBRa=_xI*(pnt!T78_*fx(dDWj6{x4y` zDmx;oQ2^IgIoZi&A_%a`@Ts4MD=R~U`CLq?tZL8H=csYCMW)I^4x_TF&yFUY71rzY zrK`)kZ?LW588AmiYq=1V2Twfo zUtI?meWoQPrTQA|*v*t!hgMq`J?MY;YBIrLvk`-%S2$xugSYaIPo0UIbj>>Y9$W;r zx)U?nD1g^xb2y2OAP^xfA#zud=LXDp0BABFafiM^wWPLR9@|w z`K(Na0?f)v%wsYbFiQ+9yR@a#>P(d$JO^O5WC$LRFaWb~FTX>s6)>A`7`2EH)!)fs zWT6uUFe?~t$dcLtGykEgQYjrU%S`H76e0jK|K`OLfwhp#SWD~_S}Vr$ljcr2R54~} z<^%|!3i0SDeuc*<3DpgW8%lp9AU2X=oDh6OoXOH7XKcH9fwRc2FW)md+iFDnzrEke zmuB!Ozw@IH{YN;Btr&ctu}&N%U|vvAC?A2KRr)Qs6Z7wIj|=u{FjbQpD~0Xm2eaO0 zIgGM}CXFW(vtY3g_8Qk};QbRZjY$`|@>9HgAjBJF642M*xqSHqH=lnJk`V1;R5seF zu5V8cBbxcwc)*FvKVThY+p3UZT@UaJPPCb1U0licxlS~grzhSPW|r)FS8fsyRoi-w z=4o)DnSxX*S-%4qO4|NEC>8HjQIfj=s(9C1-IA3ohW4(uV`d5uX$0&V@Vp`&FrPhs z@bKQ}5AQwxJMxR?8hd|+@jr<4v)6mIe}JoR9Cr4j!Bu!XI^kwNSul}!pu^2RvF8;e zB8Mq`ht<9BUgItVm9E1ZDImKAI@jIucy`RwN7GkE2s21C@-Lr%gZs5s&%*ZvbbotI zcZgmA+t|&~i@7qojs0|CcbcA7dYo80pWz zS#@`Bt$~e{m6tUUmSi1q_n3SGiuh+|$9IAtCtp4J9v>Ohotk#YVtiL!jdUtuFnD;d z)J;eSgU|gUI~@LYeMC;T)e89df%9h{Q{LNnWXVF~#5)1LBdkfHl||lcdcO}r&vN7h z5r*>G^~Kx2cYS}3OPOuY=&Gvr3udD;qYf^XBE9NGJ}0MQx>tQ6Iyj0PhWg~qFF|qS zdJ$1h;>pRsBJrMsys9lEnypihS`pQ^*r)pz_iNc6KHt8k1Ol0*Jd@a>jo)ZZb)o^_ z-m&YEV$`4c?m4I(=$lZYjO(7gy-{40aXr^3y+VXCu4{k&lJapazZ3BoSg~&WGi7O} z|CV814=asIWLeF(L2_P95HcC-12C9A#SD`nf21icoaNATli2lNOZIFQLXPvZxV8Xf z{?JV@Y`&ZkAYgve;^r}1JmuDLR3Ir6#J~8LzkdA$mv~`Ca$Ei=htkK+_4urD7_pTZ z`p`WwaedkKKKpe!ynn_*aw zRWD^p7+Hja=6D~bD}8t5YDf;d(nIq%S(5|fFV@&5j^6-1(;*#r<{19`5+BoACGB^v zJi^z2^WPHI)Q2uV`-RKhPo3{P1k>lMXGnjq$~7<+G1Dw$0y^oDraa^j{~Ms=IJ%N? zfRZMw{jih(Dav*}ojVgHW8vCPXOnV-2-|r9wsEuC&X-abHDa6ub+wfR_U(aE81x=x z?QxMFbZu{KBr;>qbiS9(qcVRdO}YMjy9YgFTQ^KN?#Ob)`iK$^f8rfWD+A{QY-WG- zgDdYe5{R-^^T24S&E^w_Ij!V<{tXySKKLE{l0mog^Uwb3-p_y`DyE(had#4!Qi05g z`~Ym7`_wS*Kj}E#D`~HG*tZ;xwyE$!cMjd4UVRx=#j-4Kf&PoBSY*`A4Ul-mi zjp;Gwtk2NC^nKNKvD{XA;D7r&au2{Mr0BUBGVIC*M`jy#&V)#Vy8q66J8{O~yEqw| zN|rCPHKj))lyiM8rbbKLyqDOYdig!&yMd|c;TRf!T&SK;krEl{4*qzd?c{&YA&~Q^ zd&^JwLtgcPxdt+Xi{@1~Ow1%94N=%V_E<<$;?=k-do}LcGe2QJB;hI$D^Vw#`pPqlkA3z>BQ_Qm6-GO9(eTRuH7dJt)!xdzhCGX0!vJvDYW z9NUXqbe|dD6W)U_Epd|?BSU}5X0h+_Zc8K%4Cs@An5jz(a?xA|y+eO>D+;>sptB{* zBxgA97l+0I>65*&eW$Y{B7)#|@xAnC46<)L8jkJGT+{EslPpX9WV33u}O2po@fN8;>-fh$3y{#1A;y-o%x$~!w zKv;4S7rut})v;BX?kBhQ2$XdL49$DpPISwD##%y(m}$pXX9djSy&Xhl6le~K7XRtX zCof*Tz{@PqR5k0TaL7d5cF$Bo#~x$-WH8oL8`D09O2eAht-5~`Bp2m)aBSiDF;QG~ zImxB@@k4_HMu`b&lwEhMeQ{7`>pZN5BDTT5C9d&M+I+s8>C+$X?n~yNeEM-Y-PNRm z*?Oa&ftsBDauICJ-_CEGkwG?m%~0u)kky8tn`?>^Q8hBry|{6iWOU9{%bjvozkT`m zco3K7w=b^_?!bSUC%LsBCa>2_-tr&VP52#kmq8+?3E#ZhZJ|&^UGecgJX))dZCnYn z2Yy5cZ7*o>H@8+a?Fkh{jHx?hQMt0y-=aVzDkrVy4yK!+W4d zh_?FA9?g3QC`8?Eb0=w-r&;|A^9#$RbgO^Pxtn}h{lYn#SN~*n{TNKnH5vL`R<3YTOy`6e=@Mzz!KuK z5wg9?64qnrj=hkgg=2YMH6bv$d3@2cCZ;>?M;m`batSz%b`?NRgK_ z8n`Fi)Gvf+V2{|1A{ouCA33w6cY@6JHSt${gy8cl*XJaR2FmRXM&sjU}q;6{Jg znu_zTJ`%&0{J@pDa~4Z}A8-b{;+a7j)!#I_tjjHNk3G_y+?n1bT^01 zRiB?RTOqdUJz6_KePpb=nRvWtcm>Ej4M>+j8j%9Sa2#@~`7N-yH%1P@fbI6_ zhKfnpZogQSYLvrvd-b~iJ~>#`${-ToZQHb*CHS-dS^7V|eg5jT%MSo9sVN&_EUx_M z?8_M;OQA@|x;*fuw>B=wPTr_a#uKb~k{yGul%5ScWtPy-fC=sMPzk|0- zq;l0Z(;Oe1KmI#54GikX@6Yk2C4BTNe^g5U^HI3`6DXzsryp814xLP7gpZemB9)%r z?U+_TQfYTpW-?Mvk`dK2%cBTK3e~$!5=c0b7V8}^q`UL?Ta(9e;emf$E1fbQ_1AQ% zbc)+?IG-++uCg4BC|RV^{dEf`VyaYnX{zLaZxTQ#(mM*rn3$ku4Dt zrd*(*dx9)C=(_0T=?#BA-I2f6usXh^ZehJ~ zu$K>Oos%0Qr{ypYFs&`>7eiX-{PBZm8AJojc}<-0DM0y(t~GyIj=;oMd-6`0TIY*` zonr~~y$GapF{_O9NZS4xE1^JZ9X{NQs(xNAn7fiX)X&KVm4={k@mIYd1|(x8hT+^? zO$0qSM9@{s6(7?z(Cfh=%Q9jR^q{k<#4ITaxLRMD zaZ|uj>1bVc2%iZYC3%!;loSL;mlZ}9>JF)NTyxAM?>Co9n*sxkA_`y;Tc75kfPjLj zhT0m0$v;#T=|k5%r+Q{9ti8AYiQg)Z+D#7?7z`3dcbk9Ur^@FsyVukZ^D1oeAMTAX z%bA+xSX~I|7o=&H`wNT51Srk2CeyH@u&p`#&JdnV-|Y2HDXe6kXb!67S{sSdwAO55 zcD~IkLAkBg2BN9EE{g9EJNJjx>6IiYL=ig|sj}|lzPNw)mtb!&`A-pbeX}}rmP?U3 zpJdi(_;!D(vq!?j1&=Ou-f9gAM@gMMGK%wTS$Me#7s)oMvv1qfq5>*oy(2S8@w2@s zog8VKlrpNq5x)^%4pQnIu)aMh{D?SHrA4e}vFK7K%R>iY%5o#&UDiiIef+MjkAaE?IxAP32Qc$Fl%!438o#a0s1S!nFUE zA#0A0I?r@sh?m#)Q=(z3-r{n>9?v?A6{`I%q0bPAWB3ptmiTzdJb@wga~ zvWS@zmeh4fJ;-&iShsN@VUsM6obCS|oWPYcd8iUX5EP+VK8zTubE5hL)AZHTTzc`F zx0Zj{Dx(*_WnLWv4#_cbS;y1Ca&6yEA&0J7HVo^B z2^j@NwXDg!`fWDRa#WDdqJS=19?=Zeb7`XGn)sMtMiMoNR|goz3ZyKx{ds6fwTN2V zZ$?h*9aAR`3hRr6$l5-^Yx$f-Ds=UU=i@f4yT)_v(5afhDbp_B-GW`tcG$Sq3+3Y zo0k$P)UC^`n3ThfnQGA|N5pK*;@ABSkX+a;P@=*Uv>^R%yV0*ffD+pljqR!I&eyZq z-2f>+d$ z$ROjEzX+wB#V(CWLE8+mcTIFpi$Q2-*9OA0d`4`OuJpW7@x$WT^bwyZ8B-)XyE8o} zXNY9uQmeEw7Ljajs-}tvI3#-2tAz~@E?whl$}8NEGc>Nv1=$l4Mj!2Wv3q~QB9XrZ zata4iSth^V00YI_tw{Rw8YnE%OepQm`DtKXmx$S%$1W{;BhRxN;J_oj38}xo4Se;Q zQlwgSEF`yqk5Ht>_syv#5C(9OINZTvKEID0&*|DqM6(O*u{rve! z5hSW?OfEQ*G0>-KZ{L3mJZ{N8v%qn4tZlfl{OT@BKbes~Rq6=WCBFE{dTba{NO29A zd}<2?Y=Xzbkg5n|AlP+K<+rjfWr!H{nz=GM#f&`|hRH}32ZF~sdc8cvbW(~uAE%ak zmysp!hrly5B3I}D)y4;_>QD(k9;Jy{$kOE|@G7i27STm~=i7h!S_M3dK&RgiwsUk2 zU7uN>e#xi9bNPM&RmkC+u{|w~e1uf5eq^s-OcUbe&CU7AVBF3>r0YsZ!|mMq%;Yd! zDsDGBsr5%>=R^n3?;|yN3*q>@eX82d=$=!lWL4V@*L247thiv=7Ji4>#C81vYbrTS zT<5JSS>vJyEChcWa$1CTiQQ#tO#z1~v71XNJCQo@#w-sHGjg-O4F)F+Rmf>rs%{0h8-G)(ipK;u7osMk_$ZOv z*k*5qf+linm<$e7&_r%V|A}QGEOJ}B@HR@BA~)aT1z&#_k=t3p<}o$TCag2bPk|7* z?e9{;4o9=C5z%ut=F_Be2QHlL6SQ1wkH+#XONZ+d5s{I)O zlB7QDz!JA9Mr6%+2M%m7++w8KEU6kdKseyx1(R`!Llv-P`2=Sum;$!r**r7yRQV9Z zRUVfk-|c@k7?`@qlS1HgXyw?JjIL748JIZXFcE2gkN!&mN@Z5qxsb<4sLc9WjVcLk zPOYygE*|;8Z-9i{;#`gu-cD)FE|yW@ZTI1$MHyY})q37FEt_m|ODroYq?)iU!Vm>7A8a8d_ND%6+(Jk*HA)9(@ zD5N+>!NlI<8=50nMO|^H8+{4{FkgMt*~+75sZ9Hw_6Vq|ti{dtDJP~XYiHNAg$!rE z2@19@E?KfNZ-eP}ORxZ`z-lO6D`!z)Wt%NEJhTF9D0Qoqhf-km9qy2sn6LuNWKOA+ zAryaD<(_!M1?jBZJ*K9SA(_`fNu{MzgyJ;za{`l?nJC(}lt?F-(+C||-HW!*^9?%Ecxyqg5K@u4Gx=X zs=J_fkV9ctwCe*>#weNg08c-@jb1s=`yPKmuNa~`PG!(pMaZUfkwf81IQisYNc|jA zO=T8(#Y-v7j9-7*G0~d6dF%QmI33Cga_}_%13MZ%LSZ$y-W)GLD6G~;Vrpb`US}jb zu|bL8b^4aC>cv)G$0yxy1f7Qx(O90#$V1VYr}{ZekyS)y+%y*{vWl5s-l8^*#Fc;O zTh0#UvWcux+k2yJ(Ipo?7yXQSmVE98Cwn`D5D68fDb*ndYTN=5#+@)kbjd|*Um;9e zZSGcQD`72FUsZ1gQlfPY)C{EQ;E0mOlA&@rgZA3$&b6RNUI*vRv`CpUsl?T=0v=r^ zRl7KN%zUDO8rarpZ@9 z#sXTIjQMWWBRZ4izdx3#ppr?!V-r_AI+>JKH(JM|lS$hP^?D8tlKu29wvm5^mG_8P zsF&@^{6-#?dg;>i*Epz`^~~@>bkK?RByW`uu`A~*7m)&{tV)xc(!xdYB;#&Z@j^N! z;@??NBCzrp^M)?7m`YjXSL$k{6#PFesL6*m!+TmApN$weSum5Yb+W9u>C{1_KtRGD= zwHiHB&7&bNr**xgiHM54oM^_zY{CXuB6eIF!2E;$tp$ z41a8$Z!>fL?9ZfsY(s=mkAoE(J`A{AW+bZ&oN8N$rs3gzP^#|!D@4JavR+yxvKHKJ zi)k^o^7brpXh?qq)vzhmhEXL{k?L3c4hi+($B#gYuV#ZOwQn>wH;a(f<>GL7ocJT+ zbd?tTzEq60Yd^XB=;539l7HVmKhpMZ?^ki8u^h_p;D@w8!TGJ<`Yqy|{^Y-fp@qZb#x zBp!9|?t{CJ!Kg7}ltVVNj~==_dhGn*o-;TMt8pWMp8JpS9^^Xq@1UxBxD_A0YpXrx zlD7y!p6yre+=jP!%s#3}RfgS%ictazDtbnf5%{EN>X-}~&7dsyE9^!kDv0_Gt< zp|#t&fA4?MBd|BBn~Oz{3*!YTUsR|1MdIT$Dcd`%ZodIjemapm+#&NNjtgD*yrS9Z+i#$ zcZ~fhUYm;Z~04?YFmp*!TrAKR_GYrT#AeyMw066>(u7!YGQOe980 z-^acI@Mx%65YjFc_Nxz_?|cl-TaQkN1hD%bJKy;NXjV>kh{MmiB(UW`A}CmE_$er; z-Uxr?;J!`jANu_M{dp=t zUfIvFl^Ph7UWB*w|AO+h?%yGZmbkrLg?*8I09Lmnh6&^XTUB>KN8*B+=eT{3K6Q3} z;DUWT&^PFo!nM8Z?k$j;J)>rhwOT(-?+{U*1pICP%K0 zZ%Dj?_?V5!^%|mlvptZ`f9niFbS3_T!2Ldczj0dACu-<|0Alaod3g5|tl@7C@15h& zzBzd#z{&FR|4Cb5cRs!Mv(K<+v9v3gq_%ADcK^;}>=j)t`%~n_`uLIaox7lRq^*ie z`?U8TIzI;cwM~UW^qRYQ(Ie8(rS(yqVwsrAp63>Bmc>}%`hCt&Jy zu}?(VBkZ>dBnOuiliSd}`wy@;t6J)BJ`2B`2L;Gun*U-GW^Sl7AGSCB^i%9|H>pPx#EzF)AC%8NfBXRKc_*1=_UGg=cD*7x z8g&vzh3r@0mLYe1{=1+44Y6ha+!^@kho^~J4BJ;961TC;BUK}^FW#GAZoa7$ucd|L z_6FjN?av&a6u%+(uH%AVw<>?jNWYA7nefwxyrP?l+sDLI>igvG?H93IMeug_v4aSh z3gTM`?H;+HZh-K>sslOo(RfV$9qeiZ2bJyMoTlu)RgHtMlKQdL@5#OY=XX8@n$7wy zVmre2nosUL02AewPJwOrcfJLteAGW9j}qc9fPnB658`npzTtJST~U8fAar~U;t(ZI z(3;aAlWpw#NPgP96YaOiFPq=)Q7Uj8!VexgKl;1R?plASHO~4=$-U8;*c+We{$_8V z+`h})1{)3aQ~1PLYk{9VUm>HdJ$$SG1z6P;28nH-`)8kn-qfgLV%H#l^Rv&Lv1i~h zi1C=wDrkQI+xQ+jgYbW;+8!C@UJ_@m^>e0HdFtejt>Mn6Kl|*V^+%bbbFReIcE0y> z?36qT(K^;3{(amuYunUx#nt+Od~(-(h;0k4?cq_x_zL2gy@x%t*Fb%GSd7?u1D)@J zi$Ft$%&{J>gQkM!NC|DLBzFv8*7o(#i-!Am$nQ7MG<71hFXev^KxD&e5Yai|MZseb z7GVyNL!ab2INz(&!>rm~P;(5=aq@f$7S9sZGU{fF*Bg6&vpWj%wql?QY_5lA4*xy&_g|ubjd>6mpb63kJ z#4d}kvtQi1`v|D}CPE$iE`GjO&z1IZXr;mZFFpl6c^gFv@BA$hxHECZ zov(p#vo29$T}}@kgNnGQ1u<<8As;^Dgm)j|Mj?)F@>74`2lG|>RjIX&@~&&Y64S07 zdCiL^dfSAQx)|HFe(~_$V`pO9>M6^ckkH9U6A#8hGOkHuGg0?-Cbz%xpcYFeNtJG~W$Laat^GA;< zTTx_ysYyt!^gsO=G{hf}N)3F@|3Fp)j&WH}NsFc8@^mHB9QgJThYnuY zfGhO`If{?kHr)F;*lViK=fkA~dC!Sgt!zeUc^?tYlyv1IsnhfC-~9yp&*jO>cZ3Ia z@FQE_NdM9M!+dEzpZN+}3c-I}mcajatIm%p1pf?D2>ys|xj6dz*|uK7AA)@Y7m`+; z-*VqV#S@%=O=_kbE)PPR+MZkxDuUApMq2Wdp~>ZTr_EH#$T5#$wb@bSdkGj;o2t0E zU8J!)v3E9~C=1D%3Ltg8^CJp7Ve~eXh#;d)sekYYiChzN>xxHHxGWBvqsDAshN77i zm9OMy=F0HG9ZzO>i(SdDUcP(t_bxBL{qDJ|i!11V*@+uepn36|AgCnnm;*a-G`spi zcw{2sz-h^tTp>E>*F-o#Zl`~|5O&p+SFQ{Q(5@QKt;$h>JujeWJ!^-^!=id@ymh

    E)uq>iW9v$UE(m&prF?DfQ08m&*_JQtq#@8j#)>qMXT-&a)B z;+XgE5k1~4LvlgQdl3mNR+1Y)lart)R_vR(p=%eb_xgqMP^!GUU!^SqJ}{ z){ZvBOh_ige6=h>I&)0>MdvJM^^kx5SmgV~y~@tQSw;0#({XgortAzk4x&#nE&dsDra|S;p-f z%LX!thYQ=L{(`bqSs~%nWMdq1&3^!rrj0Q|nv@5-Uj4n3u@cC%vwacPi8L!)@TAU2m`l=IWDGM;XYHnju*i^W7-yY`B^)1+=_`OuJ_#OM z3Z%(r({tO8Lz})3k&uZLZQcTVC!Q-#u$#x{*gcAaa`PGZ6I}M&DV!uT0dHknnORoT^uQYr+bV>f@a5A zw1c;RrD9^9#beaf78k}td5mJm11}jvSuR_(%SslHQMT7*Aknga{T%2rk}Ieuu)}YZ zXYfd1=vT>0(H0V)rzD4BKgB~NUt{MhNM6mrs%45i;h@GhT!J(lxvl#(@=$&vgSIh~ zm}BwfE8rP2xvQ`h`2|_I18grARpW-LrJ?Wr5@iss;Vt2Il_-r z*&k5Jg@&NVPLGd&vWz#^omOw~nM2H1dsJr}x^ZdJ?V!?$=~5c&>RCW#WsH4Dt-Lvca#JJ4iFaHuG#Q6MQ zj>hB3t8d?7r;S(bWqLh)QSOk4K&C@GNNP*{<9C|4qkOo_qi?jpL8scAelo@JWvbx;;- ziILH!bx)-Zgwd@@y4}1h)U@t%(~gQuu`Kmx<)~PHrgiI0?PNX^&9an})O{{Mja>f_ zWNZ%ngrdy4^7K6sJ0@_i^sjozs0OAcUtNllX8WQn2VrQ6864b@q0Y`5z~nXf zg>5Wge*wcmh|Pq!_9)z3|>L$;+}kKrUK)3(%VI`2h}2Du3a z2dcVR+<~`2Uq;UkwUBh!mg+`&G8uak>1r5ViiRs3F zB+uI3S}wwvR69JQvXN$85!zlpi!rI!ST3X+lSVguNp&^W1}A%rZHw4UN>dHBCYEjS z8dzLRUYD?rcJ3J;RZFR(oz1Q7!4gh#12y(vZpk9Hm`ywdR?lTyS; znOTG|9+mqAmXo?zvc_5 zBJ<@0cunjlN3(15e57A#Dr0S!NB1kK;u@RzY<{KsOFTZ6BnR6PJpwuOf|q=M;QZoF z=HYyBW4D)?#jkXBwQ_9Fzj64L4x%$Q#1LVa+uJp+p!t<@;>VC!<~7i{A8k@VekJWd zR<$+O{w3Bif}-@=9_HA-x~=jQ3O<6nkHFQ;WfPz2TuRMv++_@S9-AJ#<27a9Dqd``?11 z^$!PB9))rWJ2V?$nZ@U?kfgv^Wa3>WHs2W^Fi6FA&6dtc83T9hR977{0kZ(#&2s@0 zsOnq@%ap?XyJ=-9T*T;3_2VOnL>=_x_3M|v21~x_egdeY@Nrwpms^E@Kz%9EO%g}l zKCw}~Dkgn7*4kyneENIVa-zbctOvFtSLRHt*ks`8y0t?Q-gsa;M?{19f3rSnGaiAV zPSEq@cG8Q_wIJlN5plO?tFyLV<$~XI7jyU`*-h6JLqiMkKPXvNH_S*SNu3j z@<+?uKZ<4UkJ#3lgA3Px)TO71V1_$=wQN#MG0t74*80eh^!ChgLZ^&DZ*SMDv=Ror z?Y&%60Z%dy&+X_Dp$PA}{orou9=06S9w&zwZf$|@w1RHHE6MPiSF#Y@aRsU^tB@cL$!P8@F&9 z4&)BZ=}P|==EQv`{f&&WmOSJ)+FC;#ZL_sFZSL$yrcIhW+nWs+&}r|@xj2)OMtggj zF6NbNwD-BQHpPUO z^g4{;|6&se`Q0Zc7ZX6GBik|SI|wDu77 z(uq)n_u_iwkP=0B52OWJZ2ry0tm1PigW+DO-CE;NU7cQk^SRc1S#n1KE#+aIGByr8 zr%Ii{z$-{5+ub)WlqGV$ceege#w5AV%5zuY$oZ_?^lc@YP&O0f`0S`TFeWzr%8k^;|zxlC_s?!`o&m<-voEk3-L!IoZt z`Emi-tlk2D4OkT?fLZRNp7LlX+aiAb%CV5&yatxFnQ$4kcTY@&pxjQrl zJlW4u$74|4UKK%JB32u2K-=c5l8yY%-Y%SH%(DzU4Ae8`oRK^7hDXL6v;Is^J>18M zM+Wb`=@ImhNqZOUuf-{uw0FkVWs8tOdzX3lx+{NwnD#Dg*sA3+$65LrEk+SzoaMP{ zc9G9Qa1T$N9P#PIwI$U!&SP-YS@jc9%mJ36MuQg%6mSc)s=e1(Cg$7#s?zvcVjviN z)DbcbS5O_Mk3r5Dx&|W3OU8*Vg789zZw34(X30Ohv9rQ>7D+F9Q^1eLd*wNPavXh_HP_V{Wf%La5EE$6fZ6ia@HO%C*)nQ=m}$uZlRQ^?_e zXSYCm;&`x>Mi_^7byi8yLF3&u7A1u+t|~bW7SRdg*@nV(Cj?>aT`@4>1QEt7QT`=j zMoXTMl{X+k5yoBX`vnBPPpO+;V@tlqII<^&iDXsvxCVKaT?0$o-E%D4*9V|A=R$+L zQrAJ_p*EJsAc=iDiel;5Hn!eZ6O$Hy4-mIigQt(>#%H%MADdqIK z3Jr3GWq$C!K?s35zK5nR87oaZ5OR`W^M1w8AI5T631CA`hzH((Q3>E8{pi0&k8>Dk z3T)@tso^n?=wb&0WgITD5-`I-XS_zZ-ZJ1l=RG_He@vww45smsana4<8j6(gM z45yFLW?1e`tM?JqZ%s|<3?EJXx_Pbd5M_X4|9I}|`YqmNr@C+SN+_)Gx?52gAHfQb zw`UlHbXHj1?BAh)=VpXdXd1+9MDeoO=)w8Thiaq`yOUyY3Wwa8k#H`5fxim&EI$7p z`znjcSDPXVjqG!%szV;`x4~FMpk9HXk&RV3+cGqboY{V&h=?%~Gs`FUKhU`26yKs{C6hZ|SoR5af`Di@!)z0m#vPk{ zEUjXQJJttZn4J)0aajLCwuEga=Dm1&9$V1**k3Cpx*@ zp5uSzL?xGXRe_BnCb?X?*msQV?r(yj=HVpPRN@uOem|OA4mr8pM+&8{fjrOr6)Bor z4ltGNN>SwU_P%c$k4Y|fCbcAs5ajZ5r@e*96BZ4A0M8IqNEpBwzh4Q zbaG=-5mC}Qo^Y%VbbV{znD=KVyaeb3;)~&pcXZ17DtdaA#ar?rxX@TaScaiq1E*$n zmeetr=j0;mHDfT3N61EpZ7|Qt)btgKr>^yKJLWTaYLl*iHJT6e)as$MY%au88+Czm zxNF%q)F(CZOm3g)ld(Rpl_E%Nb&{n*W^cnBY<2Ib@vrG)eFj@SD`bdSJ{f(+5Q&w@ zuAcUqCn_Eo*m**7&im7D(?t5aZL*LRhO;_H`Z7KHxJbHt{-QKoNT<6O{6-SEOuE}G z!+%+ZWU`BYm$TFPbS67?xbs}X#$;!%HXie>^qO~5`aX|dgOg8peZ%Ou0Ak+e549$w zH|-YKu07Zi*cuV*C3^kz1K_t=6)9vg+`ffNF&v1)TPZQ_%3y}OzTCYEIjj5{$iJMp zl0ppkbXZoqgu!SRXkrc|$eBqAYm3tgCa*n_8>N@f~k=j z?njW<_gT3(`^CFNam&t#LFm*WPP(+odC&5 zri2@Rixdn-GG#w`n8`?H#b0{hav}#K>D%5WKoOGNYf zHFCLYey&Rl5taQTk+~u|QCSeyTkga{R2p;g{a75iDW>I60$|0jomC5tJISz9dbfVM zlWV)q*trC>L#4ee{m)IXGCmj0g;~qC1ydG(m&scCwvEqmsjOwTTf&8y&RRCkbo&bE zGfgjd(nI95fIOpj9&vNYkUhyp*J&%>uAq2M@1v`4@mIaVA!J+-?Be?F8zOc%a(zEgMrA<9 z)5}g3jv(!X!y-94NPBtxjODA|0ILyo$%KCSh0D{|@35K9Ckc^D@L)OF`>nsPIUG&SeuxQ_w~{zPw`#J<>|{` zf?8wa-_Z%t)WY^?Bq3VYlCF`U2vN(ZcY*{(i0(FLgbJ;M=(gpsU%?7fqpMkioizH&Bvi4X5*k;nhW~-Yljgm5P6RA0s?SA0 zzJ$V}<{6??I3)nHsK({&{{WGwn;uVDNDs4L=PE)U)ECeE1hFjotf6j}R2)H*JlP7@Q;OQ%T z{khm&sGwrTP%T1ntfnQ4ry~K_|C*Znbe3baHUg*evuc;mZeli*wJZdYl9#E+_ueZ@>BqKck+3 zh0BwF!^T8=ZmegqP>wf1xLfcf5){1#+`xA|3!qzcAC6?^6t%Z9FTd79e!VfrERxrrJb0r_YX_tvJuk*rK{mY z+3?M)7sNNs_Ss*5l-kCUkLNTb;OrQMmsSTPe~n+XZyf~z{=VPNmy~=C*NVKA|*F$6KPWV04%MSogyPJv0`FxtIPrUJ4lepaP5hV&SxiXnB!Pf zdAC5`MdA^1=eYrnXA^^zC_XzfqlXMiqVm}#ewk)AKD#7;W}U@OU6*OvLgIoSfFjSU z3IbiETxPs%jN9}$hIsC({WgC-lII>QOY@e(Ja^f_shhPVaea=TI_2FKKOu_v&aXJy z6~n~$n$Gi-4-wzfQ9V5(i1;2oQ!mK=><`$9@9f#b{{_K&PhBMSAagu#f!KxQO)ic1 zUd^xHbVBlf-uu0E0diY`{OtLc@4hB>WBk&o4S!4LNPp8Bu z>I-i{jCl6RNHbHU?spg#PrUt$r&t+)^;a%$zO)|2Ii+TIClpy;+#WeBuswlT=Lc#H zsoo05=pjNW8&!cE26PP!FCItp*+_D&#y=ZbuU!X!Gxcq=#K2dg26*!3E%wg%gN&6% zPrmszh>265i5MLE!cu=FA_;QDtL{n!ap;rAt`i1+M^-&chMYO%$eIs9@T^A(g+t%# z>>9*(hxZ)!(%yeW8*3gR==IdpRu3NHJii7uwdI`*UW=p|VrQG&h!O_B6mMN$eEs!v zR~G_*vUPa{c6#F%gmhZo%O|;34%6~M2mUouCM}MoK#ga1De_Q% zyA7&4Jfa9S0eJsW03WE#F)UXs*7^wCO_gHAy>mV*57Hi;tV}-$7 z+(`_VrZ9+T&^O5_GJ=C`?)MCBJ>~>bWbi;dNeBszkOf`2{Ze#}CH! zS-;mznSw`jUl-Bi+l~)>+s1!?J+~#&PlD1fByMap$RXU|D_S!Z)a_l5O8p!RS_zTT z6b^AQIfH~O+0GWfc=Htgb9>QUIYX$}b$w34hWWbn7L=HxRh8k*8ZcUZ z?msJJiYeO5g0TvmMNHA<=37Ou!89>NiBIPr;g^|K^bo~=Rdj`fuCv%!Jk1wUbrz*Z zo(DLGLDO0I&&|9=%Pf36q3#e7tiu=?Tirkkn687` zi<4mvN{7*FF`GCHy~X}$R;2>gTb#}n_cOCeE$YlODP3=2x@c*CW<;E0UnP8JHd<`e zTWrlW?Mcuh0H!TF=MuV}qH9Yx$U#)TH$e6N#v1EDfVHK8Ll*ky8Zha*rzDQl(wru5 zjT1v^VF|5tQ&^=IjjI(`LWI=96qGwa?C|(jibH;Ts;x#5Q+rXY_s~jV?L|>@c`2;D z7!T;p;Zp*Y276b3&0M?qqP%i_Pl*s;lx0oiix8s%;@z*x#B}w=f^Nv0(4Txu+2w3S zn$^N9JMI@_o4YU-VWWkxM z+N!t=X6CAYYR?oQKq@@KeQPT@h{*-Uy#$8BBP*wUUI8gQDr18D#UBxDxzzi2q)L0x z<(GtMcmF+`%7gZk_ec0r9iQ?0KPIXEsM+`d&BhJ5`pG!erSecn7s6ERiWktn5WBAQ!&2RAuXrNME&)=f%R8no316gttB z240IbU1Amk@$z|mB!^0$U&ic&D(&=nWaF+`fTYhWYm$lu5EFj2)R^hSB)gA;Gm|7J zO50GD(<7%-)tgtwFo~64j!)OAlvE~pKT)IOQV7-B!UHcQ%!hWgwN!JMe5lr~7~6!c zoa0J=-9{ZT@W&oL_$5Ul*fLsmDq!XPObvQ3A)VSQHDpaN=MaYnwv938fvl!P>BV;T z#%uI+P{w3$4&!>;ln{+lcf2!XPfZ=rPBkiE+g#z9c9}LkMwQf}k!QlR0YBv~v2Jej7*79tV0>}#DJ0jK5_04tcoky1{ zbEOm{IxjNTBtZDE(tS$TWDJaXe9crUh0xZ~qJRP?2X10o?uwtT{PY3@R>-}35RwOPhb}>(i2G7Ely*7CcT&!Au zmmh!^XAHC}vF6}^h2bnsuWzZ42`JUI4eaqLpiS}6>7)|IVJ3CKUV`5oY8mR7@|I8l zjLD(gc{m}p-qd2|GO>WmAg@b$Lh5pju|sBUq&>HE3W1BeIFngROu~x7JCasqeDpL!R76;`}@pzQh>?vcEaSIcFqnl!6 z8JF8TzNGkwIR2!uA4-4QkvRVJmCLK=uio?i&^|+o{0_Ikp5*6R3qvV z_HxCj8Zl&ddWlb|5qEu#QefSGY?)?uN=dH~Q}ty>G8WxzLga-%Lr_AhjRpd|lUZyh zH$nWC%BX;~us$2{NxVN}Xkp9FXS1LQKAR_vYxoFIs6y?UOBd4%)E1S#i3dwz-IHUJ zks_5_V5T~tn@<6;6WnKp);FVB9@9gq;SWBPp!yT#{-W= z_KJM->@W&F(x+vrsauE+7`OorqauC*RqKT<8UqXZ`619G#)SzHQ+qn6W+h17YHEGw zE>gF8HP_n9VNC7uuC5S&(kuVb9`l6Y|7GtzgB-iEJ5RxTphZcuZz;9ZYWdz)s|;Di z&}drG?9uL0(F$o>nvr&9v^B*t>QYy+sI20Ut%!+0A`Q}epNVuxpGfb$H%RXt(gNwd z0g#Dw*k@qgefK^NLmI_|BKUzHSO5}u?%w}7|KB+W1*z_(@7s`nkZR{%GmS8$L8`U$ zrTgx#J&S8i!8{03?d(7A1|Zd_#za2}8*UnLdJB696jR!6so!O3(lZ~@vc^o@;s<{= z5m_Ms@Y4RM&Izc5nsOIM^I{SR=M;sP7AFNMzw@iof8!mYPOWgRIL5y`v6oJt9$D9G z#83|(U3h_00^p~ARkbxX9uhyD={eeEgj``Gr8%^)HXv!_(2 z@P?j=Z-8uR+@)!W%)PtDzz`CfWW2B$L+KGA?jWW#W~Kdi+~HkflD|=oXxo##a9OB; zpiuw$*d;k@xK|c`RwZG>JS#&|7NNF1(@EC}ENy$1hg+wA;I=)c{=#ViirqX(%hfYW z4)AZS?mb#iz=Wng$$TP%2+ium*gn;lqnI@bQxhT>#hgzq$W=jeX$s>bWYp~lk(jOL zX;LO;8IflQgE7mF{oxoH6c~p%Ob!-M@O^AFT$`z4Vz$Bho!HTW+5N%2iWLIi$;ZFBPWIm_LT@Wa?CGlMgau%+bu~|EHfhT zUAer#AxE;2;}+`)AH_zV>s#x{t;6SYifz7ZukG=UfYC;Fw2>PG3W!YVa{gC$ej%+U zIi)XgM3k z7`S#jjO_Av58IBPiR7a&#_);R201fNQk0)&V8a-915>)l54hGVq8bYe3#geFBiY7+ z_~u&VB(C=`bD1enMNhoM97d>REK^~!j`?6preP~CpX}}hA&WIP?}4eB-SoI?E|97* zcLn-?gQ*&eVE}*6m$d2$JWMk45XhprWEq|XK`re|*5f@916dp`P6^;4k;R?hsYrS@ z=xRGYnZx7~t%u!In+ryYD12hAo)3VDmCOETd?;yj(UUVxX4`z8;-@ubudaoUViCi~ zZo3$hUQ&YdPgo|sTxAx=v9gEx>O%{1F}jO?Mb~w;zzurlu2SMTFkCp9n%64;(p?jE zt({V)Aw_L}{%W%hS@iM{8{a(1QhJkQeZ{8+V)tMYgprG_e~iWi>pO33A|?sASehHi z$hCFk8{_ye30Sa`)5&3`;36ip6Dm{+u4XJWiG#rUhWE-0N(R>F2q-u4L98#JRJSC5 zX5{OVFNf7)X1?y~Y(H8C!+I$tNx2FXtf#9@sQ^3ltY~&SRjg!QSb{as-IRH6(wMJe z#qTEf2lHeM{I2SB?@WZwAnr`=oXR}-o!?|(u@c7bV#}fyh2At{#KeLP$$&nu_G6eZHYVNfnSH*`|PwK5!OiLV(R9Ejx z=y+R+dAo#uvR!!F=ETi3Qbat&jOVs4WU=T2%yM+OA_3CU6;A(pXe>*5tbR!V=c*g* z+7{%5mht}RTp?;gOGka3gpu5TzDjJbK%TyPSbkv-E`}v!-urlW<}p3(o!M2t1dekh z#$T2XQsp`@BUYUrXn?1-Xe+z61q?`Rz0`3gg;VDp#U~RYAa%Ywwpbu!Kw`N`VR|J1 ziLI80t?>{@*kWs@nq`>AdziC%K1Tv)<)h0phFHY?eN0`^q7@@j_PGmx!Noi#_q9;# zpUt6jUo8_A+bX!fPO+uO0X0;ncC4#X!ZSJrfJs#)|R$CeWx!1pGJLoovApd43rY45x z@^5N(j<&=I|7Pg6=}_+G3u)|DPSqcP=+?yT>=D^E!Gms@`wD-5p62w-B#t!8JWkJ2 zeDexw_DicSds>Phw@Rlc6J$(s>-Zuij%;ZB@n_FH({qVPC246hf{XBse4CH7a+hax zeGu17hyVnnRTcG1#3n{(v`%(>f1NlDAfgphaP)gf2y5taEkeqKup-T7HHQtt8h1`# zyCJOXzR+M58p1k%>?sW=!)bTk3v28O2q17fuitfaw)7)3m6bSKc&dQ;tGdkmJSkw1 zt##PvRUkv9X{gDRqB*IkvVA=t*O6Ju1?PKOh|qsq-E0a03^A_|D!t(C7`bW>$?RB9k#bdUqsU`e-yRdS{c<{Y0( zmD7!=wQOgXgo#RJWwqBrOqXt zYIHUdNddPvFdAw7Phetyx+vT#hZFm=oAYBHj;cO*YK_oQ=+t@2V$6SMCdU(vr+Pk= z0WVluC{jZCV14eE#+$m;56|Tb;nZzmQA!Hf?A+{s3|RDlQhAyctprSD7WLO=Ky}O& zwcWK!dTMSmVQZcXCg5(0^g|pl(UuXJ-Uuezc1I31V4`g_voMn=g>$F5^i8n}L_(`@ z`mBTlrLUT2+qIO-OLaEDclYO*U+8TB7sw1%j)v!my*Zxc^xZg8(>;Ci_NC`zM|#5; zBV}`cUQra!W9DYYdPCJ*RBk4BZ@xhc=3C0`>p>z$zQr0;8^vMfTXqKet7WcyNJwXT z3?H4{sND+Lk$MvsqshIk$ZEi%&bUiPi{Rpjm<$D+HOR@%^%c_M^@6AngBXm#Z|8c_ zrC%M8TB!*PlF}=@!Y@NWKx$w)trF4G^(cIQvqQ*;A+?c{o<6K+zV)#RdZf5seb&c? zBENCnaTo%S8aj%KBHVXxl-Hc?_(M1n%bf2HQXzt^js8-qw-+-)hzTFm@xWLhM59h{ z^HDLK$GIx6zSh(l>m-oAw(mH$FcJolRy!@SYkhOwL(sl&8tf(RbD`U z${Jm>q+rV6wu;R)!r)=5-J$5Me{_l6GW}kI+@&tNPgiDy03da`w50>}UvkOLvI+vE za<6U%iA*vq;C6?q-uZys^Q1PBRQmt?haddq4}SdUuYEp!XH(>9Md=ezHG=XbwN{DE)1`N`|gUOxR6zS4f{<7eM; z)jm9Z_Q|)u`|W$*yLW9A~75u<|$LBHn z*S$j?CG~`U`BA?6%@2QB%Kr~+r|ZLanKH|JTnGvFPgGXlO6jf4krd`V86xL{cE)ycL1+#J(?n!-lN#J2b5#nOUo^8#p>J2)Fq3tgK-W3KOo!n*^E zUvqUCXIo-)0maNPCU%H`d5q%huBHLXE(+ zBn)fP+Nd4N`=nsyy@kDUB87*q6QgDEKLwVF+tHCDA+Stbb&ZAcJ|HiDn)K@XQU!kg z-#qrgH(xST+WEfw(Fb36H%uVi<}=^@H4gc8wDvC&t^FGMPV>fU^EZZlA;?136$~75 zY2ll$JH#eIvXBL>7m@I=!#-;`g&hGbWbs1&5EujowbxD}gTT|4%^4wWAxs%Ghl2Go z^V)@WF*69<-Z`xnv9OSTzUJ9FmSKnSX$~_h3)wwsC}Q*lomehzk=P?Ax{>jX1rY+ zTxQmeL+21NV94s2ATtL|5#GW2BeH*s$wHcE>Q|U7WNP!4PJ)_$&u|aRp6mO4mH_)G zz*xvdcljJO)tmxlO3S^cMJmsBxIB|nEkVZKncK5HEL`6`%-Y;Zj==i`;Hhv0%_08D zL}x)}2hiHX(7yv>`Ljb4djrt4nTQg^KAo9t+CVn|jZG?WAjcmTjI4L^h+HfHQr5)& zjO5SNpY z4D*%o4M*z=dd8u;pnBHRA`fWv8JjnBhYh^EJunwTun9$1?hH+&a+$Xn^^!Vm{}*BI zGTj)r%Llm2^RTo&-*)z) z+j@57IL#t3{i2<}*+-PI*(R1Wo?7e2KlvD&DV+0V8HqSwa8V!u!OFU%dK(wjlCwT~ zLnn5pb$s&&$CU_va$xJyUkdS)2`lSkq?jar673!gNxg~^vvQR|*()X?8&^3xy4ogZ zrjE3s9SJOd-7@2jZcY`D$}O*%w~CQm<#|i2{5ud=IWXt23XwfA4;JJ75Ir%^&I1x8 z5OLWQs!x@}JuwG{XO{j4Ju%xetCIyVh*_7?Ff4(3Vj8ZO3fTrIhR;qlD3~Z_NpAZb zJV0?u*Vzc8m@OSfJ?VBoef0wW^?yfP&)V~ZD3&3Ag70HJ*DEcoLlv(r9ob~TA<-A^ z@fR;J>%MN37_!MHdhuWhgJqCMLvdE^9cvfVXmOuskDvYw4>P7`9WzQ#PS8+wVO7A9 z$<5Z)70aB(g=kv@l9PIXEpA;p$P}Qv^<`rBz%UQRcSg@urEw8_=crcSB>-}f-Iw|} zmKGF$cd;CG<|qfrch>2q29zkiQ|BL*?H#I^d-E}QQA0{2T9AwBd)Pw53EPOqtlai` zR8PyjmgZ4869#QMZd_%Z(Kxl@+m7U}?_srn>f{Y63Irb8lH<-K`9_`sG838L9$^-R>Tf}V{I=m;)F zH@h@N+=L6kJawPHEl^Hxc9}isw<$+8yR5QwH;BNXy~DSAgzV?$e)7rsT`aBK<|_t& z65W@H=~N30Pomp4*s<`X!ym0{ei5kQj|)|$t;jJ|?_-PAjdRT5k9FscPC1e&)$3D? z}Md?bgu`|;(vG-4X1`z)=eQX z?T1+IcwDm3-4mNwMYNT*F>FpTEi!101Za@Zgkx%gVu4*BtG5Iv^1j*fKjaL^a;TZ|M_atwYbhN;}l?$CI zekv4_yK8P!LsK&c*9^?5nQL3K1xgUf^$qKHs%ZD9>sj0j+4?`xMDA+fXorBFB0e|U zh#m{1Fu_Bli^_%nhyZkdeN&tE1k5&;6_J;#40ljt-YjHE2;Idh!WvAHuWlU<4CQO7 z?hHiNSzKqn#0=xny-EhPTXvC@N2*+cY{C*xE|xenhPUxHZ3w#4C@8jfGcrzvpmy`- z2YQja#Y3!eB&J$Lb2ImK2MHo{zsixGmRLR==$#xOhWbK4uccCd+l$=KA7UZ)QC|qk zq<;IzOjtG_$n0PG+Iyu;pm)1OA4vA-@HVX6bwvFY?6!vA?hh$Yi0_R$(w~b!d`Ej{ zsKh2T$)a#BVT8*tZbxhP`dHxKtyM>p*gad*UK}T;d%8C_O({wy{d?Q(Y8wsHzuU)E zffC3A-q7Dni5c{N@6>T?F$eaAn*)Mx6@U%W)qPB6lIZmB{-%{`7wVyZ^GBU_GN^Vh zn`A**V8Qs2l-{3=6q#f`>BSo|Po*<=ZAlT-@Z9l{bF0QRcm@@}eG3T^IV zA=8_OC~7$HY;Ak# zNONV*5(6OKjHvBL7G>PU^1Jtrk&PnfJI*2n5I`Is+0-flp@CytlT!f!#GBU96|eAe zZP}57M9)@#7$8oKOAX_>47{13o-Da1tAMZb`qINFIhrWmT8<6kGl}BR>9`CovU6mr zzXp%LSyM?u8+A)fq;WN8aMUF=+aHND0vqhg%(^WKRO}VWReh zxYC7ufs2@Eu&*wu5X3}qRobnH(o&DiUOEXF}pYPFZe$WM%q=OPg1s??(>9s*&m?nzvSnom}r>;wqe znokaBf_4-Lj5)0#QtR$D>C_ZgNs+xK2Xw*HB4)42z^3eakCgX<%xnI8vwS4UTwjtL zD`1j;%tbi~1u8a@c_6QA!OfbK_B6DRoBB`QJbQ^17Uh&GnBfw&DEN*$kHmo69NsxN zh_tKT$A%{JD~aC(HkVv6)%*#}Haku(!a>t|Qak1(9~rnQcK^=#un5GSr*+NnmPf5t zEmx~1Pw@!3+)q!P?2905rtzY_58WPe&Au3a&4sz=^^&lB0h4m9 zfOIdV;U7w6c(g(CsQ5zj&dm?p-aGxD-yP&j3;EzX>Aw3kPx{>tza#zmA3wu)7N2K7 zAflZg6Ojs=Y5h-k>EHkGzEu7ra^s`Y3t!!bR{R<8z=)m8k9X)^y_?U@;N@RP=;o9;*7l`@@4^rO} zfB6+wQ`>q#r;*aL>^6|ZF7NU86|?y5bK7xKEPi`+zB86Z7T(9QM^+>Gpx<7SzG)T$ zUA{@beQas}AITIOel}l!M*iqeo?!je1`0K#9Sd=;148=x$s~g3^bwzX`TCK6&!gwh zv6Q&05k6?ZPmW(WD0bHMhhAZ^RfEN1l>hiXW;iJR9${|~=M<~o&HFQ^Kfh@;r!XdT zmK}s>RZM^WY)*H=oY2{^5oKd-R+O>5u_tp6QXv<0qV664wafcOS3IV3zq1*-Cu2DG z*4l<6WQ2APOEH@YMPzr!*PnfV42-44&)h34p{DGLOhpriP2K&4hJ{f4UK64`_lxtAcn->QH(aRWk%Kn}B8noER6iD)&)&6D@q5H~KKtY;Wf}TlXI1NQ zEb8?EHb2`xjC>N`!=?-wEfV0mxF3ju{em21Ddl@ui#5N18FS$0Xdz2G$pO6f_^tVE zXao$Ei)!EOa*}O8-Qoj(S0bngERwdB>8= zrS4o)B?3Hp%jzvP9Uk)N10ypJRG>$nmS)>kF;+?+ti48G$KuG()-C9Tpd)W>7)>J^ zCE*Y3zjbXcF8;(6HBpV6pLsAnX5zTb`btxF1Rr762js=3ihw(R;}w>FY#-%827Z3d zw4apCino?*UXq%R2rZGt;e_UhECzc1s_xoUl1CBq1Z2ZEV!FCagjel9X zbioCl3o2EIA;VVL>_IQPD1ZdSPUibT0N&H+6D<9xDe>GbS*)o)umArS6 zOWqmKBy{qaL&CBL5wkCgcbx&qX8iZC>5BY40ns##+#s2&f)93;6Wxuh@qU2aHcX6h zP&~lyWvG>$5JNn-FUXJ$-xH{GU&8uzjTpfSXzg9yTsAVG%Fvk2CypB5N%7yddluOk>bNhZiBAqDK`eyCGq!$qJ3wYCh|T*We3uUK zZz}Sl2EK;k2q|J2_{j@wsLRhtDql*@lK)Kn#g?s-Y7QHnFsa>ZmopwxQbaUeb~P!O zsDXN5j8`9GN4YZ-f8>@!KDRGEacL#&Or6~= zN8kv4OPd)2CXO(h7F5Y)!x38jy8@A`<2`I8t)8m1Vd4l?3*-4xCXP@!+;b>r!4Zzb ztr?_<$nm5a+;~( zZqzrQ62i+FHft4v&8@=Hx1?W&B4in^qKP$(i6X?N&woM|G}7Y&w>+)rJ^W8-Ou=^~ z$R=iB3R7`G`DjdGxy?#;Ky*U~8Lfj65+-yoxK|a1e}WF;J90-k0Ccc)Ts96jzFObj zos%Q>RM$B#v~^F5Q1C&nV}u$-<7EvtLyOLlA;BH2x_6N3rbg~VPjM-RKaw{~>4O|L z0AY0Pe9fD3@}b`clNpwEs0|;LeGc&tHcP1rjW;iRT+W7Hd+ZGiENtIs_IWU{5SZAa zfu^}oe|l;m;Dng<>)zGqD3o^pYw&~-r5NnK%51fg(t zyQ@>VEE!5mG>mH-keyB9 z-#?FN&y}jnj*&6Rg@Nvid9KUb#!qRJWu=|Ze-`-pVApL{s+$by@l!!aO#Z=~0D(BP zB+V>w@W>mf$X{XSQW74!wwYmQfObILqyA1?BWfhc2igr+9uqh@u+ScY4|s zZV3SW(N|cUU&f{oq#m+terY^_df2E6(X)5|Iyp`_mm_5h6(Wq=H5_R7d4-M4tSyn2 zf5xkylBq^4x+Eh)<$@w=Hi}L}tl&eyvN=V`SYBP{C#pR!N5NnsB7>?1RUX2j#3QE{((vo=yDq_Hzz9}OqQ0EN1R|X3iX>M0e__J9 zlE)!_G5*V3=^ZSfY$QX-;2BDjHUq>+{pN%9M#UA93kB#1U>7qHNp$K#A3E0w^%JGY z0sDE>|Al}atUBE_vIp@)EF`4&SPZAhe6Rvb&a99|L)=lJH3UeQ zY(!Ok^`abDh+kpNBfc4=W%}YZmJpfY;G&R-?69LgIRc5$1|Ci#ncjQY;dE`bga84M z%8-yt=_O&!QWpkc-%3oA&@qUNqxec56NBh89FX0G5g5d1b;*&`J>2!le?9ygh@qAB zhTMG^jyT<493l_-qd&(^+vDq0$lb%Wj5XExS>z0oV$->}B!Nka&W!2^a0H3ww4_c2 zhcP}_kGXt~!(35cVI^V1wE_lt(GoXv#RJHTn+^XC2{Kr7EnUu~!bEbB#jo*4PqEF6 zp$aZTQn}t49dX$5<+w)7e^z;cfa(VdtOn+|l8@ME4ejN69^Vc15lyC6)>4zWtXrxN z7F}TrW=Toj$E@3NgK{R5vE6IPSJIh`;5_Szf;Dh|g$FP5OEQ?*aB8cZ3c3T}Ix%CN zOR2~qp7*iB+L}rkBfatpE3J#2V96^#!0N)IZ^cN$BPLyqE;zV@f0;M)Bb4Z#amf+W z=?WC$aj`VNB8Cx<#nJL<1p@Kt3N|kj>jn++SUGI|FKEJ}Y{Zekg+Pvqy3BDNL~Vp; zuTQC%)W&u~>XraOZKRj$%$Yv8nu9?VcO=(l71xP*ZgOz6P%%aA7W;LY* zDqzkcc=lw72XYoY?P0k*0H`=pPaexiO$}yLs4vCTwk|4f#2_k?*UBfwbfXKuIX`)V z`8zWf1PIV#e@k~Nrib*eFm;o5Q0XEjlCnFS$iD_U8TldBe?|1r&Zff6G8Ge~$ZI*a z3t^CAV`|nWLV^@m-ElX3CP)#UF|(my11VyR)x$CuNRhWPlFUb=6Y=Fsc?tlX7`6vb zvCQRMyDqW`VM?NMz|tcED2a%R>N5$;rF!`qv)AWd2tZO|C*`UES@3cP(~ZyhiU3lg z$q_Umgh+{@f8MzTCCo#N_*)G;CJ*5kT`|G|c!;v-r6A=8q$w?(zbF01t7osi_c4BL zfBNz3FUTP{-S<3GnwYP>o6nc3`H0WZ@re9?PFsSnfrozuz{9s$?*K3LFxa2ii=rMn zms=O5AoVa2*4rlq@rI?Ks3|@YZ^(?-wuqok0r>zWTt z7+nmj6adIVtSzifz=AAf6>O@dsQCqVvE+`H|I9`#G}h&nDM4akGCd=dXT5Qym zmO&Y8R;86l(MZ9TZRidWuaii@e8#YjM`$5p*bDsvxtznEh*YzL$sE{5^G3uVbFk(x zZz?=Jf2v*4VnUn$Lk4m%dOXx8U_%Zx#RItp9x1h8KvoFFG7+{4t7S-v6= z9XQFqN>(t@0Ygx?Mdm5`H}#AjaFK+7K~tH+;zixV&Ml^O7S(`Dio@()1zfMcZ!*s^ zXW~$Zfa&t8Ldrx0tnn7gxnm##g9jxRCL++0e;Vjip%8(dE>i*$5tvF?T;-w=ft{*+ zUpZ8Y-<)66!y=&`VCJ0mZI;PKJ^f2lNGt3;Y;0`V!G~S{%}aGKSYh9h*l`JMgjB|4 zWy-HkiE#ZB3My`SEUtgrP;WVhKGMh#mm`N<|Jb@vCl|2wua^=_(WUpBotkACXzLrd ze;WsoS)TVX-D&BF3^eeYL+VPzD3?C1tENikcIkDC>FGj*ORwJvD7oo0T;5}`>t=3kn@EM_U0G??5j`4Bn2U=-M43!r&Lh2`&xL9o%iMb zd3e~3QHb!*10sh}{&}yuE)gzwZ;H=xf2vq~^5iy4h!o+ICk^SlI7~;pD$|h3fhQ6T zjaw|kW@A?Nh9mV$r9AQkJ)GAu9R$scdnyv5y`^b!LCzIueq?J zJ$^k_%i?HX>{|Lns7>PmR#lhvLzH1%pe@sLSPbjUvBVSs!m!q#nMYLUwlH_Gf1XMG z|Bf`I{T%b1LX;tWIb&?&(f#J-^TtY^$8S#G)Tc<;%;SKD!E7nhBlg>g?PKwQjZ0Cd z$RzYb?0jyZREY3yy`<2=vT?kR_0HJlku7@eVaEr?Z4t`vT`N9QL$ipo zL&CIt7QZ*K)N0`)ZQYfa=5%OWe8nmxueCF&<$pq48OIbx{kJ> zas=0?mw~k^sCJNgdb(_l{&aV=#s65tMO9??n6E{E-#HbPUWk+(_pxU6lAj9pJG=J= zy5&qyvu$TJL@cR; zBL|TVV`)N5E*G#1gT~U-a#p+0xEyf7q5FQ-M%#>gdQjf&T&954_p^uR7K<3>UX5+4 zhvzZ(9FC}51#Hx9?hWZ_<;%AklO1V5Kfo4GC(d{%SMKO)&cD0X95#Gp$6s zXieoi8({CyN_}O!lI*EQbI}&GiN8Yh{e1Bn8`5uo8|9Z7e@^XXVuWATYSkSfh21@D z!qh?aIQ{j1ht*xKF3Ra{SXG-NNyT)-+RhTwSw;d`Vn%)WkniQ}-qCPfzSoj*FhIeu zwKTyA>pa-jnhDIR=K{7?M_GR;pJ7w2=gqE&0h`JYQDQ_PRL9vlpQ<(8Vz?-W1CK7bmM`Y)pu-Y1Ri1H&qBfrn_gn&27He=P!F? zu=x_4e_(15BF&eLeM2^%X}+w?#nd9qm!-nyUJlZHnbpM{%9-X%y>YgPbskTj`f|r@ zzEmf)N6OIVizzZKodcLJ(=omq$hm{>WAQ09HVJIL+(req5G$kCd^roP`D@TzIgAT$ zLYA=H!Rn)@k_ltlYpx{ko_z#)E9Lzw9b(v9e=*L?B}kdxN3FTZcR z22GZ(Btu4P-dq%;8aQ)5L~ z1650r0en=$-b!7}F`0My*mXE<>a7epf3quZhhZatYzX-2-#^BVj9JY>S6|(U@)kU{ z{SE0ayGQx}SK8(DWc3|g18sJ%3U-FcP#Zr`>JZiS9mf)SrQB#{1f$vPQqXy*l>7}( z-oxf2j%HPG=h;j9z?R6J&MqpkT*+7m|1=cG{XVmMYsWy52_8f+SLqiCmmDQ&fBKBx z!9N#u(N;u_PeN#Lyt}(U)ogQc;Iv!rZg3gqAD_#ZV6L5MixD%kZPTrxen167QCj{4 z2W+TVzPj5&9{T5xe})~KPNG#{ChXi1*-F4|-sXr6;r0KS_yN8}VEeenrGNw6=Ajj>GRV z6Cwd+^Ya2!d%B$cHfpk@x98lZRe#K3beQu^tLqdnJIr0TRkiY6nTxi>lobxT!y!jL>?op@8X+iB(?{N;Fc$K&)SdPY?D+fZ${8X;cU`m&e zN$ZYN-Fuuag__~aglzh{8{eci_n1(lf&#TcxAbl_NketB-hrC7Qri)c;{m$MluehR z`p5VhRs-B)FlQ?=&F;CA3xjI291ycD;kSALzy;m3>$b^Z%DBXMe~Z=huP!MdskvB| zza~RAJZWAi^XGm*ZqU-AU+|96BS_5`&!4=L@C(qWM%PQsQH}0Td@UNk7L8wRR3muD zc;({J?sPMUCKp?+e>N#oEDluU=8IXxV8O;opp-5KBQ7SKQjZucI?E|iAVr_UFj6f7 zL|>CSDM<;7KHaXK8mA12z6x`nimCB@owiDWOV;J+Ds57_V%xjUh*!|239MI~JOt&L zqi0#GfEAfxDx-!=XS<)j{Orw79uZqUz9T>S{9`Qqq*6mtfA_BIjfE}l_DkI&F3-Qv zEMaZXUp|p{B4(<%!>Q9|Aq*HyCGINe!zW!|=hCPn{c*c;NaPKLn))Ojk!L!aA4AyQ z5zfUiaJr-aU_3Re!hO)Md>%g`stpDk!V&~-^>?vpx_JJIXL12-LSVei@L$Fk3qdTTS}Vb^P4`;YtOJpdPs?sgmjkkGI3((V2g|n7v^{E2 z2Sc{?e^+ezA&0$ZUL_YYx-wR`?{&HLS>LRwPv+HU=^2_+4y4aod#`WZqtaJPb`MY~ z)rIKEF#%1VjV(+VI39gg6%}?QWOo1S58O&pA_UricKlLEoKyl=A2hxql9WU@#ZO=1 z3*NK0Pkutg^F(sMa51lPi8trzTqHQwaWb_ue~ts>6>m(L&mgsy*mkn0LQZv@F?apt z2juoBO?gOwGt@@*@_6_v;(w;5+0x>x37Z6g{aiy ze|Sbi7k%Q};g~*F3aH7nuC!ff;#)wwql>Kr-PqV*DP(X{)MVy}d6xiAkvw;@-^TYr zoV#nY&%&G@^rJt=jxV#P0V!K}z1YKJO4-E?OS6zEWep2PH4h}gXM%f^k;9JO$41kV zcOkgjI=`v*&h4Y%?(p1B5woFOQdUefe}_SL7sgd?^VsNazeaTxM0dyDMuaLEbob!$ z@d~oq7GJ_cP6FjDQoY3#8ZV?v_2MCCC(qLZZmG)ecaci{*s@WeMB|9}u(gt#Uj|(4mO^yf5D`9!CrJfaim^Sywb1n2S`x7Fm)oFkv1>Zu8bfu z;B2aV$)P;K$3i3^qC3(XC-*T+SNV z8BN9-6&qb1IcY9bF~h!OOT8A^|L-nlmFxNd2N#ZSPB8>aaS|9iZ2Jw+w#kj$^Ll6S~a<1+~vZQd0JpivI3&a$8W4=E(>Ko z=C_;3#h+ur$DjGUd5etn6WP;Dn;V_xCC06%Lla^)V!U&p z`NU%l)s)@%a=_$($#O9vV-n@Q^|6JdgGlnCSv7V)wy$X7iFZ!h6+&p zdBW&)pa|s8{hD&CStf^799qT{Z2Wn{V#PWV5xtA8R5x!(e<9R-Uelg|m>gyq%^Q>f zsjY;Ol6@%}K5rhqYC$r053#FAeW%!)5ZeenJyjvt^ONH0Jkr#`chUC6>sXfgUmac7 zvoa=Lu1>2fk%CFK#a9111x%bbG|e>=4d8&>TXNp`9}>GDGxataGyO*h^4wlD(J5n) z=eoI-MLC$If6KKmRmm7xx`fPGJCB*Ai(PB`K0NCye=+Q*C}w@WxnzP5Fzel&zSjzN zX1y~clH*DO&dk+bi&+u%x=39zaTe)_`o(UJ5S=w_F1XT5Jn*`2jQv6m!|SK#Cp`jh zYVoqO@B%p{_yM*z>brx?IvV@W?NU~Fy>lf@BLQ=gf2UO@KX0QH?@}I?m-HhTXCGTl z-C}7K)fsjZEP|7p!!bLV3gW=YIXjtcb=^`Fz3`Sr3}n^g%WXN|VRRTi2P zm$H+`fAfN`p1IXqqnpGG+PyC&UnihZ?X!nABN+tvJo`K1Guvqk8sr-P0JE&OUU3kV zdt>*62Fchy#3B=p&gn!zL2@+J4AafEH(9d{JeX_W?#$iepqoRb%@6$n8f#C@?l0s5 ziRrwgcFNG8v+L18hHf#Ot@i6*o>Zbh_I3Nke*tP1)=J-fv=853?VuUpLHpy77M*b=4tfn51nyxu5d0PUGoMO%pcdEBl= zrv&on6(NzAA_mZ|(VY|%v^?)0UcbTCTWORS0J7b}q?_<%iR|%-R{$)zWhLj1!R}HSTNa;X)bwtxDq7%~b zi8CD8l1Y+h{yHvR1}O z3E;mw=x}!mHNMKPvM5vp0`W)XT>;2Ce<6Hz8LJ)@pe&K_%wii4V&Zk%>xDwlDADCd zMZuVS%0_;w2u!}Qp|efq@>&|ArXnS1Og2o?LIg!Wy=x1QG7Y4jsDe@A*D(zw z`*!6oy8xfC;-pjw60Ki3xja@d(fXdrQwsvEkFgE-q0#z;u1gzzPBwYyqmJ4!2^(6k zTQr{uU9Qz)L(L>hU!wu@%sL-1f3z;L6DOdpj7kv4=&Uy?7_A@APVAHb{8FAV+bJZX zVtjGI%A>Ao_#kM1zIT+Opb)p$Z?UoN+d8NLBlWaT&Nh4-XlZnqyf_07e~LCA7D|yU z)a+5cRt^LCzFp~Q3MPQ~)l_YWsAre*`vKN`q^)Jd~ZXqUlZNGo6s@%OlF?|D*3?i5o=wO`1_L zeb!tcr)B-q&PosDVAB5FdQ>fhllIr=sU-q;w*6$dtyIODKTmMht?-#C^2vxys|q5c zw)>4)TnK%N9JpQ)d(yPNrzV>UPSf@jYEKnF{B^`x4kD{HGVRC_Ksl`0w$=s#kQbVtNIT|xGdwxhOFG6h_P)-cBmon73Jcr3kfV^N zicH-UgKIa87G^Lff2SW++Z$v|uD!N@)Z$KeWF!{vsaTk&D90?{o0y0+b*wAgGfD{n zuOhs+nNlwJKfHSO>d}+8FR`;d`=k(+qewa(UuR4JzZ~5(E8*lpt|=r|M2plXeayEZ!Hu+k`^hmR+l0XHHj91IvJuqoltFLknFjR%B&`?|wW5gdQzBrhbRh6hh) z)!xWaae|@!AfE$91SJmV7T+c3_YR1%5Rb?%x7TcnAdzhwI8Sn;?O``(n@WVpjw&d< zk<*lNUi{3Vf7qjxqZ@(>1x&QvIX?4W5ad+J?SfX|Qoh|MiFp#2&RlPc4hNfL_C}Aa zDFJC15_Gx>!|dtB0SQW^fQz%O%n3dqH$AEGm!!Yo-W~};^W)FneEiw-=kHYfl3RwM zUVe9(FRkXIKl!yB`&y2Di5&Z_FS~2JlB}p#H${irf6KxvLb-q>vnQ%c_$WzcukOKL z_)Y1r5N&i{ydn+_KaZ~7)1;|P^pvhT+i4Tfgj-L<#4Ly{=^F=P$%cz`;TGX&`tJZZ zRN6pwx}oc~sJ#lRNj6=#mCxnR5LPfsw{`8*{1RQZC2RIOz4eeOy=QqGq-@K#o>X!G z*|xS(f3S+EdprxCt{0+YTe>~aD1s39_3?T)b+QmUoWY^XHchwXgx=OKH-2JM0?M{l zzlkLQEZb@(2lM1^*>+La*~t#HFW>sF3fZpV%h!*elGpHbD5+h<6n9C9CChwB+_m3~ z4JsgUH{YyT6nMm4e#l|702X&q+r|JHAnvklf3^h=zCLjn94r8}+?jSPivOM8lm5D! zdw=ud>9c3gpFbmtvR)JWpQoeyPN?q@)KyH^uRj&iWuJfe*q9QOeRX{;RskscmX231 zLCZBTA+TEt$Uf(uIYvQ~eFsO;i$ungDf{~N*S`&^K3tB*k`^`X$;FJ9!*QbT{I>L0 zf87WB>28M-nl)Yr&)(r)%q(2 zOK)GEDBv-L<;uq76c;5dJ2f%m43=Ko8aXXw2+N$5whcK`Se6XkY`a@MmFms0VuEdP zYcASJap|tsgCmUytvy$rElD)qzQ^ADUeutq9qQgmo#(J2I^=u~glvJjS{4HfMs5krbLS*=>0ONv%ye+_Su zMh*QSsG{m@%>b7!QcFUvn&Ckdjr(c6Dp;g0Hai=^ITC$`=Tl->q+WCu_izy+)w-s? z6`@3G+{LO|0E*PC?In%WB~rsL?UZdwiPW@|3!MrQsqO2QHKj|Wp6|z=h!7%mqdMb^ zE>g9Y;R<5)qC~1CfAt&8>}=oQe_)UVAyUswc~c6PNS#`li{QFM>PAUm5DyTkwGko5 zDnO*h7wkt0zw=wtZ@QO>&p&?jKWcx>U0{yuV+rQLu7AJ<-{+5R5YO(`@M@pa0bU#5U z>8!j?16?DR4NbPF0F7K!;+rdBCN~}0$#`;Md*K?V^Bn7qINK*IMilL@p6P#$hW*!a z?G0o)-~~D6OZ8XzFB{e_VS9yB#a-;xo$y z0_IOzn9bmQOM0guu#18ToO*qwf~~T*a^aLnF?zz9?(OAAo~UL%Gb%=ejB4sS#!p!K z%-zFImd>d-%@gvR*X#$e0Jsmajf3QDF?CrUQM>?Efp(fZN;RSbS@s|Hnr}r@w*ZI0-WZ z%h*gELC&I&*){pgP&EW0P32KyR1HChdSi>@isp(VQ|$_#gu z!N%>7KfQ#Yyge?B2bB;M+cx`TK(qPTy6qHnv-!S-tU3W0*Pa?nCq-~v+Z&cvjvTrA zKDHYjG)1ab@4}B|`X6+9SqSpG(zlGeg|+Yf?a2Nd)Wx1cj|+ygqNSd+$^L=HR|cm|dJlR+ke&bTVY9QP znaE}0e*rdAUFVCO+a5d~)WAoSF0Ah5I6>$_+F z#&0EJ8iciZN`fqDuunxuJS7b`wwfgoTS>#%aelGLoiK{3Po9>538QX{c8kX>X-FA8 zsDdV-V#Q!doP8|Jc#&;}!s zH4+PP7CEZ7^&KqH+5c^}5{cMb!zproyNlIoeMdM@iA3zljFEYr*N>z$WeH!<+|ix*gEtFD>ms5>UqzGNwo=WKZsmna8ZZ zs6B2f7J-Rb{ZZna60X14S+LK^nfcl=-|jB5ZvDk`_g3!n>>nO|{2bd)b8HDwncV5b z=|0p{fDv^;zl2en5g)N?Wz=SjfBX5y@fca()v)G15i{#swAz}4m?+S;v3e~)Rc9n0 zp2v_OsCOp${Py`D1N9oyd)c*I)_RR-?MaI}&ul&n4pFk!Ycy^Tr%D+k1zOt5BP8gw zb4ayzUgRm*7>&~Kp^PGsoVJi$5n`x8 znInzCnTDXQ(5j~X~m63DV$ihw8riWskNJ&)`3)wGWfAz=VDn`jjdiu!?Vzl>7S4kDf4P_U_>6DC;k(6db1369GyPgsmzR{**a3*2rO2f0&pi=dy(K3X|UWq$EDc zh7r1g*nT{o>tmm{SiaMLmd{W%`1RYoB*p|I3s4MM`SUDM;{$9nBrHOJEI`@vyR{={ zZr#D+LN9M5B&`k=pltbC9-!(^HdiWl1&nkOF%D!n#$ELT)Sqk`>slED8EO+QYLTOz z?qdD3SB=Q}f0N3RoM|ake==IzH_Zc$yugNlDLzzxvSGR2h3Ze1FPs6WLEP6vsRc@x z7ufC_s^O#SPsT1w+qum8lY{cHJRw{C$$&0@pCw;<2Rp7iq-1c13Lb`&|7NDMc*^<%OC-oqAX$yNs)QE@Cn2=HqqBx}?yMu6^hA zPcgN&uvLg~Mw{c8L!>TeG$2~DC8xyrlSia7aD5(s{7;=*CMB|{#W8-{3=Qj!U(scG zMrL`7e_H&l+k+BhQHyDFBUZ^QYKa@X(z6ch)@^x9Wd<(P)7zMM6DI;J z-o^BkJ!B(=_p$lz(@9U=wYR86n;CP-2Tb9yn43N!SjQ4t)YgG4559v9#T8Hk>pZ0^ zk=@G?e8?@%HO3lclx^g?d_)c&ySS(>ks|xWf2FoZK7k8b=KISHVrD^0?fh)I6ewu9 z?JLgYe?Yo-Qp+#kve-qp5gY=w&{Ez0`#ddz+1~Q*CSO|5Xa5Udla*gv%wJ+L|K9)T z&Y^kDBheZCZzxX)c)|m##m#)CC!D^S)k8oi ze;&6rcB=n1(^zfP`4vOGgAJQ&aVi#WGIM&AN9QK3HzhR!kHZ)ctlme@iG;Y(+Hj0hjSX2xr-@0MfSWgA41Vl#;DXv>vw(hGBdexN z9?iLGnOxc7fxc5#TQJp86!e`mi;gpee`m@=%lM^~)wzkCwK*VjrnJWy2R8^lUK$J% z6QGWpYhxUtn{8`J7~?~BLqTM8zSkKj>S#708U=6o26YO7lzqs6uZf5+$o#mj$M_Fm zdVE7Sv`NGUKvvn@ar~N|_pOLGWXQnmuK%TOL(diM^;U|63WDR6Dx3%n>oWmjrYi|0XI$mT%u*Wx$Tsrhq{%Bki>qnB~u9 zro95%N{w7s5-MhXm9%L^ukgj`e>40@b8qGc1?U{pd99|Nmj4O6ItrAd@;TPy=xhO) zv8kJhtwjKg(aGyUTu--+^wsuNdihMPZa9+Z5i7lwc6k9Jx0taYZ1bEh!m7 zZ(I-;I~~D=;({x?ebr4PW@Ke+c!;8A6A|D~T zookzZ9GB=eZueaBTr##Vf82Z}1+;4FXnLUnP@ZOuVMhrm$J3FE_sr2QGkH7arGA4azgzpx1w10iKl_S-0fcFubut352xu5$vav%P`|EFnupQ)xX?s~}MCcgof9B7p-WquBmVedi zwL%WGk7U-HvUu>$QK8$-mVpa;mG5pZA6jw~Z}T)H4niFq*41{ipd=bo<7-*!a?j6b z%hUE!7=08?axHl=1%Ui4=GwDQBpJ5LtJ zqdrFu8>as`OzU?){EqbJe~e#ReBt~7ak^sh18 z_-C}8X1!y)OTp#PLJ65YA?|weSg5I;p|vT=WWE6Z9KFWn#V1%;YlfQY5Rbp~$?I3o zaNSM(ds17igU~`W%C)7Zj@-V<5#oPIJRKzg$-5*4`I`9j$3J`fjKDLueg788zWU}z z^infS@gwkgfAgt}^CL*nR(017-153SF2JUMu-J>XkOX9d>y@UPIeLTZ+sxHHuu?1` zdbOTBKjiQAc}n~U;_DMj#{0-s>;>`fBntcbv$xo)-;9IwRDS&C)4zD@^Y5QM!FEdH z*SRd+u!pm3%SZ$5F19hVa7!wLzxKiZ(49vCvxLe^z`f|XaE808=ryJgz3k){NB8Y%kb#t>np##|6*5Z_dO@&a34)psLJ z^!Kr(e{)9{xq7?bj_Jocsm7qh^~6%_HOFLoSNFX_V7^%4S<&B<7?=AWNpiPT*Otij zT)nsW+TcUn!P&_Ho8I}~t|V?p=#Ys7CSN`!@7G7cyBJee7~`S!)*f0vJbh}Bkh#Pb-i@5%6WyNC_;O{~(! zahb4hn`I?7fp@PNSo{)R=#aKCtLjDNB;ctr{qme9s46< zL&bkBmKL!(PKr9}o*|;O&$0E&^A%EW64!-VPTE5{BFM^SvTTgF1S!kA74GUp*W~m! zf0+Mrgk9`WBI>b$Kp8s7A3ICoyD#hKPhLHJ^oCHt#n~Y>EPXTYVMhz2{iM~82Pkh{ zD-ZruO=Vq=ljbsU0H6C1_ismY?--forLCQhp4=r(qamwiMCmzTB41Ced}F!|GTJ8o zjf$u7KV#@RjOMe!%W0VjIb;nRRUSv4f7_R@@!t~?lQ>P@QLo(#3$_&NpNvpsCT(3F z8fezU&*bym*BQU-@n;v)l_qOd)Z_7-D&He{`_!yIWdhFHj)8pdI^(*7iNiGa#T!Y( z>=8IAd8;vZg=|ts&VQz6yq^?=6!1`xb4~c_oU5wVvwL6(9ljYPu4g6k?4|xof2jdvA)4ndxI_GN@?v`>3-b8@D;>Q$RJqSAaoLDZ zj1;X`K`0c3ZKv-p)^pu?u1{Wn@-fk0nOOHn%8lzpjTm(UxSkYZcWYiL{bMu&UQ+It zzz3jQ5&_@e>G~6(i+twHU>edvzmH7>j~tLb{_FpSKSKEKM$8L;@>9&Qf2G?YcOmjr zxC$GILu=2>iQsy!KdB6`;;8%>Hjex_Y3zV}Dt`FtDY2yzo3m-PbV^E#YRs0p2?fNK zC#~D4A%q^bJgPu{%kz9UdBb4IH-q&OByZm6yeek2zp?4pC#c>l9{qqHl4+`Mh(rab z=itp-ENarXOzF8f$j9eXG9Hxx0eBEi96cF7a-B^?HT>3?HmCIDMYXF28s~(^bOHI3GJVqq$O`*!PCLiZ~fVq2XUpe;qu-gCY0&@WxFJ1i6QF zZsOYcOCk3%-|Z@Lf1{?*nLqo%-(V}wgI;$$K!sJ*2JRnOSSf{h_~YiZQaQxK>!R!_ zBv13&r6jX<12QDUV@WRhpS}8T_&q{gN}?*hB1H^+l_UEMkRQiCf4Vi`6?iuzdAZM3Ldu{wVY1* zurJ<_Dd(qHu5Z_tl#TG;j>;Qk={0!|tDUS36S?ne{3&=vikHQrmQL5{^Gcv+Ul5P! zQuF`M-g^c&f0m_Jf&uRVsnj!jqcob8R&Lchq0P*)EA47R-I~=@jBKkl(#-5mZd!G! z(}|g-s-B3Apf{ia9cV!kBxphJNzi-Hd+$JRKnr@m@7)LU?tAyuv@|iH?TGqOKPpLp zz7i+^e~j`4QBay# z0tB9-f2ic<>$4R##t^Z-eRm;u;Y=!mf!Ns`DaBT}N?hOg4Gpgb8msN2YwyB!s} z+v`~|Il}{PJRsBC@W0Uxa`H>X70(uuI;#(8f0q<4Kw@#MRGq%!vSXy?-v-OvRU(O= zd-jt0#ANr(b$%eVYGhl=tit`2Q}hDy`MnDhHXycy5(xr5{NV#&$<3%&GMyYJwN=GD z*C9$Rc=Gjg`7zND`;#(j^1e8i=cBJ4J_X?ue!eVu1!aGTx+u8B!nMyp;O2zMqjT{{ ze_q3*udRHh`=hI}KZYYo_!D4SFTBPIz#f3<#MuB1&i&Ca*c>Njx<8Iua`Pk{?vFwL z$Rnttqiy*R(vy4sZBAf=lKLT?*P$9?(N+7|O7~Xef<~?StUdBUV!KHShoXNE`ow5x zm3TpKQ$sp-zQV^~ZP9N>;$pCzH;p_ie-_*KE+K;jgC>4VLV@rbJ*h`@gZTR((@*;; zy*X)_HLQuSrWC8mZ~(bsqFQ?PHOV)JHec6BN#P>0f5ET#;%X8l;o<5IO{R0asf@!W6Q4BOD|BAx-$f4sXOBC&uDMXaFw!(_dQqKc!lVeS{Fzn0oY&%aO zyVYlk>Ww~o_rlBBBX-1CB4jM9Qh)i`?Jhd74O*2F%Yu%T-~Cl8RSScusLX>*z4vdp z4oBE<*A|oq2wle)Mc$`%?8ySky_3%2OYcz(E@n-inngegv}5M;f8Y`t_TK zUlEUu^TT<8le1z1cKs8w zk?EYll)Gbsz1F9si^ui61b^N16{(n3t-zd)EGgTU)oTdqm%FZ+6QgMTc2?!AIn`GO z5qx)ZhdQ>&2Yh}z&>(ZRR!MK?C-9t)_kTcrYh{PX&0&x? zU~1G+Ds|r$SMj&DlGU$a`@$UUUBg&kSYArjB~*5Kd|`1}frXTUjk@HZC3k2|jyT1J z@(TiFoKCS2LscMjW5BEQ^$XxwJqv_c4zGR;+q1w==bbKeL0jj|`pU~ySZABB@5D}# z&sEa3ADq|?$&>Gcm4ArFN(ra)%(lLs1(QFR;G4L2*%d8gN;g=i(7sP-hK|p0sx>#| zTNG|P+HAwo9FJ`{Yi!jLwZFSzKi(gF?p~$N-r&_-X#ngKyrBr=cf<+C+e=Rc7>}A`u%Z&eZx6n8QCha*t1*myZF;U+5AO#g*dM+B;tjcJeUChMfT?ZC zfi)g|1Xd%B7L2vclv0}_!dcf=PeL#F4C~r%dPqNn@x+CA=%MN;GQ4Bj(JNv2+HCbX zD}2<~ws;h|!+&S{+A8bX1|)c2+r;f$fs{HaJ-s~mxMqfqP4S%fmwH%f`^wtnay(t| z5gb?-u5s135QT!}AnlnPBCG9Dg4!OHwOA!afav#h#4GqJ=N5sc)Hn2co)lqL6|4sG zFbo*6y2A=%dTIRjeUjf^4qe@vt+OU zV7lucaW_S%imkcW5vImi@KTc|?>L4w#aI?86^~Pwca^n!BJs50NG`uTQrDm$HwTKy z>&RQLmVZ-2q=*IaeQ@QiACS^bdp-T-QF69v@65krR>s7B#KnCD@)vqe6z<+WwY88> z;o$n=w#be4saL@Ei~r%+AU43}Ghocm>|&*%P!}w5GPei5$^)iIa)`uJ0X;iG5Kj^1 z;xjMek#g(ifo^)+phCn-iH-RtfqN%}G|8WXBY(fkCa6iKTM0`i61?06G}(EA&F!P3 z9;y52BYC{D(#k!EM+p@36oE0uW#gI5-Q{$4iVJF6{rJeK{R-@u)AwL(;EOk4*|HlT z#W@w{!t%93+IoKlLen=50w#984|0pNV+!}5bshlz<+@Q)t-uC$pf?RPJ2CB;PZy-S}&GHWBCP_rZoaB237% zLZ;pYmCEo|$ldS&Ur%S@!9y=tQJD4*!${19ibSioT7SUk0TN$uVWGHA?FKXyEmn9P zu?U5`U;HT;k3XnUGHjJeXR9Ylw%qhAw|`nELbPq=-5FOh7djz(IsHqm1~GZYxPt*; zujxkwOa<%RId{ylUA43G$vpQ%;%V7}uoTCbl;Zknd$&{bymjm?WE{Y+z=dHsP=MA0 zPeH^&bB_vfaHbvCjzgU)vG%@r3d%P-jC?m@hXsRwUiJ~r(P@p&Gl{qyotZ;<)qfm0 zY9E2?oYWgW(}#I{w;f9EU2Y%d=H|tFw$IWK7`%#|*8CAjSg5SvkOq7Tb|#x9CGKs> zjSs+$b$^STVGH}Ul#BHNrAg>7QDc3X{eik;EM2b%t*uh|iwos#* zZOm*pm?|Y0V`hh8JXVAnGkw~Yhkvls81IAL!P5j8CH8bTd5Jq3wSNNRD#*n|?Kxy$ z1+FwGf*-Hhm%Fc(hx{84H=EtPLARST=%{T;r$m$->D zi7uo#DqZSR%kokSpT48RR@Btdgpa3(?9x)iE>+cQ@P^W*$;m1K(@=Wiw|^~k>tdW7 zfETa@^@MTyOyi72mmJ|j_kh1+Z6DfIoM`p;zkL7Zue=_;r3&q~1XByO^**7RTD9&I%*(;o{(-+(sn-*5r0f1=BA0L;`H6aui%pbbwB$$YmOWvNI%#=iIif&A_13t zs)hp92-b!^)pXyf10HHrjR~4c79nHQ4qMJk#7-1OHACHMlAGStE(L?MAimCiQT4iz zfhpik4D(*Mz(e?jr@;>~0x%zIPk9)_Ykh>t?1_P*O=CM8@e{i13V*j$k^Ccuabv2C zPD@fv@rQp0CYwiw6)q(edD2ltk-l}Sog^z%2tf}jlj|rWfs-G91=3gis8jXX-+`g& zz%A;%5P8tm(rhb!+S`H2G^}PCV{JtNE$(C)k1=+x#IPd58e`4fmNoj&aCnEJ?b!u< zE@SL+iEdWz6mWMh+<*8;Tm+z-v2jA4v~-6}gLlpP==S>su4U`lc-GF#l2AQ(UGLH{ z-$hoq_=U9S@gi)>*C!ycrJ%_@?at${%{$Ipb!yD08wFQ>dc|q0lH6O|H}>^=uZLs{ z{Q1i_Ux6rVX`&p_qNnyObqigc7kYL*d35Jkrv~MyXIFcE*nf|W61oS>xxrOZ#Irj% z5wI=67T-j1mX~8Pux%OHNjZyB%#%dCV77kfScL)ZUSKaiZ%O6mG07Hl!re-0&8%c^GD3Vb*@)@>*xL1 z5!nCJ4z5F2jDJU%zpyY}rlR?Hv(u866o`*kZ@I`-;Z*IBKGD}2nyP(fZEgcqwI`g6 z<+6Oddrfx+a&Cd!$Gd6x9j1-fdv{H*X4-fo(^euNQR)0Hpg%1vS;CPV9CG4_QqzsS z<+}YUkNc>2@ur`T)%>nhSVAR;vA5H1xs$MUvC+P*mw(te-=pl#C_Xcd*IZc=s-n-= za()SCpRl#2B-E9#dmFquBc=O$V|wB%=$@!Ze?ufg=cnD&dXw8W!{3|kfAF^cQhnUZ3RjR5j}5U8ozWkubcxMgH1WIn&GQe-Y@bcGCQf-qSr&ymj}` z61E}sQ06%cs9E=_r7z+iFhS&W zE`QlhH|tidO`XXQ4s3M4EJV#V>)KMQXOs-H?s1ns$;J0fg&iFU>3mPutkuM0nRQJq zg#{A$1i?wWzITDA@KXGUz~Ap--)keQXHCWCS5j=Z-F$>!IZO*pP_ezcU2Pqk5{!2@ zM&CcrgMS$k8GWJK;UenUg{?^6sL^T#&VSk)wK`m;M6JE0nRh;XXWclPl9fsuIc*I+ zYm%|7y)DB-3o@s*H`J0IhZ{6v_M2LzTYG(HT1RE>K_d&>d4TEcwdDlGi!fuL<5o`Z zJL`qfagyGvm?MLWjWlLF23+khsYOZdOvk+v`xHd(DHTI@e)*Z_~hX<*=R#$|Qy(c%;&cF@)Z%HEJJ+Xl% zW=8pNB+PvTHmu1dp7?y|rpub0SY#^Ui3;9(H?hle)!>y#Nh-OKxMsXQCibZ0w2B#Q zK>a6RD1KEZcRL5eB7OV$OxvHq7;!>VBaxpa@VeMn!gTRj6a2UM47XlK&VO112X}T4 zM3$|NikWV`n*7ET3B#?|-V-;^F`6S}{IXGjI_%~$`*WdG>ebsPl=S_f*O#x!U^cK) zzhhQ0t#+a1t0pqbf`Z-ad0)X%29IBm>B2C-tGi=Rh6Umsp{<7;CZq=-c4;GsQVu*P4g)9upvu*OxV`E88e2r*8k z!NZnoKFfzRmKo9_z<>CVE_)VwWn2!T;;i-+)+0}Cr5i=M90jZ98`YOrN^lOMoW9f@ z4ckE!)|)b_!7Q-*An`0HUCs6uEi~AAL@aMni+^P%TszcL?%HUyj>-hVH(QQoqX#Z< z{-W}nt6(+TUlc!Ui-mG%#9uTq9}74fLu1ygLO#X@)HxS4%YSFObGm%TTf{7Pj$^v) zSjsAl!$aFzq=-8wu+iSnp$`27lx0Sqa0u`pfWW#oJ@$#Z2lj(?Q8ISLeYM+Hgr#90 zfT)z}WhLI1veQ471_vk*HR?m+{(+nL8A|%YbfaXYhWJQ1+$baE)%9|W8zp`-(<0!q zmZay7Un#LZl7EAh)f*npM{>L#9-*LnM*6G)z7n@*q_4)jE9A0$M5fd=Qm=jW0)Erp zJWCpUlHPdEAyOjnB~h9sM(X8EA4fo)ZA-@7LMgXEi!qKR=%s|MG3UJ@I7>!|_nwIc zd!D`lU7MGCGNwsmuywJJW7ydzU_B$*!P3r9cRZmlA%9wpGihX6$KnJ`mZkIHxJbZZ z((oP%nU-Kjbo6+K+p*x|V-VZ7R|T7#)VCwo)@yJxf4xp=X*}2a5%CwY=erv;bdF~w zz)Bq5IJ?AR$wZ8jqSw5hksEGk-sy%MXM0F03ptaaq|jW|g;~ZhA=J(~;!s-X+#EU} z{U)!$PJiWXg&5;CC@(vykl>cbCtxvY_b(Yng0qgw6&}_|P&ssYD{`T_p0(Sx%^Ia^1P56i z*jEMy>w$^_7!~2UpKi!oI8)*)VK(-OkD?p6Zgq{F zrGE}T^KeMK1am6i1GQPPCTtS#M<8|lxD9ICQG5x?ve$~?Ek+gPMVSj$F;gu*QrWbG znc@-JHF7OuD#Js&uLI>C1$cJ)W+NY?*RHRQ9~3b3+HIv>J_^r%`05?#$}j7I|MKq5 z^Y?Ha<&2l7@Yss$7X2DtalJ4x9wI>%*MH5`+9f3-uuim2-*J!PuypM@ppM6-r`}pH z{K(0q*44#VDk(V>c~c*I{rIi>06Es*SyY_jY5hq+goYu84h%Y8Rl3E{QTqK`8CwjU zJX6ss^Dwj{xqD4Q{BR9lQ%?s>2YvPI6-e8visa*%-1KZy7q>dOCN^|bj!`E^WPcW4 zNDx^B^-P}*PS9@Q(-&YgJoQ?}Axb{ms|iuz=JtF9%(D%D14C~f{|y}$&*z)MF!7L` z?d{ocF~4yGO>(c?vn|I9aT4aP*zy{(gFu%sx6gL{Jwv1%=QEJU7`{=OQ`v$MDX;j| z7jcM`W3t2Z>0y;E#{PK$Mp|r-jDM)`O!RcVZ)soLwwy~^+?U+cr)Eftw{Lq&$Q@or zUO4j1eF=7TIscCQt~9Z6<4yJNVdTBq#rPF5P2M{kt{YL~<-MD0wjl{d%X@n?6pt0S zeg=vL*ZRaRqXN0No{h8?scAfZ%ZRy_rsQ3$S@2P_4?%LzOs@`J(a=S`Q-5by-a@vh zHz0rD3OnKHGho_0kK>rcpo`#GGIYUd@lOMf+i7uUpnCL`%9Ub8o zU)T+M_ZZ&(EuUbEj3F0IKJF_;<(&HStvnO& zKDT#g$7dMT=F)A*l!qRHcPfAHD3w)VQot-_w=ab1ND^?+g+R6jEGsIO`10m73eFEi z6_a&_>Hn80m^3ympMSGld&DQs%c^eDI6GTg=AAI;0Ai~gZ!=;(V~QMOi^k^U7=-lz zq@m?GPh<$e_CA zB@U_HTtnHclYbl|>R{q)lcGp);CnY9Vhi|YE_w}UP;qBS?II7A+gYr42UxP<9!U2; z4u#{C-EzCdRQsQ@+MyxaM-b98lyEEA*SQj=5^i95$|^>baHlbao1)KQuS&l93vN-& zZxcr%aR#_%4)nP7aQI*Na9Jp?5^}xzTW#FWPCxXYKz|$eo8P={JRMd43P-=1rjVQI zu(oRug`6Wf#8-`Lc>0-|XOy&tXLp#T6+T<;hNsPD|JO``Tya9Bub499IR{;=-t>!@ z61jqyxNZScBDWltKBnPN#F>lsSA}#%Tv_ZuKL5MFD*r{7Bn`O^&IXdUud5Rx86V->g>Fx559@d8ev zTw`s%vU&`v5Y>r=!s#pxaE$6UM>VKBLMNjM;W2A3~O{bk|MEZ6*pT7-7 zL(sbkN{l5laKs^*y#TZ~Jvn@rF0M2)i<*ky(Zy|t*oMRe$mhKRJNm&X6_dQpw8w-Y z5;<$w*`*Mb$i+tQC#z95G%aK~M}xr%aaEA3KpqefG`%{hU<`zvI>}Ts)O3!K(`u5bLezA=x`y9zQb6AIL(3Yx zm~JR$v_|5gYJ3kej94+J%7 z;;msS;Ue;*r~!2hC0s<3zT7oZpjX=v154=lq~7lV$j`qzaE%zyFRh0Q(3uyb;m2YG zN{$#>vw18mX!VWB6=BDsZN*!D<$rT{fXa(LCA)sqflCGSkOu1y;y-ndBw+va!#I(A zSBQNb9o`y>@Rl_wqa=)iC!}AuD4lpFJL(SCQ6?e`xsTkV3 z#2s^i1kdN(12@Tj8m9DaJ%8goN5+uel^KmI*be3c&}q)eRdFDBYy4yykB;OGqn1Ij z8g>EjrOIQ5V_DDW;ok@7q_g;r!js-klsPrfWBuV?;Qh ze=>NMt7NL|yvHW86ioDQ$}KnZak9Dc>8t}8oYd?rWjv3rvySNxseg88aKgHh{i

  • HvSl_T(2bZ z*z&o-+L>b+BA*-Wx>{%T@u*&y)s%9q;gHFBSM(;!Xf;#BWqN^-C6lYTyvapna>nhP zehpS8cNjfQIXgU6*MH^K*#>6%pgpZ&M~!RWeFC>#4z=-GaEVU6lmUu2BJi(8<(hG~qo|bS)=;mr0Y;tB9=r`mrU=vU8gMrMdJr%1$ z46j~XRN{1X)obHs31hz`{%THqU$l&^o(t=+UkF+1x%!caIe*nR%j71zHhVR$Ia>LX z-Q^OtHtu4*%+6zFZ?~tG-pM$`aeJ+fYv?7Ti{R$ZI)0O-fr~0mPSvm~%n&|;(2u*LoCg690KV3U@LUqA0MC`zT6zC#tGjF z=U1~;Zk<~|fxb({rE}9QuMDf*d_v&FfE48uh9=8$$Yec4+csKX{JZ$L;q^o7A$I=I zXTV-lT&Tim+h!()sK`>fl5JwDJBy=H@(Cz5j^tzIG=C4kOsy$eg;TN}>H~ZDEWcoS zdAo{3$!425)Z>+G3nwYj*zVQGz^~U3tE96leoftW4OW~sa#0bfL3x+E+U_H=LS@O* z_TvWs9_3?d7kp0T2p{89kh-rz_?WS=Grjnmv}wg{Vf!MCHf?FIGY#8A{sau46^HT} z;MHkKA(sMeX*i}%grSLAdd(d&M1j^e*KClnh@;t^ zssSlZS$4i0RV8tc4};(A%o2m0aB&Yrj#(BtlUYq!x)5wI@O>~_R2ZXTX~uNDiAxyG z*jVV0Re^9?cB6hoju(v?hq7m}822+!e7AC=@P9C21>F}{GK?BWRCh3+tscYur7&dKrX^#e@^6I+u z4i++0UYCm*WUmp(aZof|@%$na4c zF4IN}@iCH3gME}VA7w040_=TqoCYgsw}07R#fXvA>BGGR3=vjv-bp+U6=8)=H1(_4 zBCNZ!5P#@zVT-WN(z5=DMw^yS%nnE}8Z66RxXDvKI^Q<+<~Biwa;(`cZP}c%ti2ka z{eQsd;rZO#XgMPcGA}lIk)E??Iqu5j$XT4u+taBqLag@ui8gE|_GjSea=ckd5`PU& z%6F%r{FmrrDqB-&uZk_EDw&&!XQ2S<9m9;4Sq?E(bzfm6$|ujK_THh;fB-im+spG( zHp#qL7_P;J1%_A8A4o7tDf4`O6_b_T+|!?9KllTX5x8^in(R89d$ug66V^4w(;XtF ze5$nOC|dwmkVtK6y~i|& zM5;Aq=}>^tL|sM(9dg9SeGF1F2D)TyK7FFW8YbnEO3hm~qe*EKlWb2cNqERqN%hs* zQblxKloh_kX~oDZnhl z>!K=xgTj^0h5@3B+8&+x*X%UAeREE$2BV7_8{8OUq}fIE4xVA-P49!=yvP_K&aj_- zQ*obLD^CG#VF+<4;m^%-@DHbvHQuV7L-3p9e>O|K#sB-o{ZtvnO5_~G$ z?rDoo$`nlPX>~aqjLBzUy?^(5pF@*(4{V;8Z$zHVfx4}#MJcP*G8JUWlsj838A(0` zYD}x;EW-Oj?b5Az>wHADu zs$#~VnRaR`-9hdiNmT3T_yHd)iK^>g)Y8Y(zzeY*o?}#SNuqM@dfF7uCR3sRVl79~ z*+8FnvJ&Y(4d!R+GYz$5akd9Tvf<1weHMW~lGRIOSQ_uHOdVocZ zWR2-_`Uf?vj@R5&TYowq8wOF6>c5If2n(BDx?t&|>`itb38IUNDnE`Aeh!-p@|yo7 zmlKcUZ-4(Ue(>;%Km8!@Ke=bbd;ayqK#_b+i2UBSjj?YVWB*+mWB&rP%sdUT-iGx( z5!w*zSm+rR&>CXbvHD5@wjtIsGVIH4h_y6s-pN=Ev9_6tGk;WmmEPQ3CdD9_nZ3H>r0`ZTvsV{uKgfdpsaMb5J^{L-gEIx%xm#{8U>6(IPG5MT?T|7% zcaDQAe=*v*+etl{5ivV=_7t21UXBRdt;3eC#1;v* zb=X@E4}Uz42Yb8vBU^#5=*9(zmm+ho0~c>a~g1ZgdEe4pKn42#%bkYJmU zp_L9Zwi$WlQttVd&`~%*D=k?T00@pFsmP$a^UzyTst&+yO`MP zet${+&s^39@|#mn%~y}_gEsv@6yMn`y-JR>utP_xD(VvX^loXo_sOY{9tH2ZI?tYh z9$V3r5}QyGINlwtAYVl~gUOrZ9Gyw>fbsDw9UK|!pMtc@-4X@9|Ju;puNS#SvyfL6 z4zwZ`GIiIyz-3;T57a3ThwV%9A397~%YRa4>ymo2#YD?*p?B1anxF9$?3H#EY1qx& z=0ktG1Zn0L#FYB8au_I@Y-=}W3+gFfy?FNU^*i7<>g^D4G zxOVQ*mQH71L@uj~Ml}|i%F+U;3CYi?8$->_dG`~Lxf*vVaxReHr7($0u{>BaCPjP4 z7wt>=@F{!tHT5>c-+%1&@YM^VFuRPY-GkBr_h)+or`SGpC3}GC!s7-=%eqz^Tpe)1 z?UdE(gt(^kvSqCdQYs9yc2rldL4WLOR(F@CMyTlzGdcW*O3{qX)aSSpddS_z>nQ{* zPl3_2m`&28?0A^zUxRcEncDxt%Q*=lJ}A9c#_D?yW>`~IoPF;~t0Pp-)%PBl)|!=E zmW*hDcj|?>?s!0+Arl6hxPPt=dEvf% z{ddIWX|9Z#g96vLFP=Pn`x2ZtP1K9njr7jtn{r$N(tR*q-?JlSx7b6PIya@P7JI~a zO3{BMiKa2kv<`cHub#ep_K3`GfAZsJPst}Y&Ujo2S$yj3e){a?qn{94UqhO=S;cO{ zpPARAAh8AyS#tA*o6CJ@4u1`r_9>CEdi0$>712D79(~Q!4zUDi%id)Gb1!>Shehi~ zB;;?rdiLV&dobG8o277Fxfd@<_K!RT-@OM#!wa#Hoq(Uv<6J#Bn(7iWGjT@K&524% zv4cMw!Aa-*m4KxfIBIIxkC$HXvqkolxXaP%TkbaQ0 z2;sI1vykI1{>wA+xGyce+@%g6;$xBTM;%PW=gKo&+l9no;l6Tk@(TUR8Mc=HIhTB4 zbu4$8gHwF~MkCImg=Bf~>@jhq|M=m1#1-cSmV&#EutOj3fwDdCLn!vZzm)t+1&$Ts z1b2?tB)1#tD@U^<9ue!999^52ueXcc zB8sAtK&r=yiYTh=Cwo{b=K+|@tFU1E_Md_^?;wYm9xPO_7;q)TsVZ*G`(`CZRk5)# zQLUoyqhEPB2LYzm1#I#Wy+wIvNxP&BCj$JEk{J-*o zE9WBKFGPh3OL~g>EOf&qBofwJlBPKZS;vI+H*yTJzM1xk6kwDk5f_ay5~i}m5tq9m z{O)hbf5mmz=rar6kR2BHM3#e)^)ilV$xlE<=k5`<8-MWtToPafnc|Cl?OzGpf7KEYI;8lz8i+SxkrTgiSS=*6`|4q7?@WPFLcpE+2hZjg$OG*=9#5D0OTSeHN{1ag72|tlB#5B4y z`<)0Yris}qx|F*Ld*`_apS=8}abI%Q-_H^MK!0bOh-0!$|MN8YP5IJt0#xhKZBQ)n zj9h=rh@<_~tXmmQ%wsIDn>|z#yt0)m#p5iLNu7~1`aJ?A{f7Ksx~`S`=%YrJyH;6e zRDVwgN8;SapkVEE81ew*DN4a!(hlZ4RVt?gr?6YqeK6y*97|O!G_(&Z_t3VeSP4rl zbTp!`gWt{T`CG`@5OrDYezSx;l=$!JWipFHvw5t`f{RC^Z z{|L0zv`_HeFQTejhZ_Ra4U5JPcKlNGFQhmLB<}o zRVkQitbxRxXf<1n6;$M%BFCw*ZhtNhPGw9s;Jw$^ufcw0`h*%y=?dM`y3W#Pso8?C!+-e^6AAU4 zg0P^W>p#Zp!O}u+#vuxP_VKe%;1?sw#*^yn9C(tu$~>Y1$=>Tyxh8xegiJwINPvKT za??@tebBHtQi_caOI+6-s5up9Nn53t0(zMW_Z9l#<3Cn(%11S6rOg)!N|;+lT$1qu|ptjqfHA6~|+@(nSuYb{RYZo&V*|*~+o$T?8UXcT%fM2FA3F?MkQ6VJ{oiyB{ z_xvV@u+4X9c>tE$#P0RxD-W=uwnvif88Z(wVkRi}my~EVIO$tYUdIqQj_aS(@4_qM z^r8kDf{Oa9f5ueC8B?!B3}xKL;f}WiRmSxn%`It|_ZL25?PJY$A%9yl*RfY{C&LGq zjbwKhC}^U&lHH2~+)U)lvanT_XwK2w!JP7;9iGnM6wRIYOvS5ydeK~R#eBDfA(|_X zA0fUW+5DixCGufAo(nPYTwSoIXcw#_JAPZ>+L$3DPjT$jVU=vFEECd%c!s&8b{@G= zyVvs*Cf#^3ux`Ub!+%df(oMYaKF2by zp8-SD?Kw#&|AL1OC2$de>&Qo>$(r;j&Fa10kZV?xe+Sn|Bd=RmL1-KqUFTK?PBxpq zU<(6VM}{pda)A0cx7}9>Vm9k_4-!jvW%ebOP>Z@!l~+ss3V)P8b#n08K2y`Ep788+ z@kGqj6W(6mm?W-TZ8+7Mglo^i*;2*4gibxeJ{@`FfZNvXb&St_e1=hlXiGW3f4X z4?uK#ZIsd}aDS|6=w0NwlJ6l=K@QM+4_YIW8_AyOcUas8xd{AMd;UF~yhqznDA0dd zxE`XS3ntf64mKc*<0cQO25afi$d!t$PTh!*D9LG%&=6eL${L!ydpo);#tSWPmb#0D z6wk%zd4q)804o0+(O?$(+s!=YU8e}=$nB#O4MU$<)qj^#uW{`c?k*j**Ked{QL6;i zZkCuzGo(mfLE!Y%cNo&mx$NyuI8TCnutB$Ja8%;zgpt?k>9hC5_6AAHimN<-B_i@P zS>lSR$v1GMFLAtgHY9{gT^X4kiW6LJjbuWd7)hZMr|OGWL{)v3aGB1duSr0D`K7ob(Ql^Y_eeK*T zrOQZ%Z_gu@NK73yMOi!Xw|Euld05{T1SlA0zzcMx&dzfjN}qz+BR$2Hvoxl*zLHTX zUSk^HTe+dcYfRJT7xLuTk;w^(;{vwG^e*=1ntz88nckfpM#)f-X`exx%=$o7;d&l2 zGcCr6Op_ZchT$Rl>e<)C`h&AQBj!zqVyf}w?i&2)(OZyO6Q9Huq&96|b|b7Z@!L==)SH#BYBvt&+(q=@cj5Q!{zFx|bv98zT1+eBMZ`%^gYK)9EWVdGv zYJX7Z)djUtrTDcKDx3<}(zLrC*pmG6Eh&tGAmK6ORT`#t_TX2f%OJph{ee-0Z01xw z;pu<2+bfFHc+G4_N-qpEL_-FH`~7i+?E^3#WLuOo)CBjOYJ#9(e;*Z>(l%Fnc+O$x zzX!5U^I{|(rR|zu{JxN-wA~uZ8xc8`wto}()9pgnZVB(|d*a)|?`rdJv*1Q3@9y^{ z3F#%z+ZS)2y?pVSJ_je%r% zHQYQSfhnBTGFV!|UykpCw6yj$ncMHZZOhVjzm>MGb}~4+0Qj-X7Org~W0Cvtd3{NZeAm-y&uUiI=-aYL%|J z#}xnM!Oq21*XIJP$~e6va!Jlq8Go*5uY|=C4V(~2=N%~T$eo0yQP&Ti zKO+;LDCBT^+BvIsYLnB8bb%7QHo5QQbW4Gbjq|TJvu0~hiZxq&;w)U_wEOt>$;Tks zSG%ZWYnD^y$3vv_4T3s$Y4EJW)Evq~RLxoT@kGeYxEpm|pe`yfPmL;_BY&?-y3}y! z=yM4B-oa$eXB3`&4$=$#Y(m$MJ&h+<6`7lb^%fw~?YXpqcKPQ}1}i`P4hb!t zgLhwk=?oUMeaEHXX8-WR8KJyPhK2t1QQRaQi zV~T}sLwoxQlD&IJ?9ZW@mmOUCS6@f!x`-}vO^6SPl(@C5y7`0zAy&&;WgPBSBAV18 zzlnG$TR+;CdETqUsYKT%OD!y|<$zyNwGe)AuSZ~|$J7QBB_IFIGmtWtyyy}~ZrAu) zk?GFoJJmKdL>pxOet(BU>o1~7_72KcixjBFZr*fxDrTptOitSqV4@+}F@5obOehQ~ zZJm@+pXeoMG#F15F6mj5{peWEsQ@!rtqoG9d~4v`;wxrpw63ZG1IV@rDy&Ibx`gv@ z+{3P2OVg>*+c6!jh}@cy-tB6egsmAVJQyuiAPSB7^!*lzOMgwUSi3jGL)8O~h5Z>) zW+(mB(3q>Bx2{jZT5XI5b$rZ(2?`~@d`*&vVCEu!7UCh-wIm*z_^{nmA)2?aeB77= zb&`(>ytHf8Wyz2(vv+%>H`--xvNx5(@BQ*?qO3S93fBo}omfL;*`5s3b)9Ia2KCtGfy?~zQhy$+y?Qk_TC7C+bnAnrY+5#EuH)1$K)Y4FYjwF|W?RY}a=3tPLWN}{ z-YL=c&((J8ft=Co392|v6fv7UxrN)?d}n{fZY>^D<9i?NiRIN&kB5CcB{oEiYa85T z&#Ws@+CQxON-t%z=*?{lb~+7xwpQILN15f4xZP0xPk&DyC)jIa1=J4p9*k{|?&SKTXO z@l-|i)hhxvxinPWKm|Fv$)&A>T^|hZl5c1%5n`y7OvhXq%$I#kteKh2wh1|o4+tNe zJYv-4!+!}SCaC}U+ea@!zS%gXbe7Q#2U`{JQ6>%?(9jp>tDwz~ZeFtSSrz8lv~Q7= zc(vd$@$!|*j6ZQ!o3}gp*EnCN(K65{q?LU6#|e#mcU9Lky*?pgR%xdJVMS6*l{V14 zGzy`$dmjVI`L$D0XW`bKo;V3dXb?q2+wkPi!+&MzZthG3-DHW#Z~BsWP z3W?IdwC^L>KIV2YO~it&`9T#?A}nm39BA(qeh!a#x&Avund45ib|%FWfZ)cRq>lUX zhYLb^g%JPBZ{g>+@beqs=dXX$s__8OfVzVJg@!*ZsrmIN{%qc^j^p9*=Tclmv51C0 zYkzZ2^U#^mXVa&tEE8VCl5PyL>|THW@P)G?eeo9fhD7(kZYBI@5BpF2Xd!RwNJ>Yn zSDSqaEW~>ud zIu8q9kH;3WB?x>CNRB%ev*GJbX2Pfj2Y+Ad)2&ymaK!-cP-=H3Kx#%+*Qk&VQV&)v zGb$P^t@e(N6`-)xQkgWWV#Ctn>-95<3w8!KjU`JtVdwVfcBg;|J2OWMW+g1x*)k#5Kz~G7PW-*X?T^aWXg9tUJ0s7uehntAAV& zqF^&O*%}9ZXozfcU-yu5e7Pd^-4BHm`s$Zw%!rb5v+T4`g~HLZwrgtaxCd%(*IH+I z2)Ycdyq-YEPL^~{R?>sD>UXPra2Za(^hPg@*vild;B1H5)r-8dqu*41esX?TYk~ zIkBT|J0?Iz$Bx~BhG7{CJ67dqg(@+P$;8oWG*l+7JExV;#*Sy-FNUZ!DYxV;t zp_~(Y)go|jQpDK_H}-~hAFBl6lGEddg3ysYbqC#3Fye@|e}ItK3M-q>9M087sjpaqdV%1Bd%Thl>gX9Oj>AoM7kcoXubA#58bd(rxJ_2sqT`EN+ki00=mYj7|JQ zI?^jJ^!uxrNbe?oErJy~o)(-kuHZm=p)IY!2pwt5tBT;eq2A{0(G_frKlY;cHNf$a zVNs05c{k&RR@6E_7=M@KFJa@n7DwR?c7#s!{*6Nl?GjEnLcbACJaW^y)y-pK!Ax^; zx7>*Zk2kK3BunMSg5d*UkY;-9GMVNbZkN$Bq_d_Q+vO-Y+)TX-!Elz=h^kJ$3mlq8 z0{Xc*$=>WHqnHT}bH47Y?m%}O{IHZ4FP-tI2lCi*HRDVv)jm8ZQ@3t0uNC3$I zhdmuW4-jm)73kX|bYa8et?e5%iVd^6;xB|uB63Wpx55kQLVmp&_5T?Kekb~-9Wp;s z@YSQAfT+}f|A2zP$|P-;0s(=gKIbt41O&E*>4!v=Kl~}N6@&G@gdIA`Xc{QmQ{aMC zFZ!$5pfF{>ZhuXTU_bx-=5jTSQ|uXQByDsw>{qZp{eQER?umD?Y8>=CyIZPPq0q0s zym(N|pcHLIWF7!xh)!X6`R_ZS-|T3^B#drkL%-Fe;s4+v6gz^FFElvlmmE01ra_^f zK{qlgV?)1{t|6O<2L0Mc4{uZ`^jp6jN)-O|&~MKX8GkLLLB9?|sgDAIetXxK3mE9< zZLZ0eFrc69s(wu1gnoIur8h!4^ozKt@#e9hUx@E&iG&UPCIj00l?e3H)}CGo*wC-t zP#&emK);LjxFoD{Xel>FuR@_;==HH#?u35rqiYv34Xifip;e!ySOS=-d2>t62wM?kp0sM3>k+Xv)E`2s#2U%dV^Y9~6Kzraib zoLn={x_W;e^IPp{&=>HO05o3CJt<^%KUu_>S-yC25x_(Csm|lLBshkPngl)*(EUR? z<A_G(*wpKEA{HfKY*7kX8x{21ZOx9*Oa=>??CP9*8fian3sH`FNoi`NT}U_nMDuDe|h(oys8)FSIbZh?fiIOydgi>_udetBG}jn z8CQR^*|^HVwNwoj6jukA8`%@cI!pUU>1@o(-qbjqOBpMj@Ij;7Li$q6G%nqIL8csu{VPYjXA==#$X%Bp&?QZ{L5u z{0Rsi)mMm_0DR-3%%ou=)8WL7Nixh@^zuIWk-SgRmsFr`@KeDH}^A2+q0L*xb@3Tij;uCA+;qb^2U z+|00qhTca?3^p}dS_K5y?r{CjO6z}+KhIr$j&4r1AVa~geL5!8&UYrC+>pY$5+3q3 zsl9U`JuX!EIo#XjW#56);@>7UdVllQ>*164FUc&(WVf&l^V1LKgz|DB_I2M9NIyH6 z<)3B}ALpX+&_}t68IAOmQS)7$osZB*2?z0!*nxRjN2WLhZENY-zF1Nsr$m47{7x;8 z*;};k4Mvg?Q*Qn!_$uVDne{ny&NTeIs$4bSqc5zNjr4dWOV$weRic)qs&k0SYH=K7Cw9Z0Ca!(N2gG>QR*7^ zRvnxvQ5YC7+}esZ1S1k+`cb*rU{Y_an09*X)CGs*j;s{#L%WoPdmHkK%Owc+vl65W zp>aP6yGLzMltezB&%XW=?53x7F&crJQ}vy!Gj};+ARF5Vyc^%$Vl)CBt3yXB1{>6y z9JV54b^=S=Z$o+L>1lsIPoEID%t)R+1Vk4c=J4E0_&%`jP0ebYFssH|F(7o_i2J}h zXVt{Vw-nE7Cg@7Fv%Q`LEQYK;Y7bGN#L}@YdXZ1<0}nu7OiC-p{C&HBaw?%g+Jm*_ zAR)>!Job za12YGh1pQoVv#y;;ytigW{g&_S*wguM}`W+S`GU5O<)_At;W(cC3V|~m0^wRP@@!< zX+B}gWfSY}j$3~gcqpuB--~n&i^6L0oA8!7DXggL=6&2G=(ObAOBId6(qCA{m2L_v zIM%yR#-y-x{ySz|o3d}gCs^fdQ?>+0|2rpSPdUyVMjMiO0gI!2q>>NbI=Pmx%C4@X zJ+lHk__^z<;!i$@N3(q6b7%znZI367xK$qvf9^Izuzr8|;iOPrEX2Kvju^)U@_+Tc z@5+Dv2gET$tnuF`cXwF3asjn}d=&n-?AZU`*)hrq!L?vKXfe@p8YID#!#5TC0X#Im zJ~+a!K#kV;*PG+B^t3%sf_?u~im!r|V1L?eqeQw$g8jBRX_t?~qun|Ap%Q9D<-=Dm z-ajTIpNfC{!u(}4j2dmYGpZ1bT4Np_RdeLc2bC4+AzMPdBXLE@_iPQi3B!8V?t`4e zq$rMXyN^KH&7N6;rp)i9cg3S4?O@9MrL{CjiblNmb!;ABXJvi@?iR}{RBnbW+R&Tt zi34$(cGC`HBl&3H`$_SVRm=>0Hy<2X$y1UZ_Cmf5A*{FCExz&@htQ zbMn`Av56TEK$CVg7IHxz4zPLY%8jAj(a}c>k&mJ6_%wzTscQF%stiFy0Qg z%ea!!jebYhYBtnQj$EHpVN=ipFVh>P%+&Ju`k_n#h8(rumiO}*jK$takX4S07eBHW z^oxIS?ezco;7R+a7bob{@?ud|#Zkyla3N|$3BVepZS`=d_qrU0Y3n52V zcco8zmXuaCg_hWwG_0)dIlrzB6+$oX&EJ2vFb8f^Ph6liw2epGPVN(re)HO51A{-x zs8jr6;j*R=18qlQ#tuj3!?amK)$Ew)!iBC22`eUg%5anXUx|rc zUKnUrV>sAZ@9G5}I}N&SXe*u13VcpqPp{+(eC`e1kC9^dz1xAVITLjj@D?RX!_`+1u7u$1bnCD;9sYHAt_(H#*k{O&-L``QCkCye{A1*ycU~!CBrt z9D{xzfc}z*4k*`geKKO1fT)RuF}`c~P;a0lOKIT%GA)4V9)cUCr&Yovdevic~Z1j-{w^QN>;Jx1Dm1rkJf^{Cj_x6ywO4 z)KM{42C;rTWRgC}&JzJV88$zn#61~^u*ts;RI}>(ewZxfirtOM)yGNjvAZJ& zCsbX|jNKi}OgHeDR84l!L@a-wBX(C?Rvo9t&VF9XtsBIKr+f@7@zISEI`=bF8a^+; z2InpW=j_6}LM`F@U?l!3M}aP zY8p}HS21sAjf4&k?6gDD5IK54%%Gkc1W4{H_drlqM>I~~6nE1*s6+=xPu4amS*(|> zdSDehI664(rbLKl%?6a!mvBsu?pmnSa>Ryz3=X@JOR$&iK5^U}hKQL>Z_92#9iJ5t zyU};ora(?6nBh7#obP|jhoNi4W%`pJ-UItB4Zn#WCY?K99mLm z3eVYm&viDB*9;}NH)CSalKU=5JzP&xVIo zsLM(RGG&}OrnQ&7wL*F)f2n8l$TgF?bFGAUj=5q=!;+?bG>n+i_=%E0sXJ%%v@*mB zX>v4YbS^vXuRMQDXi<7pHEVbcbtuj+WEzFejq9Fg<0>18nG@l-)1-joC>i%S>Xr0z zs0DGI`NUfdo!%_t=23^7)KBu*>7J!Msh4~XZNjbJAv?>R0{BT?K32@E>ILr&O7F%D z?Cjew+LJI6Iopr2)=6HNp2(RPUh^N(Ku+^X`ht=jyLo@m6WOl8$8MHwv{lgMiFGT3 zyL?RSX35lKG%I#9D?B)i>*7nsS`hv$J+908VL>rw64G1{Mk zjd)#(!nJ(Ka)*SgrK#x>*CunH*kp?5%nN+aesCXjZq`(&@oJ>9@bpAfyRbalb-@~y zt=laP=DYUXKl$N((A8PjDsyT%hJz}7#kf$)#twh~K^`V@a>7wCij6CM0D|Ub1NhFK zz{%Xqs+!&tsLE?=S1~giO-FkNDtu;RrTJVhW%Upu8lzT4p5q6?elVO66|HpYRGJ-~ z4!);YfHftEY4D8`FftoEio*^t)_MQ_2Av$~MvVHm#PAuxjM0wfLj@AI*uS}uDE7#3 z=BIx~Hu#uuM006X1jqA6Adcf2KMvUc5lET8JXbK&4oeGq?~tL{`Ln|%A_hhvu5;t$ zRf`fIcj(>FxW*9$_bI68xA%%^lP5fZhQr+}f5Sf~MbceaFzuM!S<_?*Ac{OKUpZ0xA1`~eA`xcm&v zclZ7oS72Xo;97}_QxDi?uG9+YN}!8~fn|+nAA-kdW<*;Tk4^LZ+u#4AAO4#^0Ih$O zy*VPB zIto{{VkF}&)jI3XZX)(v?DwkKv1?UX+YJh4>{{l;&7B;HT}v5n>y>>D+d6;p)n6b< z70PnGU9BE=l4wVVLn~8)@?25@&vN& zr5@9cW0_j!ql+k)|_o?*m)QBi$x`iWbZp(*LgH-3%WpRx-3dx_qI-N zp)qRpNr`?W-iIQ#gzM!$L^W*lllEC9lNgQ6uu)lMmlApa^6V7St0tc-xmsYAhJ)GOMNNw=m+e zvZl5n9!^|#aZwb?NW<`n_q*abi-)4NK%LT~C(E_#j>RlJ*>Y-OzR;;Bv-wYt;A{b5 zi=**snx4#bVHsAq^<;jp9|(V)da~l<)7MS}JDHYUhQic} zg79lOq9+UMF*eFrP|ZqZ;pkd}(mDb45bFqO}KO6>pcllKX!JpG{SQy0c#{^-L< zk$hXoegz%fO$z=S#9`m!!f$aQ9T)xz*IM&{!iAKB5*eyC+mD+|q(}4)7i&*NSgD!q zcr;YSlA3>6#&-jRY^hmaa-9@Go5q8@LaYPuBKB@k1jE4HCkOoS&SRrSzoeJb&}er| zf4CGuqetE0CM6S%mKkMrwGh^3kK`?+h2Y?yOIfDtzU;uN@0n8u(k|4ndCin(~8_sP@ zuG*4S)qnIK{Ro2ho_p>&zu)isIp3dtWnDsy<990xmA*JWq#|F-hsN>8`l~~R#+iZO z%Cxu4B?b9$BNv&BFqJCtyCwTnsJ!JOabVeM%JggV1eb=Qsa?4!0$hF3e%ghrDoGSEGOG zKf>9z!jotdhk;=$v~MqSNetUXq=?3_>9dP=gd~Qo)^(u}LK(Ku&DmKgIq%A9DIQ?c z^RBS2qa&kbR(AMPAIh0EV(H9M0qJ zzi5J&nK8R8f}m_Sw+$Hr8nU*%vk-sJY=0Q5t=r%-0c~-{Vug^JE4GyCbv)RE4gf9I z1`aKYEbCt^62Tz1%F;8V`1>@-E!Uy8^5~G;(70uaO@rK`67P$o-we6g+gnp1Fxz$5 zWFLzLvsE>&rHL3|HrLqPJPlf#*>6db(eSk8%d9ba=A6^39$;8sAA{37%?5vmi9WkO zQLNF_ZJhbIGwNo2>9~1Si~C`c({c5s(aM9EArx7z zN_s;U89TWj+ZNa4uy-pE^k1ic03*s0yGxT~)WS*BVr~ypBlq4#TOyn&iyZ4Og|;?) z1eSJpQ(45yOXzum(GNc0oImZw>C6Ib=%A zv73GXeU|Cxuf&Um5h)*4273H!OdkP+Wy&iwkUW5l@+L zR3xVq@ebBq$Fw3|^7T=QfDU{eo>$fiV8B;i_QaHg#CI*lXHW4N`L1r;Se?jSB)oC$ zU9cE&uEt~YkRJu-YKS)tFjNxPT_XmOm-L#*xeb-jJ4)L_)jcdyiRKfq ztaI!54HRj80`k+$2`mVg72Vcc07aud1o^vL79pV?++AhR%ZVV?guU-hNC&a(M%yuT z$@~xutMc!m(f<>0eAv^<#;eWPGLEiHso4uk5vkgoS`uqV6 zLy-bFSQT1xo6e<`ziUm!tyDy+Z|h#qg}4@3rgEgDlDIm|Rm`CvQe9yyb>xn;u{Q^I zLI@LOT8V4qGBHt({<8)t{VaEW$5tDk36&Z;+|hq=Ahr0TfvR~K6`{H=&AXEj5h_=W zWn4-}s6wqtPTG9Jx4DCE$2=oKwK-cc?g#A&yA!pifNs71Af_R&QVeIP!aL0y(4Mf> zt0RXjIzv?zS$isjFjUR1^D6@^G(aePiF^APh5t#4stEbqC zvlE$)>&awzYOZr)Pe5sJdL4g8rl)FRRpWmwf~eEko7>FyiaPeK;tU>yt;)KrTK0pm zRZTO4b(r@XQ3UET=f8t!K*MYHOpo=sy<*^3P~PgO(9k2K@>Z6RwsV;$sPpkwd0koW zL2;|OrJ)Ws6}Kud?X@yuRS#tuhD zPsgeZ(GQdsoh7Q{T{an^TB)54mryet`~}a8xceE2m0D>|4x#(pDOy#zQm8f+=RL=PB#0`m{a{1#5vEFr*0>^>&t?+c+us}Jdc-x#Pu}lkiM~hs zI8j;qou9Mb%Vsfrv4YGVz;aHyjDmrL&qR$In|^t#aX^jtWboPTHSP9Mu?siz?}x{~8_y4&xYTjIL&fryz& z1tB^wQr=Yg5n{Bu{Dc|_DW+-9cFsxNfyB_fBSA>o#+~;!MSPF`JW*!#*x!G)pLBbh z=Jt$^jEP7u&uH3CtRLxBn43@z2tLBbS=9H$*RV49#iw}l*7vHO&|0H$_>t8MDf7ZF67X)G0EnsUYGR1fKLCZT4z-Xh(w?vG#Y-SwA?a6pQld!P8XLYyYsb(YdXMWLM3FYc znAAtH8M(PnFQUb)_|}~;8r#ODinJZ8ReKbX*0H7=6i`LlrPHN(5k-Hbty&K)WT@Wx z17*y937aa?uAVzhe2PdruMM4NuolT!3%z4Ah_s>At~4o8q|ID34e`AD)=Y0gzW^%I z8Ya!ppGN?ZJJ`9TcR~3<|za;cM;?K#vc+D z+Fiq}PQoO}P9|*KK~;%Ipw4Bhfx1yV0+VNRHzK+sdp};ADJ=8F;M&?; zl*%Z$hD?t<(0U+8=GSFGMWFx?QMmjIS_HTea-|o;1lMTuL#U80xZdqgxma|;wck}9 zLU$DznQqsJzW;x{ZxUSVYWKd2jvTu7Y%Mi33+Uo&l5N~Bpoy>d!*fO%gZO&oSS`bh zh0$#hq{SXNc~mLZ)L5qD(4<(SVP}-?g?%tKw83!7?J>|zO=?lx94f_j#B{uXE3spd z`;8K>5}SXSa>1oX+}Tst9niBYfuK)ceg!qFdIHj>uiAe(FeUaj`|1Ry#MXD0=5R<# zY|@D)iBX9)USvcQ0T-VV>)P$#kin$b>*+hA)GNg{WQ5gnnWWhDM;m=wY=3V3=Wr=@y*N_GB}%c& zn(ieYREizFtKXtnzDDOqRB-Xe?{D9M#<9#yIn?Z9xi5uBP7GBGmM}I+m{se;wg2R+c%$(!RR$Ac_Y*?q{K!IV#c6FL^gzEqNFLA6 zVcaY$LT!^g+FFj!J<`_Pz z8X|wRb#KXBXk$TySm$1H9ae-yw#}8C+&wm|^z;E3PTLHoO0ZkW72l^yunkpybVChu zZg>(Zoscxxo`=it!X((beNBiTM1q~0Zja|vBv|cq%Nn07!EWDW=%`M>5t_3Z0ab!6 znbvOc=n`ynMQkJwCc!$7I~rId3AWmi?T~*nO0f2!>j=*|4Q=a^M#3n;&Th_z^C=Q+ zYnI-^(4}-DqF~c!woz`mtQOKGSVQZCL+UH?oFz8iGq`OeKMY($Es5(7cQ5=HCDJ@wj=gT>ql|U*q(pS zJMyDwuz9Vw2Yj*yYiV^XO6eNx#DO+d3fEw@)tW*9L4(cC4}Tw`!B*QxtP-jQJ9s#0 zVv{x4y#?bm178#X3UXRT{uU=>D*Ce~xD*XmUDkevNyhH_Ub|}j|AtGj&a?X_4n%@Y zjo*X=!XJY9+lVsk6qZ|p?TN7cTcUpg+pt@)Af_v@^|dJtP#yR~aFdXj4Ly?o1l)J) zAIR3z>GA0uEUMaCWm6xs=xS@jc$*7<_MT!52#eCpNMSY>=JAFi2}FTSINgYmQWV&n z6vK=JuE0)Zwi{*c!f9_}Uq9(UQI_W1C8sK|Qya#030#3~Htl!O4B`+U4d=M$v7aFZr2s{Cd|>xL-Tuw#?A8=4z$=s6p40SsmS7!B-(_!sw^HvqK$A( zXNZ|3T9vk}Q%09)Q!`qxc}x;*=Tw|s0Fh|((l0uMREgGjHlX4YCE6KN=&+0~(e|jv zBcOun2Viq=B%048(Z*ERdS!o5iMBYcIT@N4_=B95&?W|nRx!Q0$c0I?M=ooUA6=p? z?~7ZPLnK;N!hI~o=Ayo(_?VpbMDeDbcuF) z&}HUQCEAk6*dIeA+RW>|Y^hs8xSHz6iy(A~wq#v3O|!qqyxc!xm@j`Hf{WSHW`?EJ zA1L+1{cN8^TX0*f6VoJG)wp?q<(6pg%A!u>5Q+A|kv}G&`&sOkH~$Z0MK=E8UdJLS zvO5Fk;R1ppTN*uB;yY&7+qoa&_FH`WB~Zk*HLxN2Y-nkI7YCxxmKNu_IMhRWQKdP9 zutRz`&8A(BTeztlv)O+*P=)sDI<;C%RcM#HV(YyoYCeUwsr6DLhDx+MTh2&1Rid3r zJgN~eNwm?|yI~&Zi!x(n5+5$n=H^t^OGzqI;azhAwA%I<^d&y5a_ACmNM^K2L~_2U zHa7~$3hl{!!9Mgzl0TR@nptC!B-+m5_EbNbM60Wu?&3iuTHAlh%@9PQHPtujSyZEo zy3meh8A+qzjyeaSED8WfRlvSM z0s9`qgz?E=6*Kt-L}QDGi=sjDQNxv0XAHD$cZMSZZ#?2wsg|NNcjU8#SKTL@GOA3K zetV3QZXTiN`Z9kWukjFtqOqjb0v1&$>MA~KkunG=CntNmL|+{hgDM2dA3&lZ4yKe8B$D~2w90z^(*-i(Y; z%|Gka_Y29j`0m1N3#8NbMRv!q&{IU8Et~;WM5MB4clYQbhAZGR4)jemXgQu*Wk^?X9HrONQDVq8 zhA9zCe5%}v|A5|^C+@|+%N_LIR_yPJi1oUIc*h*Yv|_0_=8D2$=n^7k$gP&j_WKQT zQn_t;EVqA)SnP@$FPfJ^>RPLJH5V*;i_ww&O0sLk(Op~;%U9#ER*f|Kkt;I!lhsoK zD*j%(6_Y7|)n1OfREImND0bs(GPfA=@d zqVM&0e~EtKXTeyO*fF-hz{UR`NsoRDGFL~diP&*y)K#}1DJ?BZZWw@Mpa=G-Gy%nO zBC@0WGk1nqospMKYKU~&GpWFQCO^XhKx1TE5goy8cb*hW>B*j>dasB>O7?Px;GsYi9GOJ`W1=kKUiF3G&eWa38?fe*9Qv#3yKqHh!ZSJnZxyJxn393at2mR!@K4AOZYa)N=D|tv(%tgODZo?bmN!1igG30BW7N z8<>uRKJ%wv1|d)MT|m%FusXMV0WD)a1{2Gn_d;*yq$AUM2!{$do%LHA#ALupAAMz) zPywgG)%1841#nukmo%}N0H?4NMV#Dsfb(MsL=-hTG# z<-4H3AAv(#R*@g1nNxmN_7Uz981Ve*i)YV3$nBU#f_>v_B#grUZOhbKBBgYEDk|TN zV8QsS)3vNiDU82TmF-_)6YG_K&0Htc3tOUtO2h-_KpDEaQIJ{u&g<|&cxu8;jqT7;Unhn8?nu`xm9S1_YsIVY+B~i zS*?QRA_Iryp3#}Q^9GJ;O%-+GcD9txQ0e0jnOIPV4L`bY*rT073Hox_wC5Zs^!2co)xsqw znxg~CBrZOMzI=whO}hzKSI{mf$U5b0&X$pJuhXvZR_yfd%NJ-DfOlU6y$l4=nN}B+ z0UO+2N#J|Z*O-X7BOZhSYgA{{uxSifalL95lYL+O=IfxBufKmri_U0J2+Ub?yXb*e z-dpqzK#zI)zhZ*HO!qm9*qeZi{BM0RM{gHyl?jQNa{33@E{GWwlDMc#dPc} zA+w~GL&MH4>xO!T4A|Llw7Elw&o}hu{efe0wFc`J{R#4$G1~G5o+rTYM{oNmx^_SW zX?Rs!;ylKW`vkhT@#TojH7xY_D!{!lM3{;zq*SOaGxUF`!ad=iBKgd75Uq@AmeVLV zb@s?I-Ec&!tUTb7`eDT^>WtV@fLz@d!9mD0d5v97wR$KFv+;b3tgW9vN9G$gU{TZh zdKnWYm(ppxU^uZB2xcaFi&6IDJHAJXZdAzLe1EOEf}%eDpgD4+p6l7613+`F%T|#Yq0Y(X9xBN879cBf9r1b#>2VX| zJhXoZAY5Q*i?W^8^{Zj^ET6%O=P}4DGAN-(a07v^{-g)W1+JxrPtiPDii%vA(*}$S z?6f-mDK;|xub#cb8j=?@baA1kEom)E14A1yRrXLJ-#e4g!E=TuX*l>;e);SXm^;kP z#IB2w@PV+@e3Ks@1E6tFeck>oRllN|9FS4B{NXdoMkg1ei0j2xc z)!3*V>u3Ga|K+bf3kI>aZHJIlN?m=Zoxu3wuTZ05^PV#`m~TmEd*b_+*@Scpd!3Qb8^fF%G^7;|V~R~{TUfwI zShB(T$a%)7e>V_)WEGkVE4e3H$dx8cL@Q6H z^yrVFkmbEHD~tkJS~qgkY$_s;?_mPNlYBuMoPpfa*;2$UoGPCoiXNS4i)vmT1k4 z#>&25`Gfw-_;x>hp+a}S)Y^aiK41G2H~iM$lQ}M-)1jM%k&7&-F%9XiwZ? zMV*io*7}3B%Gr1y*PV(utf2H3N%-*H z+u*o6XVFp7@WgtT5Q>U!JMN^Ou*e_mKD6~0Tf$IJQ27mT*){`2fjQ1J60tS<7!*C^wK z>=R%uA?6H!f6#mb6}Zf$)6caDu^{q}#O%X}dPeI2dcE%qa$ zuR|rdSu8sGnwMNQ#DKo;<(AmR1g$Niw66x%HEzmfyA)B`*Oc6l5C+4O0N}9BUx_JQ zcPB%W+ za4sbrv7cF(7!na`pvXIbd|yY1IT^a$JON`FOVI)dncLT-?Q>r&`X23PjIN1cyXuEP zcWn86f@V2n&?pHl?6xvG2E^o0%^&oXq^^jFFz>*4dM$tE#X*L7my6c|VUBzsg7L*n z1~aAh%k*yCvDM#GwRi5-N6FzW>++({HaS?VhrCjtKtEhX#(+x=#RXC-2HZF3*uaiR z;Q{H>U~jGG_Ii92%VS=-A3d;*bPZx2^{>7FyCW0t!%UfW48~tVkl?NHNCnjCGZ0MP zY@M>);_iP;M98Rs99j8;vhm6&%tYd4AhH1`osVd^ME!TGa^X;nKV5WBjSRInu&Kas z)RiMg4hM!8ZkL8wG`(2aq1+R=+uqq6Qx`G{Jls1qd&Q#b$qmYa1~NQs-0CZldI|>U zB*vd3GLf5;>YMOaD}eNBHRQx@u_!e0QJu~#!2^GNEQviF=xFA7BQ#`GMlFs>9!2l) zN8gs2oDw++Lq5BYZozgLyqVr!R@Yxcpybeq>Pki^c_J)tf(37;_5rv!3jGchGL9|L zOuGxNcu>;ZeOx0XQpX4BaZVZLn2x4w=w=_}%?pCxAuH%?F9b@?TT5P%;Q|r144IM* z6HtFw@ee?A>d7{n2~s|9I<65wA?1kJFcY*XIWjFBDkm`xB|ysE2bO#&q@32AHzlS+ z%FF2!MlJ+WKB*fn!K{d024MN!PF%;D6wf))(!*g>o26VPeU`lL`Ebnd+1c+W+j}N^Lqk(6tKxZ<&;O`p)1tbbU)!et_)n+g&JrA&nM()OyukFi5w$C-a? zgYC;}&|NnEVx~_>Y#O+fe63^Q6(uy8TaCZF_k*Awr-k8RT*7{ctaiv7-WGXvNp^0; zxa#HDlp#48tnR)%ZYG1(?Sma*e7BtRG#J}q!E|zJHfBcn3R_!1;cm(hD#;13R2dzr zgpIJjh7i{6hIVD40%?*~P-E47P_G&3#Dz@kb@N41Nx zbSWYzs@*nwxk9Y(VPku(*bd<_q1q>%S!Hs(66UU(Uo^CA`?-OeyG=_wgTH^)T2aZ7 zoW$ZRwb#ut_-h?1<1@TB;)AzKk9D({i39e42|Ipt&FMI~wM=tp)V7q2bvw)YGsI41?aR1(w}pinUj>52+3O(mJ20^l z{o!CpV~>~)ewP>DuE?q2cm02KS-&3%{I0aA73_~N)4=yrdrpv@9%jz$52 zCW`G^s+N#wqP#q#344)0`}FlYBy_+pGYEh<1FG0UgV{t65=%w99t^L_vZ z>WfGCWFl#M!4v~&a1(!L><+^O`?pWAy#tBH@l+OU7oVQU$!Jn?Z`lA%p1@b#`kaL& z9*VqU9FeZ6|4*nG(bQ;G1g2ACe+L~qY_tME)nH>78od$tB1QZ6sC3b#w!t8z&_$Ow z=30jKCjOw+aJPmDNZ5mU`xK9?u?JMM7;5I>Hy!j89o4r;ToHf#>fH-aHdJlmP+6mv zfxA!^1uj}I3T?zRMXYQ6>#yBUCJ22r{RMjnl8nyD)?`4Oifde7+kgap`4`K`6sdg=YjuRljNhgYw` zi7Nk!!7%3u$j$35L=SIB(3L9)d*p!uVB|FJCvXO;E$ku;*F*71hfNH_Y#bbIc?48N z>zmXgtH^(Ij!)KTMI^tfosp|M2EVF@;~I?+0zt*zp4~_okS3SIx+5YWP3MJY8$ue= zw7kEwC?+9IU3pOrd??Zsr!E^6laVHcDW;cC91Qfey-VJVgSsF;2B}qH^*l1xW10aj;=f8P} zUfm0jSzXr*)vf}7Gimo69ZE6+WuJ=veVm9OtMUguA-{`<5znxBj^^mIH5O4T|+!K=Ef#ICHWD$*UvRs7bvcH*S8psXn6+u`gO`SPPNN1TW zsg4FYjb$?TZ(K9=FLE~4HOe7u(rv|98dmf3KAUH6o(H`|-+INw$r6`_Bpub;(6?8D ze$@L|)>L;KG`T0NZoZk4HKaI@(x9aw`(S?#9a@@Na+yUWXsNxlZwu3tp1*m8t=Y&o zs`^w*Y|4I&t)^hGS5=8s$O!Y5u#u~rk(mrw?PD;rY#3uGegy(;(s(=rxD*n$dr!T4 z-rfBrdI;J%pOF^L%HrihA>3^s&r((c_RGK^aQsBFA7@R|0u4}c~k)+vVKN{Xra z8ZpdXY#_EMo<-!9_PPt4EFZ5FUz>>Guh_DKmS2($F^s*SHXW*Hti_&he+u{?g909d zorbPUOm_6l`nPD-FkHTVL2C`wv|N9=hemJSKnfEn-GS6zx$O_I@+$I%{^k|fxlVFI zX^uxoNLz@5A=qC;UpRD9yap3dbL}ixdEi6Pv9$efQ0@_fGxasC7IMFd zUpn0nP3Du*MDK-y_JXt?%y;Sen`d7jY5W(j@r#Vv+NzL1n5Oyh<_f4&*<+yJyUG<) zFs03A%MQGWmTG-zS4JR`!V;Se-j<^tA}PU^nI-nkBXrbr8W*Qv$6kM@$_-|m=y>XW zJ}tJz33NY`Ac0ztXBz~&-O+!EvW)I6Jm}RI;I6*szb7$D-JQ-ZDUDG|Eb6IY!x$xF z?r1e3X~XAx! zK3-y}Ao3v^3XFaL=6j2bayp~bFj5 z-C5&o{7rC=U;gE7s2Kl!N|c1omAs6|A3(sT)QZwV%<~ib(!`CeTWlgyLq7BywB7l& zeiRgHKR>#~n`M8yiyy&g8~4GkGC7@x>Y6G$f$~tfLyKopUmj0*ld>xo^Vv*s$Rm&d zT|lD0SKhMBA(K-Fu?JgzBywueSy0bm?u7QRw2ob?FX!WITyS^ z81`Nw+W~UdiT5dgwIVufWm(;b;=$;tduP*_fI?3V=V~MQR9LFP;@-3H_c6#H?9Vpb z3(5RcL+L`VoX$_p+A?cdaDJ+Gyl9L?;HSb1!%aAB@swD_ifC-;mqV~BSHa~X4Xbik zx>XEa&;!7JZP|l}f>nhdSrY|kS? z1_=L(aYyP1HW6guybir-x7|7 z2B9%&{{VtS>E>Ie1oRTLKe)Dz(h&-rQ`%9rZ;J^oh9T1fd>@8h1zkgb?Tsm85VynShpJJiL*R#CwI`{U=HH6n_JrYfjWI=g48pq9sa!ZN6;_ZR z$?`;8?(*~4!Z@GAOf79K)XfTni~y& z3^P`Ycf}xU5o~`&zYtgkwhzS+$m(+Wu#^J}9s+=W{%~)GfxjwPtiqM&|N5VU-Scd# zoK!@8@$~Co;akec?$QIvc%w~qdPj&=h#U20+GLI&6~Zb$F9~6Qu=LuIb3Yn{r7MX{ zMfU{`@}hv2#N|xBo5&hamX?YrL{{W{qXA;)c)fTY&nFRC)u-b}j58(xNV;X@)}RGCyQd*vD02SU}XqfI1^*8zP`l^>I(x{sCBO zpN-^D(XGCe#6%g9)GD5Don?KWhGMmA4$ByS{2^C!duIep=vAvO%)ljBh5OK}?y|Z! zaPsQR>O@`-benh2(l;1ZjE*3ZD!u+Km_Dz&AzDEb(5$)Gx+Xph%^Hcio#A|+hGyxF z&5;5Kn$?r;EJ9IP0(+HPHvbzqX%*AoI3V{eHJB_=KXN6cF;&Lu>U|E4oVpyjJL6M- z$*D}kaVyQK8oA(x&HGulo19XYEbfWmSkz8!;{?qn^7b^lTt-aq&_%H~%y_`isN+L$ zH9WA*Kr8!$rh()HF(Vn3qB9!=L<~yZay!q5a8Ii}duf>MgC~E&k__jVWtIPZ8u#QF zS;&`>xu=7w&RsuzXCx$#U@<1|{~*zSPD-f|W_m?T$QIGHAIla;d-SoGsXmQjs$aJKTPnnq zaZsg@<6q;wnOC1bLq=m*zaXR2P4&YKSu!Zyv}C;;kx=O-(_B^|RyKsuO-)08oBzs< zGU=A`wIy%GSMrbB1~Q9=>`r7VJfPBow0B~!nhJq*zbAG*?xdlc%GxDCQx@9+wXdKCg{)K z-{gvPT!!EM*3I(o53%%j;AZ*XeB-q7kx!*ljq^N$r)B(@ZAeV=wCq~hNfp5ijw1Vu zL&O9CDYx<>-H!wybsd$K(^{|fryd`WJS}ey&(ASaL!zgp(Xjg8QY|fir|fg}90p6v zjrqd{Kcc0jz4^M3rvF}E$4|+ zMoUXe@8&6sYH68uzf^~RF4FH|^8uY0Sm78`E=QsiBAS6mU+-YFoFW;$1ey z3NuMty1=8JYR@g`o8u9Mm?2B$BE{`8J*G2PPF6b#j62h0w@a6QE3r~c5;a^iI}Q#( z9_TM=*TcHFOdf=93u&eLv67Vn9?9+UV!G8L^|@UtTH5eBhR^NtCaHFtOD)9CUfMRG zZkJUJnI>$!y^j_phJm7|ab5WRKN7v~aR((nBzIY(t-;hRAsc1(R@X!eC`Oryd-F9M zs!?WC|84`{U1@B8oT+Z%!;LZ@W=q2f9X!J7uM?m~nGd~FOB`||4$GE(flV)qg=Q5t z^9j|bo*`Q`kL;9bb{3}c|K|NBE|1kaxIp3^L2UA+_o`}$7MWA6wdqn)`C;xNHB>^k z$h=5wbx0@;79I*>lLekMUSHELCwXK>A7`Q?OwO+>OC#lf^o)5UCp@1=%9t}})@+bI z@hzuITYQKo=6%*nWJPy#Uq+sVPl`aV zQccZL7y*AAULJ_92FN(Q`T94ws##Idv5f_^_Axb?tNthFnqSUb4^(t)p z!>g$1>C~L6v~nRea2;PP?~*Vu@tfmmVbG?40iZl-^p?fMy}P=V4lbE{H+1&6s01LA zt&ewq9(D?dVRJ&0#)RD%62hT}?lsNEIjq$hT0!~}{TKV_L^hjh7Qd%&)v>9O^=*vW z;ImJ~9$9K>dlj@9+hcIKmAE3O((;D#%o8EmbTfK4)lTawY|gv87gMnK;;G9x#;(F< z&2Wf_O1Sr4uNx#3!u@9MWCtq51%k-cwp>4dhRoq;(0I-wW)3TwQ5D~tIkc5VbjhKa zLvm_QHp5j10&~yGv51sbq+F{@7(Fu8rt}UTJ?B_cXRfiK==t8w$Rx&jQ?rqUnOSlp zCS>mPjl`zNsTs<~^--l5W^36yywn4=wX6&uJr=`Q@Q}NqQMo(Wi9E;~W7Dwj?)t-j z4w9|Eqj)w|#6)z5)SOO;;PCdGx-f~MukdBdd={5d8b(_Xmh|b^Bu?A9sN05`czpl{ zOPe2<`U+33r`p(rtSvn={fbTFu{#XL9X5%_&YzgRl@V&^J`G^~Zb?SQu`fo(TIBS+ z@8qcFPE7Rp#}_wu{JyrGb;{6m_+TS{bP-!Mg56!h?Abdm7Mb7HMfAh@?Tmx5E0HJL zjEky^MPpnlznwlaPH#fId7L@L!uSqYYI+lD<}*Rp$$3LM2{mmEUl?9tfUaY5mK(*i zw6!Cn*(QfsOirbx*YGHdEAm%!I#wNQI$j-Rs;rgLXzHrfMl0)^X=+Da)EbX}PEAL| zUtRKO)O6L@L%V>1nl3pQYsFS!bZGJY$@3&Hm#$l7R62UIE~1*=g?ORs1n0rrI~;~{ zjAYiXa%ri(y03nN;k|qeqMDK?*i5a7cSlE{9G*WYi|n=Xz2yS+`Aq@(cPWK|bq!Nz z;~X?AB2Ybx_=Cn>dGmxg@SvcaHK&<%i|%v^E9{t^hDuYQ5(}(czu7sybXY zfAKnq7=Ne-ZZ3r|rZ~(wQI731!N0~cs_T|nE0#5qpX^f8dn?}t{7VzubowH z@o+lS?IyZ*nYuDju93-n>}e zfjS#~2%=g{NjwN9tUs9g4HXm4Xg+9X#Ds?nTehL+a00>FNMbD1;BcY`wRNYIf~u}h z7x)aE@YeCv5DUr)w{7R^p)tfGa5A-JAVI$O=U02^c1VYOwYJ>Bcr}MyoU%-+^#W3HYOSHxMecljmylEZjl}Kn?szH}LeGXc zhj*a8D<6X?V@8C8LeEZjq9$Q5dbV1Ttrii=W5pMFH*6|Bdsw`?A%oP+Qmt#bViNFr zmTWe&X;rj2>p+*xceu?5yoR_m?~@T$$7NG7v>&TK7*`H%GaMa%d;|`|y5{+CPBrx0 z_=hwkHN|W#lhTpYIHhG>N~-q_9n>~6K&oji1p^W|q*@r`KnC1fCDfUQR00TGsyM04 zqP?`Ztlv167bT;Tp}PCnZ8^Ex*mrUg3-Pl%>MZEzP>YaB#p9zASP?QS(~v2EdDhjW z>Uk6%vtL)2NZ`}pU?fY8QRmPsw(xy1G)rB7;0LR(jt|ri@)#+}r0bOf z7P(DPZ|%cBgmghpoCwX5&^gJXq_jC`%YqL;nPR7dMK9wvb%oye!RW@To1K0Zg>GzI zuy3;{bfbOQ*~=xPjAgeEF~nxr9?Q1IoGuw0WmGLxtP2UnW1nPD8Kh1s6AFJ3kWsvi1oH*0TCmdNp)Qj$J|W|Ruo3J zv2X)aG(tI_%DAQ>@AzMs);AtAv`V%mScgQUg8OcNcYB72%KO=466S^UDtz2s-GBs! z?@dG~3*`_#FFwIKE~b?2^O|ZipaUETtVbtyk1^VKy(K|LtmqpxEuCU2VfSDd8RWqU zyPmOrJDWz>W$D&#BovS?O53#s0qO2FU0QOhtp4hgdKCX9l5&Q;&VNb*<&M@KlpKh` z*i3SNbhHE>@jn7H7l(gM*Bk1>QIjVIc+FaokjaCH5mA=@8a@?jv*;7>egGb*O<~On z;ZrtSWIQtzkrfP3Tl99Kg|X@JB~2^_CspG9iLcZ<_UmT0gg9 z6q0i92Z!FeTkfLyROn5$b9BIB;@j3I^cxH(XZ^w2L4=dg#I0d?%Pys9Q?&+Vw5KK72Cap=0@9|3v26Y4u30SOtxFrqlG0;hvZPh<`5T7 zrgbP>87z`scdK1kgSKIK3|enAPzFsoI7e=g7rtVt#(dE5o5o4*Q{4{5Uu)4=+0Ou< zY0jG}ycjaJif){(S?P{iD~{MOAyhR?nC!i0)6uh9Yj3WYik_{WjAn>P=vnc9z4=!5 z5vJFOntmku&g-{d{{Z<9!xWKUeEDA9k36a)?Pu@Lb44{=$nQ{$wf?^=kAFK$u5XRC z{vH@>{So7QAvErrsGAT&9JVZL-IX%3tXMsI6otM&1ShR^u{pbMQw{^!(z})#h<*8g%#)k9Jd#9MJK0o*i9oO32EBdt{KX3-=zjL@ZO|KV zYEP)(GKhi^p}AMk1T6rpnpDYLCQ-0=bhi*QJ9zy(=;g05r;?!HFJFE6BIxxO;BeV^ z%_1v?>8m?oGP+`THEhqo?icx*r@wvoA}IK?SMSiTxG7#K5s+R$>w?0kUI~07J%{BaSd&`*l{LIZouM)py$6v9(NG( zf4~y6*2Ew}e+WvZa&oaVm4ur{e-A9i_8mY}$?EbZ1>6v;rq+CaOEbipyGSlW!zQXB zmg8Uq%S#CER_*4q{}U!j=2XRJLM6$gqpCA5Op=V;DHz3ETk!U0Z-T!55|}1h4umvm zGIYc|iGA|L=g)Di2D$OwjWmYNQ%`{Iwlx70lV5v2kH1$zpS=R{>8cAT2k;1t97e!f z_dEh2>BHkvx^h{6TCteqM^Y~9meJFSQ020t{jfv;ahP(X+}K&vsq_X6ZK%4r3__>v z;?~6>2%QNh!v`{&(7Amc(}U)^KA}??((xJ8cPeABxR^y2I_vef@mLE1WGeqLap!Pr zn&w_h9Mt{&G3Xec`3_mZtjiqhLj!i2f@vL3{!5R5si(^+#9T|wm zD;wT2nxbnqr=RMCq-pU6=mu_jJx|`ZqJH)Yee#vb#v_c~BMG4c(Nq5z{c_J8x{vxA zt#wn_hY4?7FgUg^{U>yo(GQ~sreC4!PGQbcIjSd7x1L~7uIT%ROfqWmY*H?CJr4l; z=CejVO)=enubI_C6;o^7ik(OAx2NhK_+RLP>E`V~jF2Xn=3I8f{J*r)+r8_H!mLXO ztJkl;Kr#;Z`t_hH(n=uqR81Mh7m!wa4>#&%0ZnMNJ7;>h3_@$3wS575I|+L(y1F7y zHe-7un(W<%AEixcuv>*x0d~$<)+eF~u*Q66y3F%`XgxC>G{;S4VBP#s*Phtf&}7Wl ziX_L@S$lp=a-&*}u+B3a4SWpxH4ldj)s8@*%5Q#d@LQMFHM6&K1uPjf1x`_sY%b1-^ zsC(ys6QI4ZrHXMSh%EfZv`q#ucuSSp?~7oXaPxG10#-cmJe)5G+iGNO+c5`jq8|WC z^49@W9d6t^8ik6$E5?j#Inh{3r#AKa8sW9Am*_df1qNMT(5peluk&W6ki(<|UxlQv zu&Gk+_NK866S~j?nCQ*n{l0=f{dLfD5E5N~+(#30aiz05ZZ#a5Mt=zQjx>dQNGChz zo^yar6?zjR4A~5hua7~BWjhn<%n}H?YOir87OK!&J29Z93B9T7IxCk!=rx_Fm!(jl z*BT#XWN?2f>9 z#rEciD0WJ- zUj%*n`ES91YPZCXDj_>oo2y|x;O4`BDkCsbgSd`iL99I?c|}MPm)G+e;^j1P*)+Lx z?)Uxgi2xzEM$(F)7jM3N_gn0O;w+hke3;)--Ofb{cBSYkXXIDW-vo^}le3gYaSBsu z9)qt^Yj;Q`_5xwo{~U?CkQMm)CMnzxa#L@>`czw)gt%tCBCJ{3>hKwc%;^z-I6o_o zg}TE9fVtVQG(OddX>}{5S5A|?D?^Uz*>u^vwC{YI0}GIlX|kYdN$|szb(#QPA3ppw zT>rLoTn&f`CQVI^9pOUqFa-mR?qr<;6OMN|>2dJ^k2O!$4p2v#!pMQZz z9&cVCc^dNVy78elTY4K}tK{ z}aPQujA4Apz%Ms1^e!-lyo7ZV1HKO=ws2UBFJi4n%_Ij zgYCV@&$i9Z9w|lT*ABEVbG>#*aV72ln6Bj4ojRN3J|#alFE$s-wLSrknFZWZ!2L*r zUwnm(_E1jzIJy(RM=9Zdpzl-n!0vY;a{x8yb(W2IS2-7T?SP+uL+ z(B3=zsv^q6CK)`7R*tH5Jh&-QyCZoVt9^Khgb+pTA5wvY{sG-SX4i*oDbGoJN~YeP zh^;5y_4y6Bu+|D4V&+?=*u%iTsm35M7a z4uxMZ8#N&uI=`Ub3LRmS_=V9Or$s`{g{Fh(pAlr{_R$h@*>$W~kHd2Vk{qVuj&~o`8w+ zm2y$0gphS5~Tg>n+}`z4)FTGt=khwU$a3E-TB?e?aN4dW!Nb<1HwIu=pc zc97=>!6J$st3@p83W0^kMeX(-O3SsP@%1X`W`xY@!-s5oz-TEgc%$zTS_9@HO8H#08ib64qp1`L8 z6RA7-tEi+w2PSH^H~s~cm#`-W29pC9KOf!jD3(p*x7VG<}yox z9CCZM|4TCC&~%z-laRZGIT{t=0x0BvaH|ZxrFRQEuw|dqA&0n`;S4DZaxfX<3y6?| zRvp(XBS8)$XZi6=J-@2-*R8bHHn^TtHy52Hgd?IK0Ap72KO@5mp}QT4qVLmSh2*3) z9ai)8u!*RR=CilK;M%mlBO}8K$>Y&iGO9L+FI$o3-tT!?g_NnXJua11Sgjp@PGXah zgaYTG!;gw2WUaT4b16td-Qea4n+ZuUEl$M?sQ5wrMMVMj8&P$i!I zdn!**73b;`d3l17$(<=bC{M6|mD|h^F9d>~*u8olll*_sdaD;;2l7aYp!Ig~M^KUf zM%%s1ri%PCX~RQYNY|^9mhgIiKBb41KCPis3{&&l2G8!4~rd>e!1 z;S-P_Q+)!}l^%hXSj7-jdUyoNYj?L;bR|AN$4Tk+q(7SpgVxDE08Pnft5S#(pLm%u z%VLn+^CL6NGJ@ou*>bZjrb+JS=eZ+XsKVZPvw1EdE9};?y)ozssK>y6VV#%|ktOtt z6-%-db_m!qSE)f`SWH8ArFH&0h*s{J?u(J&%%o>+1odm1CcN1M4v(T#(67D>2Db+l zb#f-zI?te1Lf`BFFm1j6gr-{O*qR3XVBMA0BVAm&XdU64{UeBoY|pYDuzkoUX5%NG)pj)2ArUhMSU5&V(XTyZn=Cz}LG(V!y+E$a5$6}IC zhbspTMO69JK6IN8b-I289$KRl7!=Z`e07Q#qL9XJRc?r=3TgGpVHkr8@MDlUrp{=yrUWH}Ox1 zLTFdwLyHt9clPdo7|*bv<<(Pv_sR8Fp980>shvj_K^HV>2O^9E^NFAZ5rh9TNds+n zqU+-~XrT7^P76%WtWdhl(1SaV!R$aGkGlPKs!X<5q?GPDEA+Th~{Pq49!0*i~PzGcaj?kAQ9LzyNie4*+QoTiar) zs;8*27R%`RU2*$Gv5*>tp{w?iI&qE-KfT&Eq>Z3855?bw25pv8L{RUJW9$29brR&y z8ag7z#3Z5ap>gMwL8!B@G*=1fdYpQB8gB`vy+A zj55+t&zg-7k&zPSABG?eHk_)EQzjY7xu98ts^%Ymfcw6gV?JF*I&tbEr7#&uy?Qo4 zJye=uj?R`)WTg0#>r*LRGa9{YvPs~YQJx|%icL5{n!A5kB!YFlND3*ViAI~FhFXTQ zYyeO$w+?YA!cdF7xtXv;oG$x7D~B%4L|e0`7zCKx9#}yhQw&EqBX`b! z6mq%(5tlnZ4m+4>=+-znWSwE8y+=ngbHI1vwIlnLkg6SQh8=jeXYZB^GK{fE68QChNSKlimyZ13)=jUkG14Crn zArD%M&tH1TXH#qOMOVrSKYA^`u%PaS3q8E3zOju7sm1uB`s8xP!;5|AXQ_O5F}^4# z+Qne2pVYiOPcFvqkFF;}j}ANrTc(UwF1;9EP_?TNl8W)U!~5kjaxuPhN@1XXIlSQS zf!(#&ju}WJY5O1hQg=6j-(;LIiAd%6zK6|GKCK)--cU0w_y{X~ik5#@^c|0nwlE(b-hH>EuxjIAgWxw`e>^ zGT_`DN&5m%t6#oE_XOTsr(H6Uew}NlTxrM#pDNXCFaty`(2XJSpHr`n2cP9>AltR2vv}f%_OG?Qt;44 zOwMs>1&?fXY9bFsCZB%#`Coyu>5~97AVrpqckh5It6`Ahn5E5s3FZ5|ud>7L=UEho ze0ydbo0`Yx4<=rSVCGZ@iTY@Y;na2O-~oqXIMryWUz1S{rw$)7Lbzxmf#l$+&eNa5 z-JLoQ^HvGnFXIOER<3(mW0M68H1+7Xuw?q~NRh%N``#C?PT<@kIkzpTyFC&U63v9U z#X3IOedxZ?R>}H*n;Q&;4;43w2q~R;Gd5O0%B?!*qtz&>OmWt^><*yVaSXaAwBhMe?7HqETc=yo%MHAx9Fh@(u&PSG$A=7KWU zoT2w48=GvG*Q=!NxO(5(ki_=6g^Yz8a^y6(ko=6OJPA5~9sZ|(4yuM!{~BTzvZ&vz zCK}n_>Q}?qUdInt&taF8>fkY#Su@9nIC!k2MvqC{QSnKAVxlpSeh*8O%OZag-I;k(*6;kz?_itrPyf!9{O{7Qk7RWocDX3V^EBiW zfBwslfBv(N!JcDy8Cxno`6U|02O$3rat=2v#5ke#EW90C4LzUv;g5fb=6ID|Hnk94 z_plARD(yIszPDCfjwszu;O;*P!p0Ayh;3rD1zGoh*jDh#&wd{C$&Ww&+0TLb{-Ftb z;Gg{LrHsI z+jD0h|L-4zF6AtqmU#a0lOO)_$3F!QO@_jKO~_^b61mEg*`r+%x#~Z!jv3>7FZ`Ft zh1ZOK*2GC@TSnheV(rEyJl< z7xgmlpF%&7T(UMQA*`V_+T<=w>&LG6XUHY{gWcimGe6S51%RcKxd<6)W$e+lXY+{T z^5-A_2~b$_k!=%S1+DvMg;=e@J4Wu5`zPRkYPKLnMq1(~TaJ@aOLx9|W`gG8)L#+@ z_$QzI*-t(OBMrTMV($Q>(;&PwVHFLJMA$7N7mH-{_NDaCFgyhPvX6iCi;sW!zkY&b zl2)^)?Vzn7!-7_{@UQuEzjcx7pYC@%*Rx&fIvU+-VLuNFgooSKtWOrI=M+ ztqn~qJwSp6AP9O-f(9gLLGKOdK+pky1ic4I(0fmU`wYx8_vQA?#D=yb>W>bok^}*~ zci+9|obPwO^Ws-ueGmCx(3`JbW2BAov3Ikf{^&y+S8U|cU-6A$%{L?$)BgXvOv}Z8 z2F!Ku_sAiBHAz)<`~FD@m`)tytjG%>`_*h*_5BGHL1?Ns}y-*MAZ zGrcm}(>Y{@YdYt0MM`D3dXn;gPpAx6+gKwo9PDbDJ~(9P=6nb$t1>6BbD6M~LDxlL z6hp=Q38?GZ50TMnuieCZ0HwWF;)cq|4wlFr?TB}`a3HkTta2_V@_b5oNDJd$!J&GWm34lb+?>JgMLM(qn9Y;))3UZ{P20GQU<^ zErlRw^_fi?A>Fqm^dN4TPMwu4?=8t0d`tFAR(Hs&3>RWkq6-tTbOk+9(AHh#Sh2Ne z7kN~}l7*SZBt8vLo9*tckkOKZytcU*770*Gam>Ur+L4q*FC{Y2O%K6z_DKemSa|>{ zbZvDKdJZy}kh#i#;1rTvsyKkIicdgwMNcerM1=M(u~^JNsm&gSX{8WKtv#_~fT3wC z5aenO^CVD8Ej97n%7Rg9J3Ep6JR+qwSXbHRw*yJNTwZ1z{?HgZP%5M{Yn>sJ6;Q(O zG0+UE3ZagbPk=jnK86jM-jF^L^@mhs%~9)~6Eh)eNn^EtWl-N-kbKvz=7+R56%(gjlwf0mSlXk16Ju4R!E{K1+;&I(VFR zH04p?HSPL&w~XT8aWvVOCnr@+3rSx zJKUg>KyxI0$V9>8X!K^k^Kq%vmM`q)+C=q5$jE_7BfYo-_rf4@ou4Rg3O0uy`0lj*^LM|63w4{VN4xk-dkHA!KAMPB1?)bj~ zMcdQ;GCC&LZV9>KQ!%-tw7XCt9g}P5&A4Y#%j@H9p<1>dlN%Y)^ob#u+^wb5g%$5# zfAKYc@@Zy^Qyn4-HRrh5JSDbqd#Jg#8&eDihRwyt^|lJhRucQ0_ctt(ZN&Q7wN=W< z)^%>ImXX-H>gAqMxu=p8ang?jwM=YXRBJ{Um95*qUdsvaO>@Yo8(fgnNxP)f!%2b% z#OY+o2<~+8;vEtrAlD`%VY7*5ijN;&+M|VkZ7Fn)gFZTs%?RJ^ZcepuA@E&%>v=iZ zz#8{(C@xBpGr)I8b0f*nClLv7v)U3d>X9r^>|a?vhp~5so1tTT3VXL&8E)fH*t=P0 zTLXt|;gG*$xs=dxysYM~3ke*@(^X|`5plfSq_7hS1jp0Ioo@SEggk$DBrYnSA#MqO z1lF*v4k-o4i-_-02`M;UWnX-_5Q5{GP4+z=!D8WdyQcx#Nb(7&YcAIEAb8$PcSsYh z>l&x&28_lGDM8C=v|Dlm{CM8|-Q8RO(PZIp@A`;nj^W|;E|*R(p!?_(P#$lsX2Xmb zKLPDs*S`bD_0BhJ3Kpqw8dAJ|#;4+cdYTgF9?N5au+wQigjyg(Pd6V3Ar=UlvV=lf ziyEGihiuK=@I3|DoPqm2K2erZnm4-06BCh7(rk9+a^M`_MA_^#k0xU&hnk|j9AAIf zq(MZnI~dq#u9eVbuHuB7XgR3{+!?XZFQKq~#pZhxi$wEv3^%lM{WM=kmfly7t=eUJ}x85p}M3m1PxWBe9w8k8ak z$~rzn#dpu*JI(?tmh8$91@s$k=# zh(K>CqNOo9Lq-##3rfb-0($>`l)+sprOrL5s%*~_kQ(^iDaCsEr&!@$9Q`fvx4eWH zdQLq1#b=*?{^IoqM;~}~B-oEXT;z&PT=*-$ArgOcbfJF)BJqEJeBY|^%Sy^q^_r9b zC2kpx)nXEq*u7}B@?k1ZPUhj7jG*#NUdQPKB$cOZHA=&xLW$uaIrRZVD6zR+(JH4x ziR~GRFe!B^c-``1C?1Y|4hnUvRV)S|QCV;6l@o!)x+ z#t$B**&{xovk?`4YnE^kj5~~ty52;4cSzjfacRr}K7F8PQMtl9~vX?6) zEFMqMKPRrZRYWVr9%jUi$bD#G%GKf~pI$ND3t4RQ_SNBkXrZk%1xcc#hw8|pZ&W>AcF<90___Sd3IC&9E&;7jNY*lkQi(D{UO)icXq95Rk zuf7CH=i#v|5^>maemBe^)y@BGptKMEqlalqz&_6RH3G0K1M>O3TIVi^&$#YnAkR86;r9g5odpq zx3jOKI#w@$V}(bm9xVYYY;5aDki&`snNIr{iwqIARPQYX&>_Nxu2a+^xxxb*z0&TcZ0F~Y&7(@F?NxDsvX2!LROdgoMx7={r>oNQwjs|1X2 zxoJAo8qSiOz32Pm7{JdB)6r`KK&T_YC580KKp$dpfix9 zG>U0JPU!eii2xR=X=OYDKu+s;awoJAED(gJE5aG(96kc^ zh1+fk8OTwL>OvuAOSNOh0U;U4Io&?ohbng;gOz4StJnwRREAYHu&F?f!#&o>Cj&Wu zE{oRUZ*=yQW#=~1>ZKGQXQ9+w#Ngud02q{AXEY#ZRH;i8QGlG$t?CY22SMM9h5iHhAee|WOqdgU_?&1yH`dbaxRO;(tCr9OhAz}kfG)1P4ys^122CpMEOZS%2A$_U*Mg5|K#sBH zWStD;wDzw@Ko!$Rz@1l+3-e{lQAMkne3{A*qGyQ2O_Q$PET{Q0-I=rSx{D8gx#>ze z91uW}n}*KHEe<*3xZW9>;KGrc)19t#J{h?wuA4DP(N-j^WAlM`k$Fdcf$h!gVH3i8@&TLs1(2$&sy{ULP$|ZR_MLlooNl{iKj|&++ow~AH?x5A% zKwwo@+C;RRsOz?KQUF7CLb|tq)sl~B$j<56X0?Eh?4%_3&Bt?8qN1}6jPvW*2X3TvI6k|DI3?Rot5a!C$K}{nb09)!(IftHqYpOH%9ng~C zLy+BmvIcdC`21`se1tkvA9h$cvWI!CeQ z`Zf&C>CMWz4nhkHP-pRvy%3;UUO#6rKmb=$zxR;utx#Akbm2 zI1w|@Ibn6Z@o+jPKDOBn-R2&Hg#L*WzW3Bb_O!RisRS+~uB$o3vV-m1(jtK(J9Ci- zxE~f3*{RRJNDClWAU3L}Vk8t~N0;c-aYJD5fAgy;WH?Tqv?ryy?ti5egsL%xk@r%IG+|5&CGt`w%_%`gBWBKrknV5;-;=7qmE`wl{h%67x{^sg~9_h zjJUaWzC4Tnj4iijpr|h9qOiwp-|{2 z!0KANht|o?(+(?GjPT4@U)g~i0?!QAl$#;WRby@T)&K^0ro7QLKnrN`V034p*9a-h zO`q)S%3$<=On+LzDV3fvPF*juDfCRN%9bD_(=&_PEo)plJ(Hm|jB(-gjH=e%!6lRj z&ke&_TnIgL7+PEHH_r9^-IJ^{3q!IK2bm>aVhR`$4;iFO_ zJySbgTu8GM$7z(r(nBYVo~fC=Yk*GtcmncbODfragd%3bY)KE#PtQcDl`eEg_R%xB z9nJri4$pMP)Et1cEBwjgktGBU} z$8(5!5`xdZ{0vx*<~Fbg-kU6-?da%`ph2B$AizW1j9gt*+3N z^TR`b&_2I3B==Ns!&~xh1jGt%T9>tkLnUrhJ9D_9E}gisr{0`PXcga0!)m+CL);W! z9GkKBR>G${XsY}+y&!CxHib(_1>v{`R~#w<5rLabQ^p^Vc$;xsQH|Ws+mtA3T38VG zEX#h}Gy^;F7;H8*6f@Kf9szBYa$QPw&njDgE-ID~i5uJcP$-X1+{`qEtwG0dJOYJd zO+zv!pv#`jBM#7#(BeWZ|1+%$AKieSWz za(lT}L?&(~j|yg?zL9(_~kFHcIJ4&Gp{d9^9sDJKT}Pp%OJC$7`{;F+GH+ z8NH18KRo)!l*t_~q=u0AW3WA#uIG@Xk?g}RJv83<7=$V3>mVaD$}AQ=xdD1AZ{NWu z12qc?2@B9B%m-k(E=72+oXB?B|_W@LR=0+FUBO}3oGgl!4 zcKN4RO`)YD{38uO@aw}`4SArwziANI?#RE z{T?QVy!#RzgonNc4T2JOZC5;hvOKhZwKEm~?cm-|v|nIn**hf4@=xCztJpi2(R8EI zs)-(~C6S~X)#vYjA0`{sr1p1XStvf*&okNP4NyA9HbewDDce?Rl91%2gMqza309v) zFXh+4$ZOvsu}#qPAoO}5-`lQWF{n*u`}zjW{uD3I7Iu!NGE@j3fx7#D&Mu1DlmJ*j zr@z_~vB;&XO=(wUqXG<;@m?|X!efKok=qo0KD8w!YfVQ!~x+BC71QUL9%1I91YuMsH1DNnH-hCy4DD+Z-~e zAeK9LJA%0jpx+Q*yS|ZOW8L>D44391NU;w#bBXh}o`cxj_#-TELH`N4e+l?#d7!PI zonvrFegc%%)pizTBqnyRntOAM0=l%edcEzCL#4HZ^RiF@Ra#3;n4aLEzy9_Wa{1Aa zD9E!WFGk1fWf0LVI%4-23wH<~=q>X9ya6TVxcdN#95>XVUSi`PAKjI_Q_zCJ(6VMo z3e)M5wjz!Mu+I6~lZ7n_e@v(|9k@!dt~qpFBlj8CFFg0zl+aZ!#rGZb17JTx_r+Ls zZ73R>k=4DPZS4cn^xj|)mC<0~Fzv_Q2R&gfYMOCcOLa-#aR77!dxMP_cWpL62j@Np zi;JaQ64LVZzG2+z#vQaR?=cv@Z_9@C_jjBeUvo%uVp3;(BGerEe-Vhfo(q>l#zgZ(8Ji?CUfzWnWl))Max*1SOqLn* zuJ3A~)u@lb{NQz~lq@qUN{%l?81PA2mDoJh&XJlv9!z31M4=g=M`9ee=jkO7iLunW zF)PIXGU1p*!SFX=f3Z6=LPAUTbNScG+ldnC?2qc%>oIo8S0>SZ_PA#BGm#eN$I|n9RPHXEk zWOV7$aFJja(xpqy?Nl@N;1f1{?7~masEVcjz7db6soG82e__2})a*2;qzWOTW@A>v zn4IoIu%GYDi_EeA)vM2gkRu8CNMo1oCILBM3I+qZ(JIVK1RlpI(sHt7`22d`Eq(*?s|pMv(ha~2 zW%^M~>)TYxw>4Jt4zBp-T^c)hD5HRV(=WbyN_zibf6$|v&4Q91pMbuqn`kji5#HX> z?MWFFVdGY8x&WdGPt|XhVI@)Q=nnRV6G4w20_VCphv7B`g6qqRa`?cZrM=5I5lk&U z?jPM15!K?h{-rdrPc80h&kqTJ>@rAnp0d#y&-EX_*gvDkZ=z12kWfRRH{d+Nb|Ylc zoy}Tje+H&``B(i*&v4A5)fl6k@=RmHa=R0I_j&khJUhK-OAZE>w6bpgdk^-{-+@R) zi(Ty9bA4-OdTwokPgTGR5-RPOs*n8|F&B6PcBXoxpgpILLFm%-JcD(`R7OG`w!L_t z2>jcDBlS>?7-DIWTDkIlv|)fKn%6qjA2Mm?e>(RiUBbZ^dDn92CUgi>T%u|V4d}38 zw85W!jb2jxp>wU}{WnxG{r;#`C1ViNvqvpuSip|18uVF5x5LBZ6}OBY?!E!>+v!y- z$|D^Nrn;+Eu^tF4$bR(<%%-&#5rsIlv z=oSZCp248i9x=ir0tCjv@+&w%P+&Sg$DTp*MgdkLek2jREIQUO!;e~CTB8Og1&?Dt^_cIV2X1cD_v&O*vqv?Sb{ z0o)c{H$%ykkHJcL`z4zKEwm4tRp>!RhZaJ1^M0Q&ApQ-O14ixcPY4MlL+bU-GM7p+ z#8}RaEC|Wac-vefCy@*(+nN}-P<~cZRPC$%w;Jf4#{F zrAQxugs#po2J4^4KsT@K72v@Jx~79){M|3nanr~qeyl_%T0r54i!^OA4StA=j+kK6 zEJ+&gOP`|eO^Ic&J;kXUNT7CMqZ1|P+WN(`lK^|g#$Noe99;`|zK(ukPpFK3;;H6J zJ0DI|wBKdhq4Dq&(7klJ!y^zCe@Uws>2fqCg+~DBqBD%nM8lwqD%{fOr6T(8Sq}lHmY4l+ieyvdgCiQqMu`_{xERem-GwUqiuRAI&+% zr5oDEpg=OmackKB4^)g}eSRyR>&G~H4)PPRX}f>>>h){vU9e?|-JtcAe{~lI3ZY-f zlVkTZ_jgMm*vHkeqnAzFxsXV1=qyx9!9hCLT^PMe!9f%!mj4MxgG7be@&shVm4vA9 zW8mWlS*@g$E*cNv^msvwU(cgeVO?bMP2%DrNk7;-w zPxgy6i-?gmiL8jf6L{-Wf8IdKvk63R+}(;P97^-~?ventYsNSfeM7>}NLc`Oi#C&@ zCLm@n7mH}7>7!Hhoo(gsPwt!0LbcBu^h~R|IiEdLVC&Ybt?SEh=Uu~phwJ3Is%oy+ZJF(F3%XNRe5?_TjT_{9r!d7z79X=JRKSYq+BN2-f? zcyZ_fSdHIGA;zWz_^9tFLcxN;M{`?~n=(3lR2X|4B7ne0`O{HnEHZplb7tIzZiRuM zZLlzle{T1aJ3DTZqgfjCEJU*H=7e~>WJ02o+*#@+0ToXgo#{B_K=7oI@fMX7mPTX2 zs&Q$yROEq|u1~VuA~?KM)2@oA!Al*p5h{kt*b@-0y1ZwEm+nfMN&^_-rSp}B=l~+T zWZfI+=0l_HU{DvIKOu7T1sqclaj-+l#dkTnH?6H?BTrLtv?@8?#zQtZ%#lrziG* z=fS5^H#S1B0G*;I#y1B-(m(7%MeZlBVCT2@LSaAtDabupFv{>8oq}8?9iRRdmAINq ze^gz`eOa=nWQG0xii*g42#a;2sOl0ySuCw8K2|_wvC<0qYKWfBBo-@HcX-0_z*rH< zOJ@=|j1_xQpF@VRwm0^-Xa^w91Vop)vw1X@A{aCdj2E%#kXDt;MuD_)uX>Qs9e&?m ze*wC8>l?6`8vXH`7jLi!>kUxnA2wh^f4BT-*SWRp3wp@+2Bft2wLnK)KLBn``ZSM( zeA#*%R@gM;t7>|0NlHh)`jV!HxK!jTx^|$CMMAz*$EtG9rx;@+KK+)@g5-P8K700y z7oL&r_-H+cZ-OK9FMYVp6*qI=_gB7gQ2NcGy8aOyl>XrVeeL+ku#2#WcA}3`fBtRp zAwHQ9e{JydpkE?;#B#zop<~)wXf5r?3&;gmd1v8RDL;RMmvU^6bSjuymzs90&FuC3x z%chQhJZmv#^F2OHDJ#+YBp;^!o_hlZLiqlW=YH8D&jJY`g!_E8kuvwOe5F9l`|SS#Wj`Y2&hI*b(c#QfAps1w&}81 zx=WL}Z9iT{SeDO`|NHf;XYW9qdEUhG**9hDYiu04zUq!C`A;PGrW;4=bb!~rX}34L zicNNJO6aWPb=G$ZemOZ_hza%tL-8b{3_=g zmL;&t-Nshff}HHll;)^gg%r}Vw(HJ`!^tA7qirmTH2@Ss7^S9vP|N2 ztvY~I@EBU%FXGX?nOq0Gdn}4K(_QXOq_?xjnsGZTCDsj2Bf?iiw2VKeQkM_$W-?Ug zw+cxa{b7IGxSa0IR9HWmCV_Y}N1Te>cO>6>(qxfU8LTanG5k| z%E?+-<$IEVqKcIr30l`i!r>zINgyfYRd((>$bsr~hIN_V4RF*D-x~n6W~x(9ov=T} z4p8y__r>3S_42FlA^*ctw%`{?iiMKcli&A7e9)hNSjiPfe{dOI`;Al6Z(_vvUmCXk z-g{SpKVnN(#pH4zEPs0J{XLhA={sAJ54mI>Uv2A6;6i!)y4{XFISst8thyY)ij1!x zf#RyUUivh(wVaVj87$TdT?|PUV=oN^N&RJ`#JJCqTAdp}HgZ}YY7{Y`_1WDWQ_%D@ z5a{o%A#x_Pf8Me`c;%n7gu&|f1(RFYP8u%^CiyiCv(< zXk9W*%E6Q5#NNJJo)4Ti?Kc?xK)k2?6w@7DBPWCNHr=wD=InI6f8IuQc1m#$pUauB z_*%Vn+Bb5EF})01^F;Q%}6zIyfM&BxEd zl)8P7OGe8CY-g=~X6b9?E@=x`&>esQv(scTe>dEeO$%{qAY-r_aGm zwJwfBr@dR!dd37K+B^2#-V7B~9)ZGBXD$yye|sDD?&q1Nxn1o=TF|TKO=fb>5?;}u zZioINgX|rpJ=t{=Q7pe^FmR>(jGPSZ9h8MIFx?BoU0E_R(_L2UNR#8Y6M4YE^jt!f z4FAu!!OuahN}-a%sP4tu_)D%gV{)f9FLEGMw?a97#imi(#XCuzn1RMuufIl~i%*c7 ze{p!;#^@DQRcpwBmi`05@*yA{uIa)Zd#ad_QV!xpmeBKv3(9_2~^M9kp)UKdGdTe5;AB&xT};{-l#qaESN(JX zqn%W9u13w!`u-3oPMWi!W62(X*rHR1z_V>V3Iq{jR(xy`ITdbq#@nG|93O)8x~xj5 zzt|^Wb?I(a4r7T!u8wl0o>@uA20St{cFAEti+c*jHLy`|EYp>R}s>BfYsm7IwXbIJQN_le=#bF|8cNFurP?Lg(Aad?f z1Dy>wKG=%;0}%@v&egPVAp!roBQJo>L;7FN6cV^%ps?(Q^V2!3hJ+(;IZ@XPgV z{RMuT@%;r%l*i=LzAO#{7+vmeE}gg38C(ZkDm>ZLZ*Ak!O|W)1eK89xBIjZta`1u1Bx4?a#61nh|M^qoUv*{W$3hAtxo_)h zB)TPe7|Ger-8cyhl59M0xMh>*$Km6|b@XI_(~st%xz9YKzR{q|5xUq%q8j@fLn>Jw zsxd@0@&}AmqqC>%f1XPr7b7FK^)jD>)`9(~9vjd`W)ze4_5adev1}@6Nrfh<$njaG zF<@ad5<4I}-@@W$nhaLk%-M6MW5%M$)&$0Ox97Qxh@vXb7$<@tiiwL31q4w%Uz*)z zGS^D6V(#4EAax7bGx9G1A(* z%A>e%?N**|@F|pHd5QU)O{Nsfr*Fd9bV@PxG_;Thrxd3KYUhaVTj3!SMiGQkY-vhZ z@Q*zA{N1wY(j^81NMyZtZ4CubD8>8Or4SayeJf={nIrNXd4b;t85frZwAMfc5SPa$ ze~-W)##D_hf722-oXy)Xgscc5kfGULoddP?d4imQp?y3;(OOa3GR*h0gbkXRCJvD$ zEGaUpd32UA@2K!d>UrMKx=H<}t&t693&$%LtDqm|6A(R{zK%6PAlU?d9y|wjZT>!5 zVTBNdlPgI-C)L*#7xj7;sqF{}`EDC`{|L)a-gz^Wf9xrJ4|Xqn$If$5s4kuK&cs`> z9p?tX2*HM~okA&Avw8?tB11b_5L$3()_4qUWqt_yyGPb!o|^tp{%#Z+h;Wgs^&E_z zO#Cjfz~A0msp3+>!1Dfhy$lWpD(cFLxHLhazxv#Txoc3XhG44K6vFkufp=3Sdjbj^ zcsNvff6J#!31vs|Q&N(YkUhT>iH-St_Zlq*JqJeJR+5-P|LyIkPGNS3K6vlAqvl`x z*}V>{BPzgK)A{~$V2Mr*34pMCCDoHv3~2CU(3_H&A@L+QNCu|axy?ttIG|`>&0hFZ z>eRiS!Op+;*0hk%U{AO)i}2DBa(u*vTOvq!f8XMOxl;BK4c}9gIW*ADjYq(l9M{3H zV|)U3uO_DDP)aYUVoVJU=O2LTo{TdFi?Em+x0?f_^l~>VJE;cW$miB>N9@QTlwOLh zDMCi3^fu3`SE1)YAlS-qN3uNI{6pl93=QOnY0#eaOmT;4)L(gmS?6X-D4Pc9HEW!4 ze=;hh*EhR)#mDC_QXsv(pL+@HigYww%Du$uQ zPr#;XIF(`bJphHSMK?2&XC6NtM28civgBsUU&zbbne$IaOp;5C$XDZLhnufKJte$Rm&>)tiSxZl~Oj9liRva?h(;xxUHG= zF*ps^vYpb!qSA2Tag&2=CK_%sXTrww&~Qb&hAoC!f4!rHn?jN_XsogAL7jX)e+G9I z@n=4}SwHnQ?7Ylllf=Z({e~rs;73b>?*c)Q!O$=N=zkD@i0srqpzhWlphA(*~v@lY~?_t#{Sz;xNEzfAeA1C@v9B zD?PFI`Ng%8g!>V=xVAi;D(PfyLP#DxuZuGYzUt97e5onnk(n}8 zt?^J!E^;0;4UO?hOqt7Hc=jwT6e+aE{pjZtll|+SWd(qg;TZ2#<_Wl<#gJut%X%+tZ4BEn7WG0b8 zOUPbVlbi1JxdlBkI)fIYJI&`&7_>-dY>}5itFc8oWyBQKc-oOmPdN{Io4O&1qxmo* zMNCQ@4S5sCSoINo29fLZ>J9RQM@@}o@abS$>q`Bh00O3ke_c#81i*#{P7T&~U|;6V zi(etP@a+raN!_&PHlWebZxJ5yXI}*)kAJmZGbSK&Z0n)sHohmLP}r{UUSphNOW2R? zmC`u2<=VClfrn#jj4T=zLW29Mq45O)fn)35X=z~jIJVTt@^Ar_V^gi zh}R1^Vy!Ywf8YsZ3!3Y4q@-vyHF>IzONu>LH!X*Jth!H({VML)e;dlN-Bj%E1$>Gf zq~f&?{73GKUwsvXM5-T*en1QBJU^m_b>3He6V`nb*8O^69eKt0!@BYNRW+Xw#qBO$ z8PMv!KZ>srf{P=mOR z5l1$k8pNH98q`&7=UaK6pl)foD4$0R>Sn@2u4IIuE+S7=D<=na z>idHee>O2n@O(H=l#sa}zA2)|e5%ehtuN+lsb5x#8DqZW11;`wLyY;FDiUJQNQxZu zX`Ly*O^f9+CKL9BzF2N;r9>y8$8t)S$|Wboa*E+@7vCGpZR9jvq5(A_mRr3&{B!Ke zAYb?CTd)^6n5lt}HUX8$QAP}{86ZFz--qOP3~2{BO9cE>DnXo1?AetJ(t57Y+g zvXtl$5r3ezQ`q^>=^!TXj|FVnW0WVsG4OJZt}Whm=ifCX7w z10l;&PmpzczE|xHvNEcRPRN6t%Sy`Q$OXQf8C4T~kn?P2LkAxkWzA~qXSknYf9IO` zh9{OifBHAyd-pU5dF_`kg1-E~#q$PPl%IUq!xDdo_Upt53pp=8$YwG83Vx*i`9C(@ z{+;&X)CFQ~#hNxg-18vZrB|rMzR!=mO_V*%Eh1cX6uAURg>|iRPj8KTz3n@sr$u2MjOUY{mb{~- z3C^e3A`mBiTl`PF@gI^Jq7n{j_lNp}U@fYrzB2Ore(l43uDF%^{=f819`a2d@}4~8 zpZ_|m$Dfll>}UQp`WSnHe}UQ2Z8@o~Y<0)11;A30cE`XJo3QtFSUPrDq?Dv6r!pB; z=t(I_Otkj@CT1l4m4n3r)Qm(M9+e=bW+Vl5op!9f^-uovAy{kH4g= zY@=@zy=uOEWORM>D?$@Mi_-cp$l1uDX?2TBPdA1OC--C@{l55jy-5n>W_uEr(JZ^( zH`&>d64N4M%uHg}nwy2x%%r0Fa+luH>?qJ0v5@vJ|0S5Qslw@=+2e!7!)P@MxnY4| zZ2$DJFJ*~yRyf4;f75AeAkV@jr7R7#BmEq2$}-gu6DB8@pRXGBABU3oSP{U7kl# zI-KYAw`w*eWvS|@U6WE%mhO(40=efzU$W+OV0FbG{w0X-TXFK>=}YINu1V@oU;6E) zWaxy=yWWHd2|0Z+clYVo=#Bo_kNymljvtLGQ>O}tQe`h+)qcgKaySlsPjtxF3bh)l1R*`uQD!WZk^7>@>rNfm&Wo=1pr8HtN1()RHFN0M&wP1$uN0E~ z44f_<2w>SyS9eHn06F^^E{)vv&b_NW+}sfQv!4pffA+PEmi=f$kB51l?5AN|Uo3)T zKhDUO9%8F>T5Do2&zJoql`Lzd)a+-#kaC78cR%|P*f=}LkwG#c+eK3-%M$>a^@=;W zCld-aZeEH>vE97A@Q6pA!WPz~#j?DA`IjJJ->u`(vLZvdAzKK|iiV@ZHT+M}lU1De zbFs|Ze*pXRE!O%P|8rkbME=Q#<6Ln$m-hRVF=_vK!_K~Gul|jQ6aPztz3(ur7Jqy< z<8C*=8mpI+GS(=K)n&>3T1@^7e)r;YES$-|Zo3nbTdm_4ZX7<99~aO-KN()w*FZ zB0@}SuQtTa)niuX$fy4D3*?psJq<>#`!9lUfBHV?C8(Q^9D&B2Q5OXhTteKr-ME)d z<{8e{@^-P~1ubO0c^mW}KLevf))lPI{1OHFK7R)a%L{&iHpe{u1)9zJ8}42_4|*Gj zy#0D+$~F%Tle}aTetA6+RmojhA(x@8`^2H`f_6Uyf>L*w4%>HLeDN&k^B125gG}{B zf2j}~LJ4W~zUqpk^mz}BmrX+2x^r{&i$ZVjp!eIn1kDHLI2KB0q5u5!v(NwbfzJT? z>(Bl^FbM4>8kpZm<`Z6uEW_8xOO5W!Y!10m+%+}P&%@7@&;AyPZ(anw40;|2cDF`T zurtMTk&q?!C3*ozPe-&21KD;Lt8dY>e;)5EPrh{hl-gF_(z+5y@Los1`f;0jSV(Ov zp9@n&3Mp;nDxE2TaoSqv(R3D8K=FLqU~H{*-uBphj`Lo^GIx7>gF0%hwt6H5%78xv z>in2o3=Ke66cR@vf3~T*wUIJn%@i_{C#3e5hvbD1`Uk0vT_u+Cq3MY=bh3_te+_;J zDmKHv>xEX4`}p?RW8@QT4y*1ln<%e%{51Fhdhdh2ehRAG!<7sjnNL8dJ2zd5O-%j; zS}h5B@%ckwTwVV@Jrzo-Rj$FOrIqJrCh@6l=$FwAi&)G4XV1{B4s&IU$(_mILVD3B zqtb00N-uiC(a;nI0(+iqWHJ9Of09M&)A#gjSc`gmlj{<*B(F^=G5ENVwbz&?N zagV_^m*w5~AE0^B&;IP^U^P1Fr?d|E)vD$gDN_f0%Kg%`7;gjcz8#VlfAmMuh63T; z?gR5(>zF4p`Z2JyG6=h7$mdAPDf=xW=7oxYC*&bIh z(5(t=c9k|_Zt=*&jHA=&f1?tF!N$m^sZ2c>7NE9Yp5q0#u|@a7AQUl2BK|M&TT+}5 zW=D5zSfl=pIfPHB*S4mQ-g0S;`u0pmFOSryKN&K2Ag5idK;p&P87WH?LI<>sF5aCA z$b|rRlX3^EP9U4sJ8bjr*>-MVE~qbGpnn~`CSSaM{puZ>z+vAif9&{d5TDj7L7^pX9aod zi%jPQ_65+pf60t4DNJLzA}u1Jfv;7dMvVC1ams*U#K~Ojg~7P$l%d!e+}vn_lB@&(R=$nhsEZ> z;WB-)T<5jBMf!fbgTpOB;p&s!xKuBU~$|IU~d+Xi|B!vRCow z;JlpVj4;;Ff4$9MX!0>g+O3af=!$;`E{`U=FfH#-{w+w{8nR&1wirG<_QbpNjsv0h ze8d+a67hoarQ{oI8KVn67+-^6w_q$3TQm6f;Xj|!PKz%X{20_K;|BI=i4g_%N@SIk zHXLMN`wIFH{rD&NYW~q5|NKw?92`{LxN*u6f4-5Ye+HE63^xJvDxf0SrGPr$J_Ji; z6Yz0cpMa$M1&0J5@Iy9pSWQ^@_YCF@rK_1m*dP*Yk3uhLpzo3*mvXppPzbShaJ!B} zQHMzGpZw%s{VUKjcKc6pWDhxbo?{nV8G2jGaC!%V#_nzh$GgUViQb7|bW3Tjo?RA0 zN6OhYe~NB}Fi(iK+RAykcgsZbI`8MM3vElrtiOr3$hVDK4y_|3CyCJb(i67NNg{5e zB?ZF`DTmfeaMRZuD`oPSIJ3s##4mO>z4{!uN3M;0?9!t9CV92K1lx|udzLp5Lc4xI zbMiqU>!a_Ae}^fuz!qkFbnYRWYFwdNomI;Sf85HVu5JxKN|3k4kNVeWj2NCgW`{PQ zJ_g}#-5>|H_i4b-H#w>Yo(i?^|A;a~P#m8w_`I`WPEG>k4{{JfI-% zY+-=G>;GerV{07d!+kOCOYWP*Fkg(W{j)e4xiiptVPY})VpR33#86+1wC2N6s0+el ze{h%4HiFI__tn)GyJr3v>U6QzmmDp|vVHi@g#;=+@mCU<7e?#3VT|Q{M6s8CL0Cs6 ztL+)st;TmS-_F(^w^WZ+)?Yotq73AGylBp2lMDRT&MBjmVxw_)l(i8+D)Bd43>v}v zY&6D=AzfmKjmCJ$p`J@fjJ?4_ROopPe;;nIkuY+3%ct0DG>7R&utJ3QDwBwXc|6aP`wO%+^QiOE#5naWu0AFio0^QAx%gKE`}^a&972}<<=>#!WUp^y0P_m+ ztQ0~X^AhAVT-qcsTb@908MXd@f1zK7xcHHEfvo?53`+x#>M63C@4A!FJVhGw755^# zr^tXVCtQZ^$L~p;UV_Za&08!(_r%i3?hoz<)MgPzPriH> z$0~2$2l|EYfyVaInHEbt#?bufAOG-&pwqaD&leyrn3v#aYotO9w>&Ysf19hgaLbdJ zrJj8j!SW=-VlNO75)!n$k56z%5<tGw;ypzkkUwTjTy8WvQ;fXQ{Sw)NUyBfVB?MNgiD8h-;9>=18l4J z|0MXu-=U|nCO%pYv1v))f4oL+y@3lJzrOzB>law)Qdyg=>S8`Mqas8QF_y{m~!! z5QEC;8O3+dN1eW^UV;;Cg_{F$t;s#^E*9a;Q_$Nl-hvu)%!!2JOEcYGvdp3T(p_lKBa=KJX__w#u9)r@AvlI?_$e+2Z@t8c&ucvsZUd}ks z&)6BKmk~W|CR&O*cphchkv^9!BouYf@-bMPxsByAI@=gRQ%wS5i2{vpe~B&-Yi4LZ z*1?BXKZ8H}8mtsv+2!69;|&GDb@NCzn_`XA7`K~BydG##e=VaoO(F5w<&=!1#z@h( zB^KGSRT18$+z``;{gqDMA)BL54R6fS>9OXX&%b(u2LtbbcBST!W;cT8GuL<9x7gu^ zUXcgbw>i%Zi-kJuSZ_zpMU198eQRYo0*I+j#eS2?=c(W~)oF;_vho;Ab())!;%H?F zylU4})6y%Zf6MZdU6y35-s@KlKtft~p$O)q(^0rH9YFEXF&szCvnf702i6e0w&eHG z*%@9)64QNjcI$1i9ENFs%?U+BGWziT(kO)Z=qQ%UH6p5y&aTB8#$)o)iOp$9L+Nay zk52Ak+#h=PM|^AXCe5ze!jIu{>3Lsk&yx z+!w`Lx_B<$#BGPTB}HE>+U1Y|f=8)I8A5`APor}4NJ`L7aET$*6w(H*6?_76 z22S>+-Vz|1puPmX>&I$z%~73x66+42v!b7XgV>W>DS^Up)f7ht_>F!}*TOReM57p(C z?yz7+#5`s&FEgzJ8{mYWKS;R5SRO;7sJq-{Y*+fFFT&bM-Vei06~BTE@+_7LHYTn4ufl@k<|{hs zvoPPJ;1Z-13{j5BjGUHJ3vlQefj;}@jM%{d5*yU9cAD)wMgp;mc5C0*mU``#(C65v zxvxj0ZE+|jNco+or6P*Hi)HNB5jt#6f68C{>0_{+6k%qO#vJY!xhKSatEBopOTCy@ z-XSDc*do!y=5KQ$21+4^eZveMM2|tWtu~6oiV4QAe!nD#e`e^9 z;C4xk(T#~jcSnL4)oQ^<1p%0}rW@%y)L;f@!=ttn0tmT3jvjP1Pn`0YteYYtLnjza;vayy zgVjPQqjgh6>~bxOXx$Vsa+dv%1-CIPJg-Ra)!Wfh*OxEAPJZT?5MmEyGnsX; z35tsas&PJ+dB61)%g{<$M{|lr=wShiZPjZSXZ*vT{gr2i=hATshwcYe?DC+j$s%1aX=QDVq!O0Fwue+9Elz)a1XeRo1z3 zhKY<(ol8?95@s1&5nD^Eed171{Q6)rWb)$ju5yAy0yC={qnFuae+Q|&jQA85EgbPE zViyr52hd{115n?%t>#n2<%eKXIdC8+jaRIlsSoGStOvrXHvfq3I#q1ejtxp{%Y^wP;8P6F6K6j`R_lv5-}}*vH$hMFMjq7@A0tZs$%pYg@fMf%`$R@`>c3{F z&Ntme|39Wg{}H;0f4;-8)ck;H=#r_NO$JHtr<8i4TUFy$M7;>gjH;V0oqQTIx;d4e zE21%@Ii1TI4yiZk;QmI*f-$0v2Ti!0jE50DJlimk8PQHvnSwTuu`e#ML&$)2<{e$; zLbs7X&|IO)<1+9ZA!|zqQQ7tg(o#e^I-cnd?HDSwmNil0$8c znorKD4;F6Kcoty%xSYdk5ku=&dzJN+)cUn{ z>^$N5*vf*Ef098Nl&y^Dtt}KV4ewc*$w^|7`oij#PJ0>VTt5Ns33C^VPDp zq~ulHh7RgkcG9`2Cnu@MI*A_9XgSm#vvrkwK?nsPBVta4FvxMEJ!D)C!x=lS6=uw0 z{D1ym!KI-wfJP@;lTtUNL{AO(LD(@v5c>&G#^&p2f21Ey8TKo)`)DN={6__uJ0T)E zfEc04)k{bq;c-rx2`Z;P0!1nH#{mpXUtive;%~VW1dMQP))OF`+%_n@ZM4o$790MqT`10S=9DGP0_A~fmO>%b=w6rR_%Ia zcuD|c)#9>-F429T!m1UX75+UPRV!aijposiw279mdind2wDQBrE-sxibD29`A{u3; zJ~(J$qRi&*44!6B0x5R2m2xPekz(tY^QrU(f63gY8!d~83oF!>RWZzfdkCCIaYjs< zKu3>**}aNp+V~q>*1oddG|7E^mxp=EZvTnxuJBH7TsTDim9kkQMhAO07rqZ}*mq&*V&CLJ->I!&c?uEI{c zIZzHKvb1)IMc{B0rpg6AmBT4XK3SGR`i6Ip7cD{(auZtb*kI9+8)xF)1RsXTf7I2D zglg==SpjoL!nMVt=o6=iJ4T00KhnTD$t866+(7&|}!eMx^+&8_=`1 z6Dz0E1e&o0t$^HzJ$`1H643#Je_r#LhD8Mgls(RH4y-+U!g6uVf(ZV-VN;u!o{pqm zKk$DzPxD!JX+~23MgE_=KdR;ybdg_|KeV+bK<&pr0lV%P0MpxJJ2SR~jC%V?_He3{ z+}&>lDf+jIfgZI5hV4cu$+IO zDC)z8%((!vqP|&X)j=lHe~iSnX(Vt({jRUIfK5=;=d)JB1rSBOYU;Y4OI6h4XIC=i zLVr>7Mu%x>#PJG1PT=%ys}l?d~__Z8D6j z={dYl;z7pMgg2CxGpN{U?)VNaLB)1np4`favh{iRc$$nppQdQGe=0=+*QYn4H^XTY zHt-J^b5m3*BB{o`AzPC|s%orSo=;>$jN1CUzJQ6oc}-R`8zSKztQUr%+3Rn;diDn8 zYl6H@7a;Ax`E801!g6H00y<#lA(%;D?DiTzEmj{ylSapnwTIu(Cd(9*MTcf0E*REIhjS+TD?R%Ogpt$LCAQEQ&gsxT^`{l7!EVt)m<+K0ETu zpx0nMZ>dy*mi@g_!87Cl3BpqJSMRVKzs;>YTy%_Oy14sQ*93`PwJ59t05Q40lG zT=@C@2yCr{gt8_vs1D~pq8b(9Xg+z^XZQz%K=msb78Qk zfi{m1`6~NSe@P|@TCG8jGQ*PJHbdqjzR}Gvu=NQj%&wkdkhmWpQF?wO z-*5b}X>;pXG~jRK<#YrXk~n)n^ijZWx`rb zPe=mYbe$oC{V67Hh|4||f7>^*{2=jDuS^I1`G@H|@d20Nwcki~ z-$-`s#pfs!__sl?zxeFAhsk&Y zE^A|FSOjfv)#W%1fNFattudBO)Arn|{tY=z+l!p9o#2zSz5TcWvjnE?ZEEzmU#Lgh z8_X=3mXox-_Nv+R0IIfE(RX&oWZBXfWln&O4-W(}hKpPVmyAc?AS@(XPS*DF+e!<> ze{^l{B5QwBO4RlYJC_Ags3_P3&Hs)p|GCanr}oRzO%~|UT9=;9GG{MZh`7$v<6g0&iWpikv;G9`gz$S@;w(zE(@A`oDyTno9Qdq0L+*2&GKea8ojY*B zrP){HM%ZmqMp^Lga8%7^k_8Qp;ZY7%7MwVaQZmSb`ZC8dk0uMYYz`X4Fj+9`|6%Vv zgCp6pJHIH4@*rn}!>bX8MjTSo6FJ+Bc0+Q>UGgn=cNo%=F{_BB+(P}@%rvLX8-H~7 zym_mj1fbx(_a3M!6g*Jy-WGs@N5Q)S3a;S2F96ECS%u2Xd$Tz!1w*ll_u+kbjWSu8 z_nv#s`Tfs1L4H;~+QMQw?510Ra>0nexM}%kzbpF<4&zAmA_;7|79;RbeVDfO55U6= zTcSaV!oxg`?SzNG!=|gQ5+w&btbc8~-4V0lVMkP8Je&c+fQP5`v;PEPhdCSJm3+MH z`4Kqq&ie@$Ivkw!_Qr0Gd!V$ee$h1}Y^A6(7}K61=rpPgDfplmR8wZ(5_a!C2JM~A zMmHQRJf0iqQ($1>=;3X?k^u{^>t`$+*^T?)Ja%o-4GRnB)dLk+SU9_U>VGY~gN0kG z;ZrIuSlBSP*MA2KuNvoL1WZ^MYZ#gov0>qMhslaXNDqPf{J0X~h!oa{GWu`hS_z~W zi<1OwSXj81m&{Ry^axC*Bo<(Y`g(wn*zOLO{h)2NZ2#DDF`E|d+!!0>9JDa1qO_QY zrG*35umfy1^dT_mhmRN?d4JRE>z7nmL|C9J>vLx!!gBNE4G)J1TT*8yStI4Wv8i(Bg?`Gf8f`0=CTAII@$yeey z;zdf!5ueEst0z_rSbyyvFnH^R+_^Yn?R0V)R;+Lzw2s^sK=a4oh`}L=|0{|SI~%4G zL=24Bby3u=V5d5$V{zSjQ-*D#@dS)Fj15U$7_qx8W=Vm;hy!EAe%KxR0Bj`>Rl`yQ z`V9riVM{hS8zkCmH-FcZOprL&HxkZAL1N%qBa|F7Kw|%S!VK(1f*x(J-u@gO_9TUK_Itj^LQb2a72 zFr0uUTb3Lpj)s)&A|Z}Amf1$M0tQ~ptL^ufaN@SKQ&nqS98K0L6>y+wEqR3oo`N23xW1Kp%tn273awo_8s>q?>FA zgXfEhv3dWRi5C|_Y79~gUTiB%-;|?xF{F5M3F(kPxyRN{vUw?j7w6)JD|k4(SQrp6 zCT3OaUgm{viGNspvBu^*sbuoSO}*(|#!+b1mOupFdy&2C@R(2m`WnR{ce|f&B>oVuVxR}NlTc+CQWuJXl_HDc;3c9Df z|1TPG>{pk>AlX+6=xt`^#PBg?vs!~?&blzA#Fje7(^2XcsvLE4iW6|~GwGzV{ zCvJLX1T3tWlAFlv3s<)Wz`7Qp#$>af*m7 zd8JOziKxEsb78{k#UwQ!iwOfwd*xVN&^=)G8Gkwx;xVD=sz}Qxt57*YLs(r1&Mk$> zZaA1&ch#1oKw)C;z-oyI0~2d5ns($&m{_+J8-%#zlw8<6YUwn z2kuOmc;mM{?vB93z@vf?a&0nT;#6zx7Z{c}U3*d>cho$Fw?_G^@Gw!GSv$#t`9m5^ z?0@s~=5YC*fTD@`c@-XI-vd_N*8k!{iK)XQ5n^CI zB^D2FPvTIb?#3_AIjwElr>sDVMTvfk-G8%6HcGsRF3*&rDDgO})`YJCJlgI{kg!pr z|KMVyhzlj!E-&>82TIhg?u`rZC^3F#e1=)+x6*H3K-7X%`Z=dUZBU^ou|u6a!yLg- zQ&f{9VDiHD#auHsaYzImiJ>QCCjl2P+>B29bp#h0^uv+lK0|R~Ta@P8C@t)&YJb?0 zuY%eA!jB>WFw`0K9Tki&z10uqAH8>J|>E2`I5vir7E+|D`|7fc2%2)BzY-u^o_wzD*> z>_Jrdo;)&VFAkREY>0Om6n_$r9c-{M<$vLhgLvBmol7bl#48?O9CLF(yv&q~EH?z= zrA~zPis=yV%6DgkBjx@WBrdncVyXEg+*4aW7Dq>z*t4tgph;s1zl|QN@$dCJf-76f>6Hs)ql7vW;_46i1T9= zmh$Z-=O?p9i02&ZJ29nyTPubQtpEQAcxzTHDkkNt^DAg{!%;rng7sSNq8c4GopBYLc{70XMoyOuPBrwSq1Q z!t&Jp#p!MwEU$iYLFZ1!FkgK1djA0&=|assHrlgf>^7lwfkE-5{X&H8*~+H6Is3(r z=z|*h_+ZKd6l-I?g=c%Gb5$4QBt?qtrCc@qD-`V=W>*X-aet){9zbvKevK!4=h{rY z6ifD^FQcUxve$OBq7^g9-fntpEJyt~5g1S0?kTZk?{;;dorfcP@utKs$sO6NX*vkv zbCEsYxzJkC-GIq+;{c27ZR<3vXc=HY*QOf`56Om~BQx4A4$c=gc-f5|%kl)wjU;bM zF`UnMy4@^cbAP_ez`!t$4g-(CzE8HDqbP83ZSjDQ<9zFbHH|zr=UbU4l?`~#ci4ET z6=6AFQlPd3+W_hz7_pkud34S2`v?1IN!d_ClFit_J0UyyvYuEOwLzQeOo8Saz4$< zc87|_`NE8A>qt-Tv*}j58{`L1iCYhbQ_DJW*ss5IyTP4-{hC)2g3;2z>@K@qibHyZ zIc+OKmsd#s(6e>}wxZ-7xEUgyF%F_<%U>()ZK3+b(gR#yu#NUw4@=2Au~=ZQl!IzHl0@pR9Y za5|v8%bz)5f_|;mi;3~-mfF&kD8}ngT8?vPW4!K*!Vo!*(3Mvfp5qz-`}&!3`78ie zm!CQ+WdgYU!|5ss3&4%+>se%X&>gNf`wN&n&cEk?l2b8x z+)+cot_08H@&_W$=_7Z&tE&Tr7#d0b)qHp@z<2M(T3GP z5JqS%+9sv}xRB87Od%b>n2BS<6GmgA#(4g{Ax){-Jbhb7lpcLXd1cWLfylA{Q2zqyqXjNc)+wVIt(c4ePw zcIX^N3O{@I9t4bT*76xVZqbmrgR9ybT^Q`+XxaD>M7PGRDo`G`S`!w|$>S!rw#IoF z9=Dg|edx~SacvoEH)NUV9gl07Ie*6BxbEexM(o-709+;oWN~Ce9)o0iUXT>a<4Thv zSFkCmdqAV^?&auTI$V3KmElO+Var&o5+!ZLsbME73~38ro>&zzNn1v3#VuCja32(x z1V;05q^+pJcR-Agwm{8c1&>ME!k5)4XxZMLwzm^$KAKpS;w#6XwwUnxW`C-}&6(!_ zF|j=LM%|+iDy#sTkT$OwY=4u;lg!iRkz$8WR z+jQrFKi`4h$}f*2RT%sh5w2@gp!lulTz$*x3%YVKk)~weH)G*h5*@!4R%m-%NPO3oy48-J1Wdr&LCsshx5b{WIyC3Yq+itY*^ z>U^Wm*nQy%tK6X8$$nnM*{{F(a&U~J)8<3aR&r=Z+ApQ`Zd2_y?;Q5D(SsvCjY0>Mq^!AP&yM}M z<{l{uVth4M5r2GoW-@MhVMjtIv`yJ5V;qkBeNYuM*XWK()R>|VH{CcW^R0DY#+}YH z2N(T}0;CMf5;k)yW#@m(cPfHKm>^*3{1jj4_5>W(muY46?g)_)W_L6cSn4h*;7IJ< z2RGn}@T1*$ zY!_P((6w#o#{PB>a5aCEj7_iH1J*Iqs2d9Cqho6Q`D{F!8FQN~V?=#>b^Fr_S8Azz zUPrYRzRNM6%nq%wy42lX`)Ckuwp*1I!O`XK0Z2}pFiBXkY-vj9vO6X>t(`eKmeVFm zxbzoOlYhS7WqX_P$7R8A+KTfLE#z88sNwKPoN?Bj z(Zw{|Q^v3#^AL0fBpgbZ`Cn74PoxURi&q9RCU~qYtNC`lja9q85Sg!6Fns5(lg>Hi!v3ZHpQPYf&m0k_lSi2Up6K(y*wNw#9Lb*o`8d`@dRx5N5bs1 zPDhKFg=F3OBQlfj%wZa{cfQZ&+SS7+t$!+p{^~NyN@=EWyfxbz*zbnRTo?7~v!$HM zg!#DUM2>D!kHB{JIpKghRO@<>KNjbX0}pZPiez@;i`X;c1pzVc%mml%sDJm8 z1WOsmY~@R??8#=Srk#g0+lyOC#p-h&fx3&SG^8;_ly6L{lnJ~ljVVJM8ijjcVSjc@ zj6#gAnf_S?qISqz8Z^7J#b{$2JMFBzQA}QG7$%*YH>{hLBT}a2^qopt{L|J`J*z(5*p!WPQR`8ir#`^+XpZeF3 zXDyn!s>sa$pD+|)Ey=1EGqiM>r=uwf6fE{eFE8RIP_~pLG>Pz}Z&=qp&wnu!{Rs%S zoE^d0Oi#T)MSbFy1cPS!mj<&XOhG}Qp{+-W$;ED}$+jylFx0xdx5dNc3cCVYRyf)X z7MOxEWms`o>+H?2z@hJNHqYQke?J5r6((=VFVD9!O4MpMoUp@xlAM>!62IEhdOPH( z&?!D3{ggfu2x?mbPR@heP=72nXu4j(Hln-_T3hS1N=KG5TYY@x&eB74O%AW4B^{~# ztp^eub4tqGuEVNvAA|0$(Rj|5=p9q0St-JWMvt3fd3VIveycXg91_TmU41`pu+(<^ z;Jy%%f8|t`&xsKER%K69znnv7m=)Doj_qW4ALP%Qf|#Ax!u8Xk9DhPf4`2ywx8fC0 zg%y$YA`VenVaxHfkS^xT$V$i;lUw~OkX4+mr?rKK&foFk9-oubZy#N1_~d)NKu>MM zEp{CHTun>|GMQu{xjoN~rnX$oZy9r=$+tU;%S>`k(w@ENmq$kLYzbLz>*U`e{AE&o z)sEF+Jq8`;Ed^{|*?+x83ND#yiSl{h2q_ndD+|&^V4d+tpuhDn2Mg5h0soo9E*Y1m za%p#}S%%2423n{2Y>u=TtnFjfd)@kUcd3{at)mmieb`3+k3eGDUIXIFQ!(*@Ur5zm zcZ=iJ=mxgTm6A5=tVQW?8U8cU1^Tl)!+0O$m5rqGFj_WS=znD&XHi(#;jTf3Rg?`S zWLLnVqo-eiikR?7EMb2PR?9ESq&T@s-C)rfbAVUnZD6PyB12jmzBZ_sa=-ZkzZn%n zf^-`+aVTXgcw#hbMvfxohI;>bDW22JpR z4JiTXZLb&<(Nu5?JGurjD&wi$8*3LK4LPF>=gbij@nxM_9xI_k?5xbYC3RYjaijgT_KAWiR zTBh1$cz)kE6tT<43E48tnLcjpGL*rah74@&o(EXCEH37|D0D?>`3Mr8P|n7xxpGO4 zV&?f+&3_TcxQ5Kpf9yYfMIE zW&iab|DNo3nJ@blnM$U3@e9H;e?^8r|2YD@|9__x?svErfU8b!W_j#K3;~*!(Wmw_;;k|XIczgcEc9XHN};cNf7IK;OmIBR@JV>g}V4c#Pp2s_N5D$ z{_a#k?3{p|bvR!-UJT}KRWd< z5aGOvKy_>=c4*yRWvc;u^ycJ+=oMtN^CgMzyxxL{f`A}2EmW7hKFLvO@)&gGC4UxS zn^8Xm_0jrij)J!Q@<8NFCho&}i_emfk>*}KSR2KL&<{ZKx~&$f#GJjd$*IkRhnm%G zw(&XQOK@pe8ofpdD2lP$z$bG`E}E2N}7Baek2 z683+3|Ej~)tukJF`aI>LZ;;d3T=nw10cI@#0W92P75?nH~b$5AF$xe^>*h3?@W`Eb66;@^nu{nkO zAX5oe5Bw0MwH58U(X}8|Gu2mkx}BetJtoD;V|0NdnQ|ugH|M15$bw73yN@5hxyk0P%LRI#!8jMJ(!wENJfuUmJ{M zlr$-D>BNI9)1Z*4pHdGumhfJm<`3R~;{DBrHY5X;o5{>JAmmZ11P> z(5%#6V&FF4r2sNDOxB9=!{g%fW}e^>Z-3EDJfAb;ow?mIibG}7(LUZ16gRGyo#eua z<@eL4rUYDb=VQw4}fMa*uY2m?0<>wJWYaw^OftmNp_pZTH95z zl%@DGc7|W`n1V!&HDz6Z^7!GTwILxsgF4qTt5?$W4f(#ui3&`5E-yQ!&y7vCwZ*;% z91UCUgNkGA8H_=QOLGKPASbb8L&i!JhNZ4*ASh(Y2|<1P{+^fy3Uk&XYLI||N=}bX zFYV!G#D8UvB&>4Gh^wtXh?3GO#-_4YkEuywaBVj(nfn+W98=HoDtIWV^NlHrz*@T} zpeJBx7E3T5gF;Jx3QW!s$J`4q!VA39a)T~g&z6vpw$7~@oDr}kFFDb}{;+x6t9Kwk zbF{0(!9BZ1BVS3AE8vKeM{x$GRi1%fWh&$=pT9u;8gMhQc3mHR~y48_6DPt*ZLU+k7LHVdi6@=kXbSxrcyq8W(XvyWk zEBmSnC&Jn&-iuYCN$~cI=@2odIPCazyoSfr&O`<6uOWH}$|0z}%$Y^CiHg$SeU*?jI4J55pGbgU4!qKg3+nL)B$h^30=C5SR*Y7`m zpX|B%{=*j^Uw*6Subjk%`G@Ze31x*s_N(c%Wn3WpgTMGp_Nza7@w1PFyZ+~-mk2#S zF0}CtoB97WoB1vLVsVj~{@f(99D`bLxLFRJR5m))iUb){1RvL02+!}i<9C@1upt8PS2alK6 z&+jhL>&sVPz924?oVt=r8hKlLQUsP1&(yO&)>dtyR6^js*F2HkKp3 z5DOCv>m*DP)R7n7kC32(fRb|siv+Fsrw?$*S?+vRu9eROMgcd~XG%6O z8qD7<;oSivONeejgat-t)$s|O(z8aN>mZm@AR^Zf@X5V+>*)n{N;as`e$>*X{vFiE z>8cd8TX3Je1;*vcbbk@PUD*?`e%1R|@a%r~=PWJF{bgNURxqp)3=vME5J>4Bgx5oUJ zsi`E?RYL^u^zGMRwkz~fhCr^_{P+YIt-p9nwH6@mRZd-;Xq>TkVvei*fN$%i{QU6X-T3_S#GtIb*kuI2kf(B$3n7zJk0BXuc! z1~AJ%UaI97<9{~YJ~)H}X45q`jfw-9t=kOiu$sXE%>4U?lt@Kmtu5`6#{g!hc2hR2 zqI^a;(2%{nB8;=GH+zlU0hF>&7VqpqJ`R$wlHpE|O8Le-XAf>LbuM z7VsV9O%KT1K2&Ap@M#E5_jJ5(j$k(ZF##*2}m4A7{z#p*eTQ|vbeLPup@pJMC z6RT<^C^iL7MS<3c+Jt#mRV4}>yfd_p8sUy5ZVzD_h;{J>f&PKcRTUQ& zT%2zm<$oAVm(f2IgzEn{LaXiAT7t035^oF^oQ%mV6Qfvg?RLg0N3h`1MVJYi9_l$z zxt^@0v|Q1rS)tZq3zd_%k9r>*C=#_iv_eM4Y<@VV>3oFZL+_x|DL!?w6Sm#?^*4hT8+{9Q)B+5Pyv^)vk+4~0 zOq^LOM_6Qs&3=Kh$TIUx0-wPm+namO1)u$v4BTCX<0QNDwVKT{{{Dy5GME(2Qk8hj?Xzup-!m(<|^T_qEyujj6b}&cT z(S1-+eHkUha@GN#xgG2fz}{SIyvpT6dw+n+GygIn9lVB27mT{V>%Ok1g6BGk;d3A_ zS-*W=!ddhdF*K6Icl{pnO)uY4r)!2KJA{W@n#5nJr5UVW{twi!UKh-5Ja7Ih^2^fmc#Q}gXN;2le zSO6Sx=r@KPu=)^`_r~_YiCyr#15vfQOBJj{M6Jaekm6UF1Oo{2zJK{s^0Y~dPMl%@ zsc@fV5ZOkB-$(#4G!!>^Od4F??j`l3c}6S2RB_^8!_;}SlLYk&L3%!asO zxpvx#VNA@3&WMkw(U#RqXs|oKw#v?D!|wRLj7uSI>|#q?D165B$OxLKmZkYQCI8Hcf`cP=Mg)+se{w>Xkj1a zD3ZVAEL8h*JihmDx29o1$P*A(Ho2&v;d@>5%!ZtKYrTMFI4@Jq*niS*K=|5P0Bj&Z zg}ae+=6YO_=hVVTkAT7bXS+&rrHlxZ*lPVZ+d6E?=K~O(9yH^IiUIOsmdt!I-EwE! z9037!*3@MNq>9;Ef#l5XIytHp@HMRzNZ5rj=hW*Q73UUxFFf7hE~5Va6>)sNAn&+$StzzA#A9F_DJd2swt;x8 zgl!n}TThVTq<q!>QFWPLp%#mEm`p5=2$F=AH-bQ}{mgHx8v zG5vl|PdmopeutX_8u2%vIAz-^VC-IMK~lLIaJ?QKCCAA(jM>d5wtS=csv}&?l5b4k zn%CW#@{I;#a-Im4Z!9jaUh?tsjYIvxsDLKlXxr|xyMJNi8^*Zhb17TCaaFjwN_zf? z^FaK(p~}g>=Tdfb`qie(S;~&Bf~7t=OW845R-YzAA`9q=4`*si;Y@5=ni2k?E;PTG zPjmU-JoWtPE7FgOv}k#*(BT0%zV(ffU}Ph{YYV0H#=}(&dGXl1)?=`Bd0ZuT*{5gk zp1ywZ{(spE%08J-RuW~HG!k)d#&fG$lo+{*F2MVWqd{?ZMB2KXCO5JBghj|)a+A%} z`6~%sZW7?9?H8bOll|HA84kHgVET9^N5A6yo5f)jCNDtjR(-;bPK8&Zj21>_%NRdm$|0oQFjy%-JR7&-=%yBT??}o zyci&Jt;dI8xaz1!z!t~E7S+zn@Zy-FmI%EP5yvd)Ci9)*m@>b?AeCz?z~hr#euq^# zA%9YqXr_HTZwDiq*;z{omM}y!x7WL&LY8PIw{M`6&v+Wo!I@=i*`4WRJqMu|g&9nB z%~fLipu0<5(;swsCda62I&&_AIZ9z30`<{J6GxA{2jJ+UtX9cZ*F^hm=*4t(O?dNy zU5ct}43jN09IZI?XU)+<4(m?ri@6M40Dos^=E87;4K8c)e!cX1L6{B?=uY*e`&7xW zs+=D0d@fZ^pSE*Dj#uUE&kyyv<5W3|{W)W9s46GtGCfy-QRR#Uc=z#{s+@_|C_C0% z+y^-|6%$HCl{44gSI9$DIgRlp8Hg(9v}2)KfKlZ%FNIkI4Bq4c5^6VcNgo!8|9@c= zWlRnHFC8+TR_%415-;QFu5Ygqvt>Nh&8ftWhKU8zxU({@#eSQ^oFbq2(aHfHm&j*% zyE@s8y(@_ovuL%N#GK-vrQ-fWIbHk{bX~AaA_S*D?gbZ6f_3Q6F^Ztg<%@o@9|lVi zRI9uES4=_BRcSyFk0A(3m{OPWsDFd!HF=ra3D-r?>!2>5xEo;Z%r`>jN^ZmU*t=2s z8M!M_{*@OIF@v6wCanS^E}GJmCo3Ifk;>}a_-@_ehPBT4R33{EkArd?yN7Fklb-5% z1A^nKR-_mxLwcDJ8~F-{ZYezB)DNRu%4m(O=Ye8Ya66cs}4$0iz73?bC$b$5;%QwX)t zon_?X!UE#Z(lyNl3NXGFbbtDcnzK}_&i)=4N!+kY7z(P6=G_4mqM%BtKfaK#Geq#i z$1cOqB&fEkaKA6ujjgQ;wpos(3~g0XcHEBQ2T<7}OMD`ezj^WI`^0}_BKTW@cYUns z|NNaGk!(%KeC0P?tH0@5{g2YM`n$Mw=2B!$HHC)@n98g3xLv)J)_={nIBvCDiYV_9xYv6ZzUYCy#nTNU|5#`0NWtI2dzu8d1;Wo=H|6R~ww-t&^<@_yD}SakFE0deimAE`m8S|u zzr3Q|+gJ|m_7gA_($&jD^-b0DL+N6yz9}pr<4}a_tbS9MdyW+9XOwp~DA-*MOUJ7E zuzh~!d(%&qIL%U7nO_8U+-$q8J5%N8wYE80(dv%ql)Nj9gqK9?P}b3E4B~KsAA_*I z<_+wL{17CLOn>@vROgpvWrkp?^GmFI`!a^u$X7pJgvF*0z)ewQgOWp36m2bNfx#=` zk2=&rAaZ!KmPf11ub8c?bVrm%me$=trqU=RI=@?i)fC-iY?qM&YnrC0Gpyu)MEja& z_F9jyy1_>vx4Ab`$P^9bt_Ecb>2{B}_|tIP0(R)EZ-3t87MTZtqMn_Sh1VEOPwi0P zh7{50G;F5M3prc6_S@R_I7|5L(fyescJu6j+4^d1wZ)cWQT2j>%|~rQbOYIsY3(zO(3kTPFLoQYR=bGwcN6$Dn32N+ZT8QFJBeb-2m01-BECa1Rp) z(4ROCAqNkd)P`jX8I8jVm&Z~$)V%jW(?$N01b=FDpF1XAi!R)v|0QvoYPmac;ASUDF>EJKu@TBt8U55#43T z6Qkf|;c5GX1S9Ft&SeELbE$-VOI~iC<*ex+KP&7I;WVBez;7ex$2djD?)ZvEz*cl* z+<(|o6da0<{*LP^K337u8+=uQt#5q@!gK3d7=veT#zJ*?MTRc7DTT-E6KjlLy^!EU z8eyTkeLSX^B0pI>Eo3zM&J14dlQX0gwb6rVpOjLR&g+tu7+FL^`C%>=6g&cDhryHV zMyt753w1oK{Glo+CX%jnsLAy^M+VPc_m1f7x>QmbfWx%AkgbH|Y7zKHHlIh!oUZck53ncZ@4 z)ho?%E~1=fSyl6Y`G(vlLl^B*7Hp0$J?IzGxNm#ukT-11{}pj-fjT|G7khX=2Iq~- zH#nN>Q@3Ek$_SnSzw(ZF`hU3D;Q_l*OvkrnXX<4Uif=R^(qSIJf#89fBH8#5w#Y)qOqJ2bAuV}H_t_As>q!K7QM zK~#qy+BK$_&TkYb0$qyEI&@s;P7h(*sRRitK(5UVi?Oks_pzY zxIm^c?kY=wnK)bAk}cxmo0_=paRFx7)b>e*UCQR3#Ycu#Ih}jy2sc zG7P>v9iR4=Gx4QQ>sbXy)7r`G(pCv>p3u3aHHe3B%{Yr8M9A#*?UUZMDnn3bYKyVN zosBvx46|*BrjYn489v%jHwU>~Ozqha;K^m?bW4_qO)jsj+JAnI3B^yqT>qqjbG~fP z*3hhyHeWWfYns&LFlPZ&HGJk6?Kg1S8ZO7+#wGpKhLCZPLEM;Hm~E0XdE?rYHATSS zjke_xvzRss&1^lImE6rou}#K=;l=>0O;ikuF}$#Ha664W-571D%W4Au5Hm2MAbYxp z??8f6)#Dl^mVffC4H*uQe$YAfB{mhx>asct(s^_rhOnrUQD>z*EWg{EOx!{m88n2> zFDbbAo%hLnJ+za*`@3M|VD10Ffm9=1#VVa z{p2W_vrQaayR*q$Q`W?pj6vp>_v}NGA3(w(i~beauhaSllflok_g#+@CDt5qhk;I+@2VLXYyhDRi~9 z&sCQjU_S+fn{1eReg{Pz`#u9bJ zn1aVdV_J|9N!G582bM59sxFnR?kmvTYC>#Sv4o>6FYCB;Rz@#oD@-WfMmlOHon9xp zfA)K_@6x*b(r>jRh1xV~oo38TwJ)wyT+)>eOD{RHyRmAEhSN1wGDbqIzUe$)NlQoh zn17E9xEh=K>-9(yQ8DvOw*$?5c6~{0+-!r2R*edq9;J;P{CTZx{U4)fogc=3^f(h8AuJz_)?sU5g4ts^%W=_`q7}|y<`a* z5H*`~`V@?SD89*DA$Ey4>yPa7&@CbE@PyUppK^-Y{LVAgQo5)uT2nQy!Z?)UwtvYf zr)#z_HBc%?)mk}mnoXHQ%jA2QwCc|AcuE@wN15`Y^}5wI8RCCj8(N~=e*jIi%=$;J zf!^dkBN4^34_>do)%!=t_<8!@zmpe=nWtYkW zf~na0icEJ$-+0dtuRnUey63F9dw=%k0~ikooTCeVCX!c^+_8cm<4C_ohzNf4{v~#I zMDU|;UNA`*f}gzcI0Lrzl40b+prE-smXOst1;gFZ9b2fTKy-0WN$r&L<90BqJklZq3p|vL@H8SZ9*BU-5?$K&HLddyEcjBJ0lHHs@PJVh}5l2B}>Y)6|P(5m>phIcit)Hkn$8o#j90xDUV6N)!`bX5p%wO zBF9R33Vbs3lA7)8^c9 zqoe}!w10?gO*Ef#29M@{_Gh5cZ{jZzl}~2TS+k6;@;R(2-sUK-cz+Cbw$fs-8o7rc zI9R{Hq4L=dh#FO(Dj)C0<6_c7ajAR^@zLL7w`-=r$MSB*f5)NmsZ5PuSJ0w@wEdzp zF{0-wwhUfM7ai>U#1{ znv#{Ax}K2Lhyy;Cu4iR0F;dRf^_a2o8jra(3dv7Ik&fpl>&r0H$!J+L= z%W(;QPRb_tBxq3DXUa2SPeTvTHC>d5?cetpgr2T9Uh~IcLbG~z3ZDI#!@s=~@N~|N)N=NCDb;37ikt$Toa@LY z8B@Sx8rtqfhV90lS6y%n<>)DltHjp!KLHn;`8Q&WfM;(pYys)XvYu9Q&N(^V&{W=u zol)xn_Sd$`#5e^{YI#JQgq=c&9c|1PaVU6NZ+rbDSbqhNWhcfTE`Fz*_>$OV#Ifc1 z)fZqk>rjuSj}JjfYQemMo`^8?EdXFZpT9@o)jidQ#cl;t-4kv(8{p&AJ(jGcODP=t zPT12|DvK9qw#p@fZn@x)$S*;**0t^1FQ$ z7zNPSdQu!mW0ZeZ@6t;*mI6q3ws?qdfReRe8mOS9T=vcmsm?ld1yIp(z?2G;hdHoq z28kF7po@M}i3m{u`S^CNi*cHtIlJY8)^(HoWgGh?*&LdmnD(;_Shse!EXYP!o-e$- z$c1rrTQ|j_+j|Hsb$c-!qds;{)c)vHkIDJ&Zg*4?6g7WU*w14~f_erLN)>oXP?)Zv z0Bg~nfc?U=RH;i6lv-k(kzgc2;n^n{Sg(hO4^~DhN!1EN5>z$b|GzU8L8&*_^#Zg> zNAY3bnVdb)BYUCzF-{Q_H`shF!YG3JhJAYEEJaXDZ&{O)sR*jwEZ4FJDtlMoB=Fgi zpw{e2s;hrDQxcS3lxdUWBtb!o`ei=b(fQ!@i?_gNCS6IoSm-e3yok>!7RoDMU6DKH zgA7MT%Q&?{R&7nGJFC%!_UtH+v||`yP+jz>YjTLU&uubho^5NDZ-dB{C^VkkbYma+ zV-OTNb}q%`5J%^3sf~fv1sx_v7z9jRP6r}IAKtA^QM8_a-vl;0GBdd7-Wg+ED$k;K_fwlH<*?afji;x0=zKDx)PC3 zZ#hwH*B|31hHS5N`6ClUO5*l{MNDB(n0+CTW6r^Spgzq=6a4bRpdsTfoO($Y2DJqn=$t7Ay62ZRxE$*s%ODNH!cS3mBBL*!y~tX+ap1Ou6y<;njPX zt;nA)Qp+&S7kp>ZuQ-%<4?%BBT#N|SEJa+d*K*`z9ssLNyDG$JmSUT_LIrHiQsdB3 z0b>%%S+-rr)&-ODwa~skY@NviP%(coam-PV{}}jem8Yn%nx%k|o&&_GrSuHy9do-1 zFIqAM&Xl_0L`z0n*@_qyEe-U99VjuPrGd7fF?XhDX?xkf0K0qdgT(gA7#>cv6kuzp z6e6OfjE0sXB~!GdDb5=cAflzU+0Y~3shJ||2VG*y3#O@= z^eaKxVuzloEiFDoiNQ~c3)$@$J=0=tpjF7!Gldk_w<#EUrtRp;O*fjJDR`&eMgd?d zZh^Ol%m8|Q0B6Z7kuI&%zF{tXwfg1q>-ehQw`ail)+ zko5ggMWyM1D9p8UUefdTj#RVpRhikdGnB@G4tw4p5L`~G@kBdk!3H>ssL-x#J zG(q5Dsha0Br6fZkP8)}A5S&LX7P&JqXI89t8fiD7RUywEQrPeL@+Bw@4f#E$@Mz@)&q$4rCRYoh%r5{QG^;bW}1K^y5sC_Zn%8h@xCB&6d=}Av`l)z}fZ$)1O zYaBj&^^R&&L)vUFQ0IS8rE;EH(<^zm70B(w|dSMccd^PcFs4h%{{oYZ~`=(AHYN!hF z??oq3YchXlB*ffKo?lVN71*vH30E=Mbb4;vI$VBtXWCa!zb3a;P1X56bSvP>C;xl( z_UGguL3Cf1p3=~LAQtx<*d&_pcN%g`0&+XUt0k@hUM}%To<9RuO?%r|=l&6>kH|{o z-$@;t#%kAzF#gIJdH(ThDiHgQj0Jr0+Vxn*qHnz&H!s*Ey7 z63DygcnWV{d_~^S082tHBpQ?{dGVUOq2$9RZAwsWL6?k$^7q3`C$M7xy!p=w#N;u+lU?FNRnC41V{QUN7E!`~`L0A6~rq60G^$ zSQTt-KviSmyp*L4s9K1uLdYQ%<_{EyH%ORD)tvG28Zx?NC{@G8tpD~-6;OLRo$iiR z1=JpN<#1%5J-|VTW{^~^iAm!_+}@dD%g=wHe}-5>;<1c}mFz*K22|vcPmcIU|C-<+ zj=1s(sBMlN=A$Zt*ojLkz9EP)r=~|inf_^*(<>;-WhKO?LhNXi9jk>@ zpFEbT){?w>DeWgYK+FmdXFHO>4gY~|>*4POy| z_~0-PFM+>yK`(RKCDNpP@#5(R;ue2=Bz}J4K&26y8|H2Wx}&QLj)nIa82q&f*z${q zVAP&)>aa1ME?Z8X{j*^{eM;x*pxx+qKP3!m!CuuhwqENYNK5edW*)J`PbxGo8F;7y zW9K;G7D^!478q0%S9J&(9awrgHc{e9WuH@`s_Wb_dhMo;`2z)qYU4`n7Y={ZNMFEH zhwRS(^!*2L8K&K(H)jdn%$SfNVvgL}zI+)&%(0mixg*4iIaY?3bEqjagbn-2yVr!v z@#YHOe;q3zTJ?E7Qrusu2-(Dpn7d^{HJ5R}m`QmP9cU|fp;JZ;e%A=p& zq;~*aJ5XJr?uPE~olgnR5Dia_2E6) zz3u^+o}VtmlG#U~wMbK?VhT^!)A8cn-RKqqgB~b@_MD zM7#vYO97P<)<$^u@dMas+zL|Slq|-9;Z2@X$x@d(x+1_RS?cvwgD`(RN^=DXPvv&S zw_Jt@T*B9uTVORB`8q0-OZuPSvcD~pbG%enYIeDj%!<+v^%qnI_@yUtz=5mHu}~~^ zzYkUe_lM<(qGfn7*#~Be;0X;coQ&|t0>;)QIHAjMwYf)v3SF*N)~7H+mxVgx1eHA_ z*N~$V5M_*J#u6)Khz5Tqz`JxGo*d-myrDEMV9uOA2-Cw35A1U=RG${8{Ipc2Y9_W& zK*b8UG`VBnyak@~j>{3pvMuk~lyaaK5(=$-QNR z1&tH!cyc*0ASkUc0V8e-C~eLXvJEd4Hf0>CXR%p94=`W5lnIXs(wMye0xqA=LDNS6 zfr7O{sNgC6Jn0H4_NM^xOv-E`$u|+5)M0DkA9IufnfHIrnp7AGRoi;x4MpgQ%OcY$ zWcXozb!q6R1@m+c>dvfP?HcP`o3ZBf>N zh#n(+BtFs0aY}*=|@6?}JAl8v7U z3rB50cxlA$zYo@97t#<-6D5~X*Te?8I(oSD3MtW9ZO*%U7vECfp0HgqC}E4IW6{e&HA|LTx@Umb#dWXdtK^ytF^GBE(7JntU@&Q2pjO5FPs%o{LdS zhgpAowp{LTtbR_)A(x8`&Q6s(9Cm7BICoBA?s+OzJw+X{jzw~`G?c<~1{hxus^j;|z@b8N|CTgT#` z;T4N@ky~*pOgiG-Pdy2TJ*@E>=C){QebDCD-=QQ<_TT(eyh0r9(UpOJz>-3?#5Jzs zrI2ws8$s@r$#p(?YM;T!RP0~oPZxhmR+UGt!|JBH69iZnOVY*8@SO}QU3M2F?abDa zk_?8f^5}H>?=Z5;MAJt&S@w| z=gW;$=FhV;31kj8J~4GhdH4IFGxQFcQz+TUGJBil_^n1cMT`C?VNyqK{O5n3v@Cnq zfvFX$tKi$d@_I@{onYGDAg6+2cT z8Awv6Oij41M8#B=wp}fEKvnT8Cp91*FZ4rR0^96%1Ga(iaVlH zoj4nqlTv3h8Hjx4Of^p@?*+R#&t@`XzzpEDrNPm#R}mvUbz2!;juE{kUX?XLu;hHQ z#LuoaFHP{BP6qk;G#3MYL(Z|$A}7rn<$<_TOfTP2ZVWJLHnoh8CDwmRZ}&z6G8=#j z07iQl=S*-nxvT)=*&N+>^c3>U`=K?`VITccjT-bL{Z=usw?RLe+rd#&~*=Wa%hZ?iiXDcpM6jE8=nZEUV=a)IVZxg)L>-JFiS^e=bZwy zz`pzRu+k;>acC|pGrEUh4%ZoUIGvT*p&Yf6{0__}ByOZ;O`m@bw>CXn^pw~k#N{0< zbq7LKF~bD`oA!kUAu5cXyXZX@!_gWqp1mVp|C6V$UwS_M`O{agp%vUtnAlO$$&t(D z1rym}RN|bjsg9o;n-jWP2Bc(%OhOSR&Gz1;% zFNsxp#|@J@Lrzr8q~J?WGF=P8#(OFh48eD5LsU0+cgTO8?a6u}y>&x>%bs4~Xx%Uw z+IB#V)4N+Slru%Cq5?;|a%E20Ih7lOyXhs!96kvYaB9bo&(oI_4quZ>GptPbggbm- z@-E+hOfug0l;_;+9sL6c=U@;*yx{(0h=AXNio?uw$bwwQFnQ)dqXDXu)R*LqrO+W= z@^u@#_A7s%-IM({T%dyVCm5%}WN?`su2u3kvdw!%gtrfQkG}1+|14aQ894qQSvq-R zb;C4uB(byz@c{#LoDV!hq%NFf72_5KuWgl`Ft0H|~Sl>bX9S)}br9@($?3 zIi94$Qj)R`^1RVoCV995f^A(>oshGDU^i7e%Xh?fu2em+Mz+to`VP8+^1#GG_|JFJ z3Ce#XD{b-rK3ZDP(0di-#?k&{rg3XVgm{$1jZ10kO~H0}egLjBjw)qnJwow}p%mWg zk8k0*0F|=T?|2D9>_@%=XF8uebx31K{QJVrv<-&ncM&)7k9C)&-U>>D05iYNU=Ac@ zBrOYYB@dJP5qkof4wIJr0v6+Kl){-9LqdN$>Vws_Rch!eGpHb84#Qgl{w1rFqM)}V zTfr)&NZ9K+b7PiLWK~R*i_ubwSmWfd9A8S&S36tJrzV4sUVtGduMV2+BO+fEU+qI-QQJ@tkM~1OtSUcjR zx8OXuB?boOl-~gY$1@L|kdmr{^Yn0D+Dp(?yB&n>1^WcV6laxVyB|LW;i-QGbrO7E zq>=LJ4IZPIWxw#GgjsI;Z+-}FysIf?7RC_=(LLrei8BR6#Y=P-nm8P%dt;9&TFM9o zNZ*Idb1Wtnx49!QA3AagQK@`}itrAaEE@BTWZF>0j6Oi)`Hp&=z_GSGXBwWC ziG~gc@hJ&~tMRhCfSa-cAD$APqbKPa{om}pXK-U#dL<}$4~(icy-zjU8qI1(T6N55 zD$8V*?5=vEf3#NG*7QuQW)<4a%}g?@ays3lsjk-UM$m%xor9LJBO~bANVMuK`-Au0w|)1l@%8_V-m+=-XV!;xrk*` z^*l}c6Wnrzrfb@aIC_K& z6)7n|?B25)B5pV^%~NNhx=KnR8ev+kn4t&;MDw)VGID}rJ3m(}2w5GnO^87uRLAsb zYyctD{LoJ0%;M1o$#(}`aU-@46<&W30U0>xRx!~uekuBvd=dJc zeVMLJ7ENK*G@#rQkuX0ICVckb6FiPM%GfdRe3i~u!G!+NoS&7AV?sQif@i2t+FI7J z)qu|!;-WltQEZZqXPx~zO|muBJ6%j`sk>ESBr=cwG%~r4mby2*CW0usM)N0>LXVYS zT0!g|lPiD0{vlw-i^Olu1k(d7Gs|X@7iZqSnhrciUX8|A>kq*R}g40DM4hB@jHj}9=<#;LA z-ZFn4`Ya!LIYD~MOe&kIa@(01tYiTT2Cv_FlS*mTNtrx|<9F5WD$6T7#xI(femPs0 zR-D;D-uRLFO zz2tJ`@G_oiz!)ItRKVdC7OB1XC89Q*wFvWg3%zJ;r zQzskJ9;wsN=p4ks8#7RICZ$nQutYbqn_E&M06fmj%L^(JOqd&&n< z8WBF|%Gx5hG`rJ;wa`esB^dfQ6nK-vhRUW+HeF#DH;^#HpehW@^!?ooKw-EaTeB$r z0+)3Om4E6NfMGxj6M%*MDNSMMd5M3jF!X-ny~6Ol!tgsN48iH*R~X)8-kfGGt^s?44URoYu(<(5E!V_o47uE29xO(<~gn; zBx&97Y3Z&6kk%Egm{d}Kh=l{d7s20PDF|iO$(%TV$!XD0-aK@-xrYp;%;bL%4#`BC z{t>d;IXlb`BvQMwlo0+wevw+%{3WcX@v5_CTtpZPya>i=1&F?{V3!GM#+rtAv{2gC zXNdMh(<|}Gxh_lYR#;SRmNs5(6Z?*4ENFZB!dnP^^aPQQ<;78?Tm3y@PQR6mCwba5 zqzM&M6jLL&R!e}Un;#(^huVK~5mn$6mm6Z@;JqjP0;ka7j6a3zo1#x6Eo@NVRJeHD z%%bX>wj3+}oloC1*44Zvf$EzUOH$MU#9SXEHwX6U08jLD#2KmE$9+DZJbLgf_z614 zdJk0|%7Q;Mc=imLuTVI+bQ#n7S*=0(Lzs*y!_m7z^E@5TGG^iSXAgfL<7spZc$B7% zYOo`Qs$Pn+RsR*X9K5W`^3^s8MRk;*oVRdbs-nsK=z1YcRTMMcV-S%Oot~Y-ljuC? z=xvn1L`Q>-iYXSo7jm-P5r9^EAF)?gHQ^rf1U5OmD;k87j6X$Y<91xMiV5*jc588m zgs#vjn^xaS0FTt&)Qo?Ja2Zb2>|_JTO(&||6Z48;K>!(!*%IaylJz!yM^OTr-lnm- zCPD(}ZIZ4-;$%?0O?PitB?D}RoN29l1y`ih>u!(v*sR1nYS1Tyn2$QzDH4^f@y%1I zFOnpu6VZ5h%Q}f8C3IF3)ya*TEi2t(m@0pz#5iMPdQ~Z=!O=sQ zzv@&-ZwQwrKdFuG9m4~DpZtUXRVa`}uS}5;XT^C~!QXft@^Da#jHM?vas#PT($v?J zOy62~h`0=fX{gTY9&#Ab93!Ap*?ngQ$ctnN*& z5|Bj*<<)<2@gkoHVSk`$8D9d}pkP#*cdszhKug}(iZqZeV4^xxhp#3QKtqSKDWSfH z<|5nItL+lQT&+JvDlV4)8#vW|zNYhx0ioL0Hg+v>sC;@yg64{eX8|C5`n80O{|G0{ zUo=H1{bwL)uQ)hTor zfD+8R9nK97jO`sYGaF!7dmdqroXqATCVfe@SBk(1}xdhj!pTSwFW4;n#vPi=}}!t7#A z`FC!LU94er!X$tbcQYoA0x8x$MaEtFOM%b*fW@FL`rLZ%#_MRZX(H)=S)fz1 zsMOq&(mD$^Z9!`8Y4zYw>15i@sx~DqZGV5_6_`9hs^?B>S#Z|t(sF+&v?TL6l9gnR zVUy^pE6(L;E&lX`eeg2dd5a1X(S%0RK(fNy|g-P{17=Q7uD?bch z@^2nLeDUBp23|69G52yZH?dxM5+x4EO}yyWm5a&T#Hu9t@tS}%aEi8Nb2Vu3TIg)yo}cToq6#Sqn!eMgfJAHNSruZ@?B9 ziIUZ~KKmJ+k#!e8bIgDGPgwcnv*kVsB@ z`$tn^KU*oguF)kS(~4YP=g@g}A1Rog zY30HBMWJhTEi!;#)KS<+D09jDqPFwnE1Z7fjWV#k2kGk%&y#qDm3V&$$jHXZjo3r) zu~xP@88CX!#eU)z7eeK!jq50bwtx5-NvYmNzl)&hY;@)8fL7Yo(fy4qY?r}-(q-25 zihxRr+3t!6=fFuZ;g?Z0_zd>(KF~{9pBudt(5NuV)p|985AwX@p>`Dyddev*5L=ZBf zZ9K<@hyUbtFp;3jj4b{?{REhdh=vjdgv_E$v91PCuYQVDX={pnZaQFakeBMV2B=7X zf+)|*&T!KsGS9^x+WT+FY?8c@JGX?+7g6=^<_F-5%vOyI1R#Ij+($-A2InPEzDV|o zIf91TiL%wz>Si1tZiKs^+C46UkwT(RE;6b8f6(i;Tj0ZBt7b8U5X{-e(~fvQq!|_EAbEs`j>yK4nCboFt}EdiZ5*+kVnt4 z@~ddK5H|vT@*F)RMvYm6o(v*rafPl*Ro>m45K1GM2yav3XALn@KSuT+bF0 zQ|ucBIE@UiFx*y!F=>_Q+NI$MuT23lBe1#w;u@(Khm@r^GwtVMYFT=3pemUOl%?g} zU0TT(cr8%a`WxtU^E6C+_U0YtxBuYn2SvZ(ElhvYU;J=^Ei|xUpCT8hwXFB8IsgA$ zWd1MEn)7!8&l!J(dUn1oS3>HtS-KxZDF1!^F&8Y$`$AX&dB!|+B_b7&vpUL>*+2n# zSXCFzrS`|P9GVTj(5uD8DNJEEw9}nXH@;*_(L`Mu6tQxK+T?C9!N+}$jv+x=s8vF*ez!GyGM1LtW2@Nwohr*l{Y+UN-g84 zyhsFV@Dw$EGAjm~Hl2)*Uot37o8o^C_Df{crcI&en1?ZuoHD1FH}UY0-U&%P5;uT1 z&3^PtB(A+PTIkOf)!Ov8(6&bA17`srY+SVIIF6Ul6Ea(g)^dVmf)(b_Uq+TfhIV+Q z>_}&P4c#W{RtO{+PAxpdzgRpZZ=Ko z^==`hIgQD^A1C#M(w2ywRuMUrc317@(>uN-_SsgL^tkr+c(;oI#I;kImIe-Hh~w>O z<2xrj{DkZfGlSk_rL#FLj0x$Zl3N^+U-!#PY{2hNa8sn~23|dEx>2&$H)YDNTIYTwchIP~k8ymz@liscv zaXQEU=4*W6O0C%7QX5$$47=oFNF$5Tl!FGDCnk_jImW%n_%1cw!3E&2V$_y`b0>UY zwzzVDK|`LV@l!Ph)#*(aif`Oq(l79XT6p;Zdi;?3Z3jL5`UCq1epY`Y_|k{7Y+*H< z{)zX%@jY<-2Z)W(8RLhI$72d5lLQ-w=1cOp0Bm&K77lV@uyJetEJa3wjgEHBG{`%g z8(QC&Qek6E?dXh{3>%LuX+(EmGHjd}UTWr3VPkc!CR-W+Hja&!ma)mOG5kDs&)@mG zVz`)&5>895-M!e8alHj9%Q&u+%Ur+Bg2IR zW2}UP3@0}B7T6#%yt)kS;nI;|f2(~^=4Cjc4-~PEZf!_#2=(;q2d@xYT!_Ml4ppuM z6ILsQqr;`MvL8^v;d;u7hC>5~TieBBJlxNd3=W&E?H~ED;c|b)4xwiNvEjo0R0$hs z*_+#0W#@YF-{sH-I=2A8H{90IBBKJnw2iQ7CfPeKtnB795bqVMCz_=ozH3`g&SV1k zt~q0=3(wK|@LlV~-EWfMT}Ou|SpWfa^O;%gJQ|==+ng1A0K-jYWL${+7*2kZ5l+Ey zEeY+j0xE`^8>xSAvSApmCciO(4PrQZG1epy#BkBh`B+-xO?__p70-j=S|-{~IB*Qt zaWytC_2ah6vf2SD47Y_=M;6N{4JTdO;aoay8_Lbf;gV3Aace*&0D)M3!R3zRy92R^ z5}lRsav)~?gU8qtc!qRG_k>DuRwk*FANsnNm6_TZ#wCB@xAF9<6()e+Vib|lEINLh z%5@YmDfq3UBBRb*3enuw9zVKi# zgRyj148eb1j*QSn2B{-iSL5~}#(5;b*J5`RkBYrar{;VH1bbyA9xdYyTG4e;Y##Vq z5A;gvjw_S`&`Y1!qu>I0M1A`~8XbFOq@1=2pxA4>JYh=s1%BEIPpH`I`NI!Z0${J_ z524r#{lt6h^&Wfu3fRjxWBl05+%DJfNZ700n16pJ2C$dhIh4SJVXvjRd>uJu*A&(2 z<^b3$+?;wMpklA9%`7F0wqHJf=-DqrGa_?%K5+5;A>x!CWbq^EmGv>&uEgIM;S73NS?; zaF$>X`@iYfOw~}|$pf&NzS9tb|0U@0+h>3H_gpAV=)CfvwN1A{PD#(TFV;=)sAz3# zu)rcEr{_-gd$w30Tsx_s*yDn5tuN%dR|LSdgW{14DUgn{%$)*_?ej0zD)cS2dfY+AyFXTKwUNeQ-k|ZEr>p75@iK%#P#vRfqgWw;tFvQ&%viv>{?&&a1e$)Fh(fuF=ALI|qWhR*HIZc^=%QUYq?}?7t}PT3>%3{tp1` zim(-?GXU7tVLn;s(_mNEW<&xL3cL1i8ariQ;0K*B@{cf%zwb!I*uTNwy!*iMhhF3b zdG*6|j_`mD{Tvy8Sy=xsG`jDR+k50jMsEN7Tc(>Iyp^t2R4_p0Z{$Q(i2#ENo^5mI zvgcnzRlhD>h)zON{jR8+&zOI-?wnn^y91n!_4Fgmku?ajk9mUel7f)^TWhQUz>DFn zQ^!!eII~?6A|&BOW0t#?58y@Z?y-_q;?3MobmImS7$6M(<}KzLLy#_ZuPkwb0J`Dg zl2}~;-SDBtu4TieC7&SYRqHQAIowSC33fCGZ7B!|bsp{g= zk>M@@C?9ULc3xxUAV5Am*<5*_q8^^eG0EA1)WhfXhG>Fy5t(^Y^0P~Zp@uJ^v|^c4(^Q1IyzcusjkPGs-x0 zS#gd^cf|nO`>kh`k3p^fK0#J)6EnF1^uuSV%`<#bV!VDrx5}aEhx^wy)Eq!R+_==! zEr#lcw-#^5xL|+4mgP9R;?V;(bLO;)1J@M~w2#RtGU8Ij^e!K}3(rWQ=OEV3^%Xh^ zkfe~+Eq@D2($3gqjOgWfW5U&wqh>Zl9;{4GjAnb2o#;0|ef}J?ltdObR2nHwHrzQ9 z9VHDIS)NsdB?tnkgZJ+=2W)ECSsS%gz<^7H*Y}D}ShRnL)Ui{v&4NjU)1C5gh(x%m zyR$(`mk4i7L>WZ%=5zBoPQkZJgcm1OC49ORc;9LD3hzl$;PTNFr67P7`0Vs@Tt*e} zT2`mKg){;0*xaaDNQ=S?Yx{Nr%5@{{i%M}Ixo+~#tTTXIH>I*@RpcwP)b%?Gx%5z8 zpQ2d7Llb|}sfMpt+g(nBlqwb_@I%Dys@S0B?)PBM3O4EP9r|=e9j1-Ra};kMNx1@k`{u7NxJyrttXoW&D_j3?;LLYPQ* zxK)2W!U9uAImsdIEFg7sqnjxb0jZd0{61n})AqlPSk(O%#&<1F*!oDb`rMUF7#l4`1;6oa}#?w9XrsP2yI2!(p?UR*6d~{ylaJOTZ%4K<;{Y5*5b}D0dy0>z@&MvYDfmOXmVYUG1?4#BA8ceo34LkJt%FN z;KP-yx3*Y<{p`sr&)C)JFeU52tu9SKRkF53tPoUbe-b*vt;u2r61QHqST@<;Jwv^o zG(IMv=w36&=a;yYM9U)#W(Og~JxR43l4!L&q=znqAvB+Z=gl@DM6^2Bj1di>qSfh3 zqY-}s*z&*%FX-x+s_%$pky3x?@f+(o9I|qCqR&>!q6<||cFrzoh=ll=xw6$|=rp>I zwD;+v1i)c@k8&8VI*OcJ&oz3&Vx)Cln**{b+H&vO@dJ&lVI5qseUF~%uRBO_v0)Csj6!xATw=VEr}OH z6r+mxrqKYd-Jc>27G*k%UeqvkHns%N3Vwp5&$XsYAQg|Uv+E)$)h)ee@#a!SmXU61 z21Xfl8L1__a*F2RK^%XmuH@d-0Fu}H$hb8zlns@U+A`C#pzcB+A?d0_Ee9?mOYfeTwC!EZ31gl~}A?#oRUs4wj)>|KCL)bAmi z(W+4atcqmoNNV8Igr`d})yq;+n!&p>y+RkI>8xZ#4Az|{hlhXmNiEzxI#t6_%ASy_ zQ_auIOJ+lKs;47ZNtY;HZ5BD(1aO_IW!f|vTMlbx zc|b6Kj|k@b!i{lksJ65qr!0r&KwTIwFM~))`x+d0#h5N7)#ZnLMpcr!7UQBsfs~{( z&b(N!d-J*0Q7wWiN#o30No-sh?=390JNteeE+pLz-!y-U=|a*gTZEhqR3g*X#xg{p zko2x}HU?^0_7S39+DzsFLei>fXPlT;$=n;+bxNU?%tA+c6`!sgb?hwE&JM zxqekRUv|m$)vr!(_WE(b((54PaMU%=gx0bOcG7<~7(l(MJ#K1FNEZrZDK(|g%G2zj zYKD(p?z1=VklV7saWS=?)Z(tzi0~VF{SfKMSTy5rfAnQAGNc)dm3qoUoBM0^QgS7z zr>svW!}FSNQ0%*|IwV5%Hy=O1wU6eD#uNsAkYT1jDU(B21RdKuC6L0T#u9Sb#a$HP z#`Aw^cw8L(FbD~2iV0zYWfVueIYmM*qwJi7UJHp^c!q?o)r9)w2$kKP8bLrgLa{vi zC)8quZOY!wpj8|$_RA0G_L=h&_$vw^TrOvN5Fls+7bv`p;ybuAo z>y7?_Zd~H|{5AU272~yQ7#w0@VvGGo+b@4GCJ!NORo|w3;?uP*Ehc3$$Pzr?=z8jh zhg;E4ys77&`0^?Nn0hYhb|vHN>?gtKg&_sy2}%Yvhr1cJ5icgET$R?;P9B(8&8ui6 zD*Yba>)FQL3LDIp?!+8dO7MC0>@Cupm)Qu4Bx)lJ2SOlqdY5vj#7E@WH!oi!g%y7} zS2%kUn;e*}Qd*e4l}pNNwq@EU@$x*n(cupwy0Bs2FPgnL59^UYQk*$TyOQty-lxx> zBO4)_C_XL2nVg%h#wmcWo>aY-pKu}t@`Me0Tk#x!#_u%wEEi8+ViD0ZB)%oS z3twW-Uj72HRm*iO;;B4GVqFF~w)20HQhIS!jsF*w{MViqHi7G&u-+k$UL#XZ*AfSo z%WGUv&a=S$TuJ3hkBpL^tJz-F)ADntokJrSCly`M_mI8)^Iv*$X%R^#9e(xC-#tTS zH`iua@Qhf*kiALZQReOy>jyag#Me?uTe%FD$65_4IfybhV`G0H65oto zJw{?fH?FxDX!hiJ_KNnHxIu?6b7PLx%f5SVrsH%vme>N(*;!W9ZXzo<*21C^#6ZqL zpEffj^Uj*b&t4-@DU;{;uKDKOBjl!fpKu=svk!`BcQln~m~9?5_^hr>ce%t2dj9<5 zkC2?@!7e5_h0r}Ro{C2+=s|yuE-2md(FC8CA-KzI3X{^a0eMqf`5+ImKEK<^Bb;H- zDgNLoQn7M;%caNg7wNYdFuATVZn+UZ1+nGOxnEm}8{RxZr*G`R{Hz2PYz~a<&*10s zo3GGY{0uQ?_oh-*yqR%nqxf_9kLaRVExB>AJbj$zQ`8T9ir%aI!WMu04X^>0B&mb|E;&$VP;cuX)2@c`jK7Ahi8qX5`0KwtlGg)OXS2l(v! z$l-nD@GX(U?|$pF@dpq6NeLrtQuGiv+~fi|iQDGU1Z>x$MGw~1wcjCs1rN<>w_O4t zc(5DnL?;Mxu+Zc@m;$(eYoi6zxQ8)0TBxbL`vEmrNXQ$gV+A0%Bu?%{o5Yu596Tl(`bJBV! z+ne?y9>Cjs4_>`{hT^1ulLk{Q*P9=D_3+)BU{6o-g0h2VCN-kSm|jw_us*HmyZ@N_ zI6cs`vbj_T+{BBw_YkW-dDa_n)OQS~Nx-}O2$}9mx)GD3kWO8FlMuY<*Fl~F!tmAZ zDY-F(F7wjO0^^C>)`mGLJ)Wo^pR440H(&I(AKgQ0YhucLT^4SCD&nJj992AC3Bp-G zLC8Sn9pN<#jW>Gs#*PHkfTPQ()=FssM|=Fdk#3GtVb3{af}{2kQWCYa%l5?_=|iC! z20iBJN}pG<$d~+!*Z3vBMye{OZ+U>1&i+QnKlX+VDUo^eJTPpip1i1&QsV?e_g)d+ zbPazH&)z+F_59s`gD1bhx`Cma2XeHQHqRiB=tqd!J>AJB3#?U5ieG?%#a-h`h6D^G zrbk<}pn=X>xxQKe#17FLE-P8FGZ>Rz3$x7W4W@q!SVzrZ6Zs`_~t#&fDs{{bh@9s;S!`*a!4<2h>ha2Krrqb*~H0aJOL)fb}C=Yq4S)jxW?ZMj-8!tA9;=ng+r%g`2o41 z#8NAx0do0JvYhDw;oZ9%3K$5_k4xBQkx;jMDPzr#y4`0>11u`)R*yIQ6$EuJrpy+3 z{dR_W=8Rkq#-6yD31I>lI;}c3mjhtvmYsgPjE14-O2+HiPz=4$ZC@9EfkPDG8CCTC z=*tg()&hvWAAJcGeWRavkDA}3=3hbd?VB-vz&v#^Y+;Z9^Oe0k7PC9_1Ll;;n|H8m zduGeAnM(r9M;DezDFB#jr<4vE6)-R9iz5VNz}$1Xv_-RNtZtcg%BYAr>nyb*kl#*$ zSziJm=HboNVzCb~4@8HJU`He!G4Ge$d=6lLW3@VJ8`2?8akl5?R43dS2aR6sd2RhPyiC!P;AVG0q54+qz) zbA%v13`tL25CizIF~V{w2JoSN#xxBik2l(NVhBFW+E_fN(_NF#ZQ~$GG$DU;h3Ut7 zjsljekgj2Bqf-Kdbn4@Oj9DQF>FTF*`$QB-x1pbIW>X>E>UhDb(A(l8 zD{U=+15ZrLPzukVpWU-47V%;XhQ*#fLS}L?Z@}-<0o`qS&etHGYqBosWpqAZTjxp|CYjF?(wIN^SGv=*0ZjwPctOLwTDcxb z)|@yO!Xzua-6?nfo`%Two7^EBIwH#*AIW6_nbo_?lukZ9k(za(xD`Mkm~B+uiQCLz zBae9?Ah{u_M7tR(C@V5(6$}uRRcqaGVo#2=yddVCA9qpnlc|woOhr4QjHe!1iREkM=es&KR0=#w_(|bf7;N>b!Twr;CS7Y8> zESS9P?l-T4z^ipw?x2$;wB>2%94PQIrQh91zrc?=Vd3|L-}l)UV|KQWA3pa~q7~os z;;#Vj_;7Wzl)PDP$*}vYx2|CXmH{+)T^s;lNPY zbx(l?D;0TBS)9F@2Y@nNMN0yQ3d*$WmGN9MC|fOWxfD=A*WlFuegIIW zJxXfglR?>0erG+$2g)oRt+6aG&tc8nDTJmpucB1l8~~wBjTGN7=?G14i7yesvX=`{ z`c)AXqScsdB19exmg0GmfcUFyBf64B#b2hpB?lhw|Kbtis!Xnb1X6WP1(|f;wILO2jR&s&XuW|0&k4r4C|mPs=<47Ex@~m# zvsTIF=bggn8G#Rw^|UUW(441-vr34sqH=x^&s=jtMeg#`v+AJ7+PUMZ#ik#Zd={#Znw|Su$8fd3^o$w@C@I8SR{c31G47 z&Xi0Mm40ftUiz90#Uj?%mvAE#dLwq^boHo%MokTWEjP7rs4&fxl`tj>)Z%0!XGThf zX>t97t3n@4GZu8z!o8|9ZR!HBusl1G7%!qjv(bY1R2B@H-JG2t(O9SX)3Xa~4>Y^Z zEHD7+vC>qmSBoE-^8ynDrp5i%YYj7^-)R zXlQJIe!OKzLPKLQp|h(z9~wK1vR_H)XiS%IU||AitlaAEVR_M5LG$t!g_UVatC$y1 z(OCa@yOBZ8h8_26HrOBp3rngz;eZe-f1`}QdgEuxX9VC^^DjHShe(E zaCDR2U__I?Y7_z(EK!}mNb;jz-JG+tKnzxYI-L{7q4g9gTDXMz8}1KPEKnrJo28B_ zF$IKe$+Nl{G=szB&{MVtgjE}MW_fTBwpVbLM>06ZrCugUWl2O^>ag>6hHr+3Bhsu8VFTX1)EALDACqVj{xL6PR>Ny-)Cu6pM znxfJ;u#brPv}j63M`MMH%L{A@8Z#~oFL*1MnS-OJY%&_VE=WItFcA-SJ4JLfW{Y1V z3J5+l7L%+DgY*e0Nv!T;K+u?FCaaYPLt|m-iXnATMcs z#li({#qY&Zi%S#!wjZwWm1PbTO63|;qd+U^4BN#qr0qxRcKSM0&0}hsh!s+y)L72I zs@F^UbU$}gK!#GL1yeei1lM7I>~=9gC}od!9zjGk+JVyuUt()_&AKfP2&K~YrmAVK zUN>X%GJ4au-NmItyoT$UB}i!U@fqD+dUqz8*&u zdl-O_YwV`&Er7VvrngRIUc{BCPP|})h-)a{>SW_IpGRN*vFExx$7tSvjWI(+1!J|P z2Ub2g9oBGWcn4y!f-X%n1H@vX=1HP%=sOpZ7c@N*0E=~{D|2NeEEcKQT!f{=CJU3( zr8F$oGuAs; z7OJc_QYsef8?4OonoTQzHHDd6I2N;XsAlo9l;=S_c=YZOHuW{;gn3fM(wKbQ%!5HP zbzf*71B7HN6`EcK1d=JDhRKjDD)i=xk|;C8tdH`)d!p=0pV>f`j)WwsVnEQ5g*x-ZV3UHF>n^TY$MQB}bJS!@{Fp1+*^oxhfJJpS$Fn_{ ztHV9C4)dX|aqANKB$0fBIsdnOkgGI2rdmveT*iXDIG7FfsUmEV3kYpRZ=np3t2VDg;WUEyAnx%P+7r51+k2 z`qEmb0%0=ydhsk^7aYAbyW^Kz$83e}^P!-uzxk#g1Z7i;`J-YwD9f*QxS+y`)C$!$ z4V1;?j2`hlplou-5e|T|jf#W`axb=ltp0c*2+Bs}NqGV~C>!pZj$wMo1WQd^o7Ps? zUX(U5Sn8I4kaGf_dEfyvB|S4ytbk(ZYjehbpkla^{5>l^s-7=G_FU=Jc(xz={pZ2Y zA3T2h`WbQ?*;q$+X|BVrV*Lm#} z45ynexTSH(+DZ?L*yOBPPSM^BlfohEcP~|QY#o# zoKR!|xfSL}J_V`G+Xwe(Lf~jy)}U7d&|01(VB06Rzvt6h&DDnMKlj2AX?;PT#ve=nP685 zOPHmY32h^>KN6nBp|z3Fv<+WLX>BA*$7;KnB*YLCYf28(zoE!=xFmr0Z)o0eE(zcs zUY{UU*6KPY*uY`+V4_?`Z{V9f$eYO0Y0>a!5FT~p~O0T*}LW(llCz=mVgB!hGX7%LxK#})zT z{@)*B69=;QcPC{UXqKbIGQLf)4bo+N$Y?_mQetYkYomhK5ANiznU6Sth=KDHa+GY?`uG@$Ukcmj z!9DuV8%u6@FpvJrSY;U>;?WwZS5A8Vz5y`%F`oA6JO=FQ4e==t+Gl0Q^>>^EEVTPIiB)CM-yR)0QC zQ^p8ns~;BUE{58ZqFZw5$O+H4Hc9IsA$&NI6Tm}%+c;3ehkEEIE{78NRl0}%p;@^h zgj(j;8ul{SF!%dX-B5!V43Q$^i>CPB=4^j^eDKxp$Zqc0UV2iFX54T5`H+R@&Wb5;qox3v$ z-TFLc=%f(3n|+R~6h-KucFgyY?UFt@me=&>AVwn={}MP!(8KPou%w<3b1QeY^wi*% zToku*d3i}W^mO|e$r#tz_@2bY=|;7ROSK~3j%=-eVZyD*7qS}jvHTd>biCPB`e#7) z;y61^Euxx>?_R}$=HhE{n_4!+Ts*0KvL^t#`4maMOQ{kBauE;BY;<6b=zv@JW&i%a zBAbN|mTqMT=w{&=X19U%gowS+K4;zH2Qmw93Tq-d&(X}n_nY<|v^7eY0ncPPuJ~}X z@QsLnd9~Ms0Wb^Si7Q)+Wx92uaLR;ye0O?wHDWh3` z=dT{j320v4x+&)_i|XawsJO6lAzt3;i`O0A>{8Q&*2#r?d7n@Brb($@-X$}NumC~w z=SbFwdr=t3%e!0EWnlojCT_vqQfDD3`hE+4?z!%ZD=A>XJ*F9~U_xBB8;mO*T!_o| znsr+1HE~;OUg?%W{jr^k(Un}PKeno<=T1cT$F^mkoZ>)^=8vszbe-_22H6YCIa}O7 z2H8ei-+|PVGK(*7$&|qjvfV=sZUK-@tFU(0)4~WM8)Dzc9%RrRvO|=$BVdU%d{f(h zf!kU79kOk;Nl?Uru8E$~cqw3z-8-+YXVML?^A0=Wq*TM}=!lFJAz*l25vPdeeu1a6 zgj+xGxuX7o*O3S_aC!Cfw;v4sz?<9)^p+1d*+L^b@Hf0K#J(@YeoM0T_y3`p<4?Zs zn)ZkB9!t0{)?>_B4RK~HhcZb;+O)cV!W%wNq;*VUaSo(NyHnL-A{S|IFLv~7ph#Pv zGITAV7HNxiYi9vzXi4mG2u*X4*;Kki(;Up#o;9<4#$IpHhlx}qSM^;K$+xJ;*ycB<`|9EAw@-tR)414P zqGXHzI{G!QgWrCIG)6h@XiZ!v3oGtezA<|G{1y87<5rbbN*=f1PmrnPp>3Zl_VeIZ zkI|60QqHFu=e0#<)O&@l88=&hmu%k?c@p&1TV&!q#L1z0=?%D#RT$UISCt;lt^5oB zIH5mvv9vx+E>rKWnQHKE(Zt0(ef;kAt7k~_N)^G+_ZP3vZTeS;So z7T-VyJ$?Kz=%cR?>*Z39$alGz(crr`NP6#~UE(ctuSdHKlE5~5Icsx&ENUrxQXd;i zuTi(J?ilFo!;!El2L~MEj}VPvGm-BbpQmpgA}50j%b@*tYJ0`F*jL=%H|?~@=-IdU z5o0pf_eJRPKqk7nHrPP9`>M9~4p>9M_mTb0F17D(*jRn}63IKhh~jw5;;uMtBE?!o z)wZ_ccQOnLe)tZ#9@(X^(4TLsRcR5Di|578k#3f6RM5x&3Y|3JhSV5} zD~ns6lP?0(MLI|20@y6E%g~<;>QIVr?i&1qj%^#CeTD2L52r|dQw~!`VKdcQYA9!r zM1{Q%3g6AesYgS?Mqw2f_v{re~i zzmb%p$R>v0M102RvhsiUFN8lJ`wfxK77xiIrKcbbhjGMpzI^xM?b8=uJ$;1!Zs=Xz zt=M<9Z!r(UkCFI)HhDY?m}#FOd*!2{SQ<>|dD34!e1$07#UkJ4_I2=!pqFSoh*X>z0QeV8Dds8>uoOT23OTY2-(q|K;7ERk*s}KjM;cXQIJ?&f@lLPX zwhe&}KLbu{iyyN+eT>b##qH)Bp>GZadG@K+@v<0yh|;{(+0hH@eRh@6ALBo{gP)>f zb#hf_mipEg`VX>gm>%WBm>$vX5z!QTpz^D+Edj8$KSktSg9SoQd>$WdDB}3p9~oQa z3K5O{(ciS$?vmWZimlTx+?Q3{2i2-QDJXzqe59 z<=r@c+rQ+3k)58qO(B)qJJ!^d!+`KcVzl}~wkIslPb$!G!Oi+Bq*ve7#|IY5XUNS; zcd^hDEw`%|Q&_;Mat|2^HLZeLtSn8q6?7_EzKaOwkRIFDZxK~xW~#*NmrUBD5$8_% zorp$IIlRf)7Lb9}(;yUB8CPsB29&nqo*YeoV1I$f4#MNV5b`maJVwO(VDm4$p{?(4 zANH|@MQqZ0$ieFjOZYE;^h4pV{|rTV=u-c4?4blVxt3NObk zZ?F$yj8MFa{`3VdV@K*@T2G}Qx#%F|<{CRm1h25q|2sVOl%M=3P`XiCWQr0`2oge& zCr>bg<$IXXEgGbj#$O+@Xk4T+d*TXzpT#+C~*tkTQz&G&!)=Izhky!z|6uaWZA>1=KQx|74v zFeZW1ovx$SMi{aeX4*K>BqMItULQKM#8F20JjS+sE5+2O!*kG5{N+ z*O(=dtyXi%_1Qu`e~6rhUW5gtQ0*t~K|e6ByQsM>zCM+Kr*A64{%!&|Mg;Dg3OQJHc3Ek*2v{)HU~qy z)M@qV>0|UazQnK{is~0<&85(PlKN+eVzuNNH%r2vH8N}4n-fzBUFmsa8KiPAcI$cW zwKEZw&{cZYzl<|=@Z0fR2~yrMYGG4oUhBOjop^LkOxQQLC-cRwt{?yz>mwxNbRbWP zakjB{V}WFF5Yg}BK@f%|by3X@9+eul)>&=B%i>;j95y4KJbd~LDNERY$rDmYW4AY@ z8oZJ3&w|i-`QsNzaeMtX(clx1SYg+T9AvFU(fCnB6>0W*q6t4AiN}u(15$T&(MZI4 z=pYjtLScPpF3*$iKtbhmbbCP$`htChUn1#tr|2ZmoX*K!xlS60x0Wzrt`&G)GG08y z$R}8MgB`BO^4?_%k%hp29uYpPw0XC6_*sjM?CUqLh&6MrTPfyxw-n06LiXz18tfOK z%(%@V_Zo2$%COA0y>;NlK=70r<`Z?3J>@I>HnS zB=H>&^{om45?^h9S$8b7V*Ckmlb;=dBg~gyqg&V0Ak10)<>Q|tEm36_F0i=KA57`4 zRCBRQdHBs!ELnx(yw`7kiS3I;`Av7S09?T2{MA|fGQAHM4FS-@r>r7Bmz;5%TaB*d z(qZ}!^5Eicu!oEP z5&H)8Z`p=_?HMNdF%g}Z6v{rEG!O?;SEQ|jGEDCw@tckix+K~#8JEI@aUi!(U6BmR zF^vAed8a#0Lgzr{E~?vEWM%b0_-Q^KZxL(%>t{hogfmVlrtu^HFBdlFoT-sL&7V6Gn?T9=u3@U~S@f*yxPTV9*(tI>%iSc0PlQ zOXXhW_X7|vv%<&kxWJ>qH~pB|_D&XkIii!tlBr51+A#-thr?Q)-6jDRI)Ub*TB;)1 zR5E8{XimDAM&|5r%w+SuV~62pPa3Yu5pv7%9zNLRVV8jPT2;XYC23F*1-ywqVE z|A)UT{4H3tK*DvQgN2t^g9QjfRerXPcn2JR`v&|7dyDL?4>hvk#MN@$UHp{8emm zomZxx;VZy9k=|gTUfW^f0hdb5Rh+Iba^b|>kdT@D6jlLX9tvzWg~qmE|m7$ zm7W%d?fx{{Z;?^+1K-|GK8lgtiR4y{_)3fUvBW2Gqmirw<{A|_aW5gIh^ zpWwm718jP}d4(a~^y(U`57m+IeGsx4-cyM;zVTIy+AC_l_%{A=N%h_kPTryLkE>G8 z`k-X_&yd75t(i$7AcyZ&D|k=>a>7YYoroj=-Rc_K6Hp1sRUr#*SZ9H{`ST(+26KF; z19^Cjimn^nX$?JwA9#-@BuZa@?!ZGkZ`AhmC32}5Naq2B=Zm$$1A6f)JY+jt3?n?Z z#azx3h{StbVio+pzHw zoI|ZMmWDCN$BAbv9KBo|gt7xaN904cXs>56wyzqNOlApwig@>Me2np9Gizfj71vi1 z5u>p*I*03zQZa~H5?MqbVG2{bQ!%CS8V3@{scUg_1+NMbc+PX7#%Nm@l(zK=aSQt)Y-x!nKvva!|wUgj{tfEIm6>}tAG7?7-$Bb7VI^6 z*%05XAN=*d{~2N|s6As-vTo=MIkeilF#B(U);_Ou{x8^NKYK&q3TwS?T1uz6hbE+# zi2Wta)l;QQ?A<4Se7TgehUIx^8uLC<(GsJ^_i{2Oc<}c5D@2uZH;eCyV9bDYW*r`I z$QuK(f)0$$$6{D9H6=CTP7;t_Z|thu=RxT8?Flvwmrk#5I%=!K`$*zRm4|PC@)BEf zKSGb6AS5fVB0K=~-l%uyG5qNjbg*r$F}*x`kycS=?q|V&IQqvED}_=DN57`G>zYmB z=xfW8io_sC|4iGO!=rQbr{?#TSa6R1VN+-yhg25UHf&XhARPUS{Q6S?m7_nkt!4zN69F9_X`+M<67Cc-crI0bO*j0>)NPIc(g#Ab>8QCpKg zBlD*`@d$G_ygUb*Bl#Q&-^x!X`#)VDC9mPWqC{9Z5vuR!`)-oJ`D3y5{Sva&z@4H> zWzwYvQ$rndOt{pb(i(p*ql_uGS=-X8)X;f*ABoC;(h^E$;v?9*_o8|nIGc{x?XkO0 zS{uiYWE3R^zeXcrA59cl3@hmbd!o0l)L(-58Vya3%_;3X-*JaWz_($0p}3+SMK9XI zuy{-lK$AFZU9^7gJBqL?xf;C6!q_KN&BItu^k;C%L)_qsU4V7Q`DcHM#2iHaE8m7g z9Gh5wRHn4pO7Qr>yWwEJ6gSXZEF<#@&Tb>Lpu8EqvB{I^WC2_+;Tlqn3u)v6`NHi2 z&sRaf4@dO!heA*8aIW@Geu`+rs}2Z0i~sgEE^SU8osy7$Gnps@C<%^qxtyxM(C!HhQD>n#m=Y_G7m|Q$Vsoz@F+}d%^`&0(uWwwkZpI zSy7+%qkqzQ?au-drA@4qSF@kgAt28qqGq>Io0^AvrhCer=kXJ@!2XF9Q$y4CZ<#bb z$%f0B#iQy;7Lu1XV0sc`Ve&S^!&fPPJE@rw_yCSK1%YgrEoP85Kwo+Euqo3h#*#>M z`H3>7|9_yWPX<$_O2l3Ohe74wH*Y+Tu|yTM;wy4+6bKf>7JG*$@eP*>CqG8! zwpzDj{(?FFymUrOg%m2wIcpt%A7D~tIi|blIUy`783bk})2|COV!C{1d!{dh2bJ&4 zuU9qFU+NZ7SeN5{cj#C8Y>z|}RXAUY30q%P`F1mYln`5gz>i-bQ|FTn zpd(LnlR|@^9p3yn(mfVljmN-#jZpSoVyMKIjKq5ozCfZ(`U(zQIaF4uUE~AGp|jj! z4V_n2Q@?D-mzSs3iL5WK%1pG(il1wG}qQAOj}k=?!&E z(YzbaXBX1ASnTj8e}<^z!vCCX-DsVQEftadw4--B_+SzJvZ$#E(lt&!6n-xD*1z?e zb2IFKR*dl~)sLzAm6PQ10lI%qsoHwVrxg@4S2`yAok^VMmF*ClY*kX^&j8Iy^YK)! zuQ5s3s%wA=YDqeOorQESZ1+T4tc+Y}SlH<+rC2OxhYW8BFp86x4g3GJcXvN&-C-QS zBOE}G!m^8{+10YYU>CdSpRzj>(=1uGCCiqkwck2g48K*LX}mNa!?TwsG}CK z)C#upQV|s>>abd>;s6hDe&_dd=^xN*g9(Ad`TU;qJkPg(qjDMDZNK}|AGOb5ZfQkG zc{-rp@Q#2R7<>MfO)`Obk-fOF%qA7!hu!C@}UPAD`yPp<7{yF;Gg}o3l3bt zS_(Q$bW-ied88Flwq?Jb!Jpjv^pS#KU+-Eio`*cp((xPd0Nv{^iCajul!?>BV+<%c zyfVGXa^dj;=ZQwcrHm8EWp6L*0VeNH3_3dbgzpi5uFcie6=!K6cU?E%{rC(j1g7m+ew6qSEF1%(GEi z^>?#>a;>;kqWgqd6BoD$glYV_IR^I7cc)XK+N!SlrS;=4_bO+#iqC#-uO9R6cidjY zjc0J=$x=bH!$da8N7Q-|>rU+^$vOFw^F`xsN(3#FlIEbleI3O(k1@n+KBMh3+WrS^ z-`@C@X|nBL;;ckw+tz$g1;(I=1OgWu#kQG$oo;^%iESD6O9KyJTdwOM1MT3RQP~gL z$ZT^-_Omo9+tSBDFN?~yf1}bI;|8`3xCWINnQbn0-i|fcR#I(lqgQTsrshK!z_zQR z$&X;0O{?oiM#`-y7e*nrdDDTHY+?xdmDz~%$ZnH5#3$rPx!KLa6diQiw9|UQg59Qn zQY5uaw`GslZ_YunhPA6SD%`fCeb+r-z+2N=o*Ll6|-au)Jx=O)*zkV1ja+IE_14RJq}P(K!$)xA##et)tNrRtni+(?ADS|=%_WsgP-f}FD-lNJ z*F$$s$U*T-p}U&GKz@ae-%F^8au-gE2bv~L9SR3q2!7=^e0e^4B2+K);iQSso`iat zj`EivI<`;om-)e_iVOQ|Wkfr}C;3ai7*bIDwQka#GCtj3#U)v(I+a`()?r|OvIPLv zfU%md0|Bd$i7FTrV2N$EERz6MT&_`Z>Ip8_O|!KcW=^7zR+G~j@Os`YzXv@jSyU<1 z3>aSG@@l>nfLF38Nz*H*imw`cBz|!TK$^?;D*Gue>o@fLieOnu>bb5c)&I$Do4o%) z8wzIm&2o?u`>R`jA#NjJmYFnvhj~qyxys|0GzeyrGxs!yfSJs%E}~{CrM>4F^7v$8 zd2|hH!0g4vfoiezP$qg&xQ&BP)h*<6aW+x00;;O0F--< zQKmRR#19k!08Vk2$>jtuAe4KKQR?8Ow!Xas0MCXB01^NI00000000000000?6qgw0 M1SAGZ(gXki0Ee)4^Z)<= diff --git a/target/streams/compile/compileIncremental/_global/streams/export b/target/streams/compile/compileIncremental/_global/streams/export index 927c3fd5..58023cfc 100644 --- a/target/streams/compile/compileIncremental/_global/streams/export +++ b/target/streams/compile/compileIncremental/_global/streams/export @@ -1 +1 @@ -scalac -bootclasspath /home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-library.jar -classpath /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar -Xplugin:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar -Xsource:2.11.0 /home/waleedbinehsan/Desktop/Quasar/src/main/scala/mem.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/quasar.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dma_ctrl.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/quasar_wrapper.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/pic_ctrl.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_ifc_ctl.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_aln_ctl.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_bp_ctl.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_mem_ctl.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_compress_ctl.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_bus_intf.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_trigger.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_ecc.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_stbuf.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_dccm_ctl.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_lsc_ctl.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_bus_buffer.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_addrcheck.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_clkdomain.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/exu/exu.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/exu/exu_mul_ctl.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/exu/exu_div_ctl.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/exu/exu_alu_ctl.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dbg/dbg.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/param.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/axi4_to_ahb.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/lib.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/ahb_to_axi4.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dmi/dmi_wrapper.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/include/bundle.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_trigger.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_decode_ctl.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_ib_ctl.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_dec_ctl.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_tlu_ctl.scala /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_gpr_ctl.scala +scalac -bootclasspath /home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar -classpath /home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar -Xplugin:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar -Xsource:2.11.0 /home/abdulhameed.akram/Videos/Quasar/src/main/scala/lib/param.scala diff --git a/target/streams/compile/compileIncremental/_global/streams/out b/target/streams/compile/compileIncremental/_global/streams/out index 1113fefa..7ef19cc0 100644 --- a/target/streams/compile/compileIncremental/_global/streams/out +++ b/target/streams/compile/compileIncremental/_global/streams/out @@ -1,1091 +1 @@ -[debug]  -[debug] Initial source changes:  -[debug]  removed:Set(/home/waleedbinehsan/Desktop/Quasar/src/main/scala/snapshot/el2_param.scala) -[debug]  added: Set() -[debug]  modified: Set(/home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_ifc_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/pic_ctrl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dbg/dbg.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/lib.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/include/bundle.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/ahb_to_axi4.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dma_ctrl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/param.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/exu/exu_alu_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/axi4_to_ahb.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_aln_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_decode_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_tlu_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_bus_intf.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/quasar.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_clkdomain.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_mem_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/quasar_wrapper.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_bus_buffer.scala) -[debug] Invalidated products: Set(/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dma_mem_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_bp_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dma_dccm_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dbg_ib.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvdffe$.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_ecc.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_ifc.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem/Mem_bundle.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_lsc_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dbg_dctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_decode_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/csr_tlu.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_stbuf$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/state_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/aln_ib.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/tlu_busbuff.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/pic_ctrl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/rets_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/QUASAR_Wrp$delayedInit$body.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_mem_ctrl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_dccm_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_tlu_csr_pkt.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_decode_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_trigger.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/trigger_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ifu_dma.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper_module$$anon$2.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_compress_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_div.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dctl_busbuff.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/quasar_wrapper$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/pic_ctrl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_dec.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/dbg$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_dbg.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/CSRs.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_dma.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/trace_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu_alu_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_alu.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_ib_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_aln_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/QUASAR_Wrp$.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_dma.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/trap_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvclkhdr.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_clkdomain.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/param.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/exu_bp.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_gpr_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/tlu_dma.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvsyncss$.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_pic.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dctl_dma.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ifu_dec.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dccm_ext_in_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_stbuf.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/predict_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/read_addr.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ib_exu.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode_64$$anon$2.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_bp_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$gated_latch.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_trigger.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dest_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dma_ctrl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvclkhdr$.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_bp.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_trigger$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode_64.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/read_data$.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu_alu_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_dec_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_bus_buffer.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ic_mem.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/inst_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu_mul_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_dec_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_pic.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_tlu_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/exu_ifu.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_exu.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/inst_pkt_t$.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/axi_channels.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/dbg.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/sb_state_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ic_tag_ext_in_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/CSR_IO.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/br_tlu_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/snapshot/pt$.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/gpr_exu.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/dbg_dma.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem/quasar$.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_decode_csr_read.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_exu.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dma_ifc.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/write_addr$.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/iccm_mem.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_tlu.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem/blackbox_mem.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_ecc$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_aln_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_ifc_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/quasar_bundle.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dma_ctrl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper_module.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvclkhdr$$anon$4.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_timer_ctl_IO.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem/mem_lsu.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/quasar_wrapper.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu_div_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_aln.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_gpr_ctl_IO.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/CSR_VAL.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ccm_ext_in_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_compress_ctl$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu_div_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_timer_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem/quasar$mem.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/alu_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu_mul_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/axi4_to_ahb.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/div_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/read_data.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/mul_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/axi4_to_ahb_IO.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/Config.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_addrcheck$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/aln_dec.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/snapshot/pt.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_ib_ctl_IO.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/write_addr.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$gated_latch$$anon$3.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_bus_intf$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/axi_channels$.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem/quasar.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ic_data_ext_in_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_mem_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_error_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/quasar.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/write_resp.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_trigger$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/br_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_decode_csr_read_IO.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_bus_intf.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/class_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/sb_state_t$.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/decode_exu.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_bus_buffer$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_addrcheck.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/cache_debug_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/tlu_exu.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/load_cam_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/read_addr$.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_IO.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dma_lsc_ctl.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/write_resp$.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/state_t$.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_clkdomain$$anon$1.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/write_data.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/reg_pkt_t.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/QUASAR_Wrp.class, /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/mem_ctl_io.class) -[debug] External API changes: API Changes: Set() -[debug] Modified binary dependencies: Set() -[debug] Initial directly invalidated classes: Set(include.class_pkt_t, lsu.lsu_clkdomain, include.dbg_ib, include.dec_alu, dec.dec_decode_ctl, include.lsu_dma, lib.axi4_to_ahb_IO, lib.lib.gated_latch, include.exu_bp, include.dec_aln, lib.param, ifu.ifu, include.aln_ib, include.dctl_dma, include.div_pkt_t, dec.dec_tlu_ctl_IO, include.gpr_exu, include.aln_dec, include.lsu_exu, dbg.state_t, include.lsu_tlu, include.dccm_ext_in_pkt_t, include.ccm_ext_in_pkt_t, include.inst_pkt_t, lsu.lsu, dec.dec_tlu_ctl, dec.dec_decode_csr_read_IO, lib.ahb_to_axi4, lib.lib.rvecc_encode, lib.axi4_to_ahb, quasar, include.tlu_dma, include.lsu_pic, include.dma_lsc_ctl, include.rets_pkt_t, lib.lib.rvecc_encode_64, include.mul_pkt_t, dec.csr_tlu, include.reg_pkt_t, include.trap_pkt_t, pic_ctrl, include.dma_mem_ctl, include.write_data, include.ic_data_ext_in_pkt_t, dec.CSRs, exu.exu_alu_ctl, lib.lib.rvsyncss, include.tlu_exu, include.ib_exu, include.iccm_mem, include.lsu_dec, QUASAR_Wrp, quasar_bundle, include.predict_pkt_t, include.dec_ifc, include.write_addr, include.ifu_dma, include.tlu_busbuff, ifu.mem_ctl_io, include.lsu_error_pkt_t, lib.Config, lsu.lsu_bus_buffer, quasar_wrapper, include.trigger_pkt_t, include.write_resp, dec.CSR_IO, include.alu_pkt_t, include.trace_pkt_t, include.br_tlu_pkt_t, lib.lib.rvclkhdr, dec.dec_timer_ctl, include.dest_pkt_t, include.dec_exu, lib.lib.rvdffe, include.read_data, ifu.ifu_aln_ctl, dbg.dbg, include.dma_dccm_ctl, include.ic_mem, lsu.lsu_bus_intf, include.br_pkt_t, dec.CSR_VAL, include.cache_debug_pkt_t, include.dec_pic, include.exu_ifu, dbg.sb_state_t, include.ic_tag_ext_in_pkt_t, include.dctl_busbuff, include.read_addr, include.axi_channels, dec.dec_decode_csr_read, include.dec_mem_ctrl, ifu.ifu_mem_ctl, ifu.ifu_ifc_ctl, include.lsu_pkt_t, include.dec_div, include.dec_dma, include.decode_exu, include.dec_pkt_t, dec.dec_timer_ctl_IO, include.dec_dbg, snapshot.pt, include.load_cam_pkt_t, include.dma_ifc, dbg.dbg_dma, include.ifu_dec, lib.lib, include.dec_tlu_csr_pkt, dma_ctrl, include.dec_bp, include.dbg_dctl) -[debug]  -[debug] Sources indirectly invalidated by: -[debug]  product: Set(/home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_ifc_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/pic_ctrl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dbg/dbg.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/lib.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/exu/exu_div_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_gpr_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/include/bundle.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_dec_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/ahb_to_axi4.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_stbuf.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_dccm_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/exu/exu_mul_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/mem.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_bp_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dma_ctrl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/param.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/exu/exu_alu_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_ib_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/axi4_to_ahb.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_ecc.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_aln_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_decode_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_trigger.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_tlu_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_compress_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dmi/dmi_wrapper.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_addrcheck.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_bus_intf.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_trigger.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/quasar.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_clkdomain.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_lsc_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_mem_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/snapshot/el2_param.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/quasar_wrapper.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_bus_buffer.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/exu/exu.scala) -[debug]  binary dep: Set() -[debug]  external source: Set() -[debug] All initially invalidated classes: Set(include.class_pkt_t, lsu.lsu_clkdomain, include.dbg_ib, include.dec_alu, dec.dec_decode_ctl, include.lsu_dma, lib.axi4_to_ahb_IO, lib.lib.gated_latch, include.exu_bp, include.dec_aln, lib.param, ifu.ifu, include.aln_ib, include.dctl_dma, include.div_pkt_t, dec.dec_tlu_ctl_IO, include.gpr_exu, include.aln_dec, include.lsu_exu, dbg.state_t, include.lsu_tlu, include.dccm_ext_in_pkt_t, include.ccm_ext_in_pkt_t, include.inst_pkt_t, lsu.lsu, dec.dec_tlu_ctl, dec.dec_decode_csr_read_IO, lib.ahb_to_axi4, lib.lib.rvecc_encode, lib.axi4_to_ahb, quasar, include.tlu_dma, include.lsu_pic, include.dma_lsc_ctl, include.rets_pkt_t, lib.lib.rvecc_encode_64, include.mul_pkt_t, dec.csr_tlu, include.reg_pkt_t, include.trap_pkt_t, pic_ctrl, include.dma_mem_ctl, include.write_data, include.ic_data_ext_in_pkt_t, dec.CSRs, exu.exu_alu_ctl, lib.lib.rvsyncss, include.tlu_exu, include.ib_exu, include.iccm_mem, include.lsu_dec, QUASAR_Wrp, quasar_bundle, include.predict_pkt_t, include.dec_ifc, include.write_addr, include.ifu_dma, include.tlu_busbuff, ifu.mem_ctl_io, include.lsu_error_pkt_t, lib.Config, lsu.lsu_bus_buffer, quasar_wrapper, include.trigger_pkt_t, include.write_resp, dec.CSR_IO, include.alu_pkt_t, include.trace_pkt_t, include.br_tlu_pkt_t, lib.lib.rvclkhdr, dec.dec_timer_ctl, include.dest_pkt_t, include.dec_exu, lib.lib.rvdffe, include.read_data, ifu.ifu_aln_ctl, dbg.dbg, include.dma_dccm_ctl, include.ic_mem, lsu.lsu_bus_intf, include.br_pkt_t, dec.CSR_VAL, include.cache_debug_pkt_t, include.dec_pic, include.exu_ifu, dbg.sb_state_t, include.ic_tag_ext_in_pkt_t, include.dctl_busbuff, include.read_addr, include.axi_channels, dec.dec_decode_csr_read, include.dec_mem_ctrl, ifu.ifu_mem_ctl, ifu.ifu_ifc_ctl, include.lsu_pkt_t, include.dec_div, include.dec_dma, include.decode_exu, include.dec_pkt_t, dec.dec_timer_ctl_IO, include.dec_dbg, snapshot.pt, include.load_cam_pkt_t, include.dma_ifc, dbg.dbg_dma, include.ifu_dec, lib.lib, include.dec_tlu_csr_pkt, dma_ctrl, include.dec_bp, include.dbg_dctl) -[debug] All initially invalidated sources:Set(/home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_ifc_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/pic_ctrl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dbg/dbg.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/lib.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/exu/exu_div_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_gpr_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/include/bundle.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_dec_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/ahb_to_axi4.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_stbuf.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_dccm_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/exu/exu_mul_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/mem.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_bp_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dma_ctrl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/param.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/exu/exu_alu_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_ib_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lib/axi4_to_ahb.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_ecc.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_aln_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_decode_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_trigger.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dec/dec_tlu_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_compress_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/dmi/dmi_wrapper.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_addrcheck.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_bus_intf.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_trigger.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/quasar.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_clkdomain.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_lsc_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/ifu/ifu_mem_ctl.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/snapshot/el2_param.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/quasar_wrapper.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/lsu/lsu_bus_buffer.scala, /home/waleedbinehsan/Desktop/Quasar/src/main/scala/exu/exu.scala) -[debug] Initial set of included nodes: include.class_pkt_t, lsu.lsu_clkdomain, include.dbg_ib, include.dec_alu, dec.dec_decode_ctl, include.lsu_dma, lib.axi4_to_ahb_IO, lib.lib.gated_latch, include.exu_bp, include.dec_aln, lib.param, ifu.ifu, include.aln_ib, include.dctl_dma, include.div_pkt_t, dec.dec_tlu_ctl_IO, include.gpr_exu, include.aln_dec, include.lsu_exu, dbg.state_t, include.lsu_tlu, include.dccm_ext_in_pkt_t, include.ccm_ext_in_pkt_t, include.inst_pkt_t, lsu.lsu, dec.dec_tlu_ctl, dec.dec_decode_csr_read_IO, lib.ahb_to_axi4, lib.lib.rvecc_encode, lib.axi4_to_ahb, quasar, include.tlu_dma, include.lsu_pic, include.dma_lsc_ctl, include.rets_pkt_t, lib.lib.rvecc_encode_64, include.mul_pkt_t, dec.csr_tlu, include.reg_pkt_t, include.trap_pkt_t, pic_ctrl, include.dma_mem_ctl, include.write_data, include.ic_data_ext_in_pkt_t, dec.CSRs, exu.exu_alu_ctl, lib.lib.rvsyncss, include.tlu_exu, include.ib_exu, include.iccm_mem, include.lsu_dec, QUASAR_Wrp, quasar_bundle, include.predict_pkt_t, include.dec_ifc, include.write_addr, include.ifu_dma, include.tlu_busbuff, ifu.mem_ctl_io, include.lsu_error_pkt_t, lib.Config, lsu.lsu_bus_buffer, quasar_wrapper, include.trigger_pkt_t, include.write_resp, dec.CSR_IO, include.alu_pkt_t, include.trace_pkt_t, include.br_tlu_pkt_t, lib.lib.rvclkhdr, dec.dec_timer_ctl, include.dest_pkt_t, include.dec_exu, lib.lib.rvdffe, include.read_data, ifu.ifu_aln_ctl, dbg.dbg, include.dma_dccm_ctl, include.ic_mem, lsu.lsu_bus_intf, include.br_pkt_t, dec.CSR_VAL, include.cache_debug_pkt_t, include.dec_pic, include.exu_ifu, dbg.sb_state_t, include.ic_tag_ext_in_pkt_t, include.dctl_busbuff, include.read_addr, include.axi_channels, dec.dec_decode_csr_read, include.dec_mem_ctrl, ifu.ifu_mem_ctl, ifu.ifu_ifc_ctl, include.lsu_pkt_t, include.dec_div, include.dec_dma, include.decode_exu, include.dec_pkt_t, dec.dec_timer_ctl_IO, include.dec_dbg, snapshot.pt, include.load_cam_pkt_t, include.dma_ifc, dbg.dbg_dma, include.ifu_dec, lib.lib, include.dec_tlu_csr_pkt, dma_ctrl, include.dec_bp, include.dbg_dctl -[debug] Including dec.dec by lib.param -[debug] Including dec.dec_ib_ctl_IO by lib.param -[debug] Including lsu.lsu by lib.param -[debug] Including dec.dec_ib_ctl by lib.param -[debug] Including lib.lib by lib.param -[debug] Including exu.exu by lib.lib -[debug] Including lsu.lsu_trigger by lib.lib -[debug] Including dec.dec_gpr_ctl by lib.lib -[debug] Including lsu.lsu_addrcheck by lib.lib -[debug] Including mem.quasar by lib.lib -[debug] Including ifu.ifu by lib.lib -[debug] Including include.aln_ib by lib.lib -[debug] Including dec.dec_tlu_ctl_IO by lib.lib -[debug] Including exu.exu_div_ctl by lib.lib -[debug] Including dec.dec_tlu_ctl by lib.lib -[debug] Including lib.ahb_to_axi4 by lib.lib -[debug] Including lib.axi4_to_ahb by lib.lib -[debug] Including quasar by lib.lib -[debug] Including dec.csr_tlu by lib.lib -[debug] Including lsu.lsu_lsc_ctl by lib.lib -[debug] Including pic_ctrl by lib.lib -[debug] Including include.write_data by lib.lib -[debug] Including exu.exu_alu_ctl by lib.lib -[debug] Including include.tlu_exu by lib.lib -[debug] Including dec.dec_IO by lib.lib -[debug] Including include.iccm_mem by lib.lib -[debug] Including quasar_bundle by lib.lib -[debug] Including lsu.lsu_ecc by lib.lib -[debug] Including mem.blackbox_mem by lib.lib -[debug] Including include.write_addr by lib.lib -[debug] Including ifu.mem_ctl_io by lib.lib -[debug] Including lsu.lsu_bus_buffer by lib.lib -[debug] Including quasar_wrapper by lib.lib -[debug] Including include.write_resp by lib.lib -[debug] Including dec.CSR_IO by lib.lib -[debug] Including dec.dec_timer_ctl by lib.lib -[debug] Including include.dec_exu by lib.lib -[debug] Including include.read_data by lib.lib -[debug] Including ifu.ifu_aln_ctl by lib.lib -[debug] Including dbg.dbg by lib.lib -[debug] Including include.ic_mem by lib.lib -[debug] Including lsu.lsu_bus_intf by lib.lib -[debug] Including exu.exu_mul_ctl by lib.lib -[debug] Including dec.dec_trigger by lib.lib -[debug] Including lsu.lsu_dccm_ctl by lib.lib -[debug] Including ifu.ifu_compress_ctl by lib.lib -[debug] Including ifu.ifu_bp_ctl by lib.lib -[debug] Including mem.Mem_bundle by lib.lib -[debug] Including include.dctl_busbuff by lib.lib -[debug] Including include.read_addr by lib.lib -[debug] Including include.axi_channels by lib.lib -[debug] Including dec.dec_dec_ctl by lib.lib -[debug] Including lsu.lsu_stbuf by lib.lib -[debug] Including mem.mem_lsu by lib.lib -[debug] Including include.dec_mem_ctrl by lib.lib -[debug] Including ifu.ifu_mem_ctl by lib.lib -[debug] Including ifu.ifu_ifc_ctl by lib.lib -[debug] Including include.decode_exu by lib.lib -[debug] Including dma_ctrl by lib.lib -[debug] Recompiling all sources: number of invalidated sources > 50.0% of all sources -[info] Compiling 39 Scala sources to /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes ... -[debug] Getting org.scala-sbt:compiler-bridge_2.12:1.3.5:compile for Scala 2.12.10 -[debug] Getting org.scala-sbt:compiler-bridge_2.12:1.3.5:compile for Scala 2.12.10 -[debug] [zinc] Running cached compiler 1544a576 for Scala compiler version 2.12.10 -[debug] [zinc] The Scala compiler is invoked with: -[debug]  -Xsource:2.11 -[debug]  -Xplugin:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalamacros/paradise_2.12.10/2.1.0/paradise_2.12.10-2.1.0.jar -[debug]  -bootclasspath -[debug]  /home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-library.jar -[debug]  -classpath -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar -[debug] Scala compilation took 42.644386776 s -[debug] Done compiling. -[debug] Invalidating (transitively) by inheritance from exu.exu_alu_ctl... -[debug] Initial set of included nodes: exu.exu_alu_ctl -[debug] Invalidated by transitive inheritance dependency: Set(exu.exu_alu_ctl) -[debug] None of the modified names appears in source file of exu.exu. This dependency is not being considered for invalidation. -[debug] Change NamesChange(exu.exu_alu_ctl,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The exu.exu_alu_ctl has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(exu.exu_alu_ctl) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from include.write_resp... -[debug] Initial set of included nodes: include.write_resp -[debug] Invalidated by transitive inheritance dependency: Set(include.write_resp) -[debug] The following modified names cause invalidation of dbg.dbg: Set(UsedName(write_resp,[Default])) -[debug] The following modified names cause invalidation of lsu.lsu_bus_buffer: Set(UsedName(asInstanceOf,[Default]), UsedName(==,[Default]), UsedName(write_resp,[Default]), UsedName(isInstanceOf,[Default])) -[debug] The following modified names cause invalidation of lib.axi4_to_ahb: Set(UsedName(asInstanceOf,[Default]), UsedName(==,[Default]), UsedName(write_resp,[Default]), UsedName(isInstanceOf,[Default])) -[debug] The following modified names cause invalidation of dma_ctrl: Set(UsedName(ne,[Default]), UsedName(write_resp,[Default])) -[debug] Change NamesChange(include.write_resp,ModifiedNames(changes = UsedName(notify,[Default]), UsedName(notifyAll,[Default]), UsedName(asInstanceOf,[Default]), UsedName(toString,[Default]), UsedName(finalize,[Default]), UsedName(!=,[Default]), UsedName(synchronized,[Default]), UsedName(wait,[Default]), UsedName(##,[Default]), UsedName(ne,[Default]), UsedName(equals,[Default]), UsedName(bridge_gen,[Default]), UsedName(==,[Default]), UsedName(include;write_resp;init;,[Default]), UsedName($default$1,[Default]), UsedName(write_resp,[Default]), UsedName(isInstanceOf,[Default]), UsedName($isInstanceOf,[Default]), UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(hashCode,[Default]), UsedName(eq,[Default]), UsedName(clone,[Default]), UsedName(getClass,[Default]), UsedName($asInstanceOf,[Default]))) invalidates 5 classes due to The include.write_resp has the following regular definitions changed: -[debug]  UsedName(notify,[Default]), UsedName(notifyAll,[Default]), UsedName(asInstanceOf,[Default]), UsedName(toString,[Default]), UsedName(finalize,[Default]), UsedName(!=,[Default]), UsedName(synchronized,[Default]), UsedName(wait,[Default]), UsedName(##,[Default]), UsedName(ne,[Default]), UsedName(equals,[Default]), UsedName(bridge_gen,[Default]), UsedName(==,[Default]), UsedName(include;write_resp;init;,[Default]), UsedName($default$1,[Default]), UsedName(write_resp,[Default]), UsedName(isInstanceOf,[Default]), UsedName($isInstanceOf,[Default]), UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(hashCode,[Default]), UsedName(eq,[Default]), UsedName(clone,[Default]), UsedName(getClass,[Default]), UsedName($asInstanceOf,[Default]). -[debug]  > by transitive inheritance: Set(include.write_resp) -[debug]  >  -[debug]  > by member reference: Set(dbg.dbg, lsu.lsu_bus_buffer, lib.axi4_to_ahb, dma_ctrl) -[debug]   -[debug] Invalidating (transitively) by inheritance from ifu.ifu_mem_ctl... -[debug] Initial set of included nodes: ifu.ifu_mem_ctl -[debug] Invalidated by transitive inheritance dependency: Set(ifu.ifu_mem_ctl) -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] Change NamesChange(ifu.ifu_mem_ctl,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The ifu.ifu_mem_ctl has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(ifu.ifu_mem_ctl) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from dec.dec_decode_ctl... -[debug] Initial set of included nodes: dec.dec_decode_ctl -[debug] Invalidated by transitive inheritance dependency: Set(dec.dec_decode_ctl) -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] Change NamesChange(dec.dec_decode_ctl,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The dec.dec_decode_ctl has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(dec.dec_decode_ctl) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from include.ahb_channel... -[debug] Initial set of included nodes: include.ahb_channel -[debug] Invalidated by transitive inheritance dependency: Set(include.ahb_channel) -[debug] The following member ref dependencies of include.ahb_channel are invalidated: -[debug]  lib.ahb_to_axi4 -[debug]  lib.axi4_to_ahb -[debug]  lib.axi4_to_ahb_IO -[debug]  lib.lib -[debug]  quasar -[debug]  quasar_bundle -[debug]  quasar_wrapper -[debug] Change NamesChange(include.ahb_channel,ModifiedNames(changes = UsedName(asTypeOf,[Default]), UsedName(do_asUInt,[Default]), UsedName(instanceName,[Default]), UsedName(toTarget,[Default]), UsedName(suggestedName,[Default]), UsedName(notify,[Default]), UsedName(notifyAll,[Default]), UsedName(setRef,[Default]), UsedName(topBindingOpt,[Default]), UsedName(asInstanceOf,[Default]), UsedName(isLit,[Default]), UsedName(typeEquivalent,[Default]), UsedName(toString,[Default]), UsedName(direction_=,[Default]), UsedName(finalize,[Default]), UsedName(!=,[Default]), UsedName(isSynthesizable,[Default]), UsedName(synchronized,[Default]), UsedName(topBinding,[Default]), UsedName(asUInt,[Default]), UsedName(pathName,[Default]), UsedName(specifiedDirection,[Default]), UsedName(wait,[Default]), UsedName(getPublicFields,[Default]), UsedName(##,[Default]), UsedName(toPrintableHelper,[Default]), UsedName(width,[Default]), UsedName(ne,[Default]), UsedName(ref,[Default]), UsedName(elements,[Default]), UsedName(equals,[Default]), UsedName(bulkConnect,[Default]), UsedName(toAbsoluteTarget,[Default]), UsedName(==,[Default]), UsedName(suggestName,[Default]), UsedName(parentModName,[Default]), UsedName(flatten,[Default]), UsedName(out,[Default]), UsedName(binding_=,[Default]), UsedName(_makeLit,[Default]), UsedName(bind$default$2,[Default]), UsedName(parentPathName,[Default]), UsedName(isInstanceOf,[Default]), UsedName(compileOptions,[Implicit]), UsedName($isInstanceOf,[Default]), UsedName(getOptionRef,[Default]), UsedName(className,[Default]), UsedName(widthOption,[Default]), UsedName($init$,[Default]), UsedName(getElements,[Default]), UsedName(connectFromBits,[Default]), UsedName(cloneTypeFull,[Default]), UsedName(include;ahb_channel;init;,[Default]), UsedName(ignoreSeq,[Default]), UsedName(circuitName,[Default]), UsedName(binding,[Default]), UsedName(<>,[Default]), UsedName(litArg,[Default]), UsedName(_onModuleClose,[Default]), UsedName(specifiedDirection_=,[Default]), UsedName(lref,[Default]), UsedName(litOption,[Default]), UsedName(allElements,[Default]), UsedName(connect,[Default]), UsedName(bind,[Default]), UsedName(getRef,[Default]), UsedName(getWidth,[Default]), UsedName(addPostnameHook,[Default]), UsedName(litValue,[Default]), UsedName(hashCode,[Default]), UsedName(cloneType,[Default]), UsedName(_parent,[Default]), UsedName(eq,[Default]), UsedName(ahb_channel,[Default]), UsedName(:=,[Default]), UsedName(in,[Default]), UsedName(bindingToString,[Default]), UsedName(clone,[Default]), UsedName(_id,[Default]), UsedName(isWidthKnown,[Default]), UsedName(getClass,[Default]), UsedName(do_asTypeOf,[Default]), UsedName(toPrintable,[Default]), UsedName(forceName,[Default]), UsedName(_assignCompatibilityExplicitDirection,[Default]), UsedName(direction,[Default]), UsedName($asInstanceOf,[Default]), UsedName(legacyConnect,[Default]), UsedName(toNamed,[Default]), UsedName(badConnect,[Default]))) invalidates 8 classes due to The include.ahb_channel has the following implicit definitions changed: -[debug]  UsedName(compileOptions,[Implicit]). -[debug]  > by transitive inheritance: Set(include.ahb_channel) -[debug]  >  -[debug]  > by member reference: Set(lib.axi4_to_ahb_IO, lib.ahb_to_axi4, lib.axi4_to_ahb, quasar, quasar_bundle, quasar_wrapper, lib.lib) -[debug]   -[debug] Invalidating (transitively) by inheritance from include.read_addr... -[debug] Initial set of included nodes: include.read_addr -[debug] Invalidated by transitive inheritance dependency: Set(include.read_addr) -[debug] The following modified names cause invalidation of lib.ahb_to_axi4: Set(UsedName(asInstanceOf,[Default]), UsedName(read_addr,[Default]), UsedName(ne,[Default]), UsedName(==,[Default]), UsedName(isInstanceOf,[Default])) -[debug] The following modified names cause invalidation of lib.axi4_to_ahb: Set(UsedName(asInstanceOf,[Default]), UsedName(read_addr,[Default]), UsedName(==,[Default]), UsedName(isInstanceOf,[Default])) -[debug] The following modified names cause invalidation of lsu.lsu_bus_buffer: Set(UsedName(asInstanceOf,[Default]), UsedName(read_addr,[Default]), UsedName(==,[Default]), UsedName(isInstanceOf,[Default])) -[debug] The following modified names cause invalidation of dbg.dbg: Set(UsedName(read_addr,[Default])) -[debug] The following modified names cause invalidation of ifu.ifu_mem_ctl: Set(UsedName(asInstanceOf,[Default]), UsedName(read_addr,[Default]), UsedName(==,[Default]), UsedName(isInstanceOf,[Default])) -[debug] The following modified names cause invalidation of dma_ctrl: Set(UsedName(read_addr,[Default]), UsedName(ne,[Default])) -[debug] Change NamesChange(include.read_addr,ModifiedNames(changes = UsedName(include;read_addr;init;,[Default]), UsedName(notify,[Default]), UsedName(notifyAll,[Default]), UsedName(asInstanceOf,[Default]), UsedName(toString,[Default]), UsedName(finalize,[Default]), UsedName(!=,[Default]), UsedName(read_addr,[Default]), UsedName(synchronized,[Default]), UsedName(wait,[Default]), UsedName(##,[Default]), UsedName(ne,[Default]), UsedName(equals,[Default]), UsedName(bridge_gen,[Default]), UsedName(==,[Default]), UsedName($default$1,[Default]), UsedName(isInstanceOf,[Default]), UsedName($isInstanceOf,[Default]), UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(hashCode,[Default]), UsedName(eq,[Default]), UsedName(clone,[Default]), UsedName(getClass,[Default]), UsedName($asInstanceOf,[Default]))) invalidates 7 classes due to The include.read_addr has the following regular definitions changed: -[debug]  UsedName(include;read_addr;init;,[Default]), UsedName(notify,[Default]), UsedName(notifyAll,[Default]), UsedName(asInstanceOf,[Default]), UsedName(toString,[Default]), UsedName(finalize,[Default]), UsedName(!=,[Default]), UsedName(read_addr,[Default]), UsedName(synchronized,[Default]), UsedName(wait,[Default]), UsedName(##,[Default]), UsedName(ne,[Default]), UsedName(equals,[Default]), UsedName(bridge_gen,[Default]), UsedName(==,[Default]), UsedName($default$1,[Default]), UsedName(isInstanceOf,[Default]), UsedName($isInstanceOf,[Default]), UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(hashCode,[Default]), UsedName(eq,[Default]), UsedName(clone,[Default]), UsedName(getClass,[Default]), UsedName($asInstanceOf,[Default]). -[debug]  > by transitive inheritance: Set(include.read_addr) -[debug]  >  -[debug]  > by member reference: Set(lib.ahb_to_axi4, lib.axi4_to_ahb, lsu.lsu_bus_buffer, dbg.dbg, ifu.ifu_mem_ctl, dma_ctrl) -[debug]   -[debug] Invalidating (transitively) by inheritance from include.iccm_mem... -[debug] Initial set of included nodes: include.iccm_mem -[debug] Invalidated by transitive inheritance dependency: Set(include.iccm_mem) -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar_bundle. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.mem_ctl_io. This dependency is not being considered for invalidation. -[debug] The following modified names cause invalidation of quasar_wrapper: Set(UsedName(bridge_gen,[Default])) -[debug] None of the modified names appears in source file of mem.Mem_bundle. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_mem_ctl. This dependency is not being considered for invalidation. -[debug] Change NamesChange(include.iccm_mem,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 2 classes due to The include.iccm_mem has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(include.iccm_mem) -[debug]  >  -[debug]  > by member reference: Set(quasar_wrapper) -[debug]   -[debug] Invalidating (transitively) by inheritance from ifu.mem_ctl_io... -[debug] Initial set of included nodes: ifu.mem_ctl_io -[debug] Invalidated by transitive inheritance dependency: Set(ifu.mem_ctl_io) -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] Change NamesChange(ifu.mem_ctl_io,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The ifu.mem_ctl_io has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(ifu.mem_ctl_io) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from include.aln_ib... -[debug] Initial set of included nodes: include.aln_ib -[debug] Invalidated by transitive inheritance dependency: Set(include.aln_ib) -[debug] None of the modified names appears in source file of dec.dec_ib_ctl_IO. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_ib_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_aln_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] Change NamesChange(include.aln_ib,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The include.aln_ib has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(include.aln_ib) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from lib.axi4_to_ahb_IO... -[debug] Initial set of included nodes: lib.axi4_to_ahb_IO -[debug] Invalidated by transitive inheritance dependency: Set(lib.axi4_to_ahb_IO) -[debug] The following modified names cause invalidation of quasar: Set(UsedName(ahb,[Default]), UsedName(axi,[Default])) -[debug] Change NamesChange(lib.axi4_to_ahb_IO,ModifiedNames(changes = UsedName(axi_wlast,[Default]), UsedName(axi_bid,[Default]), UsedName(axi_arready,[Default]), UsedName(axi_awaddr,[Default]), UsedName(axi_rresp,[Default]), UsedName(axi_rready,[Default]), UsedName(axi_wdata,[Default]), UsedName(axi_rvalid,[Default]), UsedName(axi_bready,[Default]), UsedName(axi_arid,[Default]), UsedName(axi_araddr,[Default]), UsedName(ahb_hsize,[Default]), UsedName(ahb_htrans,[Default]), UsedName(axi_wvalid,[Default]), UsedName(ahb_hresp,[Default]), UsedName(axi_arprot,[Default]), UsedName(ahb_hwdata,[Default]), UsedName(axi_arvalid,[Default]), UsedName(axi_wstrb,[Default]), UsedName(axi_awready,[Default]), UsedName(axi_awid,[Default]), UsedName(ahb,[Default]), UsedName(axi_awsize,[Default]), UsedName(ahb_hwrite,[Default]), UsedName(axi_awvalid,[Default]), UsedName(axi_rlast,[Default]), UsedName(ahb_hrdata,[Default]), UsedName(axi_bresp,[Default]), UsedName(ahb_hburst,[Default]), UsedName(axi_rdata,[Default]), UsedName(axi_awprot,[Default]), UsedName(axi_bvalid,[Default]), UsedName(axi_wready,[Default]), UsedName(ahb_haddr,[Default]), UsedName(axi,[Default]), UsedName(ahb_hready,[Default]), UsedName(axi_rid,[Default]), UsedName(ahb_hprot,[Default]), UsedName(axi_arsize,[Default]), UsedName(ahb_hmastlock,[Default]))) invalidates 2 classes due to The lib.axi4_to_ahb_IO has the following regular definitions changed: -[debug]  UsedName(axi_wlast,[Default]), UsedName(axi_bid,[Default]), UsedName(axi_arready,[Default]), UsedName(axi_awaddr,[Default]), UsedName(axi_rresp,[Default]), UsedName(axi_rready,[Default]), UsedName(axi_wdata,[Default]), UsedName(axi_rvalid,[Default]), UsedName(axi_bready,[Default]), UsedName(axi_arid,[Default]), UsedName(axi_araddr,[Default]), UsedName(ahb_hsize,[Default]), UsedName(ahb_htrans,[Default]), UsedName(axi_wvalid,[Default]), UsedName(ahb_hresp,[Default]), UsedName(axi_arprot,[Default]), UsedName(ahb_hwdata,[Default]), UsedName(axi_arvalid,[Default]), UsedName(axi_wstrb,[Default]), UsedName(axi_awready,[Default]), UsedName(axi_awid,[Default]), UsedName(ahb,[Default]), UsedName(axi_awsize,[Default]), UsedName(ahb_hwrite,[Default]), UsedName(axi_awvalid,[Default]), UsedName(axi_rlast,[Default]), UsedName(ahb_hrdata,[Default]), UsedName(axi_bresp,[Default]), UsedName(ahb_hburst,[Default]), UsedName(axi_rdata,[Default]), UsedName(axi_awprot,[Default]), UsedName(axi_bvalid,[Default]), UsedName(axi_wready,[Default]), UsedName(ahb_haddr,[Default]), UsedName(axi,[Default]), UsedName(ahb_hready,[Default]), UsedName(axi_rid,[Default]), UsedName(ahb_hprot,[Default]), UsedName(axi_arsize,[Default]), UsedName(ahb_hmastlock,[Default]). -[debug]  > by transitive inheritance: Set(lib.axi4_to_ahb_IO) -[debug]  >  -[debug]  > by member reference: Set(quasar) -[debug]   -[debug] Invalidating (transitively) by inheritance from dec.CSR_IO... -[debug] Initial set of included nodes: dec.CSR_IO -[debug] Invalidated by transitive inheritance dependency: Set(dec.CSR_IO) -[debug] Change NamesChange(dec.CSR_IO,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The dec.CSR_IO has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(dec.CSR_IO) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from snapshot.pt... -[debug] Initial set of included nodes: snapshot.pt -[debug] Invalidated by transitive inheritance dependency: Set(snapshot.pt) -[debug] Change NamesChange(snapshot.pt,ModifiedNames(changes = UsedName(BTB_ADDR_HI,[Default]), UsedName(INST_ACCESS_ENABLE5,[Default]), UsedName(DATA_ACCESS_MASK1,[Default]), UsedName(DATA_ACCESS_ENABLE6,[Default]), UsedName(DCCM_ECC_WIDTH,[Default]), UsedName(LSU2DMA,[Default]), UsedName(INST_ACCESS_ADDR1,[Default]), UsedName(ICCM_BANK_INDEX_LO,[Default]), UsedName(INST_ACCESS_MASK7,[Default]), UsedName(INST_ACCESS_ADDR4,[Default]), UsedName(PIC_BASE_ADDR,[Default]), UsedName(ICACHE_DATA_INDEX_LO,[Default]), UsedName(LSU_NUM_NBLOAD,[Default]), UsedName(ICCM_ICACHE,[Default]), UsedName(INST_ACCESS_ENABLE4,[Default]), UsedName(DCCM_BITS,[Default]), UsedName(TIMER_LEGAL_EN,[Default]), UsedName(DCCM_WIDTH_BITS,[Default]), UsedName(notify,[Default]), UsedName(DCCM_INDEX_BITS,[Default]), UsedName(notifyAll,[Default]), UsedName(BUILD_AHB_LITE,[Default]), UsedName(ICACHE_NUM_WAYS,[Default]), UsedName(ICACHE_WAYPACK,[Default]), UsedName(DATA_ACCESS_ADDR4,[Default]), UsedName(asInstanceOf,[Default]), UsedName(ICACHE_2BANKS,[Default]), UsedName(DCCM_ENABLE,[Default]), UsedName(BTB_INDEX1_LO,[Default]), UsedName(toString,[Default]), UsedName(finalize,[Default]), UsedName(!=,[Default]), UsedName(DMA_BUF_DEPTH,[Default]), UsedName(DCCM_BANK_BITS,[Default]), UsedName(ICACHE_SCND_LAST,[Default]), UsedName(synchronized,[Default]), UsedName(DATA_ACCESS_ENABLE2,[Default]), UsedName(PIC_SIZE,[Default]), UsedName(INST_ACCESS_ENABLE7,[Default]), UsedName(ICACHE_TAG_DEPTH,[Default]), UsedName(DATA_ACCESS_ENABLE4,[Default]), UsedName(BTB_INDEX3_LO,[Default]), UsedName(INST_ACCESS_ADDR3,[Default]), UsedName(DATA_ACCESS_MASK2,[Default]), UsedName(BUS_PRTY_DEFAULT,[Default]), UsedName(IFU_BUS_TAG,[Default]), UsedName(INST_ACCESS_ENABLE2,[Default]), UsedName(DATA_ACCESS_MASK7,[Default]), UsedName(ICCM_BANK_HI,[Default]), UsedName(ICCM_SADR,[Default]), UsedName(wait,[Default]), UsedName(ICCM_REGION,[Default]), UsedName(INST_ACCESS_MASK6,[Default]), UsedName(DATA_ACCESS_ENABLE0,[Default]), UsedName(DATA_ACCESS_MASK3,[Default]), UsedName(BTB_SIZE,[Default]), UsedName(RET_STACK_SIZE,[Default]), UsedName(INST_ACCESS_MASK5,[Default]), UsedName(##,[Default]), UsedName(BUILD_AXI_NATIVE,[Default]), UsedName(ICACHE_BANK_LO,[Default]), UsedName(ne,[Default]), UsedName(DATA_ACCESS_ADDR6,[Default]), UsedName(ICACHE_BEAT_ADDR_HI,[Default]), UsedName(BTB_INDEX2_LO,[Default]), UsedName(FAST_INTERRUPT_REDIRECT,[Default]), UsedName(DCCM_REGION,[Default]), UsedName(DATA_ACCESS_ADDR2,[Default]), UsedName(equals,[Default]), UsedName(INST_ACCESS_MASK0,[Default]), UsedName(ICACHE_FDATA_WIDTH,[Default]), UsedName(ICACHE_ENABLE,[Default]), UsedName(LSU_NUM_NBLOAD_WIDTH,[Default]), UsedName(DATA_ACCESS_ADDR3,[Default]), UsedName(INST_ACCESS_ADDR2,[Default]), UsedName(ICACHE_SIZE,[Default]), UsedName(PIC_BITS,[Default]), UsedName(ICACHE_STATUS_BITS,[Default]), UsedName(BHT_ADDR_LO,[Default]), UsedName(LSU_BUS_PRTY,[Default]), UsedName(==,[Default]), UsedName(BUILD_AXI4,[Default]), UsedName(INST_ACCESS_ENABLE3,[Default]), UsedName(DATA_ACCESS_ENABLE7,[Default]), UsedName(ICACHE_BANK_WIDTH,[Default]), UsedName(ICCM_SIZE,[Default]), UsedName(DATA_ACCESS_MASK5,[Default]), UsedName(INST_ACCESS_ADDR5,[Default]), UsedName(isInstanceOf,[Default]), UsedName(ICACHE_BANK_HI,[Default]), UsedName(BTB_BTAG_SIZE,[Default]), UsedName(DATA_ACCESS_ENABLE1,[Default]), UsedName(INST_ACCESS_ADDR6,[Default]), UsedName(LSU_SB_BITS,[Default]), UsedName(DMA_BUS_PRTY,[Default]), UsedName($isInstanceOf,[Default]), UsedName(ICACHE_INDEX_HI,[Default]), UsedName(IFU_BUS_PRTY,[Default]), UsedName(DATA_ACCESS_MASK4,[Default]), UsedName(ICACHE_TAG_LO,[Default]), UsedName(LSU_BUS_TAG,[Default]), UsedName(INST_ACCESS_MASK2,[Default]), UsedName(DATA_ACCESS_MASK0,[Default]), UsedName(BTB_BTAG_FOLD,[Default]), UsedName(ICACHE_TAG_INDEX_LO,[Default]), UsedName(INST_ACCESS_ENABLE0,[Default]), UsedName(ICACHE_DATA_DEPTH,[Default]), UsedName(IFU_BUS_ID,[Default]), UsedName(BTB_INDEX2_HI,[Default]), UsedName(ICCM_NUM_BANKS,[Default]), UsedName(LSU_BUS_ID,[Default]), UsedName(DATA_ACCESS_ADDR5,[Default]), UsedName(ICCM_ENABLE,[Default]), UsedName(BTB_ADDR_LO,[Default]), UsedName(ICACHE_ECC,[Default]), UsedName(LSU_STBUF_DEPTH,[Default]), UsedName(DATA_ACCESS_ADDR0,[Default]), UsedName(INST_ACCESS_ADDR7,[Default]), UsedName(BTB_FOLD2_INDEX_HASH,[Default]), UsedName(BTB_INDEX1_HI,[Default]), UsedName(ICCM_ONLY,[Default]), UsedName(ICCM_BITS,[Default]), UsedName(PIC_INT_WORDS,[Default]), UsedName(PIC_TOTAL_INT,[Default]), UsedName(DATA_ACCESS_ENABLE5,[Default]), UsedName(DCCM_NUM_BANKS,[Default]), UsedName(LOAD_TO_USE_PLUS1,[Default]), UsedName(ICACHE_ONLY,[Default]), UsedName(ICACHE_LN_SZ,[Default]), UsedName(PIC_2CYCLE,[Default]), UsedName(DCCM_FDATA_WIDTH,[Default]), UsedName(ICACHE_NUM_BEATS,[Default]), UsedName(ICCM_BANK_BITS,[Default]), UsedName(ICACHE_BEAT_BITS,[Default]), UsedName(PIC_TOTAL_INT_PLUS1,[Default]), UsedName(ICCM_INDEX_BITS,[Default]), UsedName(BTB_ARRAY_DEPTH,[Default]), UsedName(DCCM_SADR,[Default]), UsedName(BHT_GHR_SIZE,[Default]), UsedName(BHT_SIZE,[Default]), UsedName(DMA_BUS_ID,[Default]), UsedName(hashCode,[Default]), UsedName(ICACHE_BANKS_WAY,[Default]), UsedName(DATA_ACCESS_MASK6,[Default]), UsedName(INST_ACCESS_ADDR0,[Default]), UsedName(BTB_INDEX3_HI,[Default]), UsedName(SB_BUS_PRTY,[Default]), UsedName(PIC_REGION,[Default]), UsedName(DCCM_BYTE_WIDTH,[Default]), UsedName(INST_ACCESS_MASK1,[Default]), UsedName(eq,[Default]), UsedName(ICACHE_DATA_WIDTH,[Default]), UsedName(INST_ACCESS_ENABLE1,[Default]), UsedName(INST_ACCESS_ENABLE6,[Default]), UsedName(BHT_ADDR_HI,[Default]), UsedName(BHT_GHR_HASH_1,[Default]), UsedName(INST_ACCESS_MASK4,[Default]), UsedName(ICACHE_BANK_BITS,[Default]), UsedName(clone,[Default]), UsedName(DMA_BUS_TAG,[Default]), UsedName(DATA_ACCESS_ENABLE3,[Default]), UsedName(INST_ACCESS_MASK3,[Default]), UsedName(getClass,[Default]), UsedName(DCCM_SIZE,[Default]), UsedName(NO_ICCM_NO_ICACHE,[Default]), UsedName(DATA_ACCESS_ADDR7,[Default]), UsedName(DATA_ACCESS_ADDR1,[Default]), UsedName(SB_BUS_TAG,[Default]), UsedName($asInstanceOf,[Default]), UsedName(SB_BUS_ID,[Default]), UsedName(DCCM_DATA_WIDTH,[Default]), UsedName(pt,[Default]), UsedName(BHT_ARRAY_DEPTH,[Default]))) invalidates 1 classes due to The snapshot.pt has the following regular definitions changed: -[debug]  UsedName(BTB_ADDR_HI,[Default]), UsedName(INST_ACCESS_ENABLE5,[Default]), UsedName(DATA_ACCESS_MASK1,[Default]), UsedName(DATA_ACCESS_ENABLE6,[Default]), UsedName(DCCM_ECC_WIDTH,[Default]), UsedName(LSU2DMA,[Default]), UsedName(INST_ACCESS_ADDR1,[Default]), UsedName(ICCM_BANK_INDEX_LO,[Default]), UsedName(INST_ACCESS_MASK7,[Default]), UsedName(INST_ACCESS_ADDR4,[Default]), UsedName(PIC_BASE_ADDR,[Default]), UsedName(ICACHE_DATA_INDEX_LO,[Default]), UsedName(LSU_NUM_NBLOAD,[Default]), UsedName(ICCM_ICACHE,[Default]), UsedName(INST_ACCESS_ENABLE4,[Default]), UsedName(DCCM_BITS,[Default]), UsedName(TIMER_LEGAL_EN,[Default]), UsedName(DCCM_WIDTH_BITS,[Default]), UsedName(notify,[Default]), UsedName(DCCM_INDEX_BITS,[Default]), UsedName(notifyAll,[Default]), UsedName(BUILD_AHB_LITE,[Default]), UsedName(ICACHE_NUM_WAYS,[Default]), UsedName(ICACHE_WAYPACK,[Default]), UsedName(DATA_ACCESS_ADDR4,[Default]), UsedName(asInstanceOf,[Default]), UsedName(ICACHE_2BANKS,[Default]), UsedName(DCCM_ENABLE,[Default]), UsedName(BTB_INDEX1_LO,[Default]), UsedName(toString,[Default]), UsedName(finalize,[Default]), UsedName(!=,[Default]), UsedName(DMA_BUF_DEPTH,[Default]), UsedName(DCCM_BANK_BITS,[Default]), UsedName(ICACHE_SCND_LAST,[Default]), UsedName(synchronized,[Default]), UsedName(DATA_ACCESS_ENABLE2,[Default]), UsedName(PIC_SIZE,[Default]), UsedName(INST_ACCESS_ENABLE7,[Default]), UsedName(ICACHE_TAG_DEPTH,[Default]), UsedName(DATA_ACCESS_ENABLE4,[Default]), UsedName(BTB_INDEX3_LO,[Default]), UsedName(INST_ACCESS_ADDR3,[Default]), UsedName(DATA_ACCESS_MASK2,[Default]), UsedName(BUS_PRTY_DEFAULT,[Default]), UsedName(IFU_BUS_TAG,[Default]), UsedName(INST_ACCESS_ENABLE2,[Default]), UsedName(DATA_ACCESS_MASK7,[Default]), UsedName(ICCM_BANK_HI,[Default]), UsedName(ICCM_SADR,[Default]), UsedName(wait,[Default]), UsedName(ICCM_REGION,[Default]), UsedName(INST_ACCESS_MASK6,[Default]), UsedName(DATA_ACCESS_ENABLE0,[Default]), UsedName(DATA_ACCESS_MASK3,[Default]), UsedName(BTB_SIZE,[Default]), UsedName(RET_STACK_SIZE,[Default]), UsedName(INST_ACCESS_MASK5,[Default]), UsedName(##,[Default]), UsedName(BUILD_AXI_NATIVE,[Default]), UsedName(ICACHE_BANK_LO,[Default]), UsedName(ne,[Default]), UsedName(DATA_ACCESS_ADDR6,[Default]), UsedName(ICACHE_BEAT_ADDR_HI,[Default]), UsedName(BTB_INDEX2_LO,[Default]), UsedName(FAST_INTERRUPT_REDIRECT,[Default]), UsedName(DCCM_REGION,[Default]), UsedName(DATA_ACCESS_ADDR2,[Default]), UsedName(equals,[Default]), UsedName(INST_ACCESS_MASK0,[Default]), UsedName(ICACHE_FDATA_WIDTH,[Default]), UsedName(ICACHE_ENABLE,[Default]), UsedName(LSU_NUM_NBLOAD_WIDTH,[Default]), UsedName(DATA_ACCESS_ADDR3,[Default]), UsedName(INST_ACCESS_ADDR2,[Default]), UsedName(ICACHE_SIZE,[Default]), UsedName(PIC_BITS,[Default]), UsedName(ICACHE_STATUS_BITS,[Default]), UsedName(BHT_ADDR_LO,[Default]), UsedName(LSU_BUS_PRTY,[Default]), UsedName(==,[Default]), UsedName(BUILD_AXI4,[Default]), UsedName(INST_ACCESS_ENABLE3,[Default]), UsedName(DATA_ACCESS_ENABLE7,[Default]), UsedName(ICACHE_BANK_WIDTH,[Default]), UsedName(ICCM_SIZE,[Default]), UsedName(DATA_ACCESS_MASK5,[Default]), UsedName(INST_ACCESS_ADDR5,[Default]), UsedName(isInstanceOf,[Default]), UsedName(ICACHE_BANK_HI,[Default]), UsedName(BTB_BTAG_SIZE,[Default]), UsedName(DATA_ACCESS_ENABLE1,[Default]), UsedName(INST_ACCESS_ADDR6,[Default]), UsedName(LSU_SB_BITS,[Default]), UsedName(DMA_BUS_PRTY,[Default]), UsedName($isInstanceOf,[Default]), UsedName(ICACHE_INDEX_HI,[Default]), UsedName(IFU_BUS_PRTY,[Default]), UsedName(DATA_ACCESS_MASK4,[Default]), UsedName(ICACHE_TAG_LO,[Default]), UsedName(LSU_BUS_TAG,[Default]), UsedName(INST_ACCESS_MASK2,[Default]), UsedName(DATA_ACCESS_MASK0,[Default]), UsedName(BTB_BTAG_FOLD,[Default]), UsedName(ICACHE_TAG_INDEX_LO,[Default]), UsedName(INST_ACCESS_ENABLE0,[Default]), UsedName(ICACHE_DATA_DEPTH,[Default]), UsedName(IFU_BUS_ID,[Default]), UsedName(BTB_INDEX2_HI,[Default]), UsedName(ICCM_NUM_BANKS,[Default]), UsedName(LSU_BUS_ID,[Default]), UsedName(DATA_ACCESS_ADDR5,[Default]), UsedName(ICCM_ENABLE,[Default]), UsedName(BTB_ADDR_LO,[Default]), UsedName(ICACHE_ECC,[Default]), UsedName(LSU_STBUF_DEPTH,[Default]), UsedName(DATA_ACCESS_ADDR0,[Default]), UsedName(INST_ACCESS_ADDR7,[Default]), UsedName(BTB_FOLD2_INDEX_HASH,[Default]), UsedName(BTB_INDEX1_HI,[Default]), UsedName(ICCM_ONLY,[Default]), UsedName(ICCM_BITS,[Default]), UsedName(PIC_INT_WORDS,[Default]), UsedName(PIC_TOTAL_INT,[Default]), UsedName(DATA_ACCESS_ENABLE5,[Default]), UsedName(DCCM_NUM_BANKS,[Default]), UsedName(LOAD_TO_USE_PLUS1,[Default]), UsedName(ICACHE_ONLY,[Default]), UsedName(ICACHE_LN_SZ,[Default]), UsedName(PIC_2CYCLE,[Default]), UsedName(DCCM_FDATA_WIDTH,[Default]), UsedName(ICACHE_NUM_BEATS,[Default]), UsedName(ICCM_BANK_BITS,[Default]), UsedName(ICACHE_BEAT_BITS,[Default]), UsedName(PIC_TOTAL_INT_PLUS1,[Default]), UsedName(ICCM_INDEX_BITS,[Default]), UsedName(BTB_ARRAY_DEPTH,[Default]), UsedName(DCCM_SADR,[Default]), UsedName(BHT_GHR_SIZE,[Default]), UsedName(BHT_SIZE,[Default]), UsedName(DMA_BUS_ID,[Default]), UsedName(hashCode,[Default]), UsedName(ICACHE_BANKS_WAY,[Default]), UsedName(DATA_ACCESS_MASK6,[Default]), UsedName(INST_ACCESS_ADDR0,[Default]), UsedName(BTB_INDEX3_HI,[Default]), UsedName(SB_BUS_PRTY,[Default]), UsedName(PIC_REGION,[Default]), UsedName(DCCM_BYTE_WIDTH,[Default]), UsedName(INST_ACCESS_MASK1,[Default]), UsedName(eq,[Default]), UsedName(ICACHE_DATA_WIDTH,[Default]), UsedName(INST_ACCESS_ENABLE1,[Default]), UsedName(INST_ACCESS_ENABLE6,[Default]), UsedName(BHT_ADDR_HI,[Default]), UsedName(BHT_GHR_HASH_1,[Default]), UsedName(INST_ACCESS_MASK4,[Default]), UsedName(ICACHE_BANK_BITS,[Default]), UsedName(clone,[Default]), UsedName(DMA_BUS_TAG,[Default]), UsedName(DATA_ACCESS_ENABLE3,[Default]), UsedName(INST_ACCESS_MASK3,[Default]), UsedName(getClass,[Default]), UsedName(DCCM_SIZE,[Default]), UsedName(NO_ICCM_NO_ICACHE,[Default]), UsedName(DATA_ACCESS_ADDR7,[Default]), UsedName(DATA_ACCESS_ADDR1,[Default]), UsedName(SB_BUS_TAG,[Default]), UsedName($asInstanceOf,[Default]), UsedName(SB_BUS_ID,[Default]), UsedName(DCCM_DATA_WIDTH,[Default]), UsedName(pt,[Default]), UsedName(BHT_ARRAY_DEPTH,[Default]). -[debug]  > by transitive inheritance: Set(snapshot.pt) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from lsu.lsu_addrcheck... -[debug] Initial set of included nodes: lsu.lsu_addrcheck -[debug] Invalidated by transitive inheritance dependency: Set(lsu.lsu_addrcheck) -[debug] None of the modified names appears in source file of lsu.lsu_lsc_ctl. This dependency is not being considered for invalidation. -[debug] Change NamesChange(lsu.lsu_addrcheck,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The lsu.lsu_addrcheck has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(lsu.lsu_addrcheck) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from lib.ahb_to_axi4... -[debug] Initial set of included nodes: lib.ahb_to_axi4 -[debug] Invalidated by transitive inheritance dependency: Set(lib.ahb_to_axi4) -[debug] The following modified names cause invalidation of quasar: Set(UsedName(hreadyin,[Default]), UsedName(hsel,[Default]), UsedName(ahb,[Default]), UsedName(io,[Default]), UsedName(sig,[Default]), UsedName(axi,[Default])) -[debug] Change NamesChange(lib.ahb_to_axi4,ModifiedNames(changes = UsedName(hreadyin,[Default]), UsedName(axi_wlast,[Default]), UsedName(axi_awburst,[Default]), UsedName(axi_bid,[Default]), UsedName(axi_arready,[Default]), UsedName(axi_awaddr,[Default]), UsedName(axi_awlen,[Default]), UsedName(hsel,[Default]), UsedName(axi_rresp,[Default]), UsedName(axi_rready,[Default]), UsedName(ahb_hreadyin,[Default]), UsedName(bridge_gen,[Default]), UsedName(ahb_hsel,[Default]), UsedName(axi_wdata,[Default]), UsedName(axi_rvalid,[Default]), UsedName(axi_bready,[Default]), UsedName(axi_arid,[Default]), UsedName(axi_araddr,[Default]), UsedName(ahb_hsize,[Default]), UsedName(ahb_htrans,[Default]), UsedName(axi_wvalid,[Default]), UsedName(ahb_hresp,[Default]), UsedName(ahb_bridge_gen,[Default]), UsedName(axi_arprot,[Default]), UsedName(ahb_hwdata,[Default]), UsedName(axi_arvalid,[Default]), UsedName(axi_wstrb,[Default]), UsedName(flip,[Default]), UsedName(axi_awready,[Default]), UsedName(axi_awid,[Default]), UsedName(ahb,[Default]), UsedName(axi_awsize,[Default]), UsedName(ahb_hwrite,[Default]), UsedName(axi_awvalid,[Default]), UsedName(ahb_hrdata,[Default]), UsedName(io,[Default]), UsedName(sig,[Default]), UsedName(axi_bresp,[Default]), UsedName(ahb_hburst,[Default]), UsedName(axi_rdata,[Default]), UsedName(axi_awprot,[Default]), UsedName(axi_bvalid,[Default]), UsedName(axi_wready,[Default]), UsedName(axi_arburst,[Default]), UsedName(ahb_haddr,[Default]), UsedName(axi_arlen,[Default]), UsedName(axi,[Default]), UsedName(axi_rid,[Default]), UsedName(ahb_hprot,[Default]), UsedName(axi_arsize,[Default]), UsedName(ahb_hreadyout,[Default]), UsedName(ahb_hmastlock,[Default]))) invalidates 2 classes due to The lib.ahb_to_axi4 has the following regular definitions changed: -[debug]  UsedName(hreadyin,[Default]), UsedName(axi_wlast,[Default]), UsedName(axi_awburst,[Default]), UsedName(axi_bid,[Default]), UsedName(axi_arready,[Default]), UsedName(axi_awaddr,[Default]), UsedName(axi_awlen,[Default]), UsedName(hsel,[Default]), UsedName(axi_rresp,[Default]), UsedName(axi_rready,[Default]), UsedName(ahb_hreadyin,[Default]), UsedName(bridge_gen,[Default]), UsedName(ahb_hsel,[Default]), UsedName(axi_wdata,[Default]), UsedName(axi_rvalid,[Default]), UsedName(axi_bready,[Default]), UsedName(axi_arid,[Default]), UsedName(axi_araddr,[Default]), UsedName(ahb_hsize,[Default]), UsedName(ahb_htrans,[Default]), UsedName(axi_wvalid,[Default]), UsedName(ahb_hresp,[Default]), UsedName(ahb_bridge_gen,[Default]), UsedName(axi_arprot,[Default]), UsedName(ahb_hwdata,[Default]), UsedName(axi_arvalid,[Default]), UsedName(axi_wstrb,[Default]), UsedName(flip,[Default]), UsedName(axi_awready,[Default]), UsedName(axi_awid,[Default]), UsedName(ahb,[Default]), UsedName(axi_awsize,[Default]), UsedName(ahb_hwrite,[Default]), UsedName(axi_awvalid,[Default]), UsedName(ahb_hrdata,[Default]), UsedName(io,[Default]), UsedName(sig,[Default]), UsedName(axi_bresp,[Default]), UsedName(ahb_hburst,[Default]), UsedName(axi_rdata,[Default]), UsedName(axi_awprot,[Default]), UsedName(axi_bvalid,[Default]), UsedName(axi_wready,[Default]), UsedName(axi_arburst,[Default]), UsedName(ahb_haddr,[Default]), UsedName(axi_arlen,[Default]), UsedName(axi,[Default]), UsedName(axi_rid,[Default]), UsedName(ahb_hprot,[Default]), UsedName(axi_arsize,[Default]), UsedName(ahb_hreadyout,[Default]), UsedName(ahb_hmastlock,[Default]). -[debug]  > by transitive inheritance: Set(lib.ahb_to_axi4) -[debug]  >  -[debug]  > by member reference: Set(quasar) -[debug]   -[debug] Invalidating (transitively) by inheritance from lib.axi4_to_ahb... -[debug] Initial set of included nodes: lib.axi4_to_ahb -[debug] Invalidated by transitive inheritance dependency: Set(lib.axi4_to_ahb) -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] Change NamesChange(lib.axi4_to_ahb,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The lib.axi4_to_ahb has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(lib.axi4_to_ahb) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from dec.dec_trigger... -[debug] Initial set of included nodes: dec.dec_trigger -[debug] Invalidated by transitive inheritance dependency: Set(dec.dec_trigger) -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] Change NamesChange(dec.dec_trigger,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The dec.dec_trigger has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(dec.dec_trigger) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from dma_ctrl... -[debug] Initial set of included nodes: dma_ctrl -[debug] Invalidated by transitive inheritance dependency: Set(dma_ctrl) -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] Change NamesChange(dma_ctrl,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The dma_ctrl has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(dma_ctrl) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from include.ic_mem... -[debug] Initial set of included nodes: include.ic_mem -[debug] Invalidated by transitive inheritance dependency: Set(include.ic_mem) -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar_bundle. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.mem_ctl_io. This dependency is not being considered for invalidation. -[debug] The following modified names cause invalidation of quasar_wrapper: Set(UsedName(bridge_gen,[Default])) -[debug] None of the modified names appears in source file of mem.Mem_bundle. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_mem_ctl. This dependency is not being considered for invalidation. -[debug] Change NamesChange(include.ic_mem,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 2 classes due to The include.ic_mem has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(include.ic_mem) -[debug]  >  -[debug]  > by member reference: Set(quasar_wrapper) -[debug]   -[debug] Invalidating (transitively) by inheritance from lsu.lsu_bus_buffer... -[debug] Initial set of included nodes: lsu.lsu_bus_buffer -[debug] Invalidated by transitive inheritance dependency: Set(lsu.lsu_bus_buffer) -[debug] None of the modified names appears in source file of lsu.lsu_bus_intf. This dependency is not being considered for invalidation. -[debug] Change NamesChange(lsu.lsu_bus_buffer,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The lsu.lsu_bus_buffer has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(lsu.lsu_bus_buffer) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from dec.dec_gpr_ctl... -[debug] Initial set of included nodes: dec.dec_gpr_ctl -[debug] Invalidated by transitive inheritance dependency: Set(dec.dec_gpr_ctl) -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] Change NamesChange(dec.dec_gpr_ctl,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The dec.dec_gpr_ctl has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(dec.dec_gpr_ctl) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from dec.dec_IO... -[debug] Initial set of included nodes: dec.dec_IO -[debug] Invalidated by transitive inheritance dependency: Set(dec.dec_IO) -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] Change NamesChange(dec.dec_IO,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The dec.dec_IO has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(dec.dec_IO) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from dec.dec_dec_ctl... -[debug] Initial set of included nodes: dec.dec_dec_ctl -[debug] Invalidated by transitive inheritance dependency: Set(dec.dec_dec_ctl) -[debug] None of the modified names appears in source file of dec.dec_decode_ctl. This dependency is not being considered for invalidation. -[debug] Change NamesChange(dec.dec_dec_ctl,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The dec.dec_dec_ctl has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(dec.dec_dec_ctl) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from ifu.ifu... -[debug] Initial set of included nodes: ifu.ifu -[debug] Invalidated by transitive inheritance dependency: Set(ifu.ifu) -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] Change NamesChange(ifu.ifu,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The ifu.ifu has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(ifu.ifu) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from quasar_bundle... -[debug] Initial set of included nodes: quasar_bundle -[debug] Invalidated by transitive inheritance dependency: Set(quasar_bundle) -[debug] The following modified names cause invalidation of quasar_wrapper: Set(UsedName(hreadyin,[Default]), UsedName(hsel,[Default]), UsedName(dma_ahb,[Default]), UsedName(ifu_ahb,[Default]), UsedName(bridge_gen,[Default]), UsedName(sb_ahb,[Default]), UsedName(lsu_ahb,[Default]), UsedName(sig,[Default])) -[debug] Change NamesChange(quasar_bundle,ModifiedNames(changes = UsedName(dma_hsize,[Default]), UsedName(lsu_hresp,[Default]), UsedName(hreadyin,[Default]), UsedName(hresp,[Default]), UsedName(lsu_hburst,[Default]), UsedName(dma_hreadyout,[Default]), UsedName(dma_hresp,[Default]), UsedName(hmastlock,[Default]), UsedName(lsu_hsize,[Default]), UsedName(dma_htrans,[Default]), UsedName(hsel,[Default]), UsedName(dma_ahb,[Default]), UsedName(hready,[Default]), UsedName(sb_hwdata,[Default]), UsedName(lsu_hwrite,[Default]), UsedName(sb_hwrite,[Default]), UsedName(hrdata,[Default]), UsedName(hsize,[Default]), UsedName(ifu_ahb,[Default]), UsedName(bridge_gen,[Default]), UsedName(sb_hburst,[Default]), UsedName(htrans,[Default]), UsedName(sb_ahb,[Default]), UsedName(sb_hprot,[Default]), UsedName(hburst,[Default]), UsedName(lsu_hready,[Default]), UsedName(lsu_ahb,[Default]), UsedName(lsu_hmastlock,[Default]), UsedName(dma_hsel,[Default]), UsedName(sb_hsize,[Default]), UsedName(lsu_haddr,[Default]), UsedName(sb_htrans,[Default]), UsedName(ahb_bridge_gen,[Default]), UsedName(sb_hready,[Default]), UsedName(hprot,[Default]), UsedName(dma_hburst,[Default]), UsedName(lsu_htrans,[Default]), UsedName(flip,[Default]), UsedName(dma_haddr,[Default]), UsedName(lsu_hrdata,[Default]), UsedName(dma_hmastlock,[Default]), UsedName(lsu_hprot,[Default]), UsedName(sb_hrdata,[Default]), UsedName(sb_hmastlock,[Default]), UsedName(dma_hrdata,[Default]), UsedName(sb_haddr,[Default]), UsedName(sig,[Default]), UsedName(dma_hwrite,[Default]), UsedName(sb_hresp,[Default]), UsedName(dma_hwdata,[Default]), UsedName(dma_hreadyin,[Default]), UsedName(dma_hprot,[Default]), UsedName(lsu_hwdata,[Default]), UsedName(haddr,[Default]), UsedName(hwrite,[Default]))) invalidates 2 classes due to The quasar_bundle has the following regular definitions changed: -[debug]  UsedName(dma_hsize,[Default]), UsedName(lsu_hresp,[Default]), UsedName(hreadyin,[Default]), UsedName(hresp,[Default]), UsedName(lsu_hburst,[Default]), UsedName(dma_hreadyout,[Default]), UsedName(dma_hresp,[Default]), UsedName(hmastlock,[Default]), UsedName(lsu_hsize,[Default]), UsedName(dma_htrans,[Default]), UsedName(hsel,[Default]), UsedName(dma_ahb,[Default]), UsedName(hready,[Default]), UsedName(sb_hwdata,[Default]), UsedName(lsu_hwrite,[Default]), UsedName(sb_hwrite,[Default]), UsedName(hrdata,[Default]), UsedName(hsize,[Default]), UsedName(ifu_ahb,[Default]), UsedName(bridge_gen,[Default]), UsedName(sb_hburst,[Default]), UsedName(htrans,[Default]), UsedName(sb_ahb,[Default]), UsedName(sb_hprot,[Default]), UsedName(hburst,[Default]), UsedName(lsu_hready,[Default]), UsedName(lsu_ahb,[Default]), UsedName(lsu_hmastlock,[Default]), UsedName(dma_hsel,[Default]), UsedName(sb_hsize,[Default]), UsedName(lsu_haddr,[Default]), UsedName(sb_htrans,[Default]), UsedName(ahb_bridge_gen,[Default]), UsedName(sb_hready,[Default]), UsedName(hprot,[Default]), UsedName(dma_hburst,[Default]), UsedName(lsu_htrans,[Default]), UsedName(flip,[Default]), UsedName(dma_haddr,[Default]), UsedName(lsu_hrdata,[Default]), UsedName(dma_hmastlock,[Default]), UsedName(lsu_hprot,[Default]), UsedName(sb_hrdata,[Default]), UsedName(sb_hmastlock,[Default]), UsedName(dma_hrdata,[Default]), UsedName(sb_haddr,[Default]), UsedName(sig,[Default]), UsedName(dma_hwrite,[Default]), UsedName(sb_hresp,[Default]), UsedName(dma_hwdata,[Default]), UsedName(dma_hreadyin,[Default]), UsedName(dma_hprot,[Default]), UsedName(lsu_hwdata,[Default]), UsedName(haddr,[Default]), UsedName(hwrite,[Default]). -[debug]  > by transitive inheritance: Set(quasar_bundle) -[debug]  >  -[debug]  > by member reference: Set(quasar_wrapper) -[debug]   -[debug] Invalidating (transitively) by inheritance from mem.quasar... -[debug] Initial set of included nodes: mem.quasar -[debug] Invalidated by transitive inheritance dependency: Set(mem.quasar) -[debug] The following modified names cause invalidation of quasar_wrapper: Set(UsedName(bridge_gen,[Default])) -[debug] Change NamesChange(mem.quasar,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 2 classes due to The mem.quasar has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(mem.quasar) -[debug]  >  -[debug]  > by member reference: Set(quasar_wrapper) -[debug]   -[debug] Invalidating (transitively) by inheritance from include.decode_exu... -[debug] Initial set of included nodes: include.decode_exu -[debug] Invalidated by transitive inheritance dependency: Set(include.decode_exu) -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_decode_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of exu.exu. This dependency is not being considered for invalidation. -[debug] Change NamesChange(include.decode_exu,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The include.decode_exu has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(include.decode_exu) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from pic_ctrl... -[debug] Initial set of included nodes: pic_ctrl -[debug] Invalidated by transitive inheritance dependency: Set(pic_ctrl) -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] Change NamesChange(pic_ctrl,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The pic_ctrl has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(pic_ctrl) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from lsu.lsu_ecc... -[debug] Initial set of included nodes: lsu.lsu_ecc -[debug] Invalidated by transitive inheritance dependency: Set(lsu.lsu_ecc) -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] Change NamesChange(lsu.lsu_ecc,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The lsu.lsu_ecc has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(lsu.lsu_ecc) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from include.ahb_out_dma... -[debug] Initial set of included nodes: include.ahb_out_dma -[debug] Invalidated by transitive inheritance dependency: Set(include.ahb_out_dma) -[debug] Change NamesChange(include.ahb_out_dma,ModifiedNames(changes = UsedName(asTypeOf,[Default]), UsedName(do_asUInt,[Default]), UsedName(instanceName,[Default]), UsedName(toTarget,[Default]), UsedName(suggestedName,[Default]), UsedName(notify,[Default]), UsedName(notifyAll,[Default]), UsedName(setRef,[Default]), UsedName(topBindingOpt,[Default]), UsedName(asInstanceOf,[Default]), UsedName(isLit,[Default]), UsedName(typeEquivalent,[Default]), UsedName(hmastlock,[Default]), UsedName(toString,[Default]), UsedName(direction_=,[Default]), UsedName(finalize,[Default]), UsedName(!=,[Default]), UsedName(isSynthesizable,[Default]), UsedName(synchronized,[Default]), UsedName(topBinding,[Default]), UsedName(asUInt,[Default]), UsedName(pathName,[Default]), UsedName(specifiedDirection,[Default]), UsedName(wait,[Default]), UsedName(getPublicFields,[Default]), UsedName(##,[Default]), UsedName(toPrintableHelper,[Default]), UsedName(width,[Default]), UsedName(ne,[Default]), UsedName(ref,[Default]), UsedName(elements,[Default]), UsedName(equals,[Default]), UsedName(hsize,[Default]), UsedName(bulkConnect,[Default]), UsedName(toAbsoluteTarget,[Default]), UsedName(htrans,[Default]), UsedName(==,[Default]), UsedName(suggestName,[Default]), UsedName(parentModName,[Default]), UsedName(flatten,[Default]), UsedName(hburst,[Default]), UsedName(binding_=,[Default]), UsedName(_makeLit,[Default]), UsedName(bind$default$2,[Default]), UsedName(parentPathName,[Default]), UsedName(isInstanceOf,[Default]), UsedName(compileOptions,[Implicit]), UsedName($isInstanceOf,[Default]), UsedName(getOptionRef,[Default]), UsedName(className,[Default]), UsedName(widthOption,[Default]), UsedName($init$,[Default]), UsedName(getElements,[Default]), UsedName(connectFromBits,[Default]), UsedName(cloneTypeFull,[Default]), UsedName(ignoreSeq,[Default]), UsedName(circuitName,[Default]), UsedName(binding,[Default]), UsedName(<>,[Default]), UsedName(litArg,[Default]), UsedName(hprot,[Default]), UsedName(_onModuleClose,[Default]), UsedName(specifiedDirection_=,[Default]), UsedName(lref,[Default]), UsedName(litOption,[Default]), UsedName(include;ahb_out_dma;init;,[Default]), UsedName(allElements,[Default]), UsedName(connect,[Default]), UsedName(bind,[Default]), UsedName(getRef,[Default]), UsedName(getWidth,[Default]), UsedName(addPostnameHook,[Default]), UsedName(litValue,[Default]), UsedName(hashCode,[Default]), UsedName(cloneType,[Default]), UsedName(_parent,[Default]), UsedName(eq,[Default]), UsedName(:=,[Default]), UsedName(ahb_out_dma,[Default]), UsedName(bindingToString,[Default]), UsedName(clone,[Default]), UsedName(_id,[Default]), UsedName(hwdata,[Default]), UsedName(isWidthKnown,[Default]), UsedName(getClass,[Default]), UsedName(do_asTypeOf,[Default]), UsedName(toPrintable,[Default]), UsedName(forceName,[Default]), UsedName(_assignCompatibilityExplicitDirection,[Default]), UsedName(direction,[Default]), UsedName($asInstanceOf,[Default]), UsedName(legacyConnect,[Default]), UsedName(haddr,[Default]), UsedName(toNamed,[Default]), UsedName(hwrite,[Default]), UsedName(badConnect,[Default]))) invalidates 1 classes due to The include.ahb_out_dma has the following implicit definitions changed: -[debug]  UsedName(compileOptions,[Implicit]). -[debug]  > by transitive inheritance: Set(include.ahb_out_dma) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from exu.exu_mul_ctl... -[debug] Initial set of included nodes: exu.exu_mul_ctl -[debug] Invalidated by transitive inheritance dependency: Set(exu.exu_mul_ctl) -[debug] None of the modified names appears in source file of exu.exu. This dependency is not being considered for invalidation. -[debug] Change NamesChange(exu.exu_mul_ctl,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The exu.exu_mul_ctl has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(exu.exu_mul_ctl) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from ifu.ifu_aln_ctl... -[debug] Initial set of included nodes: ifu.ifu_aln_ctl -[debug] Invalidated by transitive inheritance dependency: Set(ifu.ifu_aln_ctl) -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] Change NamesChange(ifu.ifu_aln_ctl,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The ifu.ifu_aln_ctl has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(ifu.ifu_aln_ctl) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from dec.dec_tlu_ctl_IO... -[debug] Initial set of included nodes: dec.dec_tlu_ctl_IO -[debug] Invalidated by transitive inheritance dependency: Set(dec.dec_tlu_ctl_IO) -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] Change NamesChange(dec.dec_tlu_ctl_IO,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The dec.dec_tlu_ctl_IO has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(dec.dec_tlu_ctl_IO) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from dec.dec_timer_ctl... -[debug] Initial set of included nodes: dec.dec_timer_ctl -[debug] Invalidated by transitive inheritance dependency: Set(dec.dec_timer_ctl) -[debug] Change NamesChange(dec.dec_timer_ctl,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The dec.dec_timer_ctl has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(dec.dec_timer_ctl) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from exu.exu_div_ctl... -[debug] Initial set of included nodes: exu.exu_div_ctl -[debug] Invalidated by transitive inheritance dependency: Set(exu.exu_div_ctl) -[debug] None of the modified names appears in source file of exu.exu. This dependency is not being considered for invalidation. -[debug] Change NamesChange(exu.exu_div_ctl,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The exu.exu_div_ctl has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(exu.exu_div_ctl) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from include.write_addr... -[debug] Initial set of included nodes: include.write_addr -[debug] Invalidated by transitive inheritance dependency: Set(include.write_addr) -[debug] The following modified names cause invalidation of lib.ahb_to_axi4: Set(UsedName(asInstanceOf,[Default]), UsedName(ne,[Default]), UsedName(==,[Default]), UsedName(isInstanceOf,[Default]), UsedName(write_addr,[Default])) -[debug] The following modified names cause invalidation of lib.axi4_to_ahb: Set(UsedName(asInstanceOf,[Default]), UsedName(==,[Default]), UsedName(isInstanceOf,[Default]), UsedName(write_addr,[Default])) -[debug] The following modified names cause invalidation of lsu.lsu_bus_buffer: Set(UsedName(asInstanceOf,[Default]), UsedName(==,[Default]), UsedName(isInstanceOf,[Default]), UsedName(write_addr,[Default])) -[debug] The following modified names cause invalidation of dbg.dbg: Set(UsedName(write_addr,[Default])) -[debug] The following modified names cause invalidation of ifu.ifu_mem_ctl: Set(UsedName(asInstanceOf,[Default]), UsedName(==,[Default]), UsedName(isInstanceOf,[Default]), UsedName(write_addr,[Default])) -[debug] The following modified names cause invalidation of dma_ctrl: Set(UsedName(ne,[Default]), UsedName(write_addr,[Default])) -[debug] Change NamesChange(include.write_addr,ModifiedNames(changes = UsedName(notify,[Default]), UsedName(notifyAll,[Default]), UsedName(asInstanceOf,[Default]), UsedName(toString,[Default]), UsedName(finalize,[Default]), UsedName(!=,[Default]), UsedName(synchronized,[Default]), UsedName(wait,[Default]), UsedName(##,[Default]), UsedName(ne,[Default]), UsedName(equals,[Default]), UsedName(bridge_gen,[Default]), UsedName(==,[Default]), UsedName($default$1,[Default]), UsedName(isInstanceOf,[Default]), UsedName($isInstanceOf,[Default]), UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(write_addr,[Default]), UsedName(hashCode,[Default]), UsedName(eq,[Default]), UsedName(clone,[Default]), UsedName(getClass,[Default]), UsedName(include;write_addr;init;,[Default]), UsedName($asInstanceOf,[Default]))) invalidates 7 classes due to The include.write_addr has the following regular definitions changed: -[debug]  UsedName(notify,[Default]), UsedName(notifyAll,[Default]), UsedName(asInstanceOf,[Default]), UsedName(toString,[Default]), UsedName(finalize,[Default]), UsedName(!=,[Default]), UsedName(synchronized,[Default]), UsedName(wait,[Default]), UsedName(##,[Default]), UsedName(ne,[Default]), UsedName(equals,[Default]), UsedName(bridge_gen,[Default]), UsedName(==,[Default]), UsedName($default$1,[Default]), UsedName(isInstanceOf,[Default]), UsedName($isInstanceOf,[Default]), UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(write_addr,[Default]), UsedName(hashCode,[Default]), UsedName(eq,[Default]), UsedName(clone,[Default]), UsedName(getClass,[Default]), UsedName(include;write_addr;init;,[Default]), UsedName($asInstanceOf,[Default]). -[debug]  > by transitive inheritance: Set(include.write_addr) -[debug]  >  -[debug]  > by member reference: Set(lib.ahb_to_axi4, lib.axi4_to_ahb, lsu.lsu_bus_buffer, dbg.dbg, ifu.ifu_mem_ctl, dma_ctrl) -[debug]   -[debug] Invalidating (transitively) by inheritance from dec.dec_tlu_ctl... -[debug] Initial set of included nodes: dec.dec_tlu_ctl -[debug] Invalidated by transitive inheritance dependency: Set(dec.dec_tlu_ctl) -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] Change NamesChange(dec.dec_tlu_ctl,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The dec.dec_tlu_ctl has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(dec.dec_tlu_ctl) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from lsu.lsu_stbuf... -[debug] Initial set of included nodes: lsu.lsu_stbuf -[debug] Invalidated by transitive inheritance dependency: Set(lsu.lsu_stbuf) -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] Change NamesChange(lsu.lsu_stbuf,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The lsu.lsu_stbuf has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(lsu.lsu_stbuf) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from lsu.lsu... -[debug] Initial set of included nodes: lsu.lsu -[debug] Invalidated by transitive inheritance dependency: Set(lsu.lsu) -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] Change NamesChange(lsu.lsu,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The lsu.lsu has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(lsu.lsu) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from lib.lib... -[debug] Initial set of included nodes: lib.lib -[debug] Including lsu.lsu_clkdomain by lib.lib -[debug] Including exu.exu by lib.lib -[debug] Including dec.dec_decode_ctl by lib.lib -[debug] Including lsu.lsu_trigger by lib.lib -[debug] Including include.exu_bp by lib.lib -[debug] Including dec.dec_gpr_ctl by lib.lib -[debug] Including lsu.lsu_addrcheck by lib.lib -[debug] Including mem.quasar by lib.lib -[debug] Including include.dec_aln by lib.lib -[debug] Including ifu.ifu by lib.lib -[debug] Including include.aln_ib by lib.lib -[debug] Including dec.dec_tlu_ctl_IO by lib.lib -[debug] Including exu.exu_div_ctl by lib.lib -[debug] Including lsu.lsu by lib.lib -[debug] Including dec.dec_tlu_ctl by lib.lib -[debug] Including lib.ahb_to_axi4 by lib.lib -[debug] Including lib.axi4_to_ahb by lib.lib -[debug] Including quasar by lib.lib -[debug] Including dec.csr_tlu by lib.lib -[debug] Including lsu.lsu_lsc_ctl by lib.lib -[debug] Including pic_ctrl by lib.lib -[debug] Including include.write_data by lib.lib -[debug] Including exu.exu_alu_ctl by lib.lib -[debug] Including include.tlu_exu by lib.lib -[debug] Including dec.dec_IO by lib.lib -[debug] Including include.iccm_mem by lib.lib -[debug] Including quasar_bundle by lib.lib -[debug] Including lsu.lsu_ecc by lib.lib -[debug] Including mem.blackbox_mem by lib.lib -[debug] Including include.write_addr by lib.lib -[debug] Including ifu.mem_ctl_io by lib.lib -[debug] Including lsu.lsu_bus_buffer by lib.lib -[debug] Including quasar_wrapper by lib.lib -[debug] Including include.write_resp by lib.lib -[debug] Including dec.CSR_IO by lib.lib -[debug] Including dec.dec_timer_ctl by lib.lib -[debug] Including include.dec_exu by lib.lib -[debug] Including include.read_data by lib.lib -[debug] Including ifu.ifu_aln_ctl by lib.lib -[debug] Including dbg.dbg by lib.lib -[debug] Including include.ic_mem by lib.lib -[debug] Including lsu.lsu_bus_intf by lib.lib -[debug] Including exu.exu_mul_ctl by lib.lib -[debug] Including dec.dec_trigger by lib.lib -[debug] Including lsu.lsu_dccm_ctl by lib.lib -[debug] Including ifu.ifu_compress_ctl by lib.lib -[debug] Including ifu.ifu_bp_ctl by lib.lib -[debug] Including mem.Mem_bundle by lib.lib -[debug] Including include.dctl_busbuff by lib.lib -[debug] Including include.read_addr by lib.lib -[debug] Including include.axi_channels by lib.lib -[debug] Including dec.dec_dec_ctl by lib.lib -[debug] Including lsu.lsu_stbuf by lib.lib -[debug] Including mem.mem_lsu by lib.lib -[debug] Including include.dec_mem_ctrl by lib.lib -[debug] Including ifu.ifu_mem_ctl by lib.lib -[debug] Including ifu.ifu_ifc_ctl by lib.lib -[debug] Including include.decode_exu by lib.lib -[debug] Including dma_ctrl by lib.lib -[debug] Invalidated by transitive inheritance dependency: Set(lsu.lsu_clkdomain, exu.exu, dec.dec_decode_ctl, lsu.lsu_trigger, include.exu_bp, dec.dec_gpr_ctl, lsu.lsu_addrcheck, mem.quasar, include.dec_aln, ifu.ifu, include.aln_ib, dec.dec_tlu_ctl_IO, exu.exu_div_ctl, lsu.lsu, dec.dec_tlu_ctl, lib.ahb_to_axi4, lib.axi4_to_ahb, quasar, dec.csr_tlu, lsu.lsu_lsc_ctl, pic_ctrl, include.write_data, exu.exu_alu_ctl, include.tlu_exu, dec.dec_IO, include.iccm_mem, quasar_bundle, lsu.lsu_ecc, mem.blackbox_mem, include.write_addr, ifu.mem_ctl_io, lsu.lsu_bus_buffer, quasar_wrapper, include.write_resp, dec.CSR_IO, dec.dec_timer_ctl, include.dec_exu, include.read_data, ifu.ifu_aln_ctl, dbg.dbg, include.ic_mem, lsu.lsu_bus_intf, exu.exu_mul_ctl, dec.dec_trigger, lsu.lsu_dccm_ctl, ifu.ifu_compress_ctl, ifu.ifu_bp_ctl, mem.Mem_bundle, include.dctl_busbuff, include.read_addr, include.axi_channels, dec.dec_dec_ctl, lsu.lsu_stbuf, mem.mem_lsu, include.dec_mem_ctrl, ifu.ifu_mem_ctl, ifu.ifu_ifc_ctl, include.decode_exu, lib.lib, dma_ctrl) -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_bp_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of exu.exu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_lsc_ctl. This dependency is not being considered for invalidation. -[debug] The following modified names cause invalidation of quasar_wrapper: Set(UsedName(bridge_gen,[Default])) -[debug] None of the modified names appears in source file of ifu.ifu_aln_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_ib_ctl_IO. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_ib_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_aln_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of exu.exu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] The following modified names cause invalidation of quasar_wrapper: Set(UsedName(bridge_gen,[Default])) -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lib.ahb_to_axi4. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lib.axi4_to_ahb. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_bus_buffer. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dbg.dbg. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_mem_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dma_ctrl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of exu.exu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of exu.exu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_tlu_ctl_IO. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_tlu_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar_bundle. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.mem_ctl_io. This dependency is not being considered for invalidation. -[debug] The following modified names cause invalidation of quasar_wrapper: Set(UsedName(bridge_gen,[Default])) -[debug] None of the modified names appears in source file of mem.Mem_bundle. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_mem_ctl. This dependency is not being considered for invalidation. -[debug] The following modified names cause invalidation of quasar_wrapper: Set(UsedName(bridge_gen,[Default])) -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lib.ahb_to_axi4. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lib.axi4_to_ahb. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_bus_buffer. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dbg.dbg. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_mem_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dma_ctrl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_bus_intf. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dbg.dbg. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_bus_buffer. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lib.axi4_to_ahb. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dma_ctrl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_IO. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of exu.exu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lib.ahb_to_axi4. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lib.axi4_to_ahb. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_bus_buffer. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dbg.dbg. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_mem_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dma_ctrl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar_bundle. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.mem_ctl_io. This dependency is not being considered for invalidation. -[debug] The following modified names cause invalidation of quasar_wrapper: Set(UsedName(bridge_gen,[Default])) -[debug] None of the modified names appears in source file of mem.Mem_bundle. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_mem_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of exu.exu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_aln_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] The following modified names cause invalidation of quasar_wrapper: Set(UsedName(bridge_gen,[Default])) -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_decode_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_bus_buffer. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_bus_intf. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lib.ahb_to_axi4. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lib.axi4_to_ahb. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_bus_buffer. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dbg.dbg. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_mem_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dma_ctrl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lib.axi4_to_ahb_IO. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lib.ahb_to_axi4. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lib.axi4_to_ahb. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar_bundle. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.mem_ctl_io. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_bus_buffer. This dependency is not being considered for invalidation. -[debug] The following modified names cause invalidation of quasar_wrapper: Set(UsedName(bridge_gen,[Default])) -[debug] None of the modified names appears in source file of dbg.dbg. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_bus_intf. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_mem_ctl. This dependency is not being considered for invalidation. -[debug] The following modified names cause invalidation of lib.lib: Set(UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default])) -[debug] None of the modified names appears in source file of dma_ctrl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_decode_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar_bundle. This dependency is not being considered for invalidation. -[debug] The following modified names cause invalidation of quasar_wrapper: Set(UsedName(bridge_gen,[Default])) -[debug] None of the modified names appears in source file of lsu.lsu_dccm_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_tlu_ctl_IO. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_tlu_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.mem_ctl_io. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_mem_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_decode_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of exu.exu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_clkdomain. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of exu.exu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_decode_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_trigger. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of include.exu_bp. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_gpr_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_addrcheck. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of mem.quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of include.dec_aln. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of include.aln_ib. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_tlu_ctl_IO. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of exu.exu_div_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_tlu_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lib.ahb_to_axi4. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lib.axi4_to_ahb. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.csr_tlu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_lsc_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of pic_ctrl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of include.write_data. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of exu.exu_alu_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of include.tlu_exu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_IO. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of include.iccm_mem. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar_bundle. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_ecc. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of mem.blackbox_mem. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of include.write_addr. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.mem_ctl_io. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_bus_buffer. This dependency is not being considered for invalidation. -[debug] The following modified names cause invalidation of quasar_wrapper: Set(UsedName(bridge_gen,[Default])) -[debug] None of the modified names appears in source file of include.write_resp. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.CSR_IO. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_timer_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of include.dec_exu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of include.read_data. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_aln_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dbg.dbg. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of include.ic_mem. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_bus_intf. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of exu.exu_mul_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_trigger. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_dccm_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_compress_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_bp_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of mem.Mem_bundle. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of include.dctl_busbuff. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of include.read_addr. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of include.axi_channels. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_dec_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_stbuf. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of mem.mem_lsu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of include.dec_mem_ctrl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_mem_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_ifc_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of include.decode_exu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dma_ctrl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] Change NamesChange(lib.lib,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 60 classes due to The lib.lib has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(lsu.lsu_clkdomain, exu.exu, dec.dec_decode_ctl, lsu.lsu_trigger, include.exu_bp, dec.dec_gpr_ctl, lsu.lsu_addrcheck, mem.quasar, include.dec_aln, ifu.ifu, include.aln_ib, dec.dec_tlu_ctl_IO, exu.exu_div_ctl, lsu.lsu, dec.dec_tlu_ctl, lib.ahb_to_axi4, lib.axi4_to_ahb, quasar, dec.csr_tlu, lsu.lsu_lsc_ctl, pic_ctrl, include.write_data, exu.exu_alu_ctl, include.tlu_exu, dec.dec_IO, include.iccm_mem, quasar_bundle, lsu.lsu_ecc, mem.blackbox_mem, include.write_addr, ifu.mem_ctl_io, lsu.lsu_bus_buffer, quasar_wrapper, include.write_resp, dec.CSR_IO, dec.dec_timer_ctl, include.dec_exu, include.read_data, ifu.ifu_aln_ctl, dbg.dbg, include.ic_mem, lsu.lsu_bus_intf, exu.exu_mul_ctl, dec.dec_trigger, lsu.lsu_dccm_ctl, ifu.ifu_compress_ctl, ifu.ifu_bp_ctl, mem.Mem_bundle, include.dctl_busbuff, include.read_addr, include.axi_channels, dec.dec_dec_ctl, lsu.lsu_stbuf, mem.mem_lsu, include.dec_mem_ctrl, ifu.ifu_mem_ctl, ifu.ifu_ifc_ctl, include.decode_exu, lib.lib, dma_ctrl) -[debug]  >  -[debug]  > by member reference: Set(quasar_wrapper, lib.lib) -[debug]   -[debug] Invalidating (transitively) by inheritance from include.tlu_exu... -[debug] Initial set of included nodes: include.tlu_exu -[debug] Invalidated by transitive inheritance dependency: Set(include.tlu_exu) -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of exu.exu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_tlu_ctl_IO. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_tlu_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] Change NamesChange(include.tlu_exu,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The include.tlu_exu has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(include.tlu_exu) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from include.dec_mem_ctrl... -[debug] Initial set of included nodes: include.dec_mem_ctrl -[debug] Invalidated by transitive inheritance dependency: Set(include.dec_mem_ctrl) -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_tlu_ctl_IO. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_tlu_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.mem_ctl_io. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_mem_ctl. This dependency is not being considered for invalidation. -[debug] Change NamesChange(include.dec_mem_ctrl,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The include.dec_mem_ctrl has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(include.dec_mem_ctrl) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from lsu.lsu_dccm_ctl... -[debug] Initial set of included nodes: lsu.lsu_dccm_ctl -[debug] Invalidated by transitive inheritance dependency: Set(lsu.lsu_dccm_ctl) -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] Change NamesChange(lsu.lsu_dccm_ctl,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The lsu.lsu_dccm_ctl has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(lsu.lsu_dccm_ctl) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from lsu.lsu_lsc_ctl... -[debug] Initial set of included nodes: lsu.lsu_lsc_ctl -[debug] Invalidated by transitive inheritance dependency: Set(lsu.lsu_lsc_ctl) -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] Change NamesChange(lsu.lsu_lsc_ctl,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The lsu.lsu_lsc_ctl has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(lsu.lsu_lsc_ctl) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from dec.csr_tlu... -[debug] Initial set of included nodes: dec.csr_tlu -[debug] Invalidated by transitive inheritance dependency: Set(dec.csr_tlu) -[debug] Change NamesChange(dec.csr_tlu,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The dec.csr_tlu has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(dec.csr_tlu) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from exu.exu... -[debug] Initial set of included nodes: exu.exu -[debug] Invalidated by transitive inheritance dependency: Set(exu.exu) -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] Change NamesChange(exu.exu,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The exu.exu has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(exu.exu) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from include.dec_aln... -[debug] Initial set of included nodes: include.dec_aln -[debug] Invalidated by transitive inheritance dependency: Set(include.dec_aln) -[debug] None of the modified names appears in source file of ifu.ifu_aln_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] Change NamesChange(include.dec_aln,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The include.dec_aln has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(include.dec_aln) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from ifu.ifu_compress_ctl... -[debug] Initial set of included nodes: ifu.ifu_compress_ctl -[debug] Invalidated by transitive inheritance dependency: Set(ifu.ifu_compress_ctl) -[debug] None of the modified names appears in source file of ifu.ifu_aln_ctl. This dependency is not being considered for invalidation. -[debug] Change NamesChange(ifu.ifu_compress_ctl,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The ifu.ifu_compress_ctl has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(ifu.ifu_compress_ctl) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from mem.mem_lsu... -[debug] Initial set of included nodes: mem.mem_lsu -[debug] Invalidated by transitive inheritance dependency: Set(mem.mem_lsu) -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar_bundle. This dependency is not being considered for invalidation. -[debug] The following modified names cause invalidation of quasar_wrapper: Set(UsedName(bridge_gen,[Default])) -[debug] None of the modified names appears in source file of lsu.lsu_dccm_ctl. This dependency is not being considered for invalidation. -[debug] Change NamesChange(mem.mem_lsu,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 2 classes due to The mem.mem_lsu has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(mem.mem_lsu) -[debug]  >  -[debug]  > by member reference: Set(quasar_wrapper) -[debug]   -[debug] Invalidating (transitively) by inheritance from include.exu_bp... -[debug] Initial set of included nodes: include.exu_bp -[debug] Invalidated by transitive inheritance dependency: Set(include.exu_bp) -[debug] None of the modified names appears in source file of ifu.ifu_bp_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of exu.exu. This dependency is not being considered for invalidation. -[debug] Change NamesChange(include.exu_bp,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The include.exu_bp has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(include.exu_bp) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from mem.blackbox_mem... -[debug] Initial set of included nodes: mem.blackbox_mem -[debug] Invalidated by transitive inheritance dependency: Set(mem.blackbox_mem) -[debug] Change NamesChange(mem.blackbox_mem,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The mem.blackbox_mem has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(mem.blackbox_mem) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from quasar... -[debug] Initial set of included nodes: quasar -[debug] Invalidated by transitive inheritance dependency: Set(quasar) -[debug] The following modified names cause invalidation of quasar_wrapper: Set(UsedName(bridge_gen,[Default])) -[debug] Change NamesChange(quasar,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 2 classes due to The quasar has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(quasar) -[debug]  >  -[debug]  > by member reference: Set(quasar_wrapper) -[debug]   -[debug] Invalidating (transitively) by inheritance from mem.Mem_bundle... -[debug] Initial set of included nodes: mem.Mem_bundle -[debug] Invalidated by transitive inheritance dependency: Set(mem.Mem_bundle) -[debug] The following modified names cause invalidation of quasar_wrapper: Set(UsedName(bridge_gen,[Default])) -[debug] Change NamesChange(mem.Mem_bundle,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 2 classes due to The mem.Mem_bundle has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(mem.Mem_bundle) -[debug]  >  -[debug]  > by member reference: Set(quasar_wrapper) -[debug]   -[debug] Invalidating (transitively) by inheritance from include.ahb_out... -[debug] Initial set of included nodes: include.ahb_out -[debug] Invalidated by transitive inheritance dependency: Set(include.ahb_out) -[debug] The following member ref dependencies of include.ahb_out are invalidated: -[debug]  lib.ahb_to_axi4 -[debug]  lib.axi4_to_ahb -[debug] Change NamesChange(include.ahb_out,ModifiedNames(changes = UsedName(asTypeOf,[Default]), UsedName(do_asUInt,[Default]), UsedName(instanceName,[Default]), UsedName(toTarget,[Default]), UsedName(suggestedName,[Default]), UsedName(notify,[Default]), UsedName(notifyAll,[Default]), UsedName(setRef,[Default]), UsedName(topBindingOpt,[Default]), UsedName(asInstanceOf,[Default]), UsedName(isLit,[Default]), UsedName(typeEquivalent,[Default]), UsedName(hmastlock,[Default]), UsedName(toString,[Default]), UsedName(direction_=,[Default]), UsedName(finalize,[Default]), UsedName(!=,[Default]), UsedName(isSynthesizable,[Default]), UsedName(synchronized,[Default]), UsedName(topBinding,[Default]), UsedName(include;ahb_out;init;,[Default]), UsedName(asUInt,[Default]), UsedName(pathName,[Default]), UsedName(specifiedDirection,[Default]), UsedName(wait,[Default]), UsedName(getPublicFields,[Default]), UsedName(##,[Default]), UsedName(toPrintableHelper,[Default]), UsedName(width,[Default]), UsedName(ne,[Default]), UsedName(ref,[Default]), UsedName(elements,[Default]), UsedName(equals,[Default]), UsedName(hsize,[Default]), UsedName(bulkConnect,[Default]), UsedName(toAbsoluteTarget,[Default]), UsedName(htrans,[Default]), UsedName(==,[Default]), UsedName(suggestName,[Default]), UsedName(parentModName,[Default]), UsedName(flatten,[Default]), UsedName(hburst,[Default]), UsedName(binding_=,[Default]), UsedName(_makeLit,[Default]), UsedName(bind$default$2,[Default]), UsedName(parentPathName,[Default]), UsedName(isInstanceOf,[Default]), UsedName(compileOptions,[Implicit]), UsedName($isInstanceOf,[Default]), UsedName(getOptionRef,[Default]), UsedName(className,[Default]), UsedName(widthOption,[Default]), UsedName($init$,[Default]), UsedName(getElements,[Default]), UsedName(connectFromBits,[Default]), UsedName(cloneTypeFull,[Default]), UsedName(ignoreSeq,[Default]), UsedName(circuitName,[Default]), UsedName(binding,[Default]), UsedName(<>,[Default]), UsedName(litArg,[Default]), UsedName(hprot,[Default]), UsedName(_onModuleClose,[Default]), UsedName(specifiedDirection_=,[Default]), UsedName(lref,[Default]), UsedName(litOption,[Default]), UsedName(allElements,[Default]), UsedName(connect,[Default]), UsedName(bind,[Default]), UsedName(getRef,[Default]), UsedName(getWidth,[Default]), UsedName(addPostnameHook,[Default]), UsedName(litValue,[Default]), UsedName(hashCode,[Default]), UsedName(cloneType,[Default]), UsedName(_parent,[Default]), UsedName(eq,[Default]), UsedName(ahb_out,[Default]), UsedName(:=,[Default]), UsedName(bindingToString,[Default]), UsedName(clone,[Default]), UsedName(_id,[Default]), UsedName(hwdata,[Default]), UsedName(isWidthKnown,[Default]), UsedName(getClass,[Default]), UsedName(do_asTypeOf,[Default]), UsedName(toPrintable,[Default]), UsedName(forceName,[Default]), UsedName(_assignCompatibilityExplicitDirection,[Default]), UsedName(direction,[Default]), UsedName($asInstanceOf,[Default]), UsedName(legacyConnect,[Default]), UsedName(haddr,[Default]), UsedName(toNamed,[Default]), UsedName(hwrite,[Default]), UsedName(badConnect,[Default]))) invalidates 3 classes due to The include.ahb_out has the following implicit definitions changed: -[debug]  UsedName(compileOptions,[Implicit]). -[debug]  > by transitive inheritance: Set(include.ahb_out) -[debug]  >  -[debug]  > by member reference: Set(lib.ahb_to_axi4, lib.axi4_to_ahb) -[debug]   -[debug] Invalidating (transitively) by inheritance from include.ahb_in... -[debug] Initial set of included nodes: include.ahb_in -[debug] Invalidated by transitive inheritance dependency: Set(include.ahb_in) -[debug] The following member ref dependencies of include.ahb_in are invalidated: -[debug]  lib.ahb_to_axi4 -[debug]  lib.axi4_to_ahb -[debug] Change NamesChange(include.ahb_in,ModifiedNames(changes = UsedName(asTypeOf,[Default]), UsedName(do_asUInt,[Default]), UsedName(hresp,[Default]), UsedName(instanceName,[Default]), UsedName(toTarget,[Default]), UsedName(suggestedName,[Default]), UsedName(notify,[Default]), UsedName(notifyAll,[Default]), UsedName(setRef,[Default]), UsedName(topBindingOpt,[Default]), UsedName(asInstanceOf,[Default]), UsedName(isLit,[Default]), UsedName(typeEquivalent,[Default]), UsedName(toString,[Default]), UsedName(direction_=,[Default]), UsedName(finalize,[Default]), UsedName(!=,[Default]), UsedName(isSynthesizable,[Default]), UsedName(synchronized,[Default]), UsedName(topBinding,[Default]), UsedName(asUInt,[Default]), UsedName(pathName,[Default]), UsedName(specifiedDirection,[Default]), UsedName(wait,[Default]), UsedName(hready,[Default]), UsedName(include;ahb_in;init;,[Default]), UsedName(getPublicFields,[Default]), UsedName(##,[Default]), UsedName(toPrintableHelper,[Default]), UsedName(width,[Default]), UsedName(ne,[Default]), UsedName(hrdata,[Default]), UsedName(ref,[Default]), UsedName(elements,[Default]), UsedName(equals,[Default]), UsedName(bulkConnect,[Default]), UsedName(toAbsoluteTarget,[Default]), UsedName(==,[Default]), UsedName(suggestName,[Default]), UsedName(parentModName,[Default]), UsedName(flatten,[Default]), UsedName(binding_=,[Default]), UsedName(_makeLit,[Default]), UsedName(bind$default$2,[Default]), UsedName(parentPathName,[Default]), UsedName(isInstanceOf,[Default]), UsedName(compileOptions,[Implicit]), UsedName($isInstanceOf,[Default]), UsedName(getOptionRef,[Default]), UsedName(className,[Default]), UsedName(widthOption,[Default]), UsedName($init$,[Default]), UsedName(getElements,[Default]), UsedName(connectFromBits,[Default]), UsedName(cloneTypeFull,[Default]), UsedName(ignoreSeq,[Default]), UsedName(circuitName,[Default]), UsedName(binding,[Default]), UsedName(<>,[Default]), UsedName(litArg,[Default]), UsedName(_onModuleClose,[Default]), UsedName(specifiedDirection_=,[Default]), UsedName(lref,[Default]), UsedName(litOption,[Default]), UsedName(allElements,[Default]), UsedName(connect,[Default]), UsedName(bind,[Default]), UsedName(getRef,[Default]), UsedName(getWidth,[Default]), UsedName(addPostnameHook,[Default]), UsedName(litValue,[Default]), UsedName(hashCode,[Default]), UsedName(cloneType,[Default]), UsedName(_parent,[Default]), UsedName(eq,[Default]), UsedName(:=,[Default]), UsedName(bindingToString,[Default]), UsedName(clone,[Default]), UsedName(_id,[Default]), UsedName(isWidthKnown,[Default]), UsedName(ahb_in,[Default]), UsedName(getClass,[Default]), UsedName(do_asTypeOf,[Default]), UsedName(toPrintable,[Default]), UsedName(forceName,[Default]), UsedName(_assignCompatibilityExplicitDirection,[Default]), UsedName(direction,[Default]), UsedName($asInstanceOf,[Default]), UsedName(legacyConnect,[Default]), UsedName(toNamed,[Default]), UsedName(badConnect,[Default]))) invalidates 3 classes due to The include.ahb_in has the following implicit definitions changed: -[debug]  UsedName(compileOptions,[Implicit]). -[debug]  > by transitive inheritance: Set(include.ahb_in) -[debug]  >  -[debug]  > by member reference: Set(lib.ahb_to_axi4, lib.axi4_to_ahb) -[debug]   -[debug] Invalidating (transitively) by inheritance from lsu.lsu_bus_intf... -[debug] Initial set of included nodes: lsu.lsu_bus_intf -[debug] Invalidated by transitive inheritance dependency: Set(lsu.lsu_bus_intf) -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] Change NamesChange(lsu.lsu_bus_intf,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The lsu.lsu_bus_intf has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(lsu.lsu_bus_intf) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from include.dctl_busbuff... -[debug] Initial set of included nodes: include.dctl_busbuff -[debug] Invalidated by transitive inheritance dependency: Set(include.dctl_busbuff) -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_decode_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_bus_buffer. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_bus_intf. This dependency is not being considered for invalidation. -[debug] Change NamesChange(include.dctl_busbuff,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The include.dctl_busbuff has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(include.dctl_busbuff) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from quasar_wrapper... -[debug] Initial set of included nodes: quasar_wrapper -[debug] Invalidated by transitive inheritance dependency: Set(quasar_wrapper) -[debug] Change NamesChange(quasar_wrapper,ModifiedNames(changes = UsedName(dma_hsize,[Default]), UsedName(dma_axi,[Default]), UsedName(dma_hreadyout,[Default]), UsedName(dma_hresp,[Default]), UsedName(ifu_brg,[Default]), UsedName(dma_htrans,[Default]), UsedName(bridge_gen,[Default]), UsedName(lsu_axi,[Default]), UsedName(sb_brg,[Default]), UsedName(dma_hsel,[Default]), UsedName(sb_axi,[Default]), UsedName(ahb_bridge_gen,[Default]), UsedName(dma_hburst,[Default]), UsedName(flip,[Default]), UsedName(dma_haddr,[Default]), UsedName(ifu_axi,[Default]), UsedName(dma_hmastlock,[Default]), UsedName(lsu_brg,[Default]), UsedName(dma_hrdata,[Default]), UsedName(io,[Default]), UsedName(dma_hwrite,[Default]), UsedName(dma_brg,[Default]), UsedName(dma_hwdata,[Default]), UsedName(dma_hreadyin,[Default]), UsedName(dma_hprot,[Default]))) invalidates 1 classes due to The quasar_wrapper has the following regular definitions changed: -[debug]  UsedName(dma_hsize,[Default]), UsedName(dma_axi,[Default]), UsedName(dma_hreadyout,[Default]), UsedName(dma_hresp,[Default]), UsedName(ifu_brg,[Default]), UsedName(dma_htrans,[Default]), UsedName(bridge_gen,[Default]), UsedName(lsu_axi,[Default]), UsedName(sb_brg,[Default]), UsedName(dma_hsel,[Default]), UsedName(sb_axi,[Default]), UsedName(ahb_bridge_gen,[Default]), UsedName(dma_hburst,[Default]), UsedName(flip,[Default]), UsedName(dma_haddr,[Default]), UsedName(ifu_axi,[Default]), UsedName(dma_hmastlock,[Default]), UsedName(lsu_brg,[Default]), UsedName(dma_hrdata,[Default]), UsedName(io,[Default]), UsedName(dma_hwrite,[Default]), UsedName(dma_brg,[Default]), UsedName(dma_hwdata,[Default]), UsedName(dma_hreadyin,[Default]), UsedName(dma_hprot,[Default]). -[debug]  > by transitive inheritance: Set(quasar_wrapper) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from lsu.lsu_clkdomain... -[debug] Initial set of included nodes: lsu.lsu_clkdomain -[debug] Invalidated by transitive inheritance dependency: Set(lsu.lsu_clkdomain) -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] Change NamesChange(lsu.lsu_clkdomain,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The lsu.lsu_clkdomain has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(lsu.lsu_clkdomain) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from include.read_data... -[debug] Initial set of included nodes: include.read_data -[debug] Invalidated by transitive inheritance dependency: Set(include.read_data) -[debug] The following modified names cause invalidation of lib.ahb_to_axi4: Set(UsedName(asInstanceOf,[Default]), UsedName(read_data,[Default]), UsedName(ne,[Default]), UsedName(==,[Default]), UsedName(isInstanceOf,[Default])) -[debug] The following modified names cause invalidation of lib.axi4_to_ahb: Set(UsedName(asInstanceOf,[Default]), UsedName(read_data,[Default]), UsedName(==,[Default]), UsedName(isInstanceOf,[Default])) -[debug] The following modified names cause invalidation of lsu.lsu_bus_buffer: Set(UsedName(asInstanceOf,[Default]), UsedName(read_data,[Default]), UsedName(==,[Default]), UsedName(isInstanceOf,[Default])) -[debug] The following modified names cause invalidation of dbg.dbg: Set(UsedName(read_data,[Default])) -[debug] The following modified names cause invalidation of ifu.ifu_mem_ctl: Set(UsedName(asInstanceOf,[Default]), UsedName(read_data,[Default]), UsedName(==,[Default]), UsedName(isInstanceOf,[Default])) -[debug] The following modified names cause invalidation of dma_ctrl: Set(UsedName(read_data,[Default]), UsedName(ne,[Default])) -[debug] Change NamesChange(include.read_data,ModifiedNames(changes = UsedName(include;read_data;init;,[Default]), UsedName(notify,[Default]), UsedName(notifyAll,[Default]), UsedName(asInstanceOf,[Default]), UsedName(toString,[Default]), UsedName(finalize,[Default]), UsedName(!=,[Default]), UsedName(synchronized,[Default]), UsedName(wait,[Default]), UsedName(read_data,[Default]), UsedName(##,[Default]), UsedName(ne,[Default]), UsedName(equals,[Default]), UsedName(bridge_gen,[Default]), UsedName(==,[Default]), UsedName($default$1,[Default]), UsedName(isInstanceOf,[Default]), UsedName($isInstanceOf,[Default]), UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(hashCode,[Default]), UsedName(eq,[Default]), UsedName(clone,[Default]), UsedName(getClass,[Default]), UsedName($asInstanceOf,[Default]))) invalidates 7 classes due to The include.read_data has the following regular definitions changed: -[debug]  UsedName(include;read_data;init;,[Default]), UsedName(notify,[Default]), UsedName(notifyAll,[Default]), UsedName(asInstanceOf,[Default]), UsedName(toString,[Default]), UsedName(finalize,[Default]), UsedName(!=,[Default]), UsedName(synchronized,[Default]), UsedName(wait,[Default]), UsedName(read_data,[Default]), UsedName(##,[Default]), UsedName(ne,[Default]), UsedName(equals,[Default]), UsedName(bridge_gen,[Default]), UsedName(==,[Default]), UsedName($default$1,[Default]), UsedName(isInstanceOf,[Default]), UsedName($isInstanceOf,[Default]), UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(hashCode,[Default]), UsedName(eq,[Default]), UsedName(clone,[Default]), UsedName(getClass,[Default]), UsedName($asInstanceOf,[Default]). -[debug]  > by transitive inheritance: Set(include.read_data) -[debug]  >  -[debug]  > by member reference: Set(lib.ahb_to_axi4, lib.axi4_to_ahb, lsu.lsu_bus_buffer, dbg.dbg, ifu.ifu_mem_ctl, dma_ctrl) -[debug]   -[debug] Invalidating (transitively) by inheritance from include.write_data... -[debug] Initial set of included nodes: include.write_data -[debug] Invalidated by transitive inheritance dependency: Set(include.write_data) -[debug] None of the modified names appears in source file of lib.ahb_to_axi4. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lib.axi4_to_ahb. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_bus_buffer. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dbg.dbg. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_mem_ctl. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dma_ctrl. This dependency is not being considered for invalidation. -[debug] Change NamesChange(include.write_data,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]))) invalidates 1 classes due to The include.write_data has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(bridge_gen,[Default]), UsedName(flip,[Default]). -[debug]  > by transitive inheritance: Set(include.write_data) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from include.dec_exu... -[debug] Initial set of included nodes: include.dec_exu -[debug] Invalidated by transitive inheritance dependency: Set(include.dec_exu) -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec_IO. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of dec.dec. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of exu.exu. This dependency is not being considered for invalidation. -[debug] Change NamesChange(include.dec_exu,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The include.dec_exu has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(include.dec_exu) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from ifu.ifu_ifc_ctl... -[debug] Initial set of included nodes: ifu.ifu_ifc_ctl -[debug] Invalidated by transitive inheritance dependency: Set(ifu.ifu_ifc_ctl) -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] Change NamesChange(ifu.ifu_ifc_ctl,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The ifu.ifu_ifc_ctl has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(ifu.ifu_ifc_ctl) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from include.axi_channels... -[debug] Initial set of included nodes: include.axi_channels -[debug] Invalidated by transitive inheritance dependency: Set(include.axi_channels) -[debug] None of the modified names appears in source file of lib.axi4_to_ahb_IO. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lib.ahb_to_axi4. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lib.axi4_to_ahb. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of quasar_bundle. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.mem_ctl_io. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_bus_buffer. This dependency is not being considered for invalidation. -[debug] The following modified names cause invalidation of quasar_wrapper: Set(UsedName(bridge_gen,[Default])) -[debug] None of the modified names appears in source file of dbg.dbg. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of lsu.lsu_bus_intf. This dependency is not being considered for invalidation. -[debug] None of the modified names appears in source file of ifu.ifu_mem_ctl. This dependency is not being considered for invalidation. -[debug] The following modified names cause invalidation of lib.lib: Set(UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default])) -[debug] None of the modified names appears in source file of dma_ctrl. This dependency is not being considered for invalidation. -[debug] Change NamesChange(include.axi_channels,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 3 classes due to The include.axi_channels has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(include.axi_channels) -[debug]  >  -[debug]  > by member reference: Set(quasar_wrapper, lib.lib) -[debug]   -[debug] Invalidating (transitively) by inheritance from ifu.ifu_bp_ctl... -[debug] Initial set of included nodes: ifu.ifu_bp_ctl -[debug] Invalidated by transitive inheritance dependency: Set(ifu.ifu_bp_ctl) -[debug] None of the modified names appears in source file of ifu.ifu. This dependency is not being considered for invalidation. -[debug] Change NamesChange(ifu.ifu_bp_ctl,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The ifu.ifu_bp_ctl has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(ifu.ifu_bp_ctl) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from lsu.lsu_trigger... -[debug] Initial set of included nodes: lsu.lsu_trigger -[debug] Invalidated by transitive inheritance dependency: Set(lsu.lsu_trigger) -[debug] None of the modified names appears in source file of lsu.lsu. This dependency is not being considered for invalidation. -[debug] Change NamesChange(lsu.lsu_trigger,ModifiedNames(changes = UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]))) invalidates 1 classes due to The lsu.lsu_trigger has the following regular definitions changed: -[debug]  UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(bridge_gen,[Default]). -[debug]  > by transitive inheritance: Set(lsu.lsu_trigger) -[debug]  >  -[debug]  >  -[debug]   -[debug] Invalidating (transitively) by inheritance from dbg.dbg... -[debug] Initial set of included nodes: dbg.dbg -[debug] Invalidated by transitive inheritance dependency: Set(dbg.dbg) -[debug] None of the modified names appears in source file of quasar. This dependency is not being considered for invalidation. -[debug] Change NamesChange(dbg.dbg,ModifiedNames(changes = UsedName(dbg_dm_rst_l,[Default]), UsedName(rst_not,[Default]), UsedName(bridge_gen,[Default]), UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(rst_temp,[Default]))) invalidates 1 classes due to The dbg.dbg has the following regular definitions changed: -[debug]  UsedName(dbg_dm_rst_l,[Default]), UsedName(rst_not,[Default]), UsedName(bridge_gen,[Default]), UsedName(ahb_bridge_gen,[Default]), UsedName(flip,[Default]), UsedName(rst_temp,[Default]). -[debug]  > by transitive inheritance: Set(dbg.dbg) -[debug]  >  -[debug]  >  -[debug]   -[debug] New invalidations: -[debug]  Set() -[debug] Initial set of included nodes:  -[debug] Previously invalidated, but (transitively) depend on new invalidations: -[debug]  Set() -[debug] No classes were invalidated. +[debug] No changes diff --git a/target/streams/compile/copyResources/_global/streams/copy-resources b/target/streams/compile/copyResources/_global/streams/copy-resources index 1d20d3ea..fdbe99bb 100644 --- a/target/streams/compile/copyResources/_global/streams/copy-resources +++ b/target/streams/compile/copyResources/_global/streams/copy-resources @@ -1 +1 @@ -[[{"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/gated_latch.sv":["file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/gated_latch.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/rvtaj_tap.sv":["file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/rvtaj_tap.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/mem_mod.sv":["file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/mem_mod.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/rvjtag_tap.sv":["file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/rvjtag_tap.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/beh_lib.sv":["file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/beh_lib.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/gated_latch.v":["file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/gated_latch.v"],"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/dmi_wrapper.sv":["file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/dmi_wrapper.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv":["file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/mem_lib.sv":["file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/mem_lib.sv"]},{"file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv":["file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/rvtaj_tap.sv":["file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/rvtaj_tap.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/mem_mod.sv":["file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/mem_mod.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/mem_lib.sv":["file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/mem_lib.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/dmi_wrapper.sv":["file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/dmi_wrapper.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/rvjtag_tap.sv":["file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/rvjtag_tap.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/gated_latch.v":["file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/gated_latch.v"],"file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/gated_latch.sv":["file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/gated_latch.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/beh_lib.sv":["file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/beh_lib.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv"],"file:///home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv":["file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv"]}],{"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/gated_latch.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/gated_latch.sv","lastModified":1607928118381},"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv","lastModified":1607928118385},"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/mem.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/mem.sv","lastModified":1607928118385},"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/rvtaj_tap.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/rvtaj_tap.sv","lastModified":1607928118385},"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/mem_mod.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/mem_mod.sv","lastModified":1607928118385},"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/rvjtag_tap.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/rvjtag_tap.sv","lastModified":1607928118385},"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv","lastModified":1607928118385},"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/beh_lib.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/beh_lib.sv","lastModified":1607928118381},"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/gated_latch.v":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/gated_latch.v","lastModified":1607928118381},"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv","lastModified":1607928118385},"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/dmi_wrapper.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/dmi_wrapper.sv","lastModified":1607928118381},"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv","lastModified":1607928118381},"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/mem_lib.sv":{"file":"file:///home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/mem_lib.sv","lastModified":1607928118385}}] \ No newline at end of file +[[{"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvtaj_tap.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/rvtaj_tap.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_lib.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem_lib.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/beh_lib.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/beh_lib.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_wrapper.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/dmi_wrapper.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/gated_latch.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_mod.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem_mod.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.v":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/gated_latch.v"],"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvjtag_tap.sv":["file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/rvjtag_tap.sv"]},{"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/beh_lib.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/beh_lib.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/gated_latch.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/dmi_wrapper.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_wrapper.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem_mod.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_mod.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem_lib.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_lib.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/rvjtag_tap.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvjtag_tap.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/rvtaj_tap.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvtaj_tap.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv"],"file:///home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/gated_latch.v":["file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.v"]}],{"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvtaj_tap.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvtaj_tap.sv","lastModified":1608026497434},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_lib.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_lib.sv","lastModified":1608026497434},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/beh_lib.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/beh_lib.sv","lastModified":1608026497434},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_wrapper.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_wrapper.sv","lastModified":1608026497434},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv","lastModified":1608026497434},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv","lastModified":1608026497434},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.sv","lastModified":1608026497434},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem.sv","lastModified":1608026497434},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv","lastModified":1608026497434},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_mod.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_mod.sv","lastModified":1608026497434},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv","lastModified":1608026497434},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.v":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.v","lastModified":1608026497434},"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvjtag_tap.sv":{"file":"file:///home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvjtag_tap.sv","lastModified":1608026497434}}] \ No newline at end of file diff --git a/target/streams/compile/copyResources/_global/streams/out b/target/streams/compile/copyResources/_global/streams/out index 86bb6e4a..613c3ffb 100644 --- a/target/streams/compile/copyResources/_global/streams/out +++ b/target/streams/compile/copyResources/_global/streams/out @@ -1,14 +1,14 @@ [debug] Copy resource mappings:  -[debug]  (/home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv,/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv) -[debug]  (/home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/dmi_wrapper.sv,/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/dmi_wrapper.sv) -[debug]  (/home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv,/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv) -[debug]  (/home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/gated_latch.v,/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/gated_latch.v) -[debug]  (/home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/beh_lib.sv,/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/beh_lib.sv) -[debug]  (/home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv,/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv) -[debug]  (/home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/rvtaj_tap.sv,/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/rvtaj_tap.sv) -[debug]  (/home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/gated_latch.sv,/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/gated_latch.sv) -[debug]  (/home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/rvjtag_tap.sv,/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/rvjtag_tap.sv) -[debug]  (/home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/mem.sv,/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/mem.sv) -[debug]  (/home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/mem_lib.sv,/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/mem_lib.sv) -[debug]  (/home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/mem_mod.sv,/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/mem_mod.sv) -[debug]  (/home/waleedbinehsan/Desktop/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv,/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_iccm_mem.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.v,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/gated_latch.v) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_jtag_to_core_sync.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/lsu_dccm_mem.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/dmi_wrapper.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/dmi_wrapper.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/gated_latch.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/gated_latch.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvjtag_tap.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/rvjtag_tap.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/rvtaj_tap.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/rvtaj_tap.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_mod.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem_mod.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/beh_lib.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/beh_lib.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/mem_lib.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/mem_lib.sv) +[debug]  (/home/abdulhameed.akram/Videos/Quasar/src/main/resources/vsrc/ifu_ic_mem.sv,/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv) diff --git a/target/streams/compile/dependencyClasspath/_global/streams/export b/target/streams/compile/dependencyClasspath/_global/streams/export index 414eb951..58921df4 100644 --- a/target/streams/compile/dependencyClasspath/_global/streams/export +++ b/target/streams/compile/dependencyClasspath/_global/streams/export @@ -1 +1 @@ -/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar +/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar diff --git a/target/streams/compile/exportedProductJars/_global/streams/export b/target/streams/compile/exportedProductJars/_global/streams/export index 230f8ab4..ab1754f8 100644 --- a/target/streams/compile/exportedProductJars/_global/streams/export +++ b/target/streams/compile/exportedProductJars/_global/streams/export @@ -1 +1 @@ -/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar +/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar diff --git a/target/streams/compile/exportedProducts/_global/streams/export b/target/streams/compile/exportedProducts/_global/streams/export index 804ab82b..2f56444e 100644 --- a/target/streams/compile/exportedProducts/_global/streams/export +++ b/target/streams/compile/exportedProducts/_global/streams/export @@ -1 +1 @@ -/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes +/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/classes diff --git a/target/streams/compile/packageBin/_global/streams/inputs b/target/streams/compile/packageBin/_global/streams/inputs index a7c00c6a..72822392 100644 --- a/target/streams/compile/packageBin/_global/streams/inputs +++ b/target/streams/compile/packageBin/_global/streams/inputs @@ -1 +1 @@ -793527455 \ No newline at end of file +-629737911 \ No newline at end of file diff --git a/target/streams/compile/packageBin/_global/streams/out b/target/streams/compile/packageBin/_global/streams/out index 2047205e..3971eae1 100644 --- a/target/streams/compile/packageBin/_global/streams/out +++ b/target/streams/compile/packageBin/_global/streams/out @@ -1,421 +1 @@ -[debug] Packaging /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar ... -[debug] Input file mappings: -[debug]  pic_ctrl$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/pic_ctrl$$anon$1.class -[debug]  QUASAR_Wrp$delayedInit$body.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/QUASAR_Wrp$delayedInit$body.class -[debug]  ifu -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu -[debug]  ifu/ifu_aln_ctl$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_aln_ctl$$anon$1.class -[debug]  ifu/ifu_aln_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_aln_ctl.class -[debug]  ifu/ifu_compress_ctl$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_compress_ctl$$anon$1.class -[debug]  ifu/ifu_ifc_ctl$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_ifc_ctl$$anon$1.class -[debug]  ifu/mem_ctl_io.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/mem_ctl_io.class -[debug]  ifu/ifu_mem_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_mem_ctl.class -[debug]  ifu/ifu$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu$$anon$1.class -[debug]  ifu/ifu_ifc_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_ifc_ctl.class -[debug]  ifu/ifu.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu.class -[debug]  ifu/ifu_bp_ctl$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_bp_ctl$$anon$1.class -[debug]  ifu/ifu_compress_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_compress_ctl.class -[debug]  ifu/ifu_bp_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/ifu/ifu_bp_ctl.class -[debug]  QUASAR_Wrp$.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/QUASAR_Wrp$.class -[debug]  quasar_wrapper.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/quasar_wrapper.class -[debug]  quasar_bundle$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/quasar_bundle$$anon$1.class -[debug]  vsrc -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc -[debug]  vsrc/ifu_iccm_mem.sv -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/ifu_iccm_mem.sv -[debug]  vsrc/dmi_wrapper.sv -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/dmi_wrapper.sv -[debug]  vsrc/dmi_jtag_to_core_sync.sv -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/dmi_jtag_to_core_sync.sv -[debug]  vsrc/gated_latch.v -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/gated_latch.v -[debug]  vsrc/beh_lib.sv -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/beh_lib.sv -[debug]  vsrc/lsu_dccm_mem.sv -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/lsu_dccm_mem.sv -[debug]  vsrc/rvtaj_tap.sv -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/rvtaj_tap.sv -[debug]  vsrc/gated_latch.sv -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/gated_latch.sv -[debug]  vsrc/rvjtag_tap.sv -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/rvjtag_tap.sv -[debug]  vsrc/mem.sv -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/mem.sv -[debug]  vsrc/mem_lib.sv -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/mem_lib.sv -[debug]  vsrc/mem_mod.sv -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/mem_mod.sv -[debug]  vsrc/ifu_ic_mem.sv -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/vsrc/ifu_ic_mem.sv -[debug]  lsu -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu -[debug]  lsu/lsu.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu.class -[debug]  lsu/lsu_dccm_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_dccm_ctl.class -[debug]  lsu/lsu_trigger.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_trigger.class -[debug]  lsu/lsu_lsc_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_lsc_ctl.class -[debug]  lsu/lsu_ecc.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_ecc.class -[debug]  lsu/lsu_bus_buffer.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_bus_buffer.class -[debug]  lsu/lsu_stbuf$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_stbuf$$anon$1.class -[debug]  lsu/lsu_clkdomain$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_clkdomain$$anon$1.class -[debug]  lsu/lsu_lsc_ctl$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_lsc_ctl$$anon$1.class -[debug]  lsu/lsu_bus_buffer$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_bus_buffer$$anon$1.class -[debug]  lsu/lsu_clkdomain.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_clkdomain.class -[debug]  lsu/lsu_stbuf.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_stbuf.class -[debug]  lsu/lsu_ecc$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_ecc$$anon$1.class -[debug]  lsu/lsu_trigger$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_trigger$$anon$1.class -[debug]  lsu/lsu_addrcheck.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_addrcheck.class -[debug]  lsu/lsu_dccm_ctl$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_dccm_ctl$$anon$1.class -[debug]  lsu/lsu_addrcheck$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_addrcheck$$anon$1.class -[debug]  lsu/lsu_bus_intf$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_bus_intf$$anon$1.class -[debug]  lsu/lsu_bus_intf.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu_bus_intf.class -[debug]  lsu/lsu$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lsu/lsu$$anon$1.class -[debug]  pic_ctrl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/pic_ctrl.class -[debug]  quasar.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/quasar.class -[debug]  .vscode -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/.vscode -[debug]  .vscode/settings.json -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/.vscode/settings.json -[debug]  exu -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu -[debug]  exu/exu$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu$$anon$1.class -[debug]  exu/exu_div_ctl$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu_div_ctl$$anon$1.class -[debug]  exu/exu_alu_ctl$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu_alu_ctl$$anon$1.class -[debug]  exu/exu_alu_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu_alu_ctl.class -[debug]  exu/exu.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu.class -[debug]  exu/exu_mul_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu_mul_ctl.class -[debug]  exu/exu_mul_ctl$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu_mul_ctl$$anon$1.class -[debug]  exu/exu_div_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/exu/exu_div_ctl.class -[debug]  dbg -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg -[debug]  dbg/state_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/state_t.class -[debug]  dbg/sb_state_t$.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/sb_state_t$.class -[debug]  dbg/sb_state_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/sb_state_t.class -[debug]  dbg/dbg$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/dbg$$anon$1.class -[debug]  dbg/dbg_dma.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/dbg_dma.class -[debug]  dbg/dbg.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/dbg.class -[debug]  dbg/state_t$.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dbg/state_t$.class -[debug]  lib -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib -[debug]  lib/lib$rvdffe$.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvdffe$.class -[debug]  lib/lib$rvclkhdr.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvclkhdr.class -[debug]  lib/lib$rvecc_encode.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode.class -[debug]  lib/lib$gated_latch$$anon$4.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$gated_latch$$anon$4.class -[debug]  lib/Config.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/Config.class -[debug]  lib/axi4_to_ahb_IO.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/axi4_to_ahb_IO.class -[debug]  lib/lib.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib.class -[debug]  lib/lib$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$$anon$1.class -[debug]  lib/lib$rvecc_encode_64.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode_64.class -[debug]  lib/ahb_to_axi4.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4.class -[debug]  lib/lib$rvecc_encode_64$$anon$3.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode_64$$anon$3.class -[debug]  lib/ahb_to_axi4$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1.class -[debug]  lib/lib$rvsyncss$.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvsyncss$.class -[debug]  lib/lib$gated_latch.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$gated_latch.class -[debug]  lib/ahb_to_axi4$$anon$1$$anon$2.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/ahb_to_axi4$$anon$1$$anon$2.class -[debug]  lib/lib$rvclkhdr$$anon$5.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvclkhdr$$anon$5.class -[debug]  lib/lib$rvecc_encode$$anon$2.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvecc_encode$$anon$2.class -[debug]  lib/axi4_to_ahb.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/axi4_to_ahb.class -[debug]  lib/param.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/param.class -[debug]  lib/lib$rvclkhdr$.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/lib/lib$rvclkhdr$.class -[debug]  quasar_wrapper$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/quasar_wrapper$$anon$1.class -[debug]  QUASAR_Wrp.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/QUASAR_Wrp.class -[debug]  dmi -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dmi -[debug]  dmi/dmi_wrapper_module.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper_module.class -[debug]  dmi/dmi_wrapper_module$$anon$2.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper_module$$anon$2.class -[debug]  dmi/dmi_wrapper.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper.class -[debug]  dmi/dmi_wrapper$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dmi/dmi_wrapper$$anon$1.class -[debug]  dma_ctrl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dma_ctrl.class -[debug]  mem -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem -[debug]  mem/Mem_bundle.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem/Mem_bundle.class -[debug]  mem/blackbox_mem.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem/blackbox_mem.class -[debug]  mem/quasar.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem/quasar.class -[debug]  mem/mem_lsu.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem/mem_lsu.class -[debug]  mem/quasar$.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem/quasar$.class -[debug]  mem/quasar$mem.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/mem/quasar$mem.class -[debug]  quasar_bundle.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/quasar_bundle.class -[debug]  dma_ctrl$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dma_ctrl$$anon$1.class -[debug]  include -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include -[debug]  include/dctl_dma.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dctl_dma.class -[debug]  include/exu_ifu.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/exu_ifu.class -[debug]  include/trace_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/trace_pkt_t.class -[debug]  include/lsu_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_pkt_t.class -[debug]  include/div_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/div_pkt_t.class -[debug]  include/write_resp.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/write_resp.class -[debug]  include/lsu_error_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_error_pkt_t.class -[debug]  include/read_addr.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/read_addr.class -[debug]  include/ahb_out_dma.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ahb_out_dma.class -[debug]  include/dest_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dest_pkt_t.class -[debug]  include/dbg_ib.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dbg_ib.class -[debug]  include/reg_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/reg_pkt_t.class -[debug]  include/tlu_exu.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/tlu_exu.class -[debug]  include/inst_pkt_t$.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/inst_pkt_t$.class -[debug]  include/tlu_dma.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/tlu_dma.class -[debug]  include/axi_channels.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/axi_channels.class -[debug]  include/ahb_out.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ahb_out.class -[debug]  include/ic_mem.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ic_mem.class -[debug]  include/write_addr.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/write_addr.class -[debug]  include/iccm_mem.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/iccm_mem.class -[debug]  include/aln_dec.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/aln_dec.class -[debug]  include/tlu_busbuff.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/tlu_busbuff.class -[debug]  include/trap_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/trap_pkt_t.class -[debug]  include/dma_lsc_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dma_lsc_ctl.class -[debug]  include/mul_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/mul_pkt_t.class -[debug]  include/ib_exu.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ib_exu.class -[debug]  include/ccm_ext_in_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ccm_ext_in_pkt_t.class -[debug]  include/dbg_dctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dbg_dctl.class -[debug]  include/dec_div.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_div.class -[debug]  include/dec_exu.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_exu.class -[debug]  include/decode_exu.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/decode_exu.class -[debug]  include/dec_dma.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_dma.class -[debug]  include/ic_data_ext_in_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ic_data_ext_in_pkt_t.class -[debug]  include/dec_ifc.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_ifc.class -[debug]  include/ifu_dec.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ifu_dec.class -[debug]  include/ahb_channel.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ahb_channel.class -[debug]  include/lsu_pic.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_pic.class -[debug]  include/dctl_busbuff.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dctl_busbuff.class -[debug]  include/dec_aln.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_aln.class -[debug]  include/dma_ifc.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dma_ifc.class -[debug]  include/dccm_ext_in_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dccm_ext_in_pkt_t.class -[debug]  include/ifu_dma.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ifu_dma.class -[debug]  include/br_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/br_pkt_t.class -[debug]  include/lsu_tlu.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_tlu.class -[debug]  include/dec_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_pkt_t.class -[debug]  include/aln_ib.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/aln_ib.class -[debug]  include/cache_debug_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/cache_debug_pkt_t.class -[debug]  include/load_cam_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/load_cam_pkt_t.class -[debug]  include/dec_mem_ctrl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_mem_ctrl.class -[debug]  include/ahb_in.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ahb_in.class -[debug]  include/axi_channels$.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/axi_channels$.class -[debug]  include/ic_tag_ext_in_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/ic_tag_ext_in_pkt_t.class -[debug]  include/gpr_exu.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/gpr_exu.class -[debug]  include/inst_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/inst_pkt_t.class -[debug]  include/dec_bp.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_bp.class -[debug]  include/dec_pic.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_pic.class -[debug]  include/lsu_exu.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_exu.class -[debug]  include/lsu_dma.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_dma.class -[debug]  include/class_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/class_pkt_t.class -[debug]  include/dma_mem_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dma_mem_ctl.class -[debug]  include/dec_dbg.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_dbg.class -[debug]  include/dma_dccm_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dma_dccm_ctl.class -[debug]  include/predict_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/predict_pkt_t.class -[debug]  include/br_tlu_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/br_tlu_pkt_t.class -[debug]  include/exu_bp.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/exu_bp.class -[debug]  include/dec_tlu_csr_pkt.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_tlu_csr_pkt.class -[debug]  include/trigger_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/trigger_pkt_t.class -[debug]  include/dec_alu.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/dec_alu.class -[debug]  include/lsu_dec.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/lsu_dec.class -[debug]  include/read_data.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/read_data.class -[debug]  include/write_data.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/write_data.class -[debug]  include/alu_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/alu_pkt_t.class -[debug]  include/rets_pkt_t.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/include/rets_pkt_t.class -[debug]  dec -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec -[debug]  dec/dec_trigger$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_trigger$$anon$1.class -[debug]  dec/dec_IO.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_IO.class -[debug]  dec/CSR_VAL.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/CSR_VAL.class -[debug]  dec/dec_ib_ctl_IO.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_ib_ctl_IO.class -[debug]  dec/dec_tlu_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_tlu_ctl.class -[debug]  dec/dec_timer_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_timer_ctl.class -[debug]  dec/dec_dec_ctl$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_dec_ctl$$anon$1.class -[debug]  dec/dec_gpr_ctl_IO.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_gpr_ctl_IO.class -[debug]  dec/dec_ib_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_ib_ctl.class -[debug]  dec/CSR_IO.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/CSR_IO.class -[debug]  dec/dec_decode_ctl$$anon$1.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_decode_ctl$$anon$1.class -[debug]  dec/dec_decode_csr_read.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_decode_csr_read.class -[debug]  dec/dec.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec.class -[debug]  dec/dec_decode_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_decode_ctl.class -[debug]  dec/dec_trigger.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_trigger.class -[debug]  dec/csr_tlu.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/csr_tlu.class -[debug]  dec/dec_dec_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_dec_ctl.class -[debug]  dec/dec_gpr_ctl.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_gpr_ctl.class -[debug]  dec/CSRs.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/CSRs.class -[debug]  dec/dec_decode_csr_read_IO.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_decode_csr_read_IO.class -[debug]  dec/dec_tlu_ctl_IO.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_tlu_ctl_IO.class -[debug]  dec/dec_timer_ctl_IO.class -[debug]  /home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/classes/dec/dec_timer_ctl_IO.class -[debug] Done packaging. +[debug] Jar uptodate: /home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar diff --git a/target/streams/compile/packageBin/_global/streams/output b/target/streams/compile/packageBin/_global/streams/output index ae20b88a..3e238bb9 100644 --- a/target/streams/compile/packageBin/_global/streams/output +++ b/target/streams/compile/packageBin/_global/streams/output @@ -1 +1 @@ --1025085726 \ No newline at end of file +333153426 \ No newline at end of file diff --git a/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export b/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export index b40c29ac..5a4177ae 100644 --- a/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export +++ b/target/streams/runtime/dependencyClasspathAsJars/_global/streams/export @@ -1 +1 @@ -/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar:/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar +/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar diff --git a/target/streams/runtime/exportedProductJars/_global/streams/export b/target/streams/runtime/exportedProductJars/_global/streams/export index 230f8ab4..ab1754f8 100644 --- a/target/streams/runtime/exportedProductJars/_global/streams/export +++ b/target/streams/runtime/exportedProductJars/_global/streams/export @@ -1 +1 @@ -/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar +/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar diff --git a/target/streams/runtime/fullClasspathAsJars/_global/streams/export b/target/streams/runtime/fullClasspathAsJars/_global/streams/export index b40c29ac..5a4177ae 100644 --- a/target/streams/runtime/fullClasspathAsJars/_global/streams/export +++ b/target/streams/runtime/fullClasspathAsJars/_global/streams/export @@ -1 +1 @@ -/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar:/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/waleedbinehsan/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/waleedbinehsan/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar +/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-library.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel-iotesters_2.12/1.4.1/chisel-iotesters_2.12-1.4.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chiseltest_2.12/0.2.1/chiseltest_2.12-0.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3_2.12/3.3.1/chisel3_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl_2.12/1.3.1/firrtl_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/firrtl-interpreter_2.12/1.3.1/firrtl-interpreter_2.12-1.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/treadle_2.12/1.2.1/treadle_2.12-1.2.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/junit/junit/4.13/junit-4.13.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalatest/scalatest_2.12/3.0.8/scalatest_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalacheck/scalacheck_2.12/1.14.3/scalacheck_2.12-1.14.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/scopt/scopt_2.12/3.7.1/scopt_2.12-3.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/lihaoyi/utest_2.12/0.6.6/utest_2.12-0.6.6.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-macros_2.12/3.3.1/chisel3-macros_2.12-3.3.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/edu/berkeley/cs/chisel3-core_2.12/3.3.1/chisel3-core_2.12-3.3.1.jar:/home/abdulhameed.akram/.sbt/boot/scala-2.12.10/lib/scala-reflect.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/antlr/antlr4-runtime/4.7.1/antlr4-runtime-4.7.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/google/protobuf/protobuf-java/3.9.0/protobuf-java-3.9.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/net/jcazevedo/moultingyaml_2.12/0.4.2/moultingyaml_2.12-0.4.2.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-native_2.12/3.6.8/json4s-native_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-text/1.8/commons-text-1.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-jline/2.12.1/scala-jline-2.12.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/hamcrest/hamcrest-core/1.3/hamcrest-core-1.3.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scalactic/scalactic_2.12/3.0.8/scalactic_2.12-3.0.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-lang/modules/scala-xml_2.12/1.2.0/scala-xml_2.12-1.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/scala-sbt/test-interface/1.0/test-interface-1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/portable-scala/portable-scala-reflect_2.12/0.1.0/portable-scala-reflect_2.12-0.1.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/github/nscala-time/nscala-time_2.12/2.22.0/nscala-time_2.12-2.22.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/yaml/snakeyaml/1.26/snakeyaml-1.26.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-core_2.12/3.6.8/json4s-core_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/apache/commons/commons-lang3/3.9/commons-lang3-3.9.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/fusesource/jansi/jansi/1.11/jansi-1.11.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/joda-time/joda-time/2.10.1/joda-time-2.10.1.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/joda/joda-convert/2.2.0/joda-convert-2.2.0.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-ast_2.12/3.6.8/json4s-ast_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/org/json4s/json4s-scalap_2.12/3.6.8/json4s-scalap_2.12-3.6.8.jar:/home/abdulhameed.akram/.cache/coursier/v1/https/repo1.maven.org/maven2/com/thoughtworks/paranamer/paranamer/2.8/paranamer-2.8.jar diff --git a/target/streams/runtime/internalDependencyAsJars/_global/streams/export b/target/streams/runtime/internalDependencyAsJars/_global/streams/export index 230f8ab4..ab1754f8 100644 --- a/target/streams/runtime/internalDependencyAsJars/_global/streams/export +++ b/target/streams/runtime/internalDependencyAsJars/_global/streams/export @@ -1 +1 @@ -/home/waleedbinehsan/Desktop/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar +/home/abdulhameed.akram/Videos/Quasar/target/scala-2.12/chisel-module-template_2.12-3.3.0.jar
  • 4lOje|HY@kCvT%jL2UG&-35^;n?5{Z#9iQsyIg+*;bvW;#JZdwJO&kUQ43<) z8A5D6UOKF)teLX%mv-V(irV#lw4#UEs0R zU6wa4p?xLsv5BCL0FmQkw!H^G3c4FcdthvI-vv9vl*XfWj(tcTVMF3x5q&8o9rrHS z>1y3UzMFrs!!q*h-2LRvz0bhbv~E^G+&gz3KE`g7v&Pk98F9PZ#TQ3?jndQBk-s5q zdrVATai4;=J(aa%3XD5G0lQV|Hksr0eDL|B$E2euGQiX%BuDz6eheDo4+y3P-sitR zs{yZZS#L=K2zTTf%9FaEP%^rvVoiyHxVRqxb>x5W8H9ZB*#gn~XKOM_vKQU4enyH8 z;9LeC8);E(HH=UIPE$r8+;l z5d425a3T0(w&mi;>u1_}pgsh916Kl8o!|1KM~&IO3`R3SDqnxe&&-wKfjjQZ@D>}%uU@`;^Gny4-+uSp z&D9Nb@5Bu#(75>4MkoA?9G!jZyljc~)P7f;^; zRoZxjh}mwxYcYUD(R3TAgR^p3+U*<5`ZM6>!j7rGARSfKl5lFWF%G%rKLCG8Q^pt} zjmm?KS6|OWtb`)k*}e$tK#CQxJaa5}*$L5&|2Su@lfxqB*;y*^B=<;|P10Lr3^7kf z?WP7wWQaA4qfT%M#|LEk3aBEV1m%_jDe~F$-1g(px-Uc|WFkqMx4_ ze#By5-LcabB4z4&YIRu+B8IM~H^+i)#vj!6oGqu12oO#2Hv+CsaG`$?P4Cle3H)WmT?Z7=+fW0~Mbe%E?umIz zGKM&)(x4n*Hrbdr`NA#_jt2O9p_?gquWh7U=qz#Z-?6EMO0G`bVXrpgB!xC5ReL#0 zNRs|FU{Q||4cy=0t73nCdnX9t5FNNx5Q?M?-2lCN75?~ob|$Y|{7QQ~@=eLU^<#dhFaL~*c3wQ7quvCoCvuKPu+v37_D2-9Lic3*+LnDp^;%+ zCt7LR)k((Fs160AA|14uJ1azqj~1g$Jz}c(D9=5=2}$$425RT_5@8=zY!y6t4JI~^ zV})#5q@2y>D~9faU<+SG1+??%UDk*FqmrE%0;ReA&Qb-9ZH?`!*@>JH7CBOvInKg} z6Nrs_HCKOPu({OZr$<$_MI}e*kt+KFD!G&<=&@5{BP{LBb*I%Ed}b5#)o#@phb~;2 za6hPYW~!7%J37O~^zP#tpSAzs=rkUl*HHrL*u;&9H>B*)B&ie#wURcfq#L#hAgPrW z`x1Z66ddif#as$df+O$5xdg72Sn-S;0SD=`R~3KMR^V$IgFlBNPRb6m`H$|@97&iSCZzkVal?{M^SS;zN{P- zOSf*l$w2rs(IiVbNnPgxRLk`bLB?kPPe{zHD^K4Ov7-a`O5dtdMiwwN`RY=fm;$DO z{o`2?TELXC64#4V4P%d+lsv-Hf!m{Tty4}xVHz`Hdz|Rnrq-*#H79G^?3KWFA0dBA z&~$q0nax26nqme9He{%~^9C?^4SZp1i(RD&b|zEIN<-7Pshv5n2Ps=WN^wGKm&yai zePj$u+E|HqrqJ4`dM(Ac4hu$4@xXZK=(v~Ow)${oD~HP@uxUs1zH$ocqsw(qqPMMX zInEriGxy;Jj+opuaUD&n%RRf>#0YlE2ik8&tU%9Jg&n$CNWtwC;rf&Qv47Ioll(3{b{Lk=VzvZcD=?o7s+M5r1@)*_}k zXxUPE>B^-LC0m;Iz8FL_j^5ZZpQB_;gY|1!wD!=o>9ZTi4ma1qc6~^Ih@pQ@+9+Kf zffl4s@D-AgJg5~ggh?mcx;8OgnB-a8Q_DpNlWK>iRW{J9GeXA#kLBq*6CBDF z1vN@N=}j>*YHR0#!Ydt*B2s^f7%nr55W=H!zrb>W7fZw#uCHOn8WxowB}3?uBDS_B zU>oXho`3ht7jNEzk&K-bCo4!-d^K93V77qvI9v@9Bc({{n8j8JU5XT*P~B?R^f;tQ zBhigbuqyiG>DzZtUSgAn_aoozjLetA;x#-^j%L>8`AEIeWX9SMkFI}LQpGhk^V#%D z^_RGPDgh3*B`O0s^njPxaDH(o^HhIuW0#kiMXz*rwQ_9tzj5f54x%$Q#1z0Vx2JPV zLDMVc#E&9tnb$zaezZwJ(JN{Dv#PDO_AjxF5fr7@b~D@d)oqohkm@72`UqT2UpDcX z%B9r&#$85(=h3NwOD=y!xfHyRc*y$*M|Y&leCa=V`Qpo;;Q!fz)A#!LHlUI5v-ev$ z(tm~c2pMVood=xv5;&~C#Qh(E(fTj@Rmws+2_2dVu*~57R|rsGG&1onvo_xmA22|! z?V2qekupZzu|r*T%q*A%_->vHn1!m2g|JL1HGem)EQO00*{OeiY&a3dK~G-4e)$`) zlI*h}(9$=rVz$=n~atu+T0uBl5;6HyWF^wqKnF-bUg znOf^3L&DqB#|a%W2E4spuhL2w@V57IO$D`+d3bJnw+IDz&+Z3zkdHxib5K9T?cdfHGLv>pxeJbVw!)?26P9XH>L8ZK({%dum;g; zvNE`GNkCQy#vS|iJJZ#Hr|HHmT!uqY2j+C9e@n&0eJA{ljIfrt_eF(hLvW^hV>9wYmJQ))0ZmoydwhPAI^;KP}K=({DCr6`xBP2=_|u z)*6Sb>hzk=wff5vCkkjO59^SzVcI_D`f>JMd1ko&AWca>^6 zpOu@w?SuxoR~HtIY+&~ARzM)xLcXV?F@(nev)cw+yhIexx_NJ}RRtF`#a*iXbl$s|+`wZF9zn4gAjDE}Uod zvkW{8)HC{=5hwD7GGmq*f2OA%?&H8C1NVR4R0cg{!rle@YjI9Y*gIqEvPH;%z016N zJe+|bZsImbxgyMR8Y&DYQ0J;wH zPj%H!Na(qK&TC7Kf}Sy(dOdIJ9H>|N#hgD zLfN_n)NKa^$o@q)Kxg&Tfe-~n_Z1wQ6?7bE{+);%D9X|jG z^%LGaGSWQQp6eqHL$eZHOq2*eE@%2b1pQ30%JU83 zQ2QVRu4#OQOn5lH+ap*^Z;x;>sxKFy+9RCp*DRy_rh6kMv*}GN!^(e0W+l{C3Pm-Y zha%YL&CyC>Y~Jid@1)?h?3Kx3LdxlN6&hp@%lzQ|IU%K}<9lf8l(E9Z{UIj_HtkpZ z{9!DI6#$;g2~pzt7a0I9(vSRWbUTOsra%M74h@egql+cX7M6iarP*>vjmfWZPm8Rl z-Ub)CGhd|R^KRe9HlKeL1NLtytrOCESe_2&qCB5BRt_t~OjtPAP#Vkv{l-@8)=HSr zZ^P_DhXMutj)f(ZiD=NT$vjHnVkGGIWGH=<*28jdO1+POersw+VHid;}^yX2_Tm(otb`vwypS+BbhAq(ajmW&?_s%|<2X zw{NPEKIB1=!ATf$M@GWAg!(GjUVQ#N_9~0XSDPXdjO=r$szYAxx4~#cpk9H1k&RV3 z+cGqaoYxsZCK5CT@J-H?_&q_|oJ#F^FV;-3F!#|1J*oEr0#w z<%?(7TdHa&Yejz)+<0&?ZcRYPjd#>4lZ4rBWO!zKlZ%3oLp_XhG6aO&uJR7$)B2Mb z3sOc{?3PbD(n{pkLU6S2{s2z0vg68|%?ENitlTkP-7QAL$|*yo!y-mY%q*YW|474* zQ+$h-otW71!m>}WGo=viJ~z|ErD4Y=A4{v4f*tFFFU)_=2(UP;Zy{U4))TXTB|9GB zM>QATwIOE%kq=X9@&$+%D+Q{>IA=PzY{>Dyawdbzx~jlN5ffZ4UFB8Puiz~wY^LYNHIou>R`vjLv;whZjm^B2E-@)~TbQu}xeG&(QbCy!6#}3EhK)1K{gL!{u+)IFVAifyd zct?kmf@_* zk=}ny&t5JP?w-FW4Hwek?ghW$1TGWqcF*u%mLZYs;^pjgJ{`%99qKrjup!x*tBuEe zE4=32l)lfS$Kb^7u5TC_6Ht)1`9rMrUrB!{2=`Q2mO;WmvJ=0c(HBZ$;U=k7|Sc*7$6{J8h|d;NE9U?b^Y-}e?sSNN3Q z`w?RN5n}xB0x|v}VwpM6#ks?bcCn2{|N6yKP*_}=CBqA?FWZc2Z=jD$$mH@nvfkcebTxUopVKqOQ4lZTjyWLEs85~mY65J_J{ zn*aq!cCAI_3vIXdvoF7Pb$bR`z^xHkDzfEr=lpD^m;zMx4M*mR=s;ybSWme#3s7my z$@gPXsm;__6)$#jjnz!)|U+ z(5bINlJ;+bX5W)RCpwr}U!-l4Q^8En)y@eC9n2i|%vs^nz|6Iwje3?i_!@smY*8P` z>0strRmC=}iIBj|h~+I;eEMuL96lGXp1VGI`YW)O(5YgmdWf84qw};CPghVlr}xp- zxA>=C;U#385bWyq?i*-39J#(9C?g}FW9en53Wt$)!eNmdZKS=te#Y{uH^6E{T{7e^ zzi@r}`W+V2VM_`Bww9#uGY)?R{k+KU%Vp7de+agtCiZwt^fPNM-`5$5einxv&xldz zXG~~uhcg}h4Av%Q<9WUG$y5APa((*pSD@C|_;++bG_}wWjRZvNTGBNV6d-CD@lKGS z0MXs%j8LH!5Z$&M_9+;E=&|nNl+xzbghLcZvyk$SOJTd&ux%dSs%gT=hqqT-iyqFJ^ZJ!#&1=S0AQqWW9}YTjIw3Wo%!D5`Ne`#(}Z)J<_}=ng)NOE}pw%C&|B*0^7J^0pPD*ynIPWG`_@6#Pa6U0Vmsuh{M!t zCkuf{l;IXQ-JkWMIRfs@#N-JmU-0x5zW!WoDpXK0Jy$J4VXUSli>JdAUNfI2u|=x* zk!Mx4?)r|l;d3Rj-YB3YG7o3w(XrUh?wtPu(|PR3|LTRcUIu?^Jybn>210vzqJdrU z<+fjj9@0GxVXyGV#2^Kxdxb5rWu3Y_S8Zc}_owFDpV7hk=@ zw;)K?*6JApPTXXt&0$M&>$dm{VzpZH7x$Xf3K0YPoh(fw%1McAk z(Gq*#ZOi&W8=na_mk)FoBl*ZTK-H>po&{_kJ55Z%<28}no42mmOoO47t*=nvvs$%R zAV7f6Wo70As0!gtadGrFl!K zICt5>sk=2KaeI#6I^|s!KOqYE&aXJy6;pxlHJ#@vp8|YOMRj+JD8To~nR-F?XMe;F zd}n{p9R6Db?mc;t)Q$A_y`v2VsVG8P&=`Q|quCQf}OVqoYCOMR6HC&*#1x+@U{L!U5q zo-pcnMAWl1motlOS@R(Xo>7*NF!a5S&H?;%c+WAH_WUE-Sn~)0ucxNADtU~_PaB@TdWOx~i(ZR5a`C+|V+o(a14uZ^xDv7Q0Aebd zYDHEaK=<_KKE7ApBUa3pZohhMzoC+ge7|6&2&}g6UrA{i@fB5arvS|nxH^AJGf3?m z0pEz!N->iopkC1{1rCltjc0Z#@>07Es@s)OkWGM3{c8}HmhLV?Q3lQ}15rFy%0Nrg zY73W28JL{g9)%nO_=jJ;!-=2R75w!#SPb|I)W@yoh)_E7%;ac3lTgq&>+Xd-h;D+# z=!62;P}`Q(!gUnT(n}cOQE7h$6Cqc%xRrq7TYJEaAJIcqnlDh~gQOXamotrg5IWr5 zDWH)LRE@#$GAjAtvO>ShVUiERws2QVB>AB2(7YxkF%06ThTKuQ^Hg0z9fM(TVVN9~ zQW*xS{lYyK81_1d3y8%M_}`jgFdKIg!=*6{A{z8fG7^p8pxI{(l45^J)xTFDcd99w ziv{ohv%UE6l%A*#YX<)rntrhFd7O`;A6Skyi;)4$hhTd1IF3)^AGAcMClMDjzD7K@ zTKyChYJzD}2UiD`nlPS``_IwLgph=+bSavda8PkfIEvWm2VsZn&CYcC!OF~00jwpz zeevqK8$9qjt}0a`Tb6(P0w?|B7vuVj-)kmKK^fiK33Ghg^?@JT_^;=-MEXfk{Ds7g zjRrZTZtxW?nF{Liu1C3k4g{@)NNEg*xR{&)NK3Y(#V_7GrT)3S=*}D@1O5hx2+#yL z*;0Q3MEvf_Z?J2}b4%4?a|~S$t?i^%uizxY^SXNKXpKu&M_UIly_b>hHSqg61e6WwRH10b0?q0}0NB{b^9aCAwIkV2g(IvjN; zWU+3~iLzn7ZoLIXrf5}Vc(WRemY@622$^IGLs>8ufwPb)I^BJ%AR0^~Q6P{T5BL@WmDnOZTbUU^CA!Cr8pO z`s$7>EY_nPv!U%`oGf5pj@Zi(l};?Ke)$_v)gC;cK=Uw0ulk~pJd7s&a5X~YpB$H1 zFGcV$4hFkIL{uKe@aXCWlE8Ew)LxtnaZo&r9*fz;Veo$~_D8ZR6;!^(>1=Ty)0@|f7DO%|6s7VJ)Qn1PmWFG4No)qdW>&tt&FFM!X3K!4 zTjb^SXa#h-MM}TN0gp+ySX)g@=h`xrH*fJI-jRQ@mFN4VNRV6%Q*v#ogwDnA^U{p* z*ti&TLAnu+m5ULWHPFt|RUm#jE`vkiVtB>`Y$E#(-U6-L9_dy=4VGm+dHNi`BteqL zK#Vh!iILqtWT9M-uV2vav+jc6JZd+Bic_CaF+E9ohR5AKqv6GTDvLv_?P-Q?J@`<* z{PuszGtx-*B`qx?QI%_;rSK$+>ba_|ipyYnuB!G-5dtK`Biy&PlJozv_uj#cUFn@@ zA!lIRWAD2wd&Xlq>y5|iZmC=4^^R+_FO*#4^6uEX-sIQY>fq@ewOVFNrJ3Eg^}q<` zOfZ58%mj1J5hTH!KoZOZNH7PG07x+5;a-2Fd+s^6S7krfE0_BZ{h4FtHl*+Kk@PP+oawmMyGeL+^h( zN%gH};|-dP@6p^ae%*#GBQ=~nJ2fsWPbmb<+grMRy%eRDsB0Zd6p}O%GYjKkEI@w~ zvDh(`Bcy5~`m|H~46@STydpy`q$&*tx}vIORHZ?vv3;0NV<6tVRw)@|`usNiI6>;8 z&y_uv6AqF-Z)wl1;{Z&!)6`QegURmm*y3CPiqc+dEE^S5sp@^_Zlb`$FRKcxf~908 z`79@;ib*0=JF2arQjiZF8t89hzX z{f@e8Lb+6GuVJlZ0nQ;#iXNDQ^FYk`sWp5bdlRa^oD#w8jV)_zKnl<(o#)5XzNx8` z8H+s<&^A|nm9fd9!t;AuC0i_NIBD(N$()D`rFW*)3{YmLZsytQWFQRQq3(Y)3jr8B zGBi#O!r;2}!wi-;DZpJpd`(Ps40wIl_04)yOSzDQL~E3pM;wF?t5DIfErKxS`R$_y zA%M2(YojV%?l%J zD)`sa6{(eYkhp?Oqeh4Z&k}z(L-$#JT&%6CA__0gAhau|-TH?hoRu;b-oS+crOtuL zQ#J{-shhquNI@LNP!$`>`Q}i|^w46MfCOL|rpwpC38`I2{U=Nq3%HF5y`@e_-E7|- z5_uZwkV9uNFd_ANY&>~yQMJEOp{LQ$r~Y9`!#o~VCsTBQiF{?%H1 zjTF`CW}x5Nsb@pYz*S~=pU|tjPF%iVA)0~ur6f7w zsduk7*yzdRE|XNxSGF{2{R2|VYBNKLL}qe*-gy}JeMAL*cyoB}6-TeFoRQ~E zMyM1hQ!8_gQ(~Zav=@K$g@~XsZeu~e&qtPV_>-59UOszy zC+wjwLyG#^JBb|OJ{$3wx8mEkh1@?#A@?rr&E_xVE>4cN1fZODN?yLpAys#pq3A0q zQcgP(e>5nCSh~LRu-ky z8c|)-Xcy7wX0w$yk&vLotu~sB3d^Iho!rM%2f@1%Pz$TrQ{}SWgS4=X*DEDJg3rFA zXB&?I1uE3x)j~eCK1BaZ*ucEZJfukFLu|P%YJ^P{y)MV<4gNty#LryrPqi|s zqSwBix_%i&^lE=o;&c0GM6cy5CrKiz=yko$(#@tM_#Eg;&WOp8Uk5yTjW4!4^Qu-T zz{8C^CoJ!m@MtRX`0C>zHn}1<&qts0d=>frl`Rz=>C?a1JHkZ|7`TVoQj`A*sMf3d zQ`TtE&xcq_PF5lpk=mnMToE93tLiSD1*uzgu4=~^P-=fqSX(ofTKVfoPZl^Rk?MZ- zfek5A?cHxDDGW&>)kZ_*fj6~hd7~|Y1&LI9htB%}k!pNvYOsJ#ZW?=f3v&o=O=-8O zd5 zuW+6|CZvBlb%3lsJ+^K(@SzMJRZNLf0LV}48XIgZr2KSFd%QT( zk(0rBa}uDb-|0xJqnQ!m+LfzI9Acz4a>``2uu?qC1LP!>k6|3+p2=~&yVm;aC zQ6!5Kq%)|xMC(z%JLZA{5yebzG_wI=V(n_k85;tPF0=)D z0&NR;>N>3%`%N8elol~&^0tpM=_P+7qS!(+>E$Z7JegK|SS&v>As3^&SVB`@2OQ9| zaFvn5fW?I~nMDH}0PdP@>g*L#2`TsX7a}*RkfxW1*p%6lC-sA5gXO0heD|O#2;-JJ z{{$@)Z0^0W@u*6`<;ub^3f9(BtV?FYO2Crcf?fs{f{UG2Ov_LZT*G8k8UueJ>l@pz z(nu+?K1XQv5*w8Dg;uIo_!PV@{c=psr{Z;2X9o!)Sge;(kya={iS<;C88u)+&sxx4 zuZ&ii7p=60c|)1^XLQ9fTKV0~!AOyaBEPFU-9O`@5yah@-BXcIeiuAbS}uj*E8-fkuTqE|wzx2v;M)U!c=GJh$#AEl@pIIRxm!iu{2 zmGEk4V|CZOVWUHKF${Ii7G`ZQP%631%xk@XqLMp18O{(>RC0@<%UP6&9;L>TD27#X zc~0vL7p;=hZBNv(NPuhpTxFUNp_0o_%pDN?HLH2gZM@TkMJsdJ*>D?>ner? z1nzyZH}{wfd*}8wuYluR@$r|{BW}A6tP!iv4%5I>TNJf@I~hATC`dUh{V>bqc>OxN!W5{uAF9=#s`?Q-B5oZfKmB`>YP!U;{HA+ zujx?m5s-c1VnjKMs{2}O49RDZbzdFRH9ImmU#HxpbwGj2?j0@Fbks?sOnrcj=x@uV zNa5GvO=Ai&1@e6?S(zC{qw9*?TvH--UDf@jP`v)Z@gkxTuBkZx4y^OaEIjICBXnNF z4a;i3CzH5fy@r323FO0h7uz~Z&qcByJ!MwC2-0~~PKTZ{Ku)CdJnvEp>Acq4FLyaq zo!3H8&=DV@^Gcs6-0{vANYI>|vd}uO_P&!1CROKkIW*NqGhF&1R&iT6CPt43dLL`7 zP5Ii--*g@JFYzG$W;d}Rk>%lU8g`Gj`3U}I^tSCt?A3o4lC)a|b$ zpLEMOSo%vOre`j7yj|pDdR9`}*HE)xI#v04Aws!Tsh?4bsLHLAi;QF<(D>7@p8KZf z;+;y`iaC~v;EV#>j}>AMXLNm-+>RFk2$5D@{IB4f7+Irb*$w;+{4{_Pt;CY!-$#nD zMlUyFg;akLR-Dl&XV8hTrkwg~uLvuDFe*ZZ7GWJ}D`SXu+KYQ(t$m@PxZKXqcO9Rt z{1~muN}Vq~mB9L|rrhEpAwZCA^w!jkV4%BQ=CzTo;N|=rTg3YwJ z{bxviZR+I0!hvN{;Vpw#GOA1}Ib~@=0LY}Q$7O#i{zn9(A#~pH4>$1W5AW>Xfktn5 zUV3MaEv#n4pLna3dP}f(t6H{q0=hQ-`m`p@%=70XRkK#k;IHPsw@PQN_A^SPdPBZ(yQwxEH@B~vfUQ**HpeFrE?~d;UPKF znk*$Oa_E`oVtA;4vEiH|KuC3}bGG83fR`JXh_n7j5ZKq3#aP8Kus?4$O!{Vi9AG%eKEfjNM=(e;hBLfUOH#$R? zeL|_Cpf!a61TxEp8gn2Yb4_D^qm&HI%_z4GOb~#(DN~O!K%gxrF1r;3+V;kefdz_|5Pa1>->q=7yzXQJ*Y5rt3y!)CWdg`h?LMExW@*^&$@*64{%b$VQ_ZjoXpCLO*aZkv`CgbOV<4CSOt_g3DtQb0jcoP>^33 z$R+jbCGn9OKG=ibE@-ob-&`QI))0RdE+jj=VlE>=fz>Sy?7P3qYtMK55!{L88v4U!h-PbRsM4M7 zMePt0V@6aguos97k}JLXsKnlrLYcq54rAaDf9Lym-uu0G@jvjr z*FSyr)n`w?=UQpM_vy3mdAtu#pMC!B_uswugS&Ts@cz5MfA8x%|4x7SmqNB{i+z3P z$9Miz_}!0xP00CCK)_@4ue(DWrTYne^HIL@osWN2$o{u>7CJf5eya{EEA)&hc=qHg{KowY zJkl0(v;?+spnbS5IahzfgLhtIqbiWliQ^XxE5EYraKOzmt1Wt*0Ji-%FP0TT9x3FSMVDFo3=zUJiX7Q%mw5n~kSmoX?7yZO{6aA)_lo=2mF3^dL+(F{9G$#NKJwUGTYnlef* z==5rNE8{z@liaRRz^5@M>k)D|>-u`*puZ9xli5BL1>uI>R|eKxcTA$1DXc=I8;~4M5laCEH#`0Hl@{p(=wTFJ6xSBs23o6@7$evEsd`4 z9%gOtB}U+V8+a-_O><;OI-V>@O#p2?iuxO%mp?mNq7MMgnv1PK?9;jFOIzpw(4@2y z2Xg#j$@qU}FAK+F0U>2W(l3aV(&zr*JJ-!t7kcWBdnMxq@|5Uy21;Mqu%>_InN&3TKArIL_Rv@kiA~(< z%H7fFOeXafbH60dI{0N+cbTnA-eUv0%k${0LF9kTkNa3-WKKDsCf2i9Ub)04fhYaJ z*1v)PUqGP0bd{;SeO5l8tK7Wp9Yn`^_7pgcJP>|S7;X*_Ha6SzN|4WM{rIP!VsoVn zfixo#4J8*P0#I1ll-6uxq9Qq)6Sh=*FRT+-JTfIk=qHD_FGGZoep0!%IY|_g_)j9; zgOQn6@qAi;U1fOw+7g#eS2;1U-X*3&M~bK(B~4mp^6|~71gdhY8w^%HQdfE2ktBW( z(p3&GIILV`Cg$OCN-!c5^XxoSDS#B0ZBgn>F`S7xJT|xTKgq=G&aF@9z(UNXjFvG0 zl!>XiUMZy;pcpeh+ajS#F)IqY7vKSkv#Q=!Sc=(y(W6rnZ1>ZbFI>O=Z}98cc&?16 z86x;0roCS4pdG4sZR*J<42O6w+~c3Wz^n(V34F*V8_(jwlp|FTN8{F6b@yysxJQcz zJbV1~7p^u#Kkt~6`Y=IF-Gx;GcP2O6S64K178et2u}GcN18jNw(m_Cg-ssEJ{^2ne zO5d4(uuzx9MCdyw6zV<>fJOFSs*`CVDDGkf^4tjqQs3F6njMy+^qs1Z_b zcp+WBW!Yj;4V#>A*^-&3<$H0_enn{k7sN%U+mmKQ=zz-}#Y_s9s{QPbKNunGY_C5h zE^1_DYzJ~N{Qz5RvCxfZ%q#3}MrB&=ceGD`h^b=Gwv*O%+8K?rYk}QJ-Ss`JQJ%gf zM2SIDV_Ta!R4h3EDsf&$BLm+nM+4_x5|3` z0_TBETLMJbW&K?In1qZ*hxSBNqQfqiVsB!&AWl6PVhaQ9Cvr^H zhuCs`>jHK7W7D~#SBzAYs?`}f;>QE!9HyHoN@1b1F?-u_ahhL)T5H$|@tJ+R8q}q! zjxN-=$fDuZWN=($qOxLN2EiL;%JE@;q6U2Sb3ASGb-)v1N2qJsA7G%wsCg-ou|je% zW@wVXCSh=E6N_>EwkH$pMBx5?8M&%qxo!T>3T*{}YYg~`w zSg?jz)BPMoN667#v^X`UwrLhA(|(8*P9>*vy_wkfDx#=uOceW>jF!ION+u$I6^cli zj*U@^5m}hF#E1nRG7IxCzD14X?eAlWH3K&+s>pTVHh4`6GA2j)xgm(S%T9IVpoB`K zsK#sR1t=or{C3DaSDGSnoj#P;;O!+@G%`+sT6C6gI4}olHtC75Rb6-RP!&bmUl)2iQ)v z(lAh{ z$Y?I50fg>iHPJ0gf^SY84v!Wq-02K>>a45I{0!4fB@9R@s@O19NU*6PjdEx}Y3qQi$7$#{EGW@$dG!qmA#Kt!Xb$ z=94+yo13-_DOLS{d)x1cjfT~~J12Ev0*C|NQs2z-DeB+Zlg@Gm%nP@NM%+pO8lfZyi)g2e=Q~wrEIPFAJ?OiqrLs?kK)UlA9Pev4(1fKNbHGxy9jD6ceglc%< zTt7!UmGip5F~otn>g1xEdK#n6eJoOMK0>L6!_GFw88k_Ml9|PA$xN!ExZ}EfpF>s@ z2gesW#gv-Ib(PMHx5vmS*!Qul@XjzA8UF(;DO}qlK`M%4vNm+koMN|-Fy|!t(Cwdt z6veTlQ(vP5#h2TCTLM@>yxln!iVBJ}))uT#1jOdp#v!CB<1SX*zkh-ZiZt|`#c?13 zaY|fUrvMax4V>88oDxVtY_?9U`3o=4mK`^OXSTuu;>_gCXqJb-n+wt5%isJ3nq;NJ>Q5>aD&S4^xBQryST>UqV*7$lbEs6&2eIMI9+3yqk#Sp6c9G%Qp z-nxEcxc+=p3aKNGwtFUsOakIza_auQm?po?Gc@uB5 z_tr9ja)3}OR}IeJ$Uv#`Zu?P-fLt2|5bfTTwt0yIgilT-<1KWG*XEmdNOo%)>=}i(aisrM9Jm*wlae z`q^h#X<0#~gxW5-7lptn=P@5(n`3%MMv!#XhuG*$aV`Fvz;Ma6rH20<);2pV7cn4d zJ)@X(5|0cViaoS@KE?xO&w5omyydx9tB$LG^(CKqgjnwNvz7xMB%5iyXdXnzLvGlY z6PU2>d9xzAm_yY)N13{6*?{gjDsL%4^bx^c3S&MNid@|Wp+|KsGQ?a+=VPYfDq@Mot1RLm{`IQ>*Y21dr5lofgnGzUbh=x=_a$!D z!1qEtd{uKRpCaD*1^&UmAOd6>hsJq-XsSIk-aUBhH6FBwyV8H^TEDT?sD((pya5^b zo2=sp5CNY!T~dpTryOgn4)X|6AGw;;FY&+p5^HGeJS3};vh(aVP>Efw4GHAa@a+pb z$+I+kdwsDpiKZ;PkL8cA$FV`ay&~Ieh|P62UwuXV=+B>jU_VPpXFY%DC6-h-QqD*5 zj~`;1!}1^C^ag%TvF5#^Kcn*VW~(uSGNH5TFj66-^7Cg4DhqW&XU|r=jW(<(XJ>0) zT?#+GAbhjtIkFfNtyh;+wSUwsOUrFEUT zmzc7l>WYA(@xylC{iPaZHOIq4yISLyuIK4BR&JV0BI+*S-o;ORM^C6-gr7Kq)0d|u zKSfgdy`%Lenlo?@yPit0(9FGhh|R^BdhvUk!p6_4!u~6gjMv9!1`4Qu;YIbat!qS4 z^9Zj+K6&-Y=l}XM*T#$OLh%F;m>(KLK8YV-vznX^0dQTs4@Aj9 zNdeMI`2p5pEiR$<9IkVJw3w%uVE|lv%C=z#8Uf?RqPop}P9g@>TYR`Gkyox}O*gAa z7t(O>=b^<~ve0olW+{gYk?_L}p+QpQ9ZM{is`H>a9>CF?)^FX@;USJbEH3v@26FUS zS++eHWu*+jx*OC@G>rUw)1sOSGV<1zi7X;0$@Reg3(w}_(Vr}T#ZT8G=Vu=3Czlvr zvc7gHKbDOk>qCo@GI_wA@e(UOu}`od0>8LGA1tJ!;;j|tOQPn(TMS}B`Py@9V8(*H zKIB;9yV$^`tz8Ha@{O6-*DU0a%F5_nA#s`fU*K7g@zpxnwTcK~zFvJ>IKhHh`77N~ z74a>e< zFAtRO55P)?9e)bZ_@%oe-8>o^|FUxBf(bkqZm4`MJEmGlzVGno6f0;u50g?!fAd`M zG1m>^crTx;KkPmTs$xS@fZU_(crGLbm^&`rAxN$luL;(F>nS!_8MDTv)*dghtx$!W zhU@+it4LWWpw$Ni&(4=aw2Yg}O5eXIB<>7o5;}3rk#?UsJr# z!9&xC4U)Pl1YlPM3H?Zq_XF&n5a8Tjc7Y_u;}M^wJUyUEMLOUz+kKSGZ8`2f>K zT2hhy>O<_faBiB|a){^l#pj+{2|JVL_lps7gy0o(4u>j7n9mBYWzxwJIz#%xkgMZ8 zY%QzV?X;oF5$YDFiiK1;LhYFLNK7L~IEk_55JfzB%f?UslCd>Xgw!b*7v?&lF@)~( zrJkvOpCG1?5EIjg`FkmiwPg}wTH@13&?6Xt%@(#97-XSBefeoS6Dd^KU1%L)_@D;w z-QynM(K0_NLg^C>&UphD)F(6~?GF&K7mx58_4OBc;pL2;w{pR7tLW@);hUrgd77&P zd<~;Y5t6bEpA&{ga$n#rPiqE_{v%qZ5I7!xZsSvA3bV=K#b}wrYL}HrK=g_Zaymz1 z1ys?&$bMZkN_3FYQ#io@LA)#lFLf*7%Pr`hsNs{0Ul-}xI5_v7A)-C1Rbzo!9rMSM-Vj4#a&M=#-4N`QTT^g+Cfa1H=_(+ z6&sE&FL?ao^VeASZcwfOUK5F}_#$Y3qytLqY7QAPAmX_)Rr!e`s&Zkt|H8oZaNDj^ z+GbkoX45r(0oZky)tx3oaQyBjB(eB#frF4ZbfnF#FkGECvLb(pozKoNDJfq$dp&9% z{w$+P0Q@X>ca>C=Cmni34F%M0qYomM!iUVaguFfOemk*!bMW3PEYS{22i?VhI&Fu`-V+qG7Ad!lM;F zgsvJhq?F~=bAIBxiwYzZO+;LH-H6PmaA*i@NMZpM9dTVX(bqxv{`SkzEr$Qu`S_qu zVO506JgguMIIyS^n`u-LnR9!8r))B$5`fJmHI7M8LWxx4`UsO&C}D5NN@pQd5yRGO zvk3nbR5swhe=BN3!Gtvv;it8u#C#;8i+}v(C$I1)^y61wef|_130pmY77BL{d%db9 zP&0~dVy>j^J1BjG*&d-0QU`nPHfOLY`iRUCWvLj{M_6aam!xoHSz}{=o;w2-7D%*J zPIu$u%)A1Lar@#s2tma5baOR>Du{5(Ytm@d57XAQA_o48UBAo&?_i--<2hW4o}n_$ z9Lh)5Zvogqd~zwVP=Jg8b}<*5MpiwjqZWFhJW;oDz}bJ|37uP^K?l zVam812NNZU$d5iA6eA=Nim;;@q^9>CcBF627vMqwWMzn#OW75F(d|Ny48p#hnk691 zAaagVYFShn#GvMoNEb%PASUW7j)mUgu21f{z5yRv*{mr%fW;A~2g{?xA%F7c*lBl4 zlMK0gc$TrYhG3JJqNFf8msbR^lA<@KJ{BB75_DS8B!kJpAS4-{ApjL8+B(5W>Pmzzawuc!|XnOfV(Ok>h+sR39*jV*!(lDv;ucalfMR87Xt zfTmbV)?`E!SuGOU#{H$Mc`>YrV9kb8QRkG98350Tne1JE$wUtEypNSOHq?qJ@XAZ9 zvMFhr23LN7HN_;{@{tOU#B4d*aPU56G#AH8(V21SvHENYO5$;`Vp!wD5|8DHYP|#@ z@#u>%uHx$kN#e0~)c&8*3XiIBhmr{iIcl16r&y3`BPM@yRz_8A>?kw0ISAE8cD2gr zO^eXW2U=x+XpzRuSg;WiX=tZ+8)&fphgeF;O)c#Z&zPm!JYxR0XCwd7S6{yRtANK} zxC`!^s{A}6Yy!ucaa3zok*Vh(>*)ZjGIw_#HQqC?Eh9_<>ntMXEu$<@XQAzmE@T0M zievfAiHNAFK}5yKQ(n=yKhEoS#3zLYz5^9E8x~U|V&{C%5!3 zF?pL}MCwsYq~-Uv5q}M2GKwRu%jlt_sCE6U}(_Ba?F*>lYD24S9 z;~`cJi>ikRPN*4Y0D6e3gq3jVM+7M?G~5$@>*ceTKls#jZGZOZtDh4?aQg50rZiDs zd#9K!l(P|^A~okOxW!EX7yp5~_4S6W(tU z`Aq*y+KEFZQX!xTs?DI`qV8emrln1PnySH7QXJ(ENMOJI!IE*FIupk&5m>sssu5Bp z0@f6ho4KP%1V#=kOjL&V%95H} z77gp4H9AnuAdfWCBo~Mw)<3B!%E<(1{p*#~O0@Of+#9qi0%?8SPU|od<@pd(omP&E zKmxx#vZ;cPV(GK`8tOz|mR_}-oy|qC^y=M7gA8KibM-0JOgcusBYblZsY1VtWu65c zG9lW1@cK3lIbTR)Z%^dFy!uRkVp=%D?Y=X&IxB$JL}E-c}(<;j*H;WL*vF! z{P}>qDHXQ6x1|&~Wi&i_dY36uh~UZ7M%8@`DkEN(tI1`+6NyI0FX7~?n9eY{1mM0) ztw$MzDjT1qk$2;yX=*>j?DNT2QaZxB&@s4-)WW=vU1ywLGhs%1>Uy$&k%rN}*fS0C zP@BdBtgb2VU!e%=5=E7oK|@%ZCsQ*x2*O%@W*nEHV`1)M+8OmfM-tM(4nr>&MMz)H z>AF~CzIpY$wU*`Mo3qX83;`W^9NIFHFQjtB!MjO=G(50wCH@o%LO;aL7ltdj2)=hO zXKg} z*x8}XB-xvo*rUj-CI*6uEo@c(9-3_3+c?dZQpncn)a*bel5CxSX>7}rk;qolQ27;y zO155@jPkQ5+Wj|MhPr4?9Gos&J`NUo^Vpw)U|4Jf|w|ON4C~@%>($(+4$^IWZ7{aYnQJC%V55Y39%Ny2}p=LD{CV7qTf7WUYO1R}3?ZS@yUQB*R##>?mXcbYb{p zmRw9r7wT3+FBoLruU==H^G**cx?RZS(DHuvn8I=%h1_d@u+3^&K620Dh%c1DM4fqm zR86uk-v%w&kp%PuZ25HhjD=$5j;|JMELv7hn_M_7K(KNxP4Srs-tBgMu%Ai8yG1)z zMvyrl%T zPD#+j+x~)oNlA7lmsS^Kpk@WFtSyg9sLWgN)o}gd}$DI4vm+If3*6)~XF2M0tu=+DDT_sED_RSj)QZS4bpUdQfHr&rhP2 zXs7C>WD@PN7xxQ8B-&y&KK}w~BOaPZ`zZ=B#@Lnsrkx&VR)(VPHZn9unYc4FWnF-KZ zJyk=0QEUoLwOKU3#s_FBO=49p)6YUJt2Ak1gzwkl++G#ZF-c8H{ed*d!hOt?=`cyC z#L&V(?Ga$s9SUB(oPAhc#&@d`DsC$;N z(Z)ejImwO7wG0%M6R#VdL{K^Q_3dLRg32*}Tx4gG#&8q4Ii1OJWW2*&Y_?$#~izYOqhzNLnew8?1Xf=A^OV&R%T?LJUu4 z(M=DZj^R;t#U#+UgWks$PSssZT876`nOiR*Gd$UY6mxYUYZ@+CsK)WSuQFIxwid^VMQ zSzAbKM365lrR@U@B>6J0N<0!%$(Lr`d>QRLo9e*ik;0qH5>+FA0w8v| z>A16#gQ8Dbhog5yB>JQ@a!D%&=#zDIX)2Q%yF503(Ip`VA16#ZMj6!LZ*x$C6+sQut;B`0QGva+s>Bllclp$F zI9>AX95FSOw@0%TN(2Ia@n0Wf$GW_Bt|wP_y1K*F+y18TSG^;BfGh1`dh!O3uYs7| ztCHO@qSbaCsQVD*%{?aqvQus%H8(A(WlQiNf`!`PIM{NO7Br~y5B|AmO0Xh4KFX+w6mPn}J8W}g z__SZ_4Y-UBNhzdEFjvfVCGx3go4zwD7;rF@Wfe~|z(B?7^}P<_(7%5C3+!ack{|<- zuyaRTCoXRDha5lZnhtD5qQ&iPOnA+p-aWFy?R3K<+=mD z{1Q`NUJb~A@jt4itxzFp#B*8972fBNV(X$DN8hIcBB50V0|ymPS8&kfo-FCloHJY1 zCk#r$TwqpHFNc~icimOj$@U-@U8xys40OU;NMOUHm^7exaLU4eL_rLVE2F0}FavEb zV#|Q&_;bU1mL;TKJM6G0n*kSLaDvow?YTd5Etr>K%?i8=a z7WaXXKqm+B4hGbJ`BkmtW$@w7POhlz<^!Fb?ONfk7QvjQg@Yv%2N9*DyPeN;V{zoQ z2fInOta#;VKo-zKPHnRoO#QjIy$F_hT7y}YGMAK&Q$KK$Erh~w<|563-r$?;cI^^s zR8W{A{FaCv$<;MhS)o@;fhX;YRUyoehz(lk;0eX9zUv3L zN|P9%v*Q~T1pNCu>1^S5DQ~5Y3$nA2Z+JT}=%2>GAc?PFYg^L)-@XFqI__du2p2ES zJ-Zz|QgIM}acs78;Usl!a3&uyFQ_AGqm&J8-`7KZVJrlNS-!l$^34lsNosZHqWHJb zQeEao(*NC)N)2LmpHD%vl*l%zqLbE#03`N>WZlfu5UJweX97sd)C#I zgK~?nn|)hXw7N%_K8DOGl!% z*u(~wj@^ij1wPQ#n(DOw!80sqnkQsEefjv#u%6z`&|Fi zc&`18SnqLMsL=OduS~TzG7*zR$8mi-sp{`kX~fWj9oD+ig`+Uk2RmMFfz=Q>aMuw;b^e9yJ{bYX!q2dvo=SOiG%xn0i!%7Of|ks*eE=bKQH-Ye!oT>ohwD6I5oyW85Oh%blPe;9-O8?RcjNHA(;K^ zoD>OZJkVy1tWV6=u&%S#7(?@s@?`p9hef=pGW2p@yY;>OM zjYTi-4GF!dOi@UwQ9wI!r+T``!l%N2GDowg?Qp#}IGegBB~O#~e4Ph$8XrpD6GPj> zXw=f6z=zr_of#$(I<{En@+3H}Ib#ldQk`rvoTF~<%HV)-PKn~YiwSN~(YuGoY+$^_j=8KKoZ}omP_Qk3C1E(h z`tw{i0>cSi8np7E?JjZc(k2E#o4Qc_HrEf@%=KoK^1)dH*VQKm$eKTt;VhG(P@DWE zWepodZE6EUhN-AcUsK%*n`n+n_r`>x_D7!m_t4hqo?`-oHuBEYV5xs=-kc4a<)c8G zxLjwM6a;Nd-Hm5V7_>2@*3XlFr+ZF}ma4=cXfw8vP|5%1piO8;O{9PX(_{_Y<_Um$ zVL9x^A%lULTjS1#jFRzPSCpq0;yr<@m^fZD{V%S+>jPq<@;3hx!eMr!<{b!zJuAN} zTMQH;W0CO}EEtEW%-VNP-lE_zLp9sMQWW5ldzD^FiCM1i-tY4QE`#%bOM@am;F6sa zbjpALm(GFfTb2iKS+CeTL~*2x2{V%%65ukqIIUs$0GGP>=wmK5&UYwmJ57o}T!s}> zmt6d$;{LM{-AkNx#N&~_c5pryK5 z%6$gGFR5LYWf^iz^PI7N?=LkLXCVU_@TF6L%jNqk|R81 zBR}_cdh6SI?;oVz`>i+JZvK+b*&CQnNu15wT@U4usz8Trpu3?MWt}brF!|OvzO%ybzP|o z{yk)+eq!1xk)r(t_pptMo9_Zu{BTWJ7R@BMhgf~SG8IwcPZpexpgmln{jobp3O6T3 z9n7biyJokqCkVjdHNFuoUm8vrc+u{ymHm&Vr(7N>pSqBLQagyEy245h%Jr47I6I6S z#(F-H)`=X(YO4w#5qp}EsL9w<5##`?&(0|3kz14s?aqdn&JuQ`?`fs6gdLO_%aGoq z53s_k@@5`v2`ettIAnk&Y;$z*nr7(g;CN>igU0%`et5Y|rm-V*ryH>Kt7Jc62tQK4 z^{Y0x^+!m5=T~XwbPRTQip3`exKj8BPaJ3HNyu0cj;7mJ%QmddDYU%IJTfeiG$i)p6ACyA6) z;MqCaS^GmAB%oTz65BQr$*diwt#U5xAZtuhp9mp;2U+sf+^yuz4zjM|s&pdo7GJAo z)^vMJ*fy3G){riNY-3Y5RwI+fHZ~c&m&$ZK$DB{U3V8h`Ha=|n_f)f3(yXde1ewJ) zcC-a}Uk3KSeaG5Ap>u$Pmg0*y+Jk5g%m^+YOyaks%CVEvZH2ETDDop31V&Y8q+_()Hf z(xmD|hLkD_6SDjkFze~)G@s4_);rv8@o7C8s%`=qAULpOx|kMGEns3|iYzs=H1kSVTB;I|0ipS;gW#-xDtzMfUZ$RARLqCiK*F95nGEB)Y{5Y} zNW)n7Y`#@M#XKw_Grdw8!`Mu-)67L0#xzxqW@ssQAHJh3V_ZlEQGyeaM}lTvQ`0+pVUmEKs=lKEG1WoV6cKdkPE364I`f#pqAo#! zLopilnFuhHmB(5Sg%DhnlbsaBK^e-F6Z$Y7XebMAE3Bs(1zK}tnv~EP%379dHj$o> zyVzQN`<4*$jv0cwa}c9IO%p{UA^_TdQjS*~2+?k`_KB+wq=^0@b`_`Y<@*7#t*Fye z8Ny6vDX%XgR2r^bw0rqFiDsZ@Pv7;ti0T)UXEjv_L6B{^Go(oZTgY147TWPdR{(oU zFPi!zd>5obZxf07KSo%|>}AuvB8rtvwXn7<22r{~`%0aNg3>8-=j|*iN|&^M(e*=k zcxUl)^v_TxG(&sEG#fCX_4fu|OXy8#y^(PY4+uE7(0I+K^_(@usnYSYNcNmv>=kg) zs9}4_m0I9)qXj0~FT}7L?eyHD<@lk+%ihupXiBYn-`bxD+(n{}x}kHskk*aXyA~ZJ z05Qqax}{)$@|k}rk1k64G3-x&n_SP_rpbQnjlPNC!Qkdt;%=^lASUs_dAVIp{X&!@ z?QE)d4d1=UjD$stNqB2*Zd3~w zVMt4lF**2DLt53X%_;@KS)DC)oyMNwDrIL*44iL{+^Ve!ZG4J-Z7`#MSj8dP)aH+D zI-&^(c=k8=XLhm{gOF?d1I)D9dBs53)>`|ggOH|~hgh8Q_?#^9D@jjqr(1iCYHikh z4GT7^?erGzGtg;|S%%R+MEle-^M^{A05DyY*6k)V$Y!*Ja7{lSMyrE|R%fIrXWFLy z;t)05ax~NsEup~MNmJ*44M@xUJuFmp-o*3J=(i=~yIiscQM*@e6H(o2)lJJq476L# zF}dQd`vI){EJdvoQ5=*oc}-;8C6D4z3sPCiaSaaZZ(qH}Hal+r zFZ^|XH;$c+#}~)|2itXdVILP^S=*kkn4t-8_yEgGx~gZx(0!VJ$#zC#W4ezeBwZ;{ zxc+5E&X$nsaH|_x>kyJ1ZuPNk>xgK>6N@tj8C!iHtH|#=5z{%`^p=ZT0n*_%Go0Ls z1gYM~@_LqAnP`XGmc4fm8CE!zAJ-_NKmxAc9e%Voi<(CnTw4~!108P1)qSBzGGYp+>zy4T(Ii+s|GgcoIBq?&XBk@>#x4VCi`!j zphRW&(>^ob@-C23c$B_82TyZMFdmf(k>ck0<7R~zcGv~>WoJpK4!h<+bEX(@*qyb^ zUkg7Xhzy~eq@==WLR|THa0gOSd0wI_sl18!2{T@G~;b9#D3-N#v}>I1eEC{v7ARGpbjDe zb0H_)ZfoEyol`EX!U1ZjhE7Kc{8}n)^6;Dt$ICX3$xy>9}vJqOJzi(f`y_} z)`A9qve{H71l_L_QOnYn4IDsGT8Q9uty2#SLGt@qI9D;OUZ02E(-4QpKqR zz)#z(x^k9xIy5eW9ZETC6L?Y0;pBt~isWg3MSr7%Bu@@iO*bHUip$C>r%0a0_O>h( zwSA9nwp{{XZ`rN;4NL%gOBw79BHPyVwee>R1gbTzcNB0S3~RotvxNiTLWb$A6Sg1W zDY#xyNkKGbuy-_#LzO&5N1HFiD9KYzu4c z`Q1*y$Ig)Z)6jYQp$Kk8RmbgeE~T@7yqwu?^oE^89@Xl2RG*;fJ}hQ{9YKY|xy|;J^ZSRm&(l|A zSKAxRJgCUF4WFlZy=u`nXJ#p)$c`_myb+Ts<)W0iBfhUvPG|`)UT8&aS&j0`_rhuvw}<)KO`vVcprtu1gqA~rpt z?pK80_HK{3Li5wFUVr-4^XGSJe#Kjcp8{~tuO2@ouHoruS~rhc+@+;ftg@lvuKQ+kL;@9ehW4Okj<2{Yjy!7Tz{OqsjxJOL z6nFVH+oDgtK7AAs!2xT3xiiINJo~-h7ygD<_x}3D(`V0~KYxb1tY6{#pKnCVZdBkg z6cef%QlE0kWnW0lGLCps z%f7*b&3B=y&sC1P?UAG>7t>viCG+0<9pQJq2m9>j?q%T7XHTDhf9*fg!=A7>zUz{H z2X#qbe~Hho!LMmm;4-MCckWE9Nz~z0z50rxrMIt6m$0aX<=WQFEE82&_68+RQMB}m z&Nw}nQdkybbZv>Lg=NL)&5k#_u~KbJ;^W#DZ_PzIDW1Bk^YA!`hps)>ogHZ;y}dR> zH%i2|60`IiAd{~TL5Gp~_3rGebyelA$4#wp4wWZ%3}-8;0#Nl2yW=-RRq z^!&{Z^sRE?QnaO}dx=LWMcb@ax8=`Oiq_?gZ4*Qd`5@eXDJl6u!%T9KS`m5G4o{Y9 zJ;)l6!A0tFyR#J>3^jOkKFf!T)QjG7EfZ0sS~t|UJXDdIe6cR)fJJKF&PtHbQ>4aR z+TFCNyGYH-xKPQUBDH(dv?28rspkht79OHV-Kx(yBNwR((^w6@dbx{KQ}Oz@sOaqA z$Vj*VQKX)KEfvj5JVom4+Cr??6}?pv7R~~S)W+Dz6B$sXrj#7Sao_uG;di{t#Mhrb zdh*J3ie5j#7c}oGk>0YoE{1AZeYiOjUCVYGMuNB>5!Hgw`Nu-3tMU1Pr&W9O;?UuMZFqwja)T4(;)+DL3pCQZ``A`aScK3&77Z8IXZ3AAfIR@?;g_LOaw%UHU+T_5BEBu)+2g0bz#4A` z(xHGe-F88gh+5un2iX^i)z|-9@ngrz9pOgGC>Yb>UMEty`5_iG+(??*;$bKUMk2oe z?E=>m$vbSST_Dmnmn5Rt1+FgIdTB<{zmMI2PFD7@sdj--gQbHy-6gPMU=|W~xy1xd zwYpY9=j^RrJjEeKUt80^v--%_)fjT)6M4w4rm1JjLK7T&53{VCyZba>%X8jv5Kbe& zeTZ!xrswnBm*tULFW`2dofb~GlV!m^X{X|JlZo^Z%&Sih`KS&`Bew_hs6K-I*0ltG z!g2Wc3BFJe11;AEm81G9^E^fo-ClYU^(W@aW2Y3khr%LB0_lxBHOCt z`6v%TqSbtBf%~QM z{@g?%MKItxQ86{<2Amb}dkFf5^ho7@;(hG&Iw6?OLa=o^8bY=ZRCgz5u^x~gv1Nn5=!pX2vA#k|lv9{JB; z?}ByU+RlT#3#XfoRneQh3;NN2?KlQ(S5V8Z!q|{q!I7)CuxacH8})f7M3aYKf7hYB z{PfYYmtTDO3#{)vV}VU|F02hiyC)+7&V}rpsYxM(aP+Kd21In$g~iqOHQGTox8ny3 z&{!I`^tfa!FG1*oO%4u&0!1)vGH|GkL`M1E!{+BJbCJu$18lCoDUgAGvMxkSg}1N~ z)`j)`0w+kl6<*B*)40vjY>MLt!GGM~5^qTxD%da1bU2 zRm{qjlxkv7jTba==}ZiNrp|+UWSMdgYe{d;W}r+A=Hz1~g9>NeXdA5TF zX<}$y3JgT9svlspyXF%2q^2iWe(LzPgp8G?n+jGXNJGQUNaP3$MA6bW=j%8qM?-9{ zJctnSym&&a#?P_o1QU_&NCMpC7sD+w0B}biY@zDw_MuniBrhl4Y& zFM9ms$+Oq59}&yTBOFF>eXT(rUL!$RARLB{RA{J&d(%1VkE)hYED+;st40~p0x=gD zn8ha{f-(KU69TFQq9-Dwf`?#-+oF;#a1)gTU$}e=FxH|g`W<{z_c zaXG~1;Ctj=f9ipMY|8Di@eGtlV*g_MhBWDr@JK8so5ZML74KuI&Y^edED}k#np5QZ zb{A_@1dcNxi$uhFa0Qbh)pR{WhMR?WA%IxgI@h#-1jA}Rd-e4*BC2LquTN)D{TGcVZRI==m{lLAE=Xbj#qOegK}^MK zCjgI;f5ZH^#@3vC^(uW)hG7Ji}JT77)s@~m2auIsf`6?jgPRa{jrgh1Pvd$W(u z9GK=%1s1yYOdS&NF4*0wpf`ayb`zo~CXj-z$U+`sN>No%PA(UAfm|z7myl!D?qgZy ztvVqLteZNM4!G{M8%GSD5>KReetkdycHWdP7o&V3>N$0pkTR*LxGOM^LotSEvO~hS zpfMzW^Qdx^2OC3j&$Y8Mv@ztOakZR90`a5Nhcz;UF{H@Umo26mL+W-GYxyAJpEk07 zLNj9JE>^ARbKO$km<|}K)@}+Z#*mP`#w0??il0@?HniNtb#D^j{`efrOFur5kQ^jq z*^3Su$H+vN^;m>(j1=s(RYH^f-1d&P&g&q5GE;G??yv~Rj**?curV&|7#S|Bk3(+E z4>46p#5S^|d4SC{r5A#hkph!7l1;UYF5s9WrLQQJ#{V{CzLca+I4N4A3ckx&cGj_qi?)oMT?O_rA^Ih{`6Nbv zMzM@!XIpLrFeP)-S5XJ*hVsjjRZ@y&B%@u^A|%2ad>hJFpA!yF!o%QNsM1G=ja-$)(a=Q*Cf6ASlY-La#CQCDa3wd0!!(<>OEsafR!|=KS-+p|YD;@`0>q%*^)p|d*pYUT`-quwCuUt!CuPD?qw=B=Ijiw5HZ*_Liu9kSb zqH$2q0*Sn^me5%?H8mEs9NoRXl$IYJT5H5msTu58YmbhyR zu%3Gq@c3)2di|)K>BVRK?i6Q#@W{8ou}m@ZHm8Wd2fgl;n(@@%A+ebqIlY5IpX4rU zabJg;*))+DS;;_{Q(Cf1X2n$cb6|h-faiUD@zTVz@4WgMCRdbpauLjEd&+90(8G*| zCIoGZ-NpEmM?_`d`Mm4#KXq;|Ns*=&$JA}RjB090SySctW?=eFEg{x_oe=@j)UssW zN|I7dEy*KSY6+dGC1`8c%J=ZNSAEVKCbe;KKfSG~H_1GJ;$6*_(;gWtzSlS|waXnod|xc=2xHpCVe>XLLKH*Ms( zd^iS=U0l>x2$6YlncZ=JPhdlfVW?Wery5!s4fEMTz|e9#Sf0!Nh+yx8rf<8-Vvo8F z*CDtUTKD*#L0?2L-CN!huda=9gkEi1 zhjJ304^a}AQ0!+0%6i(D5JACPf#JPe0J4u94qU?f3j!ZkX?1@K!sA=2Q8V5f0I0ID z=j0U`_pM3M&8l7vC3h zD*{2av)+(XdX? zw7i$D#px^8krv(SBf=jO99}Xm0{Yy&f5nhax2p;Vc!`_!r1Pc> zG4<)TUO9s58LLLFF8Qd|ra68$R0=jR?Q#1P0#64r(UFnE_4ftIN%~kO)E8XY?dx7O zVoqLu5DU>4M4lz)i%9i*vHEa{=d0frOb2<8S-jg^x6DQq-OdejFvC-H>vpu4EKeCb z7-PH=0<~)9e?)ev1gJcXL7H7DR5_le&5sL^IzxShb>CIx;rpg=hbQEH;i@hF=Go_W zmVJ|5y#Mr099#I${coU7c(Jgkuf3h}@=tBb%cNReBlDFh2bW`cGPv|uiC>#!lInul z>D?W8%FEWqSS=edzR8ekJ{CdS^Ud&xJIx5(kZn%;f2Pzo=4H|ly+g^+UzG=!Frj^I zZoeRuD8D@SGgh^k+a&Pp7#AChdeW?ynv8KPm$YcF93&QlOKjxjyqV>j^&&qQ?)9#s z^T$0iTwoo%)i)ONJuB1Zh3VSs;$ZJrO;sF(aLTZvJ2P-ZQwdEIShnIKl%`sb}^^X+b3`yPO2 ze=cfTn%&SUqJGqmN)q5R_n!Nm?|k1m#OLUCBVs>?G^Toz&H9NSy?o{7LZm?O-{YIA zE+H(X362{&su7ZU4Zrix;Pxo=OV~z&)K~D+Pk!d%0+q6xUVnp?A$#S7b&~Vpi92wA z^O}-eLeXxcXWJIH`*=x=->H(LP`+r=f5c*YjW5>SPSJaf-=&!?Ow(R$q`3w?A9TI$ z&)`MCKOa{(+=WsoSNQKpmHg_h2Qd3gT%z*UkKerh6A$;_b9oB3inObI2aU=5(@z($ zwakZLZDQsQ1+>3%C%))`vpcwNSv(^>cg%MJrYHT%92C$#1dU~P<#J{d^Wv1Ke|src zz#MN_yhF}B^85b*l-1o&AV(zp|Hs5j{@JUyFMk4?$(5Itpb`LjCN9JyA+`qbUXVrR zB`7I7>{c)(${Vv=r>H)J9wRA)x-AGhAt#Q&CrF%0U%7$>v%VdxXZRSzpI^42sBQgq zpdW4}d$hv$2@=mL4^XFF>so<|e`}C;Z1`KGsI&ef5>Xc=H^uR7Z_k5>4eoFSXC(No zE7{%ETY~#$k#_aPGqhfv(PyBB=fx|qT2i_yXKJa7Lb_*Ba%sIzxLmrEwqLRJ)lt{! zeTb-kMjU7m(0jN(e&PHDs48pG3Rt@9!~UCQu_N7eTzNnYpRK!YS{cYvf3S4d1qU&m zD(e$}`x0g{;G)hLiLF>Z0wtT>=cwBh{d}4NOMze={_d4qBK#0{b(ACZxI`azzg+@({afEd6E})%oEKymN=^ zx23*w2odhI*y;TAA*$c?op=wZY&Q%`Cou92C9fgk1O6LHZ4h5m(6$#TbfhEKrNw3< zJ0a;*M9=ocE8==IQE}sFMZK~H7BC;}n}7jyvjNrFcx}12b|Ob$e|^uysweg?NG?hU zP?Ou^Iq9TC)`z6@|HRgs2ekC$*y9Yfg5hm0cWJv(?a~=0PG7$I^5vhrc>L4_Y#6f^ zQNKXvr&b0Q9Oi0r1vtHVWvF?IGC12#4-nhpm5TI6v?%-9<>fQ@S2t=qtHn5hdTv)= zC#vwe+G9$CK5I{ke-o`2YR-~S#ml;ji49C#8QjB#g-vtFIPi*E0=9TibUIWovaSsj z;y{XNGz3{YNePpi^V`?pwyro&$PvLO$ClnGY%h{{gb%>Tuqgz-TN%7HRKaxzzCJDQ z+ai(eEcKmF@E32c8GEf|n+ z+gl^WO8<@b*ZHhYM5p@I5wZ=A4L=aKG&|PShtdghAH4AZ5u;wkD%;{jpQoZ!fp>Qp zHgJCoj(Wn5_)JH@TIN_L>R@8q)F4zdeXk^gsP+7Xf7ejvd78t=`2#LOXCe_IakXCD zO1Dp&&4BN@*wjcW5s1^`?TZ)ah;#!R0VCuvZI+S1&%3=?Kr%DL=?CLIar7k?j@Akp z8^jIFd3OAU?E%10k3K+LX!gKNdt(eL_(hO-C&0cv+LvL%ij3$uMKvwXZcVLWp-j7yDAO?Y1` zaW;fnOKFUph0usUP;(PBA>jHAYC?_cJdWQ$)4EPz=Wp~I6nkx!ql21MG5ygG{~9db z?{-@A0Wz&34{+b`yfPWrns89BD^hT*3CR&>e~C!i^ol}~>Dzv(Qn)Qq(0}Xp_rw~3 zuM!&cmjg~q1P*)*7x{(^v^{_S< zH3Bj8D2G};ppO$D-5BjmMvH)qF!1Jx<<*$6eW7B6;D_*^=e6rPkrfo9bISGUPvHUE zf7t~)n6j)Dxr?)2N{+RnA~K8YCdwdFA{J7}lDO*|#Qr}6Szc`$GDl{M&4}z?2W>we zfvVA}5V3V#6T83-ftNW$Lo4<8>=4N1Yq(A4>tp&F ztf2=h?0Nt)w~BKl)-#Ai9)Ak*cG|8He??2}@;guCzT_KT32`Pb`Be`|xWv-7XLl73 z|4DTI6FYQQe{~1Dr`g6y7vU&Omdd}@5^QGv>FBMAtVhV(uO4ooJVktuy@47ecH zR^sx=t`g@zX`1UFBQ07alqQw{fu~4Tzxn2TMTPOEtZ(06%B(n(?1n)^wxi@?e-m0I z);oSh!>fKd>1%37b2siw>ng-HeDe=*dADy=G)u9Dmg)MT3#@O2z>o%^Ew>}TW@kEN zOaUVROaZjw#!L+fw+Fp!uSn@EsJ@BL&1r2_G0%F4k_#Swel9;c3Sob!c!%$WTAq*JIX?rT6F%M?r3>jq ziM%Yh!osyrf&b=&-Yz+^tB*sYZcKc(5vQxNKiWZ4=Z}GYz3>LB#d`#%e-mc?)Howf z!(el)6WfS$+>)Coc3{LA^o=-1f<{`%N01)I{JR|g1_k*;I2il>kl&Nbq9zFCbA`$uOTNL+XtvOFNSrfK9#CB7*&Wat0Hxr(Op3NKJSkc6}k!R}1DMQ*(_8bx$yxvEhz zBM0$_Dpn)OS%1_qecySKFUKVOL}F@9_?vcXW1N^|{&<*YJlZSHe{i!CPm@Rq4_9|c zLl-^UM4)}_JY2%4h5J>f<%_;)Xv^)Tf2|bbSn3>aDs$jiic6gB6;jXncbNwPB{ztw zYc3S9JWWlTo}|-@<7ukU%$WqX9EA2{*xLFA{!!OwFUUSbQ%75U zBDM`Gp<{c;p0ZIZe?2c`Jy=BxNmP2#tRET>an=q(E3ckEhs!rIxTH&tQ4#F-H727R zf`05HvcWi35X57Qm|6F3yMP*CW@TomdDOOS?T?c5l%l(vxXim%%y~z z*Qut_SQ)$V)4=Wig`GA;uQ!Os(f{e^?Jkqhi{`x(8c)_Mi&We8-e6Th=(<>mzb-t zvRf487=F~<>ty>?YnBKu^!q7Fj8`prudc~DWSPuwe?lTpqm}mj7y<`PKxivgIr<^U zz8Gmle)pHJoZk`KhPpU+aC)CfJ_Tlghv{~prsqUq&-f`~IDT>a0zx_^Dv^Bg>ZJ$q ztlxm~cI{JG?4-tFg9m)m)3-QG3@gf7YUxmz;HlM0R$IUTl_sAt@##A312r z9a@tyOnXE51%6V8roA9tl|M4Zpr`b;D>zy`_eX6t+#|Z5$>i3^&=QhJP2nyRY#KH9n(j> zVwT0wT%WVTXIcywk0W;YT#I34UE6>dZ!w&>n=6ozC#AiXhqh~G@YocOdcWjmf2GBh zwaMi;B~26(=wBDAw&u48g@WY(&AAL?R=m_F?#bC^#rt7nkpqh5A((9%8b&jyh|8b~ zSiryP*iX)~A6hOCnx(Wtt{VtD8=sch{^cRD1RFGWnNF-~&{wX+4`xF9v|_TU6f8Hm zgRz2De;$SbBUX1vVRSEz-+l=Bf9>@EI6{wjA_#|m0XoJ*&Jjz-6r_QIp{`yv&HAX% z42!{1Jf8slegBB9C_-jz&BaF{DvTR4C2{iJ!ThXfQ@O3S zPlgt_3kTN^w?#IzPksWGUwjY82C=<_J_Tv{nO&U5G~@$In9S`#pR$7~NDe_f<=3+l zfbbNNF1olATgql(0O8ZyIweEUl+c*37uX^pqDlS~9Q#}~A$d66)mb_b?`}(=VdV)G zw~vo|B(|du@_6T^m3s(}e-bF>83V?oEvKDJZBceN8+HPRSkiLgHIJ&+9 z%f?+l3C=V+7m}|L($>2h2u|PB3E0^AA;>M#jLB_(mO21@%fm;ZT7fgAEM@DJHL-a4 zwFeoIEREA)Sj+AsFugxAZ|yUG)P8Pej45lJ%tD%98MT5T-!re-fB0*3HWBCP7huB> z79wQ3Qd8~&N~L&L>Tal?x4p9P$k`ob6#D(c5Qw>$dfn=+)*rKKfW!?hEELzNY=DNO z#R_l27LkVf%O8R9xPux6%i)@MzIv+QDu2&&tHVVM#anrI#i~8Gm%3$t z0W%+X2ErDadz1__ZR$zwIFbw#YwycvpnS6!7m4^HXf8#a#GTXDVlYir(27|3OXfxIZ9Jej0Z88I_&V8%<){l_V_hq(E7Dc!OC31 zCeE+BkbG1rpfst*<)s!rJ))yh)YS2Wm%WB;)l$SPe^u41@!sC0$;m1K+uM8UvwtJB z>0&4jz#W(adO{ppeq+;$t{n7vdH{Tn*7lKeh(fDBeCzS*uiPKMCKK(pczp{QdY_U- zuJoqaLK}ll9yN$Tk54x%XweTMf{BFOR1uk+zHxqsb_UY@?1!6jWEgM2!TxE41Pc}k zxa3tef8?)XU~Oa>PWPTV;4wYJ(E(FQBF03!!&vA)f0-bO^iJ3;YNp0Ke42zpSNR7ZL`D1O`xq_6mpr>e`} zfuU*tE%Lh<@}TRb*;f4Ey#u{|SjF}zn~VHfY)yXb9_6_b-HHh7Q8siN*XZ-x(Hn}g zWEb!qd6bt+!e?cag1dX+)=O+90Bwv71$k1_kIZVkf!Qm3zh7Wow)ViYc2SndoUc;X zf4g+Tw~`fBejzn#ya?NH?PHM8QqW`@JZLvN=bhxOQW`VzMWL0SUUAl{fU(8)Vqbc= zJHrzA^B1q)fk;znf{Ya?sA;?@Yc47X)!gQIEW~t6jO3_q?K5k0e;Z6y zd;IBGF2_>6*L1IgBP48=>P_A6upQN&yK7n%+ff~nx)O$nO6p!fe`-jQ*g+HLpwpvB z72RW99==~?pG{M|c-zm%YJOKLjKN}t$GX#EycctIu~FWwSJ=+V$Jw2ce0F1GLuE;@ zl0NE;x(TXI*jiH(Y;AUY7q~hjf1z8gqkG~iBsBG4gs(1wrSsElYCK`sW?8M%eGk62 z3g;@Cns%HVI;|HyD}!Mc$Z%S3URM4AM@?qF@%2Je$i8|5&zEznCpTx+n`AlG+T{Hzik7m{zRQEhiN+hT*zuta-%Af7*~#mz$ce zW;(7D{Yo}vZ27=WlFufOUP_P5Ij!a~E!Vd>3xyJ9y}2iTxLU-pT-VQ9{Dq97@@#jq zMNX^B_Lh2TC79CaUT#{rf@4I>=+^fz4c4hy@g}w1U|msEdxE@lUp;vXljq^xnqURf zR()x{_T|{By+%iW8E32Ze@aSEQ88`R3w0wkVwSDC$XC-UW812IFa5n$6wTl0Io%_{ zyQmM1AsbG1s{N=c=|+X)v^5DvvlG)rZAi$85p#KD^FlT#|+Eo32`$I+rpyu+japAQjg)ZBD74QLuc|Ctcb^E8jB}e{y^*r1L#pvnD-{ z+oE6L`&Za#xwIZO?XS8{FCU2Pqk zVvLPCTH8O*Lw^|&8GXUqp(1kcqEe)H;OQw|Y4aFqUlf=kRENF@9huMZ^G%m8seYo&yu(K#u;DQxzd)?@5idGbn)nEhHj5 zhya?98R_Mqf7#!MV8fJDVxMGy+;my96N_vmJdweB?k05EpBj1+$%!SmV(YlwCq#@& zO0Af|&I(Ji`gzdQ@rmMpXJu;$XRP} zz@0q+5oN2RPHeYcO@3ptnB~@M?}?pvFeN2u{HjsTe{|T*W%lPHsg&F6r=;}V+5PQH z*dq_D)bALSY^z;x`Klfo;z`)Op7#z-m3ZO`8$F{|YTX@!QY;Yf2yQ)eU_yEXVwN@n zNac|8)7NiN`2bx*p#M^##E}`UL?3-36D}PXKtBO@`r=kTP7d)AC~Ug_0B_kEZ_|`w z2B`GS1QduEo~`BRyijaS?L&|e8hEC_c(%5ue8?2MzEKhe!7sG06p60VL zdMm^@nFbGAZulG@(pY9tivZ(8y6Rczl{#_|6=$`taJD=dN;itabL5LSnP}~hyWARRG)I;3f^W7Q z%|;De;`~MBIoE+IuD>X5)*OT6&&mF&3V0|P9E33CWoR8#WJv2;C_l)$J{Jh0B&q!a5VOQwL_7Ra>f7eKU z*3A{&X>Xn}744)~_HzhI1l|&<~)21zOT#If7nN*cyG&8-%lD z1bOc1X|U(nE6}xhwI^kpGzME23mwdz`xvZeBpq?IGvphO?~9L8;Y=EtrmD#M9MNabW;M#f#Zs%{-NiB{2c|RupLiT)j zgPP9qtoWITqZ?|Xy9*4s!FgvJGMw!pu`K9Z$|Qy6sxA#umII?ea)pOA5>yUdf8B|!DD9cc zlea%X!4p2IfXuNB1&y*QD9v0|unhxa=3N6H!(**oUigX`LjLxI;&}mw*-FjOccM1e zE?;{PiOn1Lrzmg_-&iIPvx#0QM82bPt=1SMX|o_=B(#|k$-1+%-CsZKO0`^`W_0csUtf1ftUTR2nVEoK+?iAxC| zxM{Uc045JV!*EcX7;`E=0JT{$dTdkV4?)WKNgL9(Gw~%T%U&x+f3KLSC@;!fFgdZ+ z;vbOAxORwEl+T|s;$3wR_pew(u z2mQ;NSI<4rI?5R@Pv&tI*DcyLyyALcVmwI9R9rV#YnBuYfpvmu`rh$W6l2%!5z_Hk z_0(Gny00iEwXQC%e^Nopq2Nn>;{Mauwgcoudv8=a6i;hU{le5NF|>cc$*RI8hK|(k z-$}V*=%ks7R;it#9m(Bm65{8ac)|8=>?ee=y88`~)?N&{+=aLj`bSIW6eP+&8cj` zh?G}+>WdtRl%uml^XYvvp(pSoqb80WP`c!r~XJD)Mk`SZaoE*PClRMIG&drzU z91v|E0&U*jt`KuJ!vGR|lrS$~>pB<9qq9-RNC-edaq;3bk3RS$YQU=-GufoWKdBAd z_2WaZv>ICAz(4Q_$mpLxkYQz;7j?UY*F(XtK@IsuCX&PPUoR6gVSg z_2wb2+q1LdQ;ceJ=`Lu>PLH5BmA`kK!pSg6V3xew7erPh2{>p)AX@{*6(vV}d3zR# zMmaJSlXbf3|C=qCOlw-c;JEgPE6&TRZcc+aagbrnn=~bzd$O(dy88TkaSDZI1=+eiW*2oZ8 zBxG0Hnsq_{4xN#Z$)*i5lxlkWDA>OF}|*8PJ$5=E+rmL z%Q0fYt95fP>KpNj+By(nSpiVf<@&Xne=6eX8xqqLT+v^gp(ROx75yEKS1-Wmz!3d~ zHRrs~)%>Le#@9=*nm=!?)vQSnfboA+OwQ>J)>Y@J(+PL5R=5=GQsQOkM^{Z$K zxtR`AyPBbpJ4y=jR^bYsKKkYve+8}J*&SkRMSIIu@HCq(|B)?_D~_-9b|TGq)Xb69 z+kO#SB3BR{+bv*AD$?S{x%W~ zA@3$ANh_H_(1)#a@f63unb#bMcS>%8UyDn}!$UNjkfP9`C*wGG7DcR(0rX@Or zA(1nMoL>o<61kYD{UjBW4NVPN&QUTXa*3VVmPUew|P(Y)>C!Ld~vRrQCH^c`I(<5}Y>6k;3gXq&;OS;j(i! z)2$N^&-`nX;m8+C2{(B%^NJ&cYcDkwC@CS_fTp^OhY`Zfe{H1htLWoKR@auCY~w~I zO-4^OTL~AIA4$#%WGUgo61C-03Pty78lq7O?E&?Ek3fF@^?`yytJ9yLU$crS&8uu0YsJ>GKL+hp4L97D`#qHz_OBpyfI>=+8fcs}O=xJ~j=v!!?I85cQHmh`SHEo}u`!F&Wd4LP|=2T0x&H<`+#BYEAZ zanQ+zf60%+S}geafrCRSnF>dey6T<%TIO)Ugz$(~hhn6FmWGf2q3GOC71_*4HXF9kfWVIq5jS&Y1oP ztlX?5@VN51!P=PkrWrW82IL`D!BD1H(jwEz*wGCz&I}P+1I?`b~o<0Nv znN@pAPKFp-y|}2r>FTQ2#tmXtyd?f=PF!D9AZ1IqJFkk+3=CH_PNEyEc1~ z@DN6*{K@WeF;^RRxn5@Bae8mJrX-{N7_I-Z>hMN~J#W)FEcS`-a5F>NTDbHw7GBSSSU}=E^0rW$#6+G{Ye{Y~1 zy4)P0!U^9B=U20pHl3SafwoKONaq&5yfUn^@d^GD0}>{mFf>_~13T+6v~8pH#lMSh zH@tpmI>gQ&`V?4diVKw(ZQIPm5ZSVnu4J2->dtadDESx^r;X%e3oX4s=UO8Y47(TU}+FD`ZumEe%K4i7+%#e@m~SL&{K~ zwaqo_q#WXCcBg7Uf>V}VEJs#}ZR5kxot+z_u@f#HfQT{UqQlOt`mFFEY-8YuV790* zTFKFjh4&^bVKif7!9ylFgVVC4X-8yu(OBA0_AD0TehP~3S8nBYCaj?Q@>+_~g`F;* zC5Vxb;2GGe-no(Cbzf8Me`Tj)wva0;DmR1AWY;RYB9En9ZCBmdS%Q#Fy5&xWH}i1} zo2I<9M~zW=bzOM|3RxaYEy%VGgT>Qy%xc`tJ)^%{@OF$ySNFQq%9fag%Yb<}N0W%si5e?81!SK^t#x`KX< z+NSKfS~P1FTxC~^uIq@V?7FQe8^gZbPe8S&rxwd3`qZ3kC>)BpS_`vBWK5p1FRv>Y z*L`x*G&jU7BBQM~T&9T<;@e0z4fc`Jd?sU=>}Tnd;WStQyUo5zRvXE1ZK$V!CBh2K zJB{NpMOeWTP5nx)e+cXTJjfUMTeu>u^VFS=L^S*Zx0Z3iN#LU6hQ~3^Fe!YLVV&(Rk98>7dWzblzUL5+lTF&!1?+ z_Qd`a9AAw$D@?smx8Ky-Kc_s$^~^j)MZoe{T#)GtN2?Q&smBRx2xR#0T&O9+ zdN}udSw<(UYl^2kL~Qv~Y0Yt*z(Fs++O7<4*S@Zu?cZccrlMmf<78aU`y?X!OvsQ- z`R*-ss#y}Le@%_&n3^GxYE51`6ks$_R}le+4%)|k1X3~vx};n_eWJk>B5@>@nm2An zL1`14Y)>eOcV?@k`fAN7BDyZhdm$=Jz|}<^_U|5IM>&27`g1fX4%&x(0*<_9brP&D zYB0Q{0json04DW*1}R<_RS_5vs-Ox63|-Xr=*)lQe|EFmH{`UcF}kR+!HqFiH@mRj z!ENLD@P)XH4?(SoaVtiM)-LsZ(30p9=rwPw-z?ggr)_ZUE9cc0%fX!3Gt;pVUpl+*b zQNk&;e@q1!Gi6k%B_q+RK!qu_oQHW{s;s&-yWHt0wDz}{YNr8xT7i(EcJf(V+2q4O zNL6Vrd~*c0B27|xrJsOIw}@0Tr@0_gfe}VUHVh9)Fv6&ow6QV)yTr3`J)*^xcy>b@ zmiSbO=e%)yRfbhWtp%Q?DA{e$^gFecwnpxDe@Rs9==cF2D~YP>U)0dY)1U{j9h#FS zcO;3*x$kL{Q$?mi-^E%7oz4dOJd+fR`qN;3X1E$JiQ0{9T9b3dPe&Vr69PuXDr~_L z&+0X{n_M!-Q6-c6dnw(|sABXQ)8_OKsyP*}xv92vKDHS|O^WX-rbAfB^wK3q7iDR( ze|U)*x~RzVlStubsJI}j`L8k=u^oT##h?Ay`O6=@m-k<-g)-W|zV9!RtqB>w_ibV9 z+rrrYCxx+pgIQ+wf>>|E`kshc5bIdz85YnAVmC3`N&&VY)-p2e%`J$vG;ZEYIR&w{ znTm6!{3^Y?m&rf)HF8)9e|zE@A~<2&{pii3OaZNIcDs=E9x36a+pL_Q zgZb0rU_PT=MN;2-9jBs}y*kq3w+rIFtfO zAa4ikv^hu_J_M!bF6Tx_OsmfPKuego+UyMj##)lSx``gzZf7T&l z@Pc|ulX8$@7ixrEpFVYi+FWX0L2z7?Ny4txog{{~I~y%H8;3T_wA67w&tqhcbBA2hJ{E zKXpNuXq)S|P}J>cklh1tn7G`8e;}yUPUZ|*0dR2=KEh+y`)2F5!sV=bU*=I+FgDG3 z1X736lhHX0mE%v}xI4c?W25IIG<`w`Wx!*ffi3~Q6-QanmYGo@)b1SZBb6Qedg8{Z z(%Ca`>VGvNu$2y5x)NH%*wSHVX@@T#U+;Vb7S@x$gcs@Y_@^#t&?9;@e;$wBJwW%_ zl|{{{Dvsr)Y{P+}?>~dL`G&Z<7f|u-@)}q}HHixAv|@6FkUOEJIrB;mmyPuWksATt>GS8H zZSXKv#I9i;haH{EU;)M&e_x%UsR~^)+VamIfuy^lJF%@DIx_osJam5j_Qe|)a8w#} zOiq4y3PUyO!JX_iG>_gn+>fu_-a0>ndlHSHH~7USir5uXe@n}r8dovR%33>xXqZzE zO+GmKWn4KlYP*<-b>Ef!E335u-Z{Bz-aUB;+Oz|ae5zV{ofKi@e>NSds;Eog)2pTF zo~LI*dMkMA({XtQddx*r3T%fG|MBi9Is6oK2E&&`jn0HTU|ifvhl3vLpMcb>-4Z#z z{@T#ouN7HGv%sf{23ipdnS5*R;3_Y~3+WVy!}bOIhYo$#vV>i_B)@Di!T4LuH|kE# z&v*v*O1p~G++uF?f1$5M%qZp-M3?$<`Y@0*+176K7SdC?xw<&Nd;@$&J&yzqin~!s zvl9YZad)O}bj68N++BzcsFpB_yHid21PLtvJR`z&a)oA?J^!7I+Ed}4`>cj2gNYdd zVs0Nw?;G#Gu;b9^ zPZ|&{Yh7_@b)W^eQ&t-;#1*BNjca9yQem03BfENaPS#>|cWFwPioTiQ@Efv=W=y6w z$JU_-MjQ8Me+aNV18MrjY)F%G+rv!%8lq!h*M3)bY7#`jX~iEQ=ta~p}|~TPqUC+9WRS3Uc-(FcnIpc(<23(>UhR-r&froj{D{5 zGErj_>(hY`?(NIJBOXt4W#k+ZxW0CM>iqfzxM-TFe;08J>7C2B<+u(=55ahS&yIv! zVh?KS+>~%i>|x`{MgN^nG>swpbyVwfd-lfVG3?#`^rtS*;LeRrJE=r0K6!ROb9wRj zXGG|0NcA)*xn=ls!+Inl*3cmfgD(m$50N=EVA`uh%Bj(JdR0X69MtG*rgn%WKwI`! z1DI{uf9pGp8XF>kzv1TM`q~4Gw)JMotxwMN1!Vu=Dfq?%6b&!LAa(*>q20N9a6HxJ z#O{eRnr=u?kcu7r*$7TM=dT4E#lUe>!;XZ0XxKuDJGi|nO(T`m-kkbaQ0h~Tyrv%ql||KI|T`_j^@UGe}Te=ZAtA9*kl*OjNcu?UI7!uI6QA|EaSF!xiTamIAwuu|pppfU-T$LnQXV ze-z$Q!O;qFf>Yx)VYnerPTDb-w=q_PA~AfQ&X0+8{)PYyFX6LoiJB{C_KtW=tYbL3 ze>N{)YjLuPD2ht_$r>k9L{Viq-NRBjkHB1Bg%Mk~{{*ag1{^uj8w(XI`dtfgs){?q zzCnReRcvfbR4eIm^qo632r#A2ZGj3}_yE+lZR1Yte|iEUntYYkwMkCddhzNV1P7q8En-1tMONr^ zBA%N&h1H&c=)96mB-*oo5*G8xcj{BJSk1%AK+IwLodTQ;q*p(_B)7>xMn)ENs8S8X zC9!_VuMPFN9^^R^hn!AK>Cj6c^T8)D9+DSR**=T(l<=5+36m0VxLGo$whBqAe~xxd zsPOORj#kcPoKKLFDJNC;}mxxGMZ%LeXFvvPOq`%R@AnV&{uLuD~SrT^H7%gTi zOO9f5H-sPjmh9hJ-x_^p!7Er{u}x$-2wE?5&|30iP|>-2jIBmI0yo90i87oBC3Ica zC}xXLN^<=+MGizL*^>(g_!-sRf9J;McnwONY0=2D}A4i=qJ{~GyN?5KU+LI;pmP=E?rZ_3C<&vi2E?-T*%qIlGus{30 zfFR=V`M&IZhzDJ5T^*?5v*;WESA*RbFyo}@V)W+DKV`^bPAi(Wofxv1e}d_jIvGp> z2$c5L1jF={_Wvd7q$v+0>p3qY% zOH31fZn+m>#Wc}dMOQLwVo#lWXy@f8j(fvdf4@Nd1D$Omj>$6ZFVf^U8Y^Zt&X1tbTf5?o5hW1hB9^4ig zBj%`ujz_e0=-%9)zeb!5k(br(H;dsR4|z1kTYcm5f_&}q)2A7N4}M+tT^v3q4}1vF zy;deqow!n@vdyJxB*mg7XuuMeku6~8lg{Q!CQ$av)h8v-4t~izZ^^mPpk%wWm4_ED zMUKZ;i0r~8pVkhIf0TY65;p;18_BqU{Md$0@kgL(o>!KEbzrh|J#MT)AhUIKJwDqlYTY$?B6b^-yD`@pjB)dcE9 zMe00sSi&Pz8`(SIgi}bZruyHg=qWT@MSu6|4e@Y1eg+bff6DyO!a#%wNvWZof?hlN z=F8&kS-zFnvaefrkb7*X7h$wlzC){Le3tfVbZq59#MEAm8aj0-lv2Rc9aJaJ)v-;G zF^6qca<&?4AYms;#Z_Yk6nQ4gaB8gEtAjHsn+@=A|N14^uS}m%F}rjH_Qhq17}71v z)on4)p>%7*e^hm^prl(DJM#e&>-<0~2?!PqcN=n&MR@A`%AH1lxdX%gu9w=O*emk> zG?m8^drfZTwu|s$uf*y*qY9pKwi$^C^}!WJJU;Suu7_pL*;{?xWNEWhTtV33{D>Yx zJxUN3Fm&@rcs*EZ@a;H4fi54pe2i`kl8vX;H#z7ef48Q2L&fdFg5$XUHT^BP z5vLb9&=6GAU;it%GA=FUTEtSuZ5-}+ikZr|{^PkNHT(UdE!IBPY!Pxba~*pH_fmZ0 zvXSiW0y#}ISF(F~fSZYYRTi?!5zQU-cCe>>e`toMGaQQME_$Zols~^{E~#R^Tg(#8 zmB)<`cL<9gbhrdJ+sRyzo@cFsJ!4kEIr@bBO%Y4Evq6$Hn^)^#>z;AFG@ zORg}mb!6DcAqU9Ix$C};cjB^M4-m0*UuIe22(`#3Re8PCFK6yHkxV;;o5U>zEm+Uf2LE9s7?ou9B|jVy^is@j~saFQ6f(79spO) zK*^ZD45v-J%A4(zGbrijEQTpOtzce`Q7@W8D^F!C^BiBlpo!Sr~k&@FOp)Rnll`}MX_il9A2`{v~UFt3t zk~|ly<_+TS{K)?23=L+Xuf@P)zjcyuj@UjvRkQS&Rej0zYHPf(Rq4oBzmb|nt`eqp zvqWE-Az}0@@SmRg4okW@m%ZJIf96TRjSaf>gQH?=B@8~RXD%K@cta#*#dV&qf+6zM z8)J*f$v0@EFLAtgHpGWYtUWUA6eqaa8p%XDF-V~kr>d(PkyW3^U#0WtYZ9gMsHR9| zO-X&h%{+{X)Yx9X&3B|C^_z~&b>gZ>ivU+ZsK288>m3eDZqcS*C@?J>y!;FMC4X!g z>H6A*NkW&A4&PlwC>U+($SKO2iND3GNH0SAwh%zUFaz$OD`j@x!J+gCm_62#TscQ$ zYVIo;mEbj|alMrr3cSWNb$%gFh8>w4pD-@qicIfgZf|%Pk?H;UVWgBPGVRl8k~kMc zCaxDjGt*8uk!ez6#V|TV-CVvV)_)(G?HM+&KXf7sU$&~jSC3zVl$y9it{}B(`>LD4 zDigo`^sW2r7hrz6w@*sv`QH(NlOk1o&r@eaOc|?R-h91;BV%2!$@AmPN!~Wc8&nt> zYtU}b7Sf>5vkNj%CHu4$$|;3wY3h9sDoMV54TVt%Bs@X9O2gF79=b)k41WUb*B_*b z7{Q#(C+zjlc1uN(3a^>%NbW_=44F*^1N(h(iR~jW9$;RSvD5?)C^bPqps$zGkppXEi1?MmA3qc75&g!u2J66n}Q)`A312DgU?p(&RqMXsWHmk zlicWiA*I|+7zmg}%o4Lo{TME%m!N*=_7II8pb`&|bJtam(rhx1Kz|`Utm5DdsaKfA z#^<0Xpx%cEvomh)3eV`a5X%7iE^;t5JP1ITVS8MS6%xm4&xiSJA#qFLev1=VNW9!V zQme4eJtp}lJ9aLvy15WwRmSNZ5lb?*%6PrLFy7&?<+<_xN|Y?ozzKnL-hlFs+(~2_ zwf^9_3+(trB8R)P&VN}IrA9O-sn*@y^Tm7RSD zLQ87!?u)lnV}C*OcdQC-?hoIe5z5MhxKDlC#`0TL_BW`qf7S7tv5T_{S9|K{EiAVZ zMk}QZ4Rz$!O*wXEUqCR_71&!?9xTr8${8Z)?h?ZgpDlte-RtNR(`Ck;o=a_fwph5L z%=3=N77Lq)_V(qFy?aB%=itn%4oCV|@1vA;5nbdOAAc7VA+~8*!{_7Ug;*_XRoZa3 zf}u$r@|lQ}aP^~YnHRkZoJw?Uved}YS`PRWRSVIDyFUgiJ^D7(QS!-8TtMz9O1r@1Sh8NY2#Q&FinuoVeXoCZ{d&sG}jQn7TfN z9SVa=TYo2|f`xm9}BWH~;OIaGk@Or{12TfQ}LVf1$5Xtb`Y{QY2Agej~^ zT)IN@Z*0S^txJ>A=`MBXt}$0mFI}I8w3=B3>bU3$JrYX3eSZnbLojohKa22?^;r^|CN5<6OvvoF zuzb>(gLINl2)wjw3eS=#hMxq++v@=_kBym~!1TC8By>DCAJ*|gr6xkqOf0kc}wyH=O$#4bx2f({q3 zMSrM}jD&jyv;1?t-FhHn6?+0I&JslIVoz@2_BNlYuUJgQV=8>@qdlR#T4MLGk0-|j zIpN9%x7jo6awhE`(tWL!a9Q-`wgn5FhCW}bZj~{a<&xOlVE)ff9>-g1V+3S`@&IGo z<9iAkDVjHNTq0obi%UHPIjEV+o3~Fufq$+gSHUF-8;XXsY}>JAuV6`LrQY&qj66D3 z77||FE8_4}MfKGy0xr2URNX)}a=R=-}irD=#08FVQ3Y&)+_N0rCxLQwl1LZaCPgKwFtOa6m&}thbytKe~Cz%zx)( znCH{pMH1rEf+xhsS1L39n94TqcJgm{?W6A&Q$-xuntvZq5h=pL*2#hPUg2lxh?i-#juGUnicmfdI z+B&J@e*FG|P*x$tfAU-S`7QkX2Kf2w-?VD%0MxIp;NR2mr!ghJo{2x3x2t1$IQ+R3 z8&)i$;m_KfvpnX^==15b6pjh6VJUnJvFz@D@9autq^_@lcTiLhs#cKYZ& zLF&PZaYjjlrPZEMF#;wmHGftnjw-pZwD@NITx^A%flXsc5{IyJdvv=~z=oZfqXn~K z4(#lji)f}KQ^bQq^dj-ha*lNa+=lCxwT@V+4Q$pO-yHI|U^CSxsawVXn+pX)TM{eS z+z+W-5Hi7LZjvb$`Op}$&HZp^((z?&sc+j96!g_D&lng=%FVK~LVqO_j-I#OkYmT~ zP;*<9Yd zflqa|(r_$f;L7aA;(mc0SB8|YN6MMFa(=t%iZj|Tt-bI9+hDyz8>5#oaphiSQxALu zbX+-7+y5JESb4CQzJDR1VC8;hXtf*DnXa@BSv%+AvA_i(6kqTer(g(|PSN*j1w zRJnJSU#Oy?%7%H*aS;<$nk}>2ik}}<&R#EWiD*D_e<-nq#{iP2V~v$6E_TdJTd9$= zu%o6c!b?hF$MEfFKPeqMcKhpwr5x;7m7f)?z!WAEMyr`kWq(q;b6WXa?06npR?Wj; z$Idu&4<82?XEt||S=~rZ^oMm43L)MMWE==c-W|q9Qc6TD$MpQpX|cV89Rl^A@O8P;{Jh5(RQ z`M0L*M--u)6Mu8vBCrK1;_O6$y`kO9BxZ2Q>2X5=%#l5H2i;Ss#StxjfS{NP6PM2% z&ecS!u)y$sWq!r!GkEmMI)4fJyUBC3 z00SIG#3cLy9qAS5`hAsbq<0&)7RG5ho*I}uE_ZqgRzOeVlK{WIV!xxj?jtPzde#5y95PC=r4l8Be$Jf z-8?oH%zrc#cgrX&c(QSm23aZ_77QH-K{V47tI0I)aJ!7&Lpp1^v0cUlhnp$)K^V@` z6js&Aw}M0ch+n^BPO>+7H;OqrySI z^MCuLdLfe z2mO-#=hxIs=%))G8I^LO-%8hzSww?=?W2dcN+$GMzZ*&r{`}By?7GW z1N!aVTrFUrpQoWFU(AAj=Ii<~0R{c?c7IE6g>>i_c3I=e<3PV4@6{497y3>5wf8F+ z&`(o)b}isSzjj@DqzVK5F56=hvC5&P+-R+m3H^d^P7E>%`n8X)T}thIV38(in8(3* zQT|J90t(}m1{@DbF&Hmmq$5j8!+7Nbdwo0`#>?xkJQA`o-gxBciX4OSG^0f=Du0Ub z(>9+qiztw%57nQG7;w(i)RmxMz`4jcQ!9dwN)x@K4FqfBOSe(0}au@;!^#3g8(3{(gc`_A9JkrQAzxox9m^ePP*y&c=0s{= z;J83y1^*dp1C*>etQK+(-;l;2y@JcdR1AiWqniRQNaUiU56 z!9t(Jx}ZTvLzSk za{|GYuBL)Tck`^-+5 zTUOd4_~_iymE6R*7zGxySnwi(RusM_|an|7yXILOBZ)y2iW){(3^J~=8i&G*_uw0JMJEpNWXLCRHh=jwH#0EyPNMO@ z@aw-Mp2B5(?FO1&3;zKuZmu3;5{JdHM1Q7ScK6EngwH_>`G%Pp6}741mj@NrmGGzo z+dDWSTYqgGq@GrAh8dDuIk=Xh#_>Ys>3-t^1`ia}mr_O_4ct8u6;7VgFTv7;@diPI z$FE<%_!$Ts)mAu>hxQvHLnH4fXm&qIgdLc0o;Q!gzE-s^*@+Phq{jvexhQIK%`l7P zGS2W~-@Jxv^0NGT8C@F{cRJP@54h-NWqW-Q34dc=JcK*`VkI?O%=o+H`Wg%?4MW8L zmp=kK#@cgwS~*ra;l-zco|V0+aS`P?BA3p(xmdxpQJZ6DhQ*(u*e)yk4ssU!07`U! z^4i_`smBZ03O326YsLKZ{W+nmT!{VLxBT(H9L)01Gltp3K1kkg6xujr3jllVxS{_?kVA>mufWW~<9|v9s{WY6C4jtbl z6_j-LxPH265y>&%J$nF5b-gE!MzwE-)^ssOwT}c19oW0HdIkHZi?BdvyDlGO_vjnGB(UF=c(Cra6^%)M}I}p!DnU3XDD-e2TQ~cz*?QpmW(bHhzLKd z5z|7gCTHhB!9*6x8sC03mkrK4Z4TsF4{)P-ro-`UzJ`ey9cK!ARNz7n_mHU@n7@~E z5NFQydM4k-`>tje9*DTS?^;jB?=g7a({f#rt)12JRD@Q>;PUbj=<i(F@ySD2?!6OQNQuK$888p!R_ht^;@u;p3=qA z0c=jycdFUIb~$Vy8><7jAK%?#>3;x@R)>z2EHbz^DP%>+76O#E-v#rSXP4z7eL`UM zBHCjJh$=YD;n|k(LtxpPnpIP+z8`NtK#uOZA zxp6by&F5mrV@+6|ijnoqFHhacY_IDP*u4y1R8WSg-(|j9Dl@s8DKSc@m-ql|mZe3> zxukW*=uw6eLs}2|_Dx{*3V*F>rKt+?wGk`B6x*Rwk(r{tO+>vW?G> z5A+9iODgfI-W&eR=7r+?@cl`ltXPQq6df^+3uM3j`3JIJ{4sIN5NrI0FuJ4U+YwOv zr%%H8mL2>5J3B@ip&Tt3J6cRIo&|_848v{3z8{Y{y&^Eor+`H;-E5A}(wlAC2Uzq^ zC40*`11!$E&7?-3F@L~f+mN`+$KlcLocv%hIic3s&DG-x?D$sX6XHv!>Z5e`X-Wo0 ztuYLbsvL})2q-JkqQV*Zjl>gy-`N^)8-lg2J_I?3iIEO^TYd;qZ}$vh=8%cK^sYGO zL`yVe;>uJSAYo2;=doO7~C(GS14_iLX@sI-`)*~@_+279>zrQne!e_i3js~?~n8#h&gBwZ*b{o{J!3{b2YrEKnIgdb-W;F&0|Lg=z z^U}2qL)+-1qg7pl&<5dst2Qint}=APx5&XntVba2Zn$0QXfQ&W&+(0l3-yyC*5{Pi zAqf6g>5UTh(0_us`k_n#hPt%emG`qb)V+}alMFXq;Mh{o?}VGS-d&PPYl2D}FVJ3@ ze4zf|S7iT_^-#BMV(XcnfAoJqpBZDOz*Vj3dye}37lzmQI+(XUy`OZ&Y1T#lXgBjb zkk4(=-=90VBVx4ZH~UPkV0-3#2+}GN7o9NNOyBhCrGL;ttNu!__ACi4YYHwgH>o+T z`saMQI+P5OdT;)&MTYIp?{5ljYfj2;&VSz9oa!g0of|Jb;JGmtAGXTpG-UTQ zx7Bge>+Xt$Z8f7d;2o8lhDdy3<$V4Sq}`NnI0$negTO4$9tU&2AA$aounwfwvtAjo zOhDws!WiGWcHlAh!1)Pm%U3#jUWXl8{QyiySKc7vf?Uc(mL2MyC*ZQoN!6K0oSE`u zOMhoW`)ns??~ol8({{&_Rk%*&UGsOHG6xjAxncZsOcV2n=#)_>M=i*;<3W@3IhOVg z;FBTqBMMvx@U!Lpdm)|FuZfvUmDpN>4^5UPs2nx_zUu6-DA^6V&(<$Wv9a(Yph?zl zVTTZZN~E-@K~hYE?xDdJ3yvm+WHdc)9x;=7mO6KM4uG?do={g>p zs>u$Rh~Ycvx~(azj#Xhtzc1z14PtvIeFThgQH^3c_cK%)IxoQX&0Yx1*(HmJXbC?A zBXQR`N?eodwbq*rRNo_h^Pw~9Mg!M+?cz!=tBATy?+c!_sSC?qNaDT9y|8>OKcPCm8$%C6^*F!shGELCc+2$ zcUll>$T)gH^q`iU0}A8H0}zna5rvaC#@_Z0DwuQQCu^G&9M;QRJ+O+M8y}c@TOwq( zn)WNJFL5w9zH6aU<6vsQN8qq4sec6f*d7wc&0!Fy_+;GmtK)O}LvQrmx5*hN6Kdf+ zHJtCwM?Dvb$Mna4{s8Q^H2fxhmVEAfb+Ch$%qwRWUmmS8S8y^|Be$Q;53FbNc+F6} zEf^Dv7RFtWa=4zT#B^lNYAK(yQaj}FHoR4~A+g8choG+bB14F8&FmjKzkkfsO|X#-sR%7Zyb-+ zANgitbdqP^!wlQ{t{c8;j_vh6QaqPZHDKvQ~%1& zgchYoR&!=ok%!{^LS~wf3S1BDfvapJdJbD$P4qj7lscY9zmi^#TH0FAeB!Hy&Tf}+ zpQx)1Mh9U_v{)C3Fe%00+>lKfB|5RU;s10oIo&x07!xa!2l*0=NyC+_GwFYO^sF6 zANoUIf*`QZ4r{IdZ~s^PWzPzOYVO-SQF6z%SUp7KbByu%ljhkr<27;ng%pWN}k6a9GWE|ptis_X9AMB1LmZz&L$%!uI`d5RjjDnRyVXL$?|e&c_+(PB)(p%24Zhihnz9TI1r+Aa1zY22DG3 zmwpbEO}V`+TuIzGn>~*T99V3esd@}&;~U%WrDeGwjqT5GVze~=wMmER!<5FB*vB>J zrWT8Q(-%p1kZ`rRM9K4QGQplrrfIWoiy7Dtg2CufN1GH*owOt?^Lc~<{_e(@OGb9K zO+9IjWBT^oe}DDo!C-8(dr07=RSdBjITz+h+cy$5%YZn}E<5TMpl-z>Aa-*jn(5U9 zE;rZrrFcz1TdNzEkS$xwFHX-SaLZOr-Ay*1q9LU8rSEeB#}69z14CYVhS-Zh3^+y| z%s{e$=9D0_SDwQnS+Goq$@Q-uUIVj*fUlYdF_WzBF5oQnu&Ga=rnbE)rLXMA;k!uaqe5W z8x+IcPQ!cq4rrVppMkb%+awp)cqZUyY8c=9L%5ykN@3X)2X1FNvbJ|Ch1r=}rlYOo zB8=x_Cx2}o5js8i>`YDlx|l$n0v-R%yO>cK+|IPZ8aaUk{zNCz()!BZ2%IJ8Vq7rB z-wP?8qFWB-xQy&6nm3=ED1>;5UN|oAnO;e#=`Qb_0k`=~RISDG$u^(qtEw3uym0v( z7{@37HJx8)T+D%5LW`K`>NE*#Jo)EJnc0;E_J1Mt7_CUrjWMWYoK7GFfqz%OzV>_G;r? z!hb*=BG^}lP~A5bi0P~=ix(#9#a=be`7ml1lf!jvjZH3|sfa>d^!#WlW%I>~>U|m2 z+5R>p0kg^6)WcE4ayuaH#qTNp39=ger5>bCSdymsr$j5so1OX+HbF{2UN?VbpM-W& z2?8Sx`w3iv#bb$nBZ?{_PPpuoQmX5swtv#vDg5$^vo zQdGfioW4Sgnz8$))BY>Yke~YSG|2D$fT#Wa5e@(I;AymzCLlN-o;GOsI6UpDP@Bai z;AzPU=NeK4qsW1a@`B&RDSyt))>;`s`O7RXdX$mrw2>{1nt{LEJbXGD#USHpXMabN zqY^TnHhMEyi>8_{KZO14>#yGZ0<>RL-1`3osXl|IhN3hs4Yd~Ae_>$askL!^{aD~$ zk-fBTSpb#1s!!`Hgam5sAT>INLzS|c6N*ZpevGr*vCAkT@-_(RK?`)*(G?VmEqSM_ zpXH_4EM=*4GCZ}`J9{#Q25fJGUVpv)Yp^zws78_%pB!^Pb@K0ty2;RDCRSn~GHgWy zvkwxV(lHl*m%*cD*uqCkQh8*Ct)}DJDx=!n*jy$trN}?z2PMB;rEV#~YV{X-(dW?Zpth{=V~w6bl?st}n8o>`Tycl2=Zb$?BF*O{D0 zWZGz{i;EKY$eUuCt>GsnFWO{^6*M z0Il_>FDFO>&{|JJz8r;<-Ugv=)WBVzx6UPAU{*I){eoO2a?B-bp`M62n}wUGyU^R9 zuvfrQld#Ap5^P;Diz!SJ!GC6p-5aG4Z1G8l&0KG#rs!bIj9xH*8}!#;)^LW^(5V!f zW%FW=9jLu1GP=_^SXW)_!hc|E^2(^%EN2|F4^u|Xa0!dZsa57h#X_ua>WbtoTwH~r zRj1k#6VbG?)`cqB-yThSygoT$;PUX(GPwe+Gz30A(5o5`iP`P+N`FQ&n~JBMtSRFV zh!BsbrD;;1do$6w+L~J)J`-Il?B9}5FFs_&)bk;9T8Va$cqeD}ajFDiEJ$>jzN_)K zA#hnsziy5JgUej5#zc}CPDF0xo$u;Lqb?>@9N@`nY^hEzg(urBX;87fJlS^C+C0n^ zG0C)$E5-3-<*qJ+$bZk1MK)>kWn`Yrtc+WhLU^)=3F8^t%ab)ZufFm|uq&liEyOUj ztv>l)NZ`qmCKP?tYe9;=;Vv2U3UpW7)vD}s^e`1}JoD`T{*(75LY{sy_RJUKk$?1Q z8b@elQ-1>=-L0_xKfqx>#Dzb^h4{GeAJWaWKv1|smUAW>ZnKslskPA=*@&)i!Wp@R=*iZQ`K40Q@Hh`r*CDMo0EeHvYEc zc;<96pAd~+j3<|i$_aLO^EL93zeMXf+bYtf}nEX$HLX7X(rb@p~LHwN^CNnnR}65>obdp*;yh zK&PU}6FtG>_^R%8qZl8@@7I;f195yrokGcj#_^}!*MG+}eRD&=wdr7uO$hRnCoT(U zVK!Z1RSg7Y%Ls357eisTx;5K0G&eB!l(!L^gL$Tgp(A^qV&J}STQA_jaJJI%p&S+r zXEP7g%`sp&TaEf6ljqGfZjUVa0u0u{p=b z154@VN`GkS=Lr};9g-u!AB1k3a^C(f6>dwfUS33dkNM%YzSh|P0B76E&k{^58iuXh zzPrXIFl?7`LJGsyT~x6rATVrA?#plilwph9URaP2^RB}7$`K|t?~3X>IpHFshwNKO z2Io#HPi6T20U1tZ+Vl+z4!Sj{yc===bgL^(G=K78DBJS!5@!C5BzOh63u{6M%65C# zlFO$cYrA{PDfI5ANlNt=n+|A8)>noL$hl&Bb+?8Cd(gq4-P*#UWRW$)D-}W*h2;AoH+xq{76fLyiJBc^P++$D*3E1o4b0}A zT7O)kKx^}d?dehqp0;*XI7Q8ziwE;YX!h5q;QT&+i$zDDJ)DJaQq*mX`MB3>76R$G zc|(cW;S$sFyeqwx1E3%u8EN0gq;9HwrRcm0>+T_Ts4+R{eQ?gWPn3Mlnt)f(%?i{+|+P2w6p0Gu)4pW#lTlyB7dE! zdM=bGyKl`LCpEfwm^a(`FsAH$vZjPVEY=wM7EXw6b3Ij>F(Nv45!JFP{XTRoqwGvA zgP>!s`s4?RZ+rgY-FHBKQIo-f3Hwh$y^JP$$tbcTVT8v}KCYK72 zxMY?r{pRGB4Jj(+&Rt!y36xl|@_)3MM=3LvE{*mHV3gR-=)Rdnq{JNiIY-cE83eLs zFA``1rY9iXntcOR)`LNILgW+`2s=_PlP+VRKv?g?nNvV0i8ZU1=J{|WtiXMnLD5aI zwN-sqJ|_qi(su7#mSqsgD>tHIhKAtkSv=pB;4h5M8A~frpA9^_Wxl<9Nq@XVnp53` z22doL>#@orB$w%&i4j$7Xqm3qJj{Y%T^*N;<9rguRbI2Q%p?}^-E-5CK208PTTI`Qn9Vmi`ah+p|+Y+ zV-$f*)BCn1ea16#N1Ii>K}H91&0S~Qv;Gdf(&Nhq$-UAu=OYq$I4sL)oxX)4t1vg>W9&@J;h7>`vvK%@U> z;PiN)gNap}(QO>rnSZj1mgPc1wK=OQ;(^j7d?NZRg+nbt@0j-v5m1FfQ5j?A-a;U% z`88`DpLC--rn9qw0?uQ}ds_SP4$o&sXRGaE;>+2t{5v^^3|2LCjK%TcU{z$}T@IU4 z{%$f=c90RNp`C|rHpJ}5GFL1il*IF*+?6a6BGnhQt|m65PJg;Rx)(s0DARg!E1Qmq zatvRzNT_$Y6+JthJUUcr?08SZg4E(qM(UTOWQ6Lby7XR*N2uJ5mT3tUp^CJoyD0Ms zJLZo19ZR$b)%HT&G#A;rHYSc4REDZPuIXF~ zVW_lj=QW?mP=8(a=`)~#OEB17xQd0!7>5&)^Zvxw4_C#gwCyaSxUsi%xW}NtRnf)P zZLU8c@E%&%=W)LYVXG3NR4Ht)JferkKoDl}AVP3hA05D-x@^Y3foz zpxGJk#tAWXkL!67iV(A+%N_EyABc%dGqWK=%yGj>41enS^!5e1~$kQh4NM><+=d@nYXe;bULNJpf12$mG%{W0>!NsSI2soWZbIE zbkISIRewEh&batqQ7W=vK`Dh}Rc*&DRbql(wVv6vB7kF63+}U79u=$7B|MTk^j5{5 z?lXx9)q2xHw3wXXU<ZwagP~qI_4u=+3+1`90W_~st7oAtgZ|k|Z7)`B6Z4?t? znt!e$*P_o6a%{Rpue;9r{XMe>CMTwZgvB$Ny_du#EQQ4x z`3V1Wbex4l&jS5w!(M-Z^=|&8{u!k+vj2ylW^shaY}jx8AmRQX;r^%YsZ5@20TK6p zKx5z#MOFaNQX=xEoQZgD|{)$P*An zTIa?=iWuS*l5>3^!HcvVNzp5mZY*7q519Ue7ilB(=|d!&k=uuy3QEk19o?yuNq?Pe zvPj#rQGY-ZX&sxIQ9fCuT|Hl25|TvPdShfcP4zAa$P*8%m}HT5!|5{dNFwc$GIEK= zS|oQf@}5Z}(ndD8vn6 zK1`yur;o)#9Y++M`IQ{6L~BYb4d+wbMf6Kszm8XE_jL;zF`Xbgo4RukRVAJPwcFMR zb)$F!W-k_Rg;YiMVY;b=Pp}&)csO@cXbG&Wb*`A~6VgbsO6P47UXnEwRDY^O6iIgf zC^45okYwZJ+j~-|Bx_TtHuywIcCcta2h#bmC0ZE?E$}=Ag{A|mkSMtJm&Dq+Fu}FM z5?##o39in$q7pVlaBb99$5TB*Y@>PW3|hgpLtBwWE4W4&CLSp*(Bl;9LZ~Pd3}VXH z{)`dTVx{6E~y7GlT{?YdduIi?P-$X_Z z*?V?YTeN(t_?m8;w(}|C>%;h>UP>drUOP5w&>kwtu?Vu0PFx(a6l-d&(Xc2|tX{V_ z$*07_M^j^4G`HNI0?pj462Z-(QfyCR&uh36I~8}>D)uX}imS{^Hh(qZE}FaPf!Z+0LXdZvefv-{E(F-(hH>2I>}$y#jQ zPV0(@MvK*)IxYCCjR7roxTN_jxD;!wjMK33QtVoO|0)M6#ZKNg?~p8C6BIFZs3Djq z+4=}trwR&WP_vJ_a_0HsVYL0+JA`ncZ9GK!w=ExRNd=PKZ@M3?;J3LTq#2avK98#1@WUyZHnmHo3;3 zmQk|dt=8i#DNKkxOpRFN5{1~h^QKnlh5jIrF|8=3;X$5&k%KHJWuw6Apo+|$8>$ei zIB8ge2(hD69e*k*L5Nj1MD;M>LTqDn^%0FX$M{M8m@FW~wihgSG9W^%>mWmo79l;y z=6Z3-0TWhw`V5R`Z-6NtDLj${t2DH4@`w`b?tQL?>;xQ>e=*M|OR!Z2<$n%`D#0eyCB<=I60GaAr-ea~ zU>h7o4jHWkYahFb@!iuRe1d2QtX{`@5ZW|eoBR5b>;^yQ1OD?ShTW=fOAW5(d$K7*0hy;7@F0^x~6724& zd|U*VV1H{?OJ^a@8QQHJ2NNd2_9R^>xg_U|-KEkKE=hwe?YKMQ5j9v#hhs%T)nI3i zlvxtE2CHnyFX!Vl*dj&rrw|Rc!9HOXlQr1U<5?4vsKFjA>kTw~Q7|YgZlCx&+>ogo zE}UVLG}yeFt_xH$_SW~hVvYY7T!M97JhZVO5`Sz~$~GJjeh!xIVrtM^SY8QsAjbA@ z@d|9qe%-Q&s=zikWwtC6LeEz%yW@BNVZmAGM6xh`Btwae)fi2F|&5Pj*>|8;YUg|BJ4yFwa6D|}L z=6^fgGO_|Yx1~3V;R>wQbl69|fK)ddAI~Kzu!kkN1`bt$Z74jTc~j)Llz%GG4&+V8Kn2y$!1lpJ0*_9jO{}vGN}&>M zWwtg0nim9t;`Yck8i_XCu(861NwgoIITor!YZ!H#*<^{fDlX|?KqT6No1r3!SARjc zo*TxBAXJIA${1^)*k2S}9iGt4m*?Pe;ap3zwFUus_xLa~AkmiHRcb^Oi8glHyv*=Q zwD&dfXEKOH`{+pT1E*~@uf+D*&;*93w6xr&8(W<~TyTRVW2(RDb zhi^c5a%T$@qR&QFEBaUveYU!?#DC2qU($=OE*^zl(!14~_E}!xMm1%#v7id=^-WfT zh^)}A^(8g?P1FJkZCl4xz6dJO?(MkZWMqkUF72d|PbbkP-0VmBoG)ths&pP)qAe*_ zHA@I8Q~A9%6RE9ndRHC)5-;O~fT2pgtH-l_+p^og)N(mb6@MuQ?<8xGW5V}7RN__$a za*nXYM7{2DFsC!a2&X8PpU|6p$Mg97$F3fPZmCQLy7s+(7o;f*aGFDpigR}I%U zT!~VcN|oz~!5WWvRjSqa{C|7mUBc`Bvu!C^rpmcH#Yi`wP;_&Zg4KBNLeW%uM;U`G z6!leJv`c7&l(VygeGXno+1B(PBlTuXNKwz1{uxw9S?f8jmk{o=b#&jQL-dfb@R>6{ zO!ZiwC_j>r1&)D)wsN6Yl}Jcz?2_OGh~m!Dc`2@%e=*oSEFjk6`+v)eEL>_W{<5fN zT;MCBFH|gDifGH?Ei+3PFpsZ%tCS5{)YeMQ&680o;m~DH*9rX9SJPA?=7348zNWd% zQ9?plw7-9H1;rJx83%^uT9hnbtumsoGMUtC=_D;;7sZtDCBE2_y1z!gnJ@0e-pd>G z-qjuM3-R^3qZG#?$$zwBRhxKC;xII+G4sS$OErhXIvJtdwl-DLhc9*|Pgg8SAa$*c z`^HNKwYT5Ip^E5QadMxW&Ir_ato0LGF0mq`m~EKjlkxYaox}n@toCx+w{gQD)>Bm5 z#j^rZJ*Ch+bV;eF3@z`vIo^85Rc&<}A6~uKYI-QZbyzl=7k~1lu4`l0*&FOfI=G7QZUd!`PT+1USk z(xYF1f{n=rJa!xzf89@P^jeY8G6Km!kL>Z;e3IovTu<$n-VCuJx3q}R5b1niHXQYt z{1OcS^>LjQRDT4w%XL;Mp(cBdhJy+gA=xV#OWqYz6E0KC!-^1+Q$=ZdG|{c2GtDEEXWqe9l-H|@x5PHLEM}GXM01{SLRql?laIta9U{IozEJO+gC(ZDOOSc1uW!KnKSh0dVK%(!^_~;Z{C2b{_$B3 zE(q+cXv!wqn;pas8qmY}P4G8gzj=ci@>X3I)7eSnb)J_)<=kM9bT)NKQ|%&bK|2>hLsPE5uK78!857`C>Eh=5af!nIvY2AoDWa#9#1z-iN7)yAX)oT4(r zlYeD_3!Gnp$3sgN=BJN+{;<~{J)hpCz8#m6IjG3iyb>wq{rur&2w3km?~$>nmYVQ% zl(YX+tcp;kOobMYL%{6ywLwU1z;tuhxgsJrV9IT6HK1w6w?Uriggif`yyH~hN2Xlq z%Wf$&)UV7*f0WT7Q=LN_2WU0&1sYU@fPc2qk#3sV_8cT{UX?(2;=A&kdk&PDig}!| zQ_!f}%d|!G#?lurk!>XuX$W0?GTesh>TiNWKYaQ2&BxG?-vh_C!U`^=nUkWh=mc{K z41V?E^~;wa;%>?!M&I$BCyc`WZO1fNB_VZus;J$MVZivS^UcC535>srtvS3#Cx6!4 zpwQQz=MefP*fVC)S7I2GrD;7&6H=@)+S+wpSmY7*&BrhhvF~<@&<4Cg=o$f<@#ud^ zhh;6=H6|LL42Ly&6C1Ihz=&;cE^a_eyiY*PaoZY?%4(HqD|9S^dq!{8-g`KzHCNG# z+1ZjhL*-0A7N9{LI{e7SVNXyNpnt}0=xhbu){S9wwY>@QY$6{&g8kUidkGEnWk{KK z3UFy+y(0cfLV><=x))N}1nA3QQ#x5t=<9K_u$@gzG$%*o>1=EYee)7oP5Y_W*U&B~ zo_)%tEs_#(uk*g>4)pf!o7YGefRA5?z6k*d1y(nd0UO<2Pv!a2*Tk6Q6Mqhb0c*|6 zZDdjyu*&Ax1yuHZ{qyfa-@N+{DLNxTAuty%?jsjoIUkU300zv4|C$a4Gd&cqpew;M z`Y%Jj@w`beC`>A-hxVKa28}bT8mfom&BBTWleGQ}{S|bo$jy&CP(rLrQMH9(v2VjZ ze0=hIAUjEw#q+OFY~ri(yMIO{q=U-yakmP~{sNsk=t*l#O}B`sP}$YNayr$;L+@-k zV){05?9q6}rrsVG&iQ_CkMv%B3-y#u7CT4c#rY5n1W3- z^!PT|doaY9D(fUHLBr=Qm#5;6Z&B#u4we= zR^a(`Ux$TyrpY_>(=_CbMWHsHA3S^OSFb$t4IQv}LwB>34wK95)nC%wSPKF3GlP`~ z`|(SGM~WO&p0oMkCV&4LiuwcrZQMjN+jl|-18tMz+)G1xCf^%R#^~9_H@6!OAzaF4*(B2-oAR*U}8w=chV1LBxd{qtsuTd zUKXw2wxX3<--ZP4SM)vz4_^vVIax2 z>ktr1sec=fO*1H8{4HWMY(7w?iN23xv`(pK89)B7gunXMlZL!}=}E9c(K9I&d0L)L zP7$@SDui&311UpmOkBE!E@#i{^--=Z3et*&F_osx9W-FXZP~y$VJzj6pvdy=xHcvf zicFDTVwwdOaC&0(H$lLZoioYD6_4HRErFcLM}JCI_OE55i|^YIBp_V1w?=az1?HF< zRW({}5A60xIO$A{IpyH~ZV2+o>hdqG#GYso*ZEOGN_jeSp!*aGSw6@MqezgYb*ng! zNk-(cGsT%-j?TWYSIBIRx{Z=c1Dj9~n9wQrY3}ep0qUXC15~B?);sMFK4dw+<@)OQQpd- zV4nMzeM(e)!M*`{c-MC;*Z^HXqQ6w~)775g5W+dntjCmisOi^pFuR<$!ol@mD@(7> z;6gyp;>3#xHleNB_FPswx`dF;1vC^OSN@@nJf(ld>U{yVr&^k|AOS7=ej5aaRViIu zY@z^o?4P@w&Z7k(QaD3}&g>Co-+xD^IjqR3mW8T9dsNKf3#aJ7IC)&_x1)j|py z%=xpj5o_+Y0D_LD?{}0+s0V!rh}b%9W09EBo8Itbw4n6Clkj1ux6X0zWKdDj=(J|H z0E&N#?mF%zzOX0=>_2u6pl9`mmtTAp`W^|O)0N@VYywPLla+fXqJ>Fg1~io@%Z;4$ zp~!waR#Cnpz;|$~Jem&|c=eagwOu8;+rNE-eFNiKNezb1B}s)Iw7;uBBUA zTf&VuBEqu%n%brm6l*}fVyJIoC%0*gd@6s8x^XIxN3a&J=5uFH{|o;qha78BwP#z< z9?Z|c+E#rH3#JckazGjw0M9>(X1Otb4=~_hU8n<~|KgvKWIKfyV zYf7;H4vB8f>dqV!`QuAgd)I_2h;h*eg7VvUj=gv=dkQx17QEV7Ocb0;@Nuv7Ip(41O+6{mk$j_LB{ zxE6LhxjiEyVyG4b2CA~wg?N~EWICq__2MAHyla)4Auvb2=U{rJfW}Oz>nf)ob8HRr zRqb5|&G9mL%evBpi)|Jf>v@(GDC-`tCt|?Wy2>&M83P^~b!?$mq_BYWMVPggss4OpS}Qw=l`TaQwBSSOy1%m+w}` z7!d7tgvKAsdtlt@`lK2V+$RvNpo}*_XYtx(C zu%#7DSXwQ`NjnS@O?;x(n8jG2k0!ClBRyJuiN#rk)A>*W~e1o^(iUlRw{?kSQ zo;p6tNp?w5$8;oRLk@rYP=8(!_R+J0E(}7T70lPDX- za25m(8_G^3{tyzY>$IggGlUe#xmIroM}3jditk?Xd-9Axm1FQE(Pxlo2Iu6?Qi(4hsj)VKdN`u&!dwaeuvH*|`ZT~6@G4w<> zir>N2cf3^E%z!m0P}T9SfyMJY1s95HtCTCP6f>>-k(F2p71gdN)MN^wsCMVV)jGbu zhmP${_-=m$hYr;~>n*I2VU;j%-TbnpeV6M6Ztk}&T{Qk$n-x_jG6IXU+NEBk@z*+* zr{wy-NC4ihKGiIsCJyKW#+~>Hjpx(E)-u}2_+1GR>vq)){gzi$%trD#$e3|MV`QR-R9!uRStr@qa2Z@ZTL^f z7}4BhVGOEMqkjh(J9M;yLH%fJ6cWAR_@eNxACc*zD`ksLK%$GTZp}?J?M;F}hwguV z6BUrq2lL?t7FnYYsBtCI%)!2N=nG_2KX~Gbu(uyygPO4h6N}6mwU69KGDvWdu_Ce+ z)fCaL_3ys(KABMD(F`x=4$$~9t<+Xdq4j_7aHCVGL*6wZAmT^s_O1z3M8`e(pMon( zVjrsDet3c4#$SJhs^Q+}6+2Tk;Ol=!<0qC*%BQcSem-e(@j6os<&z4JMpI};G6WRl zS+z`TxNsx+%5yFqm^6tr3Da}XA6wAIqk>Asi|rK>D5x}}EF7b>*~x7yt&&0bqn(J% zbp~$Y`X>~Kthk>5Sjk`>e}`mlu-^*-JvUX^LKw=_G8`e7!LX$c%btmWh*Z?2 zj(sb)Jw?x`$HmyG_y2gzlIAxBTua&*AX)9XN|sT+&vQ=6 z$X5ypepP!D*Y`AjRgb5Q`2q+8m2`J;E2cr3+z#uW5Qj85%P+PB6r^eGaBoFKK$`kW z<6C%8q$xSCW>Q2%n!-(qgFO6TAj|eXV>=n@g8UR@)kigRh**=WQ(u24h7n9ocf=Oo zM=&inCLhQk1k>GY=dR2%F@F^@kB|qmnl%xGTgsl@?-$toT5K$^psI{#Mx%#TXmALK zSZ-_RG?|a zP;`tneDZuJPl5-=i|;dp6vD}J8Ck;oewJyZBx+CyVVUyP=6C^>WwK;BT4WTK$vnJu zL)X8^)v9ilLD;0bx~Xim=I4JlFWEc{49ftJSXqs3HcX>QeR780PPuIiy3R8M;K{w=y^d)_g2D0Y=eI*-xa z6pRkWs?Z7o|~0S#9B6wI&brf7;^AwZcvok9aHMMUjCkiUK4$g5nHV|5H9 zxbwt{;Ba*yK}vsRn5qjKwb1LuAs}w3G8u|vKLcsnsW>T&VOopN3}+BF^RVx}`U)v< zUoP#Lgfw(hOx;8-6GAsB5AM=v#w{4ATJLl`y0h&wke{365{ub=rq`ROr#}iLTTaJMt z=wCz@95N~1ftmQlE(WYT@Er84?*1D@dnBPtFx=tW+CmcO~dqij^K5Dj>*s?jFF^GMB`4RvC;DcWd+LFzqJ(5(yEP7W?hW`r;* zX(?rF6Wz{np-m9j7=BpC3|#{A9XYmVv$D#WNNj)TUvgi5;n{4FZ5ExR$A`sj=V?`P6BMuWoI1Sq^peA@CY>1P}@d1`}_E%^TWst9wANi ze^Ag>mOX&_F1>pH@@r2T|MffULniL*)QKTX)6%rI4(e3)6m%b4mxxH1(ze#J2XCSk zYg~Wbm*R+|s5Gt4-*VJPB&FI43Ppi=gp7J|>&hJL+Us1c&WsTqFTBsE-L^7=oM&QB zpcd*o2El%R!e1gRqxT38efu@IZyxyX2#ivHud7c&VU*G;1{#?#MoC{X*?>#hu=yTm z^!m;CKRPzBZBcD@Qv0sf`uuz-)fWVI1_yuTGzIu4ps-dyfu0k-S%X1B$X{RIOi)5w zodpB6s{6Oe^wCh$eVK$pAH^LxR{2!aDB_|ko&`sZ8l1D2l+H-l#&&zP(#pl5Mje_n z%sd8nrXzbT(3Yp~p#>A<31Q(z(a!J`F}^i;O6#>Qt>FEEYk(5wc&QRRhd0YOq8f z1@X5t#w+VZWP&MK)3Aagn0mLJ`-sx=E5st0w?5MJF#jnUl)lG`NxA)n(@bn7c*jq1 zbr&hZexDR2A#73GMzY z+gtnyL)y5H_SML!JXGIY%^8%3Dj8e3kOcC0+)65{TTw9S;*ckxw6=YXMI@(=l8$z` z1afM{Ro2X+?}YZaYD7P4Am<4R{Tx(Xq?x36d}?oW;9l&-r!*1QT{2o)s(XK0H^?W^ zQo|jFI00evM-}gTb&_3#RLoF_>T#fsONFDlZF-wHa3MZFvDJo}CHS&Sbe!6oA4X+_ z(m~*yLSImOl->>%OP+!J{pvy}LbXz}enM~Xm$EsWBY+@OCnwua{rS`Dm*66OXA;t2 zO*8$lga#cDrs_)5ZiFVwpMigtG}8&+7vLd94s^mrR=Ae^K^VF&J;#CPTcEQ4TG?y{>D3RdN?^vBY4K@WchhfOt)LK0RL zeNs}y^li>qFq^Wcx)+nks=2Gh5k4sfe}WVYwiZ)+nZ8Hl86fN}rX5)m=wNvM^>>i} zFxgV2z1|l=kf<$H^EMudDpi%lK^wU~0~_&|=)E*aU<*e=gUH0}e*i(EG)wJsd};|g z2;5jFsR#weDec8}?TUYJE`||?5ncd}x_2v80z3z0Pt&D|v12b#U_tg!du!$t#O-kH zv3?Tj5cnKy45SZI{9CcFJ)^tZq)pMDf~dZ{EH)gMiYimYF?#16 zjTB~TcRhYeK=(I;KwZ_yt^jp}p`KwuVDl!Xo#Tb57AK>h!i;|vQ{0K3y$E)`B5w#R zBfG~U2xN7&c3jPZ1rNcX`}kmS`g0$ckHP)j@yk9B)>fDLev^)o?z2LOWxEL2=sl2%CgoIm{PBECNN9SgD>7`z4jP z?+~|;cUYP|Tanm>-uA)(D_zv`g$Q-^4QyWEU|fl8|>D@1Y!KuE*TS4Q{ti>dC8xMwNO~l{LvwloLv%2-#I6ef;8pv@~AgC;ky(+0$ z`Z=7mN*sS~9gzjL8dMf&p12lJm@0ik!y$`8PF+phU+~D}RDtfagW^=}`M@pP4+~5$ zIh9wnav+3bQF~3TGZdT1yYr%2DL%bJHpRgx{Si&0j_2Tdd}Nn~Rt^GfBN?e8S~4nA zW7hHU7*t;S-4YMNJ#7peWTUnZzWfPIGF(%Z4c>o`DcqA|Vp$<2a!*I~z5873WW*(p zU?s8iekxTcu6n<(?+0 z?1dsK_Y~e}SQp{4MP%nHCGn(Xt_oZfYCb{zEUyq*+z$h~X%c@luPWD1MYl zaW!Z6N45UFGOsOj1nNcd6qwpNZConglzq|K2tAmBzJjEFdeceivy z3)Vs4dT+2wOn{nt`qwXI6sYO^=AjW)BT0XA30e9pF;xQsD#A1pF*C>~BTRkH936{* zFjX|oTiBnYT!}F1SN!H|KS3%yuaH|4h`WvLmwh}F^yi;$vxOQq&F}u;X8HGrSo+W4 zX8GTI@3aY!Pt|j+OB|f1Wy+LoOhoXs>|5W<62c6Q;)W|DL^uGcM0J_NB>+f$C)Izo zl-6s*S*J$?Ps`h5rxP_b#CuxmbsPUJ+0t^(zSzv7v9#P;I&R_OEiLWZn{tL9BvHn% z9rBg%G#o48O+mi<-VehNA|Rg-L}t)yq=b-nuazp-qSLF zUa=y>Df=0BsWC`_45!Pl&AFshOUr-$I`tvjr>HkuoM{qTOH0e(_Bn%WX<7KNszx^H zPtf^*OboPej4GEC@!>*>fydC`Xo8F)lExNJ?6CcAmv{T_9!$os z@Z;YSe(G}v#Xlr(S);SXq!oV?jWP!t8WZ>=qs+8}rA8LnC^LR|zlGZ5@iHq?Otr|oOzUunNezD%9?Oz4_`Wp0 zyRl0~@W@O!EkH(?m|v@^<7Cu~d8;^D!69VK1@oIWNT2xj^VJ<5#1r$OZ`mw@d1A`D zbC)^3^^B}RG`sCwahx%UPMHq{##*!yw&#iJyNg%>qs);@J?8F7Fv=|KsYzgYjWXAh z&aqZZq%2}EKQ#y6)_Z?@ta2}4lvzAlv&ADDW#)FaH*g_FnWn1tVqc%`G;{bHG?Vu~ zTI@zj_28gOj{ezM#|MoiwvlNX82m!Ap3LlkQJVP z9&ng``w|)1Pqsd%SeRo!`80(iw6H0!|DpZw58>i}YV&i#R11FuiVx)}NjxG7-?~#~ zVd7%P(#n`VF_d>tA8$+(QFwR9bYnKP_wP_{sf9;~K(Dh*S_zDRKaH*pL3RVrIKBVw z=a{NlSJ$(P2DI4s09D4bJ{~SgJv&QX6cVD;s5@gldi-HkROEJQaea2JfE>6^uhjO5 zX_)x!>Fg+IQ^0>S~S;D2s98N~{P6j@6SkIq~<@qy*&gz&x88maq$Qmf3`P3o6Jg|N$B%~FY zH+faG9+`QjoE{D}=h)0E*knS{^Ml)oS(Ni8XCuq=3&ciDp1CtLk(4PTXDC}YCn^!l z)^c!sbpU^AYpIH!JQcxM@QC}dNtrj( z&7|yTS2a$YY;*I*@0+`Y z=QK@+kG3LL&|M?U+a=6iwC83J`E5L6XNaD zf;k4tcfeB9+ekBy4!X`L9n*-(X>0WI_&N=AomjlqDx##VJ-J$&3}!Jomz~qdA#JXn zzgmCXv*BP;@#=V!s!2kjsp~gdt&H!dsU4;9n;a@N9g}i>#i3Bs^;3^sd>U%H>S(G1 z-Gz~%#m*<+le}8J>5!7?=xudO1GNkBa^D%ogLzLl6z7;IXxd;?Qv1B2<}I3a`4q&r zWy~R zfAh$+N$jhvY+4TIxWp2Qd&cf!lS$*-VoNLsP7iNiuI)jcjh=(}c2hbBf(dsY&HbE= z3Fm5$x@a-s@$&Xv=slbeusM;I1T{FE89;2^Nu{9roAYHJ4JW*FIyc6Ea>AXvif(8O z@dTXB?dS-Q?}PLD067k+kgw8K@*jVYa$cb5R9$-Y7cjv0X8Y8MMysBu|0Pm;?4NF6 z5sOon*}QH(p*XeK(&Q#~KE6*auKZr&c6@(2iw&V?BV6Nq(B73#!JIxfMogk-4ZVm- z7>u562rtSL;>u%{m!-E%GCg}-xxXca)XcK1n?ynMROk=Tp2wWO|rYfW?T1?h&ohpr&lF3lbL(;B{ zSZy3SJ4=H2*`4&34YSBa$n<~8=}9rH2w7O5E8xRC>l(AVIV2u)Sffto60445E7O-U zQq^&EMc*PIR~@rzcPH6YX4A5Nk;;TIn`dpEY8HXBw1hhk`LvwnSZ&srul-M{eKeX! z%TLBT?pp;Ue)70}NXdcMK^Mj=ylyNAL%BAZXMnUj&Tp9P;0KDO9UXr?x9F_L&Iu$E z_#!OKlcgr+6;mv>uyZl8Ft3aYtFKOvG>>v*VzUCTa6H&&RyT?R) zGi;w_TWfKj6pk{+F4un<1-N4JnLc%w0bv&Jn$vOv?IwIqkoOniLSp6FI?*bpIpTsr z=Z#@e{I@SlZ(QsxlG`9VS1lC`9B`Ojl)DN&w4Z_dh4Bb9FGuDLR`iU>?_QBYXv2n< zhAJq(_Z&2xhOhB)HSic?=Ncc65zc41ukmBLx}xaKdh2YS_-C5O#%{&08@Eq>ESf z?La`fhx|Szu~mQ8aKl+Mg8$-4IbCV*KPG^3C!3FQ7Q|p|J|iJP43GGqfceYgzoF_4 z>S)B|i3VP?)}O#1mSX5cvC?yQU(2~A3`LqBIt>v9Xq>EtCnx=nfJQig#J#jh~<9AuzOe)2; zS=`hB>w>IUvM%G?F^~r~{Ww-Yse@o~O6GQ5sstwNtQ2UcWr2jl_hB5a<2EYew&dz* zloIuxo7mA#K8AAcRnBdF9zA34~fJ@60u@ z$uii{mSGeogV_)4dWIKfo1IGEV?yDy{ho=>$Z&sJtK+hSA1E7OTlmU}{X{?o)7tH` zN(K~6yDw`P#%G!6a4613_9b+-Th^21RbPkXNZs}r8&0P6gu8PY1ikJ~xx5K&!|)Vz z+~z|WH2LTvu|;0=x}^d2LBqZ@MslBP_DKF(D|*#14SbgGY^(EQ$mlM*b+KusI%=&u zlA?bEP}MMXcJP5oMbDb7gC!y|dbV*knJXlqXO$1;JL%`BUL$P#J>f6C`|#aQJpZAX zqURUid{X**KGl)(vrm`U!bUdacSy!s|L>K@zndl355`)54~(^bi*~-?8u!hpXG9Q( zEz4%VN=lR!8zxWUk@bUf(lY7|{{Sj1n$3SP8V*HRT#ktumQsX8ZPC!S3OviLhz5tp*i=-hK%E@b=Z~*PfvJ<;M@9@4>k}wT?|A3dTg1TtgGIV6b6| z&0y1sf`gO$<*3=gyH}xaeug@ggob_d_M6wC@4g1dYx)}oQ8CQf*o%@<6~pUsdoF+a zeLZjU;ujxZhlYLm_9OBZx0UNPJfhmSkyDgKqxR{0$GWBdhI@I5yMscq_Ln=o=s^Dx z%6-570;^$k%xN^N*onz^2?X zl3MO9 z<+2EwY`&%D`R1W7gHirExuvrNz0QQn4QTuj`s!z%#~teVKVV7RY@-pOpM!s@x#AM^ zP9^S3Bfke$l7@~Ts$@fLTR7YhtFg&^M=``&yv(RU!X~mImg8sw%}a3ZR$b$r*!ahP&s-r5=Dsq`LJpX@pMO<(->_6FO7R#*d^Fp>x-n zIDq830ijbK(eowLcPe+ZvXVg*I-9%iQqUFxo~ish_>;q{X_^Nu$xwgy_otv|eEye+ z3Z}YXY6uC~DGH`_I^!>W0%mw)hgpgZMChCE-n~QW?Vf>Xy|!U3qe;4^Hs@R;AWVz* zKr?bX=zH>k9req%$dgxP=uc2~k06AOB+UIIJc?p^*tnpCDyCNT zx}8Jqw--A+@;^}p)9t&FL;*!GExzhW{C{Yrw|_qrk6M@DcCVj(?a4U2``2S^g;ET$ zr)tZsyo9vcd%VqC<5PrIyK8=cO(V3HTDz9f)rmWEk=@nvWOILaw-SinZP-=X%oe*< zKo(#Z^)*96iU6xuxN@YvN9&vEK$|?5i+1xvTzirhA~R55D}o%WwhsIYf*aLFjCG0T zYT#2aod0-CQ|$-=@{$3I2=^J@qsK-|`FRW<``&@=0XgyB1KZ@uBPGSbB$T-Op&ULm zLh+1O)M{kA*tdVsyg&3r9TyQM>_#F{_f3)4rb69BL%>zyUIEm-^BGXy+OkBL5=0b! z6FX;vX}qOs><<+%O;~GaPDP6czK8QQ?pTd$>O5t^P4t67mBI+1>Tv79$s|++Uf1W| z$neHenmp5Bpb=iDv8tPe-(Zma1^G0d@$0>vFK5vy!PkEgIqOWal)JmFuR(<_%cX_Bn!Q1F}fle$JeJI z)3RFtb!G_xeN8u*6AM}BZJHU$qX@lOMvawCBlMci^426!q1T!cucvW;D({PILr*JY z$iDe2WD|c*vfUWCclL<`bpe*rdT?A<0f@VOoV1yZz$s zLTbjm?EA#snoYM6eJJ=@<5`H0jpunXN}%F7t~|5pVd1Ei3lW6tYaTA3)8Z-E?a3LF zk^hY6tz7lGO&PfxoV98jE)++ZqoM^kq1d!swuFC1$o{SI)w|cBUwrioFcQ08#U)F~ zjty-CtOwjulqv=#HSqfw8pPUDGu8zJaoJefk|Lvs%cj{qC-=uc5&~Rs?MW*_U%&t6 z<1f$;lx!&|=fV7z)O(ki=qE*PIeUH;`AyJzJG($?6c=u)E~W8R>gbP9p$iE8{I5K5 zmuG(mzPU}0=0d*IdtjXFtP$h)thcy5OW6=TPm?)40nUrsB&a)FFj!oO%I1-sm^OAY z2W1r5TNQEA%%sZR)kDr*7A!#WOq1o<_EavatWyN==IHV7;QF_v=XykhGihpT?THo; zhbau?YtD=;h$?;^k$g+ETpAk4e}o##dw9=YQzUyTw&vvf5s8=dP1brFDx%mnxy$?{V2&tbox{LhSM(+aD7K zhhm3zw7l+?kL(Dfsrn5Xt9oXtS<&By6fA|v3%of^TDA38AkuvM()tCDB+WMsb#H%2 zVL?F{*k8NLky4d+WBEV>2d2E2*Ec3}h^9wcMP@jM5Lv$hRnD6tqCj8X;?gr{1^TM# zTszWf$M1OL9M%6vuVCL_n3*G>73?qS977CBRm8JfRx1X_Ik2T_3{ETH6uxbIC%g;y-`3U|`WW zBNfC|&C<{SqelbP94ZaaXjxT5y^dN+@~&hg8lZe3)l6;-Cx58lWDse9$*zBcSSghT z2)CbhaNsn+p{scp;V*nNz@$O_9RvnAyiY!(7#MZb#a@VDXRc=!m9@rp&~)|<2HShe zA}-0?XfnKC#UM%lGdD-!LQ=8D^Y&p#SIu#(dlOpm2m^73sY^a2rSZ(2g1+@pF~rDd z(Q-Z@!f^$Y#}89d7!CCd*o%Kw{tzkZkQjoo)#xBNLZCB*hvTo&^$kW3as$Rh$Fp%a z1fsySoOLY14!=OY?)j$gLHJnIoPf$2xO3OF67p$@{zBgM@jPYSVV^3dHfol}vuORK zLBoNY5_LH;rqSAmpGb%ZZ~ApIkT5)=IYjOHJV(ka!kJRgZBIk@6aRny{2p9dH43!l z<=d};Tt0ZsgE0%63QfHj!YuTsxmTgNS_mkNsmv63`2^)+>H>ms`1u6aqJ}}n6PAxl zyAT&u2%a!Mm-+kt(r# zo9fw6PGae>suxP@27!mD!&N?@?t6q6srL1iCr81M3WzT^dJWpcVa8OHq&81EBPcaLRIbhgvv!6NB8WKJbq4fw|W`D&1cJf?_!aP zMV_OwWW#P_zb6_{w;-TGZJ zFJaGEcC+btiQ>a>x4_Fw6z0tvX?O{_A$)|$OT->M98r7sCz<0u?%v~hXI}A z@eE?wle|=9XL?Qq0yhzNT>Z}p*hF)Wqnr6Ts#6O!KM_hj3;rj_k@NNiYMfH`6aQ6h z;!i$}Weay%l-J{diA2^9{lotLV-7!}dBy?AA?km^pg>(_NsvRy!0vxZWE|SgOKoCe zw=hR*cr+ghIo!!3@2K6vj%-CvD&&wnKb|XrK@KKeN*NwM z_e}?-wGE~xsTUIp1#m?4GoUZb_-8~|A#%SbP55I9tdNnusX=SLJ~k1t(R}$K4BVKE zds2TQtdKFCa4jWkgV?qeXCC~KpH;}5t2tnkS%re1~pCLNMsTA54XlktO;%er)^PiqL!&Qz-qbr%N|#HL;RdooW@pX?qI`gwwh*}XX~ zlqWd6F45A&3n5@2>7bcIC;uOH+;#KO3weJ8MbLV;@_SH`|5n+*&m@cd^V#ELY)IFu zs`lt+9;t^_cXms!2&U$Dj$S-4VQRkV!PX(9DEG-X3LA~(;WMB}Y&e7JN>4z0Qur8D zdUyhAoA!4YR3%>~Ag>b{tdg4W4D18o@>8xn{TpLUhIz@U-b6>+&{DNb@PXup5m z6;UL2r?X^&4OQ5CZ?~OdqQY*iIhcapfO-lX)|nY0Q9{35w`53Qmw+vcs(d7dMKyGH zcJIG~XytChP@))PCVhJ&Xx`j5Va+Bmcod<6e)>%qxI3y-%jjh5QeB=Ly0U|T!TRv0 z6xF)e);7Y0byqURx!F|FI>xo|TM&N{*;A~#4W3i4&<{2h{7!4%}~ zJ~Ke}ev(SO^HA|C6g}9OemP9NdmE$8oDdV#UYjCeiMCx((O%XeO*tt9TpYCCFaqo@ zcJX8PCMAtV(QR+ighSNX4*N#E2-X-T1oS%V|4+C$JA9XKWzmSRvr~^9FcE*YY}K4g zb88?NXx3)YX+afQH)0-^m@uJLyLpn$#S5*SjfJHQI{9>5HF7K@%cu6SI|bC~`U!aK zNJynoNZS;7nIecnnzWdiVzNS- zJ+feD;S2}@Dya5;^bg=7sAhjYDi*n6hhM(PaeP%e^V@hKv@h+kT>_Ik2lw!; zkf;!L7}rms@j?*T&$}_wFlkSKZR$t|b({|d*^fKBBC@I%-e|3qQuVvauFFaRISNB| z?bW=rMJD|AYUh|ThSEF~TMI49LYEMy-kl~j4^iqQol9&&c3d# z7f|)Myfp*X4iK-r-OYccXUnL{TiWSv8l}aFvMlG3A5h*>${K3L5WTEv)}bfsWi`=x z#RyVG62;{C4}T5Q#P$jna>caL)>Ti*s2JbO|VpXY1Ztv`Ax2!q#wB@Mo+1;5rT$QS-rFNB6W=WQN&&*i{kRS;LFn|Pe1ar;+ za{vzVn(>vQynj$I@HJiK@B@BYH)_x-`jNQT*C3%#i{ zaU(ocPAeltszZ6{~v$kebfm@*#X+!y}NrFj0RmgfxOCch;UVP9D5ol4MFs z;*qL2>fz%yuD6QQvTteNF-tSyTdBh=1(@r$y8Iz%!zg#iB0h-4%T_|r?8UBgfTLmLFwZmT53k>nVcw{=co5t`~n3B7hQ z)zP34!&`qlhwip!MT{~@Zkx8%T_&09JkC)vOCrO)C$rSq2)nI0IU-uwqcdWu4CBt9 zqQ~@5Ywf;*QpLEfAG{WLYZei!QAuJ*&0>DdG@xLsS!j=v>cng{i{bLaWhuR85z>)* zCneV`n)jw^Bu&$Z9nL-j;Iyu|RzxljpmFz$^rqa>vW$l&pWN>10I(N#k$MCbYSG6*bAB4H9Pdy*RXW9n*DwicUS~ z3^nZtpt<!W3-Sjv$8NY6wkA=1lybYEY6B~c{%w&9aiNjw)Nyex5trse($@u1B|4xR& z3%(Eb@7BzifHV@ef7ctj69j%u@93hGl8*0iEm=j3biA#qY()GHmim-Ve?$6*ZXL-d zeppH6^EbLYD))@vy*b5~R`MaQ`C8rn_a~qJJCG~=XRJ%cn~aZb8^8lLLX%@KDYSo_ zL(vtDC>|JvD%$35s*8BEC|2P z34Qn5{dv6vnmV=zrY2A&@Uzwr@VI}8ni9@CKV6Z*B=FC&!cydPfytGGaDPI6>~pXf z6qwE(?G}n2e#rIY6;>8TE*ZbrNyp3}xdkb&&Q!>y<~LinGnOC{`P&*(p+~fE zG}}5LVU@^FXvwgs=vsreV#frJk!aK%Od_}Ff0X|BZUIL3vLlRTf+6u%!AO7TSthQ! zl^)@{+N#|th$4RC`Gti~k)$)4YE68%Zd;yVxKc&cZCkdM#qsG$p5%#>G8s8D6V$G4 z5l~gg>Nbl%M&B2exJ`=7o>gGw2TvyEY}4^iDMDkJYei}$H4oE%oh2Y;K?0UyE~Su! zM{UTEozF;kq#ELN0u-5i^5K7{zXSy%2R^7nikOYhKL?tWs&1NMR#sY&$g6#os=giP z&=m6blOwtGI6kvmcPfR6Q#o~w;WWXii-v9|k0v-(y;(V@qzg{%xDo^SXdr>a;PID- zKY*({HSc81lBQoqS{k?b#InW~3$WC*)$(D%^v$k+9G|LtZ=4;(xkZ0!Y@1hcy(=RH zn$h;j3K3O(=(c*hnDg5U3W|76b>l z)$OCQ&I2fe=oSi!!q|(MpJ-Ox|j4p8%$U zVy{bV6jFsvRthUM3c`P{Uf-&U;d<3V27)`&R1CF{%*3z^Il3JF@?V3J9?j1nVj+`_ zOQmEX`|HM8HP@r~ao4ufqM$2y*ptibB8Y;=Onmr&obZYdDyx$@gnMZUDbJKse8}0& zs$)6DBP=r|g-k1=(-8%A*-)!SZ(>c_?L{Dacg7XJ|@CVr8{Da?lCI6o?ua6>iu0ETT;c*)B z7r*%6y`TQ@J+OAvH;tK!_dh`0cpv2FAZ=%1lk6un90!{*Yv}%)@4fp08sinWY#St~ z-NO#(68+wN=GlK*bk-k8D1j3n3e>ipF!Gp~tn8Fq>?nBuhd=dw|K0a~_*1ZP>#D&X z`1?P6&-eXbAlDhUyEZChoL}*Ifybx{n3Q<;pZxS)(Cy6pG2_U}kDgqE98%}=HF&n$ z{mtI{-`@i*fn#`B;{M_L-}~U*AAqBzM1SI%kjwl4xypZov0aChn)M$yXa_`|3;zJQ z@G@&zq?~bNbnI4#VxGnOM6C_^d!X*=oDdTk(YwChc_n^R-otLndq7j3up{-F;rNu( zN~Pzc&_9UHo3qMEHnc1&wgs#8V^{n`WuLpkZK;k4uZUbM64CEZC47wGA?TaE_t!sv?|XmqJ`zc8 zZMeq{Zf7TMq8TmxZGM5gO;daF-;+P?-5-4Khd+M?f%7xnZX~W|y{?%{-UUc_Usqb3 zDp za7TYxmYk|rvwqqA*HDzJpywo%$3VFTy4D={{OH&B(JE=Ht*ltg9E@>WZsowj)wa4h z6OVKZ6{Kj#xs-4_c9~O(JJ{S!z$_Q5mkOjEiLjo#EpWK<&ybMuFPs{a(IjM!E~1!{gLu(kw0}TH6N=egyD8()!LF0W zk{!M$YM%)jn}&m3jZ^yvEZv;j zwu#U_IRpc%o=)zQv0z|fYc`z%9eRI_JkIZu9x0J!$h^(jRgfIQ;=Fk~3NBJ9F-P|P z|3w)W;-KuF4_Geky+hL?RL?hfF5$;8U6X&x`Ich| z%~qj3Tc?YuLZ+}u=h9A=!Yh4A2%9+70sq5S>zd51R#z$@$XQ*gDMrlHEeY6MFwvv58^1u~@i*9w}(+E^@4lHO4In=z=Bl(+%-L2B0?6(N(5o z1P58ov*8>Hpq4l>9lI#ceNJ61z zAG#_Yfy%PZ2 z0lhfeaYbhZi>Qacbt_a(PLFohgdcIC3LasnvEfh!kCRqY77bpDTRZDe(iA)n#~ZR# zl&opa$zYx0tLQb2W9ffN4x!h&9hxAhSi{?3{BY}BMx)nu2I{pkD7`jV*R+c_+rIYk z{SC;Oi3<>s(zqkR={PM)hS_4Hg7@XH-0tesHC0gKBqu6I!3fz>=if} zthwgG)H7NtyR8x`250GMPli6odtgnw7|a5SJ_L!0{U^|I7CwKVr?SR?x+WA1uDNUc zd$?66X%#<@mfG$J?wH@-RpM-3i>Qrjt}Q{X1x;?{?&xex*_xoITB-S&!~ z5Z#Fd=~$$I-o4)-w+kz@BnD3GLp!z}fXS|ITsZ=@_`d;pTT?wsCMMTn^}iI-F}cH} z+W;{WldJDax#NG()9WM60dZU}CO0@3(=CNyayQmOCziZ_@!6Ni)y(7@CL}a!ZsL05 zgxtnWP;<@KHQ_uMHWwAy)g-1$N$hRhU2`b15o@PcHU%qN*S5Y=OkwLPmpX@3L?%gn z+=Do^Y;0XfQ%WG6t=qd=&5(PhIi%F~&8wKCUA*C7oTPsNaWY;oh$~$@dyV)A$h}F4 z-Y_vl@$the?r?rf0iELjJ3z$0U<}LRvch*e8|g?PCn64RT5~K$JyHu4dzaQvVeDP*M!>L;#@?-z2aWM)?A?r`xt>RraLC@aUdWg@ zUYfc6Tn5MS^c5+8n`9g>Gd}QG2Ep-+ktbW;79rw&2O~qWS^O3sU<=%ARnTxebyTNT zOvCZYyQ6}{5FF1^W8W2!Bo=PAI_sg0B#%I?IX_MS!Skj&{7sCmYn-O*EiFw^kZL&% zc59~Gi|5_l-p_0HDE zG#pCe)IWdiR7l75VhS9)96|zNyUlU{l|TraGVhBa5(qKH(YcHkH9REu-<-V`5((Li z-n(5PxhxfGS#PIKOhm54Y;k7t;2hs*@ywKfQN{}GH-))5zMjDGA_-0Ipm*I|En}9s z@}sZARFoWlaGQF*M@D1&@-25Y916|XI#A!j_tJc^(ZNMLoEM`k@9~=bU$Mb_cU|UN zmb}6PaI}(Ni)!>xV7}$U`d^S)zRTOwYUx`HmajZMx?2Hj;&}ixo3=k=L;1p2&)PUp zl+TovV237)?t$R(rA`)P7``qJlD@@%VEpE<>q8|F#xJd*^3vNZh28zg zyxvAAv+4t~b&hH|Lrb8*8f?PcfLDH>Jw;4@@T3V>`=MwH1gut)Lz|8D!fPj?~T&^FS;*&YS z+1luTN)@CyT01#6A!CAqHKk2wQmSIWXk?I)OJN5mJ6mGWW0o!ruwmZufgpu7Nh^0; zJh$qD2En$#UA>s7X3QMvO)@58xDi)=$%jIPXGg&aDmqjc=E%?UOwRH`g^3aVWkM)a zIFnWyEu%w)Ro9LXIU7`H?72;Icla+a1-7bx;85X4bR}M&@>E|=&dP_OeYa)#BDfOBK<3XW-3KdQzh9>JW=xCt@zocQSD4?q3%*^4)S z4&QLskzl`mbAd0d;lp3~HIexHQy2Oj5Q+cuSFIXvSt;~Hx2hmPiJL`7Iw=K8>{zha zgs>`4M(V+;l2qjxzlzk0C{>=~m5>+?9ZC%H=p+s|wrb$JI5?fL6y)kxDmW}aVrbo1mx>G|*2a#1Eqj5)lk%G!F|@Riv)@01%FcMf!z8=<5tEH5 zTeSvBVBBFu$khhgyF=j)j|v;VO^}9N)?%n1)YHfcF}*4tY$j zaAh?;hwDygCp69sa#*>-ps9T$ht3t=md>p+xr}4uWV#qlA-lOk(&8bK{uz;f`Arf= zD)t~Ha!}<#3llFFHiXQK>8}4mv%9YjM+?Ua6OrJGKno28arm%NFIw0fS+AAQlW|+N zycG^PcXre?7LG+tq^ku(M+pm9c<$v5XDZCe9BRUBB_Tgbj9$QJUwjVY&w?U26ymV) z>~?@h$(?DO`d0E_(KJ`UrUH_Gl^RXC8&WcIP?tqbV=@X=nA~?cPMWBh8g(%(p;Co8 zZGr6~dfFp=J!wDyqY7hM=fY)Fs&GbITqmJXg|W@OHYuGd9CY;LxjXw>DQE(JEL{Ak|?X=1?KR#>(AAITIqR4@_U>kiuiNC0;{=2*VP8Yc~Y{1rT9` zK1xmsJO^V+jZ{=-E2}k4$Q;i%H?WNBY>+U*=)uE$ci+`SQ&54F9Is{QS}vIJSEi{T zlFy8eRE)6CbW#q%2$#c(TICRo(CC;{OJNwH`gjYISS4YEOQxv+w@cm-XjaR- z7-4DA;TBqYp<#pzv!4)uGspJz#zqOmQyw@q_V7p;VU2%So_BCq_(0C6kd6_S#osO~ zAQ)kr;dq}oV7+1d+cf`jIaMvV#z4xTs0I#lmq zr=7Iy0oqTD3+Et90eL)rZzMnP@I16%y=ml2?|95tko~Xx{}!Hq=W9ae_k+-ZF95GA zRS<3*RX_-xl#L1lmrCfQm7r=W6t$(cZsRceY9^v%IsG#Rpwk;4S}J7#IRPVw1tOTM z7Sm|kC%bA9fvvM*imR5mYaf-f069$~32o3uFdq<o=S?t!Av_EQFsGZdI4U8d6t?BI{A{dbq19C!K z#!99x(~31Rk|aXyM+dP z!Zi)aNl0y66ETpS_1(!R70M;KJ4J~PB|?;y;G5-GAv^vZTRPcW3}olzbfZ$lM0OGrx@VOPWJhBOe*deHozkr8MgaubSsY8QL)SVD z*_l;We#9Vlvdk?rLKb4DxP0tP3?X)I(h`mt3Zn4amdmCtmGXhyaj{6|cnWcw{M%)8qhQ$&dGuG6l?oB{bg7-ms%ke5yA?6Vn zUE14H!ss05lD=EOLg%O(cl%))QiauqQWg-<2dq}zYRMuii=ZoYR$-b|)vp zIR_!OC9wz2S+8pBwpwrJEf~x*-CJlun1VHp>qOjyQ1K9 zPE>^11vPUIL3GdPvCw^LA~x+6aw>rf^;IR0oOT#Hvo^}0$j)r=KCXvFM|SG6&y(bT z)C|OWwhV1BL9eO?`?Qm!;O%Qw)vNMn}(;|f+JNmQ4J?PGP8$=bafjLyoc;Y7^Hk8Hb2!i6v>CvB#^ zfi}Iyt{;eolA-rN=xB8m%ZdLGoSn6QE3Co#Y9g1QU*^qY+iOI1FDOgTh=%yWN<{p5J z;eb9Nlen4Q%iZ#-eh`R3N^WwEkV)Ls7NpcNgsEcthKv#~aWkL0uY(acSI+EzN;+a= z-tx!gEUAc1wy9#73qx$?#wV`D_)JHFr@5G4{CBK4O=-lyHjj+cEVO61xI{v$%(`@> zWW{MF42$^i4iZiiGh2}<^58Tz_SGH*6Q{Y>#w7{7$*=?cR6Z06eFSXI)jMdO>@4Y^ zjKd1g40jjrt03@9UsZ_(qFgn9+-z@>v%oVY4bEPMLyJ43+w)zeki^{7@%D}qM$hyl z<($yznbOIt1ul)AiO`NkE2;F%!dBxdpGnW8#1#$m;q;8Q+SSS@r3cT72GaNtdgdUY zy3#A0OT6!K+NqT#*zp0gJLS1_F{}2BraC!|o*7!ajN?M+8OOk3A(@_ksUFGCWyp!+ zG)ipYfdfX*RL$JhLnnSb23ZjW*4G=w&C5u0@{v_4hiai(>r_R1aUKXd2Um}h@0#%YoH85+|;inJE6@F_d(0tV!w*W z;085jU5m&W+@y9}6^~BbXt!r^L0u+sV>eu%$ru^mjiQwnB|+TepC4JU_Eyr>?VHN~ zh?x)`o2m(tQ4+$D_0CAN1VjdIQfpHFoWk3TjOA6Syu3|;rmB&D15wYi?nO?quoDl# zhN(WEC2#Nm#8rf@Dd_51#Y=hlGBR;9w$>jYU=laerodI`7>)-Zci7agWJ7L3W9up< zB%j!e++=14K*z`41G{-@wUR|V>msU00V8hwo9lwbOyZ`#eIgG=+=Ol|RZFPE&G=!? z3{)4>2lU)csQCjD};rnZYY>U&1_uK zoCHeLn2XAaICP@MWDjjnFo~M0-P2vTOxIS>L_Cj9)C?Z2M&QEq5Ta)2BK-d#>K~Ie zt{^epg~YePR$sD_M=6b@AG8~x{>IxNFm$dKGBTssYBf?DpeM8TCWKU=WO? z4=mLN8MqXGpeA^ve^0?E#*}1+@AH`O%*1%fotzHOTdHOS8XjYq|c{<}BF`O-2zp*u&ce_|QuGj=JUmWTE(w}<7>4(`2J`#E-&y+*t&@ASRlvfVQ!qi$4KG1`f>BvR@| zb=iC0ft8J_3_TrK6pD}bBPQFt1fdhd>k?8qDSfQ4Mn)+o?f32u$gun@dMm&5Ltgs| z@ojv6pZcQr1Nq)|4Tq)LWU;TUGvrV4^lWb1kbxyb_yE-2wYAf#O@>DG0-srJO1dl_ z5@E26`;MVE9vkeAJf@(t$xQ{d78SLJ_AjGz*^tHIM~tY7ZL(c5#u?y-Hzv35z+0dy ziC7tB6sXeGQ|Gh-)!_9~h>TvK3Nx1W<3oUdAu9_TC!D!@6Cj|Ku==+1ZdekJ_dxMc zxl!gGtA;%vzo*z^&5d*2spz#WlTDK*qSdxGLL!{l0Y$tTy&)fc=7$aqY+Y<=TA~Wg`b6n6LArAo`Ef3=AW@cFwk{^Rm+e!xO^W;+KzFCHE9GKhVDv)31Ql>Ebulhay<# zeD(4CrVLi7tJ!xJVqJ6Sx<;NeUcVrob4_%6i2}Df=mlWEL+xUOt~vnq&8XGA&aJq6 zlGAS9*U%44&~?u{Nj8#2W@t);S{`$!HoU@x%|hkgJ;u4aQ&kaFTeSdCT6Qcmm+(&wWG z8qF3w1#`wAa+z^-Al=Aelo?|!qs3fGnepN_uv7^xGmdX0#!9JW#;mK`Drh$9Z7|n& z)uf=788rn*=MoI~q^wG8o@(2FU{$vOR$?p)K_ft-#5iKlGRhz&#zNcrj2M4s(lLjE z;V;2LN2*#zSzRxIBe3jP!YD}&UmF9lTSVGpejqEyFf8=UEksP*C+N`X_`;G&4qJV) zKcc7_J6Mn~2Y)7C63YlA6kH!e6(W4V(Wzc1Vw5gdoNaaGx0p6aPlza6NedwMTHe^Kr*i-64A zz-61C6tWdnBlBC_xM=wEB{!#}te|Qfp*G)OG0}XprpM2_z z97)I}4PUrSBC5mW2YU5G6_}O?+>g;DWuz(b`SqSh{1RkWalAvTiw|b=Sab7 zUfxyzoEVOow-R2eqU~vHSZ+sb*PZ}>4PogClk5kqNyQz)uRPd4eGP&&jdrQq=6cr5 z)a>f0kX`}Li7vNeRekKw$g#jnuszuo0_{0{2m%(T=2)bED<)H-voQ1G-Vyk>1Bbf) zDk((L!ce~a9kgM9Tr{tC=-y34@sS|v*{J$=Ypj5+M+szLWUY7P&MmR(AwyZaJEZ6#N5Xj|G3OmcsegaFafoM}-c8nno)G zH0aWvQxcjYxL<`O*d5CYG6dPrH!3EP42G-gB|e>G2)CYd`9n2w;#5j)a;&hOFP0;LZ`WDyAY1i*+@nP>S>(h;DBS zWRd=P2=sHIT_W7sK-aY2vtRuh9XE{_@uN_5q6HLwI8Ta8V89QNVd_yXLz1N7uJ8%^ z*)(4Uvnh_y-e`IkHabyqwz)^jI0>*tRJ7ct z+oAsOW6-g9vMnGH74a+Q$tu(*g?j+#qAMDj4ue4#6=OFyA_{a-k`%KK?P7fZs^l+iGixFe)HQcpp|$nw63c|Ku&UwzI&H$yqasqf#zpg<~r z$8l4%_aEsP$J*Rx6yJ++bna)zV$*hi`N@kH*r#C25_>>v%WF;y6hglc5o33ndpcwg z?BnuiqKnJ0T!<&ve;S~m;UH~mPK;iq;UJo0>;D3yK|%t?vP4wDmFSQlvyc*>Jq5a` z?m{s|gk@wQFJ8n-jg&=YY{4B<%*0)P&8Ai{PxO}{Hzp`qN`_4m6NY01geLoWl2yXW zngo|c-HP1#DYqj<7=f_$+Z!p3LouK2EQ(OMW{g8I)<^%G5(Qw7Xd^yk6e9L=zJNxW z9y-O?)(r2HegyW%EQ3{ds|mFjLax-O%;iIWWMA?Z zw`165uI;5CH9`&H1CU`~ScZ@*#j9DtJeCX&;^c0WWf}!Au*K1kIf^!|k-?UTxted$ zxR#Zk*nA0P+1kJQCLuXDqmcsltq%RNaW^T#Ie-`hZmx zX60xgLqy|Rs>kg|B207J%e5GP&vpNq=DJ}|{OIK5P6Bm|{XkW<9`{XXER1P1H%>@m zVOq2E0;TjBemGpRdQ|d<&<)@Rde&#+VVF!ta0Fa}>oL%rmC)i?EQ{p_+OGlzR3v_; zNjo=3;%A(f!D=a4eP(YYw4cKSXu?Nlx}XRp;_lZCTuI>SGbbr^e~GStKg7X8> z5pV2USZDXQ?t{I~T7NMduL(-Dtx)G3EKfFG$mw`Z<;r%jl$j92ywa;%H5UXz?-LS$-;`?=Y@Q@``c^qY zdieyQ(-q%8P3f_`I4wwj7tslw)_}o%37pV5)^CKWNPZul`|q7LXjBYtXS&8eR7P++ zBQb|H3Yt<*T;pgVWFUlpu&bCu0e5uyc8!D?(E0&m#Hm9|#;N%fwBL1XOUMXORI?5_B-m0jFG2H8M+~2d7)1sS zuQ0~i;V#(FY-R_C4KeCDJuq5n? z85vWdQ5y6tM51kTbQGR4q0mXLG~J?zjwcOGw;u2yc+%iVqgDY6qcLZ-baAFoLcmK` z$7wDJ9A2tw(MB=grPdj>mL)Ux7zAlA?pWca+X7ReoE2VwI$N#}lat{k+iq`%5bACF zf!e6-X)((!M0WGfKxja*UFk77?s8*gt-g*A8BCZkeD@MLrzGb$UV@Wj`~OMcQ-<|*f6PIr z)f1!4K9KN#54%ypdkM?f`R%?@*sp&EGLPp=m3WL!L$2bFPX3TiT+PO6FIAo>nMhe- z?_X9HdJrZa`biqIb%^9UGAov?T+gTq)6$8{N0 z7;9^NZ`>&uKHyz1No|$ z+FevIk+1IfseV2k`3kGnLU4G%%18uOlCyC@MIo?sdDnIxU3;mu zSfQ~}DI$H9p3J~jtDM&{Nrh3X}XZm zVM<&M+oR|(^>p49VIYL(jfm$p7JTYM0wG*yD-E={k3H)N4P2%^Q}BW#Px;orl>SeD zZY3qg<0UeJ6$t?Y9!4QNveuwt6>^F+6=sO&LQb_8i|5Ry<>sm42&PJtrFkz(Nm`aq zk^lYjlaF45NXuLe$0OgAZmb&PG3%?Y@PhwLQE$4QXqu9{)th#@f-1OF^`_YFt6TxS zz7(JDnpBdjAXn@p5 z+Dy51<4H1zHdFR_c|98QGPIe0oVhE1L6v5TIZj)5$3wQRj$x8C(`iM{k(e>zaksv- zK~88hovw^l2_Q`tX%)^^0ZE%_ILo->)n@9gZweCAwV4hZ3}!w=n<*o0enm(G0eNN1 z+cGq-jkv>kx?>-T%NyFZH$in&r&4T7%x-|g`lv2BRGO()H+jr`2RlH2rF-9z{?RAT zzxX!t9~QFtJwrk)l*AtYj@#pd{{GE!zEsU;dF|IqO}~#G-+!&!_AkD26?i?i1Z{XG z55n>%N8H`lcN4ooePQ|pMkxmml4HBN zZv-B2zGkn!)C8J3HuqqW5oFCIKxfse$S9@p8bY-VR$H19?iVcgeHrl2&T!W@D;A2ONGaO?#N0=P(uCZu<4V}*;w|I_TU?=8uJ?e?1q-OZB}CaOusUTsdxH4 zef1R7n(}w~OfTGjHF8xbfMV+9Wlg2j23q9N+gD?bmDt3M2fp_og38vlLotL+A6)e> z6VM3s+yHw9bVS=|&B_=y?8EQrvtRjuynbyd8GiF{=hyat0}hNk*PNeL@fZS8O|iL2 ztSESc_EyKjdRtB|Q&I6y{!>&v^!Ql&=Wx7xvO8~Hj9&JC>nDEiJO$I0`bZv=_HIn- z92QY%?}#(I8Cp7U0gkpq{1I3vcbUAY;=VZ|K}^er=VJ^ z(JEk6_d<1l)CJ!iF}V!p1s;Uz)`X5+av79%{&svDCZO@fi!YIV@d$Ys2WQQ!T2U3% zMH$f4zYn-LPQBnW!mi80`b{WsJ#LuD$6^QKgMIIVv6|~86_df9xjH_QFd1y)ZPF8nw3GIBMk()H-EtYF)uZtsC}^%b6p8-zujsR@elj!j8fZxDcW`q0j$R zNhhisMx4RuKrz7!A739I5YQU>9~r3h?7%@7pAow*#IN_sC_uEcZYr8pPRg7aqho1( zzYjFW=5**-vU?yR?_@$mn5_psKs{{3#}-jT;TA`f9XiJGK1i-jD~IZfJpwC>w=*gj zOYDDtd6=mnW+fp8cyMs|g2#ducjk=5z(&E9tdHy}+4$kTfz31(RBS3CBkbS9@ZqFX z<1mkm59gReN_ZZ8xGFz3M#KjFUQ}s%F?9X)KYaM&sjts{WXDcMFlNK)ry3%~Fh1ZR zFxKUK8_w5Wu5MOHA?i~N%cEg3y82Y;^>qk;kBy|=*34ByMGEeN;Mt29=xn%=z9w8B zh@8-HtRw}AS%BG--HuopRDCMwD0o2RKFaO`Ys^-d7!I$Rno`0sY5}{QVB4l1!otND z_t6FF+%gy_s#Nuk(q29kDy`AA=Lli&Xqlx;Eup4c%C~#%9Jem^_LOmnE>RVsn>9&) zDcKi8upw0TRV?VuQdgM@!h+@wY%$9w_@U8ki|XajXwRyNxIh(DqN?}Am5NpLFrUZw z9%u|}t|g0gFOAk1WpvWBx$;D-a=+?3z7N4kswNPvrO?wxB~3$rLLooP=56(2Tt%5= z8w$)r{}v`;wbL_fQ(@V%r%%DsLPer~hzfgFX4tYB9i_NYD!sm*#}a>Ey#Dg_E95dC zBj?B5$oLdXUGyP{Zr_N43eot0;PXI(l+JnX1+Vr=7$R4#C7p%hw-_vEPmI$cha;Jn zwV^g>UH&mJX%kksBqVdnl2(W5NTJ!47a;NWHbx4;FKwyo31SLKF|-jXGTu0Sny}sXkJtllyzJqlX;_QN`CKpT}&Ofc4c-Csk@e)VFrZ&(?)B zO0lHCa>k`niX~ImL0l%KXgCST6~HOQ$=>Q&vieq#|7fWMLMb+yqUXIM&x!Xfo+?~q z5r9P2d;4a;oJJ|$MJ)P%b7<;YiR+;m65`17`3^`qzbHa;4Rio;X?Xl!kod#!is2qY*{VhChtu~%k5WqlqaXJA0LfRwb>6gCbBy)0pU%(RI|W(f=OEII*`CCoa^ zJya0;4b7X>ZHzT=;cVeZ`9cNsVjh98ndCLB2?B{G@blm)u*YS8@1Yr12vIn`9RC3& zzpgp2Gjb?xM~KUJ)3EbbScLN09igO88auIP;W>7mf?QqUxO*nv^4L+P97YJ%w{Pbv zu$upAuF#(~g+{WGOU&{pRApr>PSRY~OZ`?GgKP)CH1+^wfz=y>!`$qD|Ju5vA( z4hEL=L>ZNEFi=x}Tb##d6a;!I&zzXL20d#CCcA3<`2-wzJ6W(RqQQX&{pB}8W+|cg zFltgkDJ7)OZ3kmx{$9U8lR-~GseUtFN~8aF_Y9Mm+@S~FJ8G@^w_bLy)ix0#cjt7z z^AuRa4E}Nm%U4i2UcrI}KLlNgu_-blz(FFgnC+WvREq0BQnUUrr>=Gy_Rz2TMT?pQ#=s^?c8_(90`%FEEeMt*tr~?Qb8%b z__ARg)SbTvDmzn7StP>3Gh8knjMB^8C~u<+d?VK#x24`zLMXk&F_T(JrSvvVD_5ZB zfe+YBaRqaK2s3{lc_RJ28BzwcXFJv0Vm0b72xr!@kr=>bKzimFN2HPt>2=R+Tnh2| zi!?}Y_XDEp6xknEp@dU-Df6y44xPd)8jC5xyw|6ndbnPW zoeM5@B+oK((kCDzdA&Q$=X^-1EBJb6M(`E`pvx_P%1W0}0XkDhRtuk^C)VNE8WBJc zxrtC)yuy9>eg|9~Xgj13VlFw$qQznekL^V)Wm{6?2`-e1ZEv5)Xw>UUu0D4UIBDCf zn&&fx$c`g6(!}nE{2k(iwTNZWdk(>2WZv&2t zEH0&(7_e8rh!Ol~O7OK0$SW%9QN8ugq;DdY`nz;%O#l@!85vfrihw-FM_`~yQ!Am0 zn{Cf0v~%f+2i#ONRqZ`<8(_?omUN80%_wGnMkl6VDLNYGR$`b>6wo=hk=UDAA%%0Z z4<#Rp-offmQu{ZgDmP_>%4EL!U~FIPb-Qa0A-MDQp@%EJ?X zdyA3|r(G8`1oO#oT6bjCn1~Li^-c!Ii|KG$*NVl-V}aA=0&O9DGMrX;Z146K*9xNV z2I0lErGZQt1x{0MsDlK|vRHn5`vr6u;7myKq>@gdEp&(Jxderl;ygbPlPR=H(`+!G zM4R1A256Pk0!v&^#ejlIo9*xJ9}C=nC6Umf;}ae$L{?;v9~ICcGQ+86Q_QGlq_;(; zV=)ifaq{W~n45O=V6h`Ma^uApzAvAB^6GP7b+iP?82S3tB5jw_gOtVQ#92AaJi56i zXH-gI%BK8N>!fa`>~MT{PflgZM&d&!74#JB$n8!uB?&rk5mO+bGG*H8(gPKLHOaYe z>K_(Tn6jdiz8)o&DI2)!B{z$Ag(u^4)M?4V^lbkirk=enHgW55JAAS!1K|1Ic#X)WM5q?_GMl^`wj94Up+&1 z>V`eD9`%m?khIA^`oa&{{*}g3yFwAGj@sh8si*W^j<`lg2AyZRX4AT z2#&2GIB!S{aqcVnN9IL;B#y0PyRn|*;n)npB|#!O$EID*3XnlKwt(%0LAMsLdbK=B zL^!fJ=GqJe#alHbOxE%#zURt@^+1Sa_sPCr+1=V7LpiqVvYlP|JJ>-gU46rQ347ZN>72U0Kzv64R?rXR1w{z>LE5_^Ajohv1gd{J2ZfD`L2+i($y*TG~ z$fqzTPBUT92}n-dUfgxHgyO_GZo`TsbSEyXZFyfpcH)ZLS5swlC$4pHB3(##;*MuS z!&EFz+(Fw`qmb;xSu!`Sq#h@(#!C_co z87>ttr*7pgRmY)!J9QOX*){>;)GaRMWedno-E@%urIO^-sk5}zDymbbyW2nJlD!1t z;s`GxbuVZ`!u0vHZL4t}pRciQDOAep^Cj%Z;R-iopU+el9gccZRG%--k@&|9UoK@l zdQa@}^b?uC;w+FPj&M~^hFzP7hHSI{6nBv;i#G5u`B-ie=(|<_- zaqWgKG&%*twOhZrP8L&qx4ELsbdJ||JM3+kQc-<3hvm{Lp!;sCv-71~nD3T%lZK}* zyuRB>X;XxMisrjTIgc(Fp4xiTnqEqHYHNZ!$y=*PuOPc62%?t(nZ`N|$#&chzN$5k5`9eDS*_ta*_hi}M3Q2G-Obux;lwzTIs zL7xxJQ#-8MdqQ*6HtO`7?!rP|`?kM~?5M?cgfH@c7>?SiacWn>bkurl(?Zc9B3?&r zJGbp$F+k}k+amh+%Qs-1Km#k%|H&IM=vXWRNOTWbFwyj{g)JklXY{p zTj_RxvQjGZj;Vv3iwjC3sR_P}X|0Jl$ayBUzEueIvS#Ayrupw+=bH3dAXPqn^7r3< z{lph}?dQ*YKYzn1a64JF-@Mt$k$#i$=VT8HIWONx=dk<Wh71TO=YOZ0!aNZCv%syRzD7(n)p`EuR;GC!?1<{es2ud0`T9Kt!{PQw zv~DGQ{p1tG1qS|8x-SU>cat=?h_Y$!rYH5(w=nfm^dD(~UFUp?xd@2S=*4&m_7!@6 zNn0tGrxL;_tOV@pc$E0dJk_;5b$in=`;-Do_y{;WoKU zC-q!E@-ZRyK$nDc*HPpW#OKyFsfgYh*IM&8DZ52u>x&Xnc1zab;wbMOY!OJ~|48~z z-2NXD8loi}RPGPe2fK#3BFcw^=>jn52F$ z_1~l0m~aMWhPG6cyt2&|zAA@>BrOxYlU$PRYqhrSa3~>3UPgHWTA`|sco}k?X7?P?tQRoj>%H8geRBvt6Lm$cv6~gz4DHK?#|xN z%M?H-6=Vl>+EtYJr1zkq7&3i(yF7nR35!RYkUPGseCyAof9eiWAP<`eSccN5)lU<;4!OrFkMJt`u{BX@Bv3Fg>OXVrpiO^yLqr*(l_J`GDcQlZT#=CDKtg zA!VLUo4r|9J|$$SuO95-xkHx8`tU#%HT|4kI#H#h$0+?vEwz{z{lR;`_`nDBl(k&S z$RSI^bW9N~WSI(HZ5L3_!Q%3vI4&h*Nm|Ixp)$4w*Um+9$TGK5HYS08ge*Csd11^m zRd?HniP?HX7F~xSniR4W1sf`r)R1M{d2`OChb+BQXEV@rv+3e2gx29aXS~sIX(3BR zYxSyv9Q9rxV3>Vu7Su{ZiDvu<4}8PQK%z|nw!h<@rvjQJ8s^y3I_>?F5JCpE=( z2|UqHe8EzTf*$?!7A2lymAjw657tljGn9}>Xzbh+z#$w!i&1l{A|j!H(v1r##kZTY z=N<~EQ`iDcaafdp_x)dh=slNSz=(>9N{Z6O(5Pr2EGS0!4tlamvp$e2-3_o$USX}T zQ6G4MBI8}&jY<128+P_}d-d;ypZH(v?0u7EwRruzX;(`Ttg(72K4q2G zSY4dZ6Ni;Q{a!!&6mw^?ubOYg)K=@L`RfS*wADJ{qRL-?&SB+m^9B^K%sF`}8%)Ey?*9ZH{^JF&fQ!8}6Px^?l`oeEeE!;+6n)liXwz zetQXzs^GSNIG4}T)_pt?*ADG|@BxLcKs{!6o_+R_@2Af`^aH87^FlE;gc8!|ec2vN z>+|j(DISNkb!TQ87sT$~LHDVVK6~FUpMVP2KsifC=3@}x%1l;Z6O%tivn9UIKD`f0SJuA6 z429yWLs#L`(n_*Z zpTGA3SP6^&8KVP!rNSJpVC#TSyjz@-;%xx#k3+(Oo)E@RAiUeXcdmU6(?muu0}CsC zv3rJGM|?){kKrxy<)I1ZR6~RH#B0{B(gkRLGbU$<4G>2@{T||=zd}|rVmqIMsFqz^ zxB*>=?rdgH<*Z&o>7{Ru(d(dt4<3MjqMfN~*yOaf*0_CaJrPd@HC14vy|4jOi$^vy zj!vUn#TSE(k*g^;><@@g*)QUF!DVbwTj+}-=7`7tIsQoUqXX@z)rK|dUt9czq=zx}e#C_r!3lY7XUw7Z-aayF%dn5M!>C0yx$pk#Rf2YD!F zxN}-?uGXM96+;;zGCIZ}V5GhBhx+DJ6lH|r`i?CYL6f&Z z{7zjMOIQ4TaB(=^j@9!1`tLyOX1^7iw#9Ps*p7D^C-%ke^ATT!h{p>`789ot)tSY|Y^2!+Sm@o#dah=rQQDrR&($l06FSmB=b7tlv+6!R!jUiGKVOd^NxS zy${~~0obp&cHxvGzQ2)O14{Ho*K%eSP?O-)K$UOrgT>-e__(b{ApUNCLWU3cp^7=I zL@)mb7V(C{mDD_J5D8|Z&|B)`xuwXh9LVhxL!=#C&Z7WSA(H>sKlwNR26PVJ{Bs=H zLk^y&*v$?NxT$7;IlX;ALr2F1&%MTfjXnuK)TEdzXO^VUk#b|}c{gI1CPZ^}`JBpa zGLg8>eciPI%?X(FH~A5H%((TyHb_yD2#6{?=6aMQBG(%eG2D=LXgvql-R1}do5sYc zEfObwv9sxuPl0Rjs#J*GTGVb*SL<`IH8Fn2aR)+Z*AFm%C+z2P-ujmGPuM&QY+*)) zWgc+p!WA(qGdd-STUpT8uHr`t^3nKF{{r<9gA#`A&<50pAjqZfe-NYQi!*2d1-c{R6&=)aG8^bxwu%D?<-`3g2>bPUKXwYw?W2O!+;R3i*Z+Q zXOhBnG1~WkP9quQPH)?J4Tnt^qoPMEh3aA?nGc4bDhLn3ZA$YXI(OWYS6^tK{vK56 zVzoOVOo~POaLa`_DxFc6GME-d(^=6l$Gt_dmwrZCM+GY_DcGaNEtto$wL~t~VVU($ zKEk{VaeJ7yE~ljU&DNrS7|~bBXpEHlw@V>18YBJ(Mm{Mp zb~_It0cTZ0xV%R6;KhxQCa+-*G!9~k2=`Y+LZoNEL6;q}y+QW)a0>@2zEO~RGNT}s zCMvV~afF1TwUKRDmO`X8@|QY)Msm8)yL}&Yo*wo}D1sZN(&`x@qpYzNldngE&TpXc z^=mwTqd>Uj&q2yrlgw=vXn7aJCEAa@6_Xt$%rquAV`e!p1&@&8Ig@}Y+7X*EJAt8l zWdG0iCx83Fd)U_N2rBDlY$p6*I|``W5TLGs*8u&R?ym2y3}*?5o%=D`nt9lEQNud* zEwU~=0h^kPoVoZ{1bcfUJ3La9{`udd_hh$!d%YLa3L;htDUNv#GU_kJWH4DCA8-+} z_J5;ahP?QZb%Ct^-V|%SfUYUBlI^^eF*HRQvNd-Srlv@*J|jqp+T*VXoSuW!i;WvB zLMMD_#QKA~UY$jP(UZ?V^7VKYNHq4!?~~8`K(0C_RETBX+#C9tXG3GQbh^FLv&6NRlThR(pDKY9A}#WUZ}o@4I; z7MH92x!&DOiP)ZlPAbPrtSq|^%N+A-DsHKQ`7c1+yN@|wWAWzZdn>~`#VwwP~+ zIM_K)UhuSd+G*COGk{Uc#K1Vmt35N8lD>fEAHALr*{PhG)_fD)>daO39301$xp)xO zn#`k)d6{xa=AIoTex-?TQ1xq}pF3ovM_nKFEk)%u0vzvX+A?;km5`n?s zsX_(mXRkhb2nv@^6M57jf0p)!BkDlE&iwv573)C1(zZyWlB{7f+L+fWAS%lf$+HP! zQc?#^AA^PIn+QIuvQ1Hdp+-bbQK0_quh9i!OAW}zI{47+r{9NPg5}(QOS{UwV%)AE zxH1o>b7|5z4Uszr^8G-QYAIbw8kt8fr(nnJemq#e^H(K;7yx=MO4RZijQQZCGf1Bsj9I{$}G!|w_6ji ze6P1^0HTvRawRYwoz~p#DLG9?r|3vM$EE4$?A!eD+>%#EXM12iUdq(b*{K_g;IT~m zGe_r<$>@W-i&8N}M@O?%5+kAO=*!=2ME-@_e?H=-#T_&| zs}rImv^ju93vK^_;`BZvnTh@w1DQ$he}Fzeu3aJ`?z^NUlc1mGjE7}agX!X=McaVNSX4|1j@>|+MAQj=P-0Z#b& zgSgCo=s{fJpY>gq;e#E4c%Qe2IjJyc*HrZ3gE<%V2CV-EEpLK`fAXAQ0mbSeHy@mI z8h51b!~=2KBTsoTx2*=tZa+azFEkOm*4v{X1ce&gqiUouL80iND?6srO3M;p<}mxt z7=-m05sn7-!<3v^e3m9nc4)x7QimlD@T$zmU~)P>1geyGAFOCPV)5V%E!&|MaaU~! zbW=4uU9kaTN-~Fhf3}V_Eg31yO=V|IfSfK>lzpX6WRWV0$*Fa58H~7HZ62fJxE_Vq1=TV4s>$DW$|f0{aJlSdOl%5FO;l+fzC zSj2v%)?;&0e){f1uobVia42IA_wrn$Qm<4}-LADx%1G~!f-7v1#6)Co@gV|A{s-Ly zEE+@)LFHI=2#-xMscXCCOZqItnI+Aoh%AcKxD+1|d)BQFWE_ zn(t;A3X{b2!?n{oK9=@@yVOYJJ2QIDVMs02%(h=(f1x;eiQ~4?lg705<>y zoM;sjEMWdvcxcp9!Wm6|#* zC!s4%X&r4X0t`QbC{6hn&-xO;U|39Wge+S(}-(*>8 zUcfY9v8IGe1xfEFLycs$s)kKde+QA%hv+m`u);FqqMdwxt*zr8jB+?mCnM zV?-PFO}Ly4!H6E5t`|`m(Kc>$mua(vY}0zWfAZV6 zl~juJ>gpyP0SE$Xs-(8(OaYD5;E%KR9v&u=FDbHrN&LI zrFmJ)qa&9om2vZYDss7m1C{2|gNd3!F4goa#JwP)mu*^o=E!4ZdbcA%-ZX!T7E zmrh#R=3Sj~8fn?Bu|+}aj`xAne=(qwu(W=)RM<`^tzWB0j$?s`t;{JX=u<-3N_AIt zu83`T&+>FeJcrU3R=ar8#WLsmF>sAq+Br;8@@}LsQUWCh{6q|c9M@a?M^rGJvGq!0!6e52*Z&P%6g9{he{`ZP-mtD9 zYihXm1CLmo*he5VB3sWO{cy^#Cp5jAkz&Drm6N*dFJS_R>X=NUi~7h8|V0~1VXRr`mA%9W)cNdi&+?Z zgS7+j&YBY`V8hbFBKxyB^!C7*yqy>pRxQKevWpn3+ST&FqzJ~UMW*#%pmv|es^y;M z{v#7rD_Jmv2^dJ)Xk%cV>Z_5ol7sPfK9e$YTH2fv24$w(-*052f6QiYi-=}V5-E1M znRp;!kYZ~Wa|ULEWTxplj>E=<eeQCQk zsXTp`2U+FS5UH548(o$XPFzi7o;0RHAL;N(<%smDXu@%X32pyCdq`6Yj>7WYrz^0T91{w~HOok5Y zyAL89#zJh`DIEry-<^3#XMy%EQ}2W<6?J|6Zuow`@B6x)L37A% zVh=%-VQrrXf5g6g2CQin8!WOHL-U z!bFq|-NPNt623Bd^qqzy```I0duKS$IxR!kJL=)$7U-35P11qG4O4VW=OW;wjf3=X zg7n4(7Rkd2HkDfWbPuN>;doJo$Q!mD&G!i@%8h^3f5bWqQf^jbc1QUrMW(WHFpE!B zWODOLXOQyuJur5ZGse)g>LJLCU8+Mi2)GZTVk(=&kXfP`KQbb^)s$B5_Dd*P&!^^< zN&#BtIJh{>Ku$kT!0EZEPwdH+Zke?&2oNHKZJ@OqiC7N@H2gp7JgeeR6TQnP2J!?1XYeF?kCk5k4SJq;#@bRj4>kvu=TkI%lsRx< zJDTKEjeyBm%b}QJ1k_%tjLb%W*)OC1wt04(e{yb-&&c{qBGo%$8mbRT3GWr46VMH1 zyW#9RVjpd3ni0ZWzD#|RBJyzg14}~`vi$gCV7mzgDBd34lDZ{i#l}eHi@Xur7tT52%=s#d0EA!6ZOcMr8EUKUq3aY zewX2pbssbgj&4hT^<@4nKl=ikM%jaIMt^}1mY4V*>asX=TS}tc-KOjfhITdW2hKPi zqFs%$x~Q0eVkh53Hgic7d-eR-Atz(&e>3GsvK;PDqn#;Bl%VagLuz|bqL zUPPhB9e!KmLOL}LTbzqwBMP;3x4uO|-|X6fPBsGJ?yuzq;HTGLd-?1&?$>zVCS8E! z{ng)~)j?PcY6?a+*zp3>Df4Z&g`eij_d_VHoC^{vB9uB&(C2k%#4Eqg&P z!NdNysNfm4fOr!}^q236Gk)WZ`hbsso*Qw|oeFen@Ix?E7@1U~grgPEj_XDuC zj{TTYjOcd2dGxUu)@{8S;p+IPAVWkNHLJw~)FWCqXT9cADs7&ptxUDVSQ=8q1D*REry44|qX`*vAeosuLiOG9qQ5*_Q3~CCo$w@^+BF@9Y z#dk$RM)Jx}B?xX9lC^cle@Ae`rLmrKF1^UePHJPC7*SZ{s$Fdp-PWkXf4H@Ohj<=<+rBKcWD-smj7s z_U8oL5Ep$e{*Akq9nU_z!uI3uzxep##V0YJ-(ouC*FR0+iTAk-Kl?SZ`x@E(wII9S z|H=X90e9-8-Bkq@f82#8W^W4c=;^EXAHCnaeSM^bp^!sg652)dq? zHj^Tyv%Qk8(`%-ZEj6mnC}jKa`yjN?p2bil;{n(Y@XJt8f7xD6qdr#*vpswI-iD0K z_6m2-b7ge47jCJ|P|(?)Qxg#^rm{WzUhuda7o_0FLtAqp)DQI&hf{m#~-)zv-63Tre^?Zd&r$x5dB3 zFgdzvkpwqh^C5VsJWAX7E8tdpI!j~>@UW)Qxyz@+!}jRl zc%lac1s{|sZqj{4~Y9t9(IUKF=U>7fqSvbeE)Q-&;}@dS+5j}3`D7_qxG zW=V>`hy!DWK;#?y0PG|WRS~HOf8-kqlEaraC3KMJsM%hZQ9QM7R{q-9Ei-qYeg?F)Jb56m(IjvUEJvx{lK z(Ya-8<5GcRQ1j}pj8<7XbgsG_YKEg~+{}`|#L<{yE9RnzW7+12mP5gde|a_iK|)5n znAe_?Bz5D(+PzsjGhSRA=~fUEDCSD8rHk|%#nFx z`M^|#0OpO(jj|u3cw_PPy>H3RbjgCQk(jO1Pyq9156HBW;C&vmzK1mRID*Fho8cfSKs(Y!Lzzwr5Ni$?2GI zXS_Ixl1iDIP#eR7Qz@&ACn-F-^6FYWC!+hl$Ak&57n781eTc83yA zJ6mJf2$Z{e|Bq|_Ae_GAyH!BV)v|!juJ04 z<(VQFC7xu}n9(_a$F{x%Asrq@uR9@J&m}@}>4zWW=V%RBONx;Jkw>4>h3&Mp) z{csfif1bg(ur)gWH(^@XSy{g$r04TBAEr0r>xc&zZY^4W_BlaR#7R%YzkUl~e0`p} zD#d&faF@{sfAP~ep4i4k{nXcx@M}o;*8&N@%{MUu{}TC^EAC2u$k-%u;%4Utfzh2j6p&*j{>Y)c;CHd)K3- ze`jJE+DqxkH%QS@=6fK`(E1}f+1p%B8=;fE!|jz*HY(xC3*_k4j~T$;WSTOKO$K|X zSC>(qRKBn-Wh(~>_M&VN;|vzN7ud+Ohf`De@-HHb77dU z+bz$CqulefZY*>ko#khxY81fq~;I7f^L0<0pgjI zYwa8u;>G7zrpxi*)NOR~ZdP)KCUU;GqQYiN?c5C=EM##5)HIyP_f_yuX(Rm^|ZYJAsG zUvDC^Ch@sSb)%a^l$+mMFYFXTEKk{QNEa}$yt>JGodQ3@eDTru{ReQY3$w83Xm257 zuMy4*RL7V0b0N03SvJ+pSTBA=uWn$Y4^v*ippE$i&GydbDlhO(iY~U7e{xm-&tSB7 zlwC0(L#0A^0lm@h6`JgwYcusCB-ztkYD5UK*Lu9F_)a_c>?A}f0DOF2+r4aW@{4CIp1Y)a5zJSfk)uLKik2O6u7v)c*sU^ zzKy}^1{R(3ty*!j0nPc28ZNawBOl8f2AA*=R4T+4@PFb zJp%cDc!fMF=PRjl*7A{@FMrk6E+=um@UHa@s3y04y2T+N_`y@`)`Q{HvJMpX>o2v{ zD=65nX*D4PP7TcNbT~vPq*s*Fy2|zJ3h@tWZ!;n@O74N1A$&8&K=h0$!4XKK;65l! zSiKTLnSnujH4SVSf9ds4<~DIDNN?<_w}p*HdS_MBD@ecX3FzBcE|PeV-bPqe6&Hc@ zOv5pkV%(g^7Ew)n_b-4{in z5)`2;Hx-?u3IGQLnseDS09RX(Ix3<9xPznVN+AuvjqK(ge{$#mE_W<4lm!F0z>St( zHVVL1t%hmj6aaUgIXBK>1aQ6ULpO3afUB{M*N7MaoH5>6C!ztk-mE|s1Auep8+Sbw zd2^#LREYe(#w5QfQWx5+kyt1O5i%OR4OTg z!1d+Ko7pgde~a;3Z$i$Q4?t@u-lr5MaNF6V#F9hQk~_|d8{(pP+^)7ZN<`yvi-A3h z^a{Geb(SCwmB$739J+KWDvvv^SM3SWJg#6M@|@gq*RQH7n2X?Xst9cjo6h5k;wP7I zs7vB;*9Rg0oC(JzOf9a8esvsIQF9c*rs23TLu)9xf1Yhr;E7g1{J+mn@SmBDD!oKj z9(@ct){?sTh>X9+yscKG1A$FK>zYkI3BZMhWoL59050dSdxr}HxP;;n^r_|vFfDc_ zGZ46X|DFs5g}}vEC2M7L0(WiH2TI|F#TrweMS((aTS{v@2Z7+Gmes>78iI>littBX zxEB~ze|0%zZUmQM-%DVT5u7b$a|9~H+I(_c$AI9H$C^{PaI@sv4s z%ETIvO4>4NDx65i;XW{w1Z&tR(pFp%Fu;dMTX6nS1&d1BB36_saN6F!wzmT+KAKpW ze-a=;pthKZx+YhK+ijc!#KiWb@Z9t*(^*-5*H3{;-v%`$J8U|AD_9(P#YEp4{DN~t zOqqNMma0us3-3$gfLR3Lx9N_YaplLh;8Jc?p&hyJ}@Ig+aZ^bLCfCAt0oac3fNsi4ne;`M% zuar>$$@ML%$2vS#PgAPe6bm` zEdrR#%?;Jh^5|qvb&wt_q$KhUk6c)|pA#rUeEr0e8};-TFTJ1r^eHw4yn6r1>JuoD zkNovdllhcHKFZI2&FH>nbbl?3e=d*pl_So@>HdfRuY1sc^D3(kZn)$MkMy@3iO}!G`P8D-qN6j=Dj%myV3Etd*CoIw30=Q6gjW`^F^c>%>1sd zp3k)TDpTtsJqyuxX?`1Hxwf!qyH3P3kstI-RUlRT$6zN(J1;`4ZnpTre*-SG5~udJ z915ux4&Kyfpc@PhC1h7fJ;9o#n7L>f3Ga3o%FGf9hw;SMAmt_52BX? z%zVn>sB>lP4B9*wHT&lve-}+JFn?(b=(L3p%VrHbRd~j zUbWF%KVy@TCZ;Bce@(@e^?hq;$SR|&0~r&@Html<=IDwY*=O$_2%0(SWVlu@un^p~ z%&?K2$vJIC?jj$8I$f$3(ci3Bv*Jqo`iJ;p@{jH|_V+fJ)I7tb?Bx?Xk{6*`(5^qVW-+;>1G1Np8RJYAGg4w}TvMU~ zCivrpsu*Nm;1f`h)u%z3-0MlpdOqTCysgCZ;OPg1kXb_nk1WwNW~Yov;ZSMkOuwrm z2^_JkuM4t5f2|bs2c@YB1oqL+9G^%~c(FgoQ3O#vSBUPYu1qT?3(<3qJ_oX6+GDU* zeo=-Th)+Os)^RXH)q#hg>2h#P;E8XSTXlsTYVgB3>bJzeMh?kO@({$rtU1~iK-F}jjpx$aqo?P@e|))lg)E%<2qdQM*R#<0XGfFK z&bn0~TCW3=SWNk@?NjDi5gn+O?^c9BXUDyTsa7#Q-9GgN6?KU_4CRmRgUXn>1_kvv zeCB8vW6F`7+Fn$O$sy17R9zS}?y;-NxX!$hLsWL4Wf~c-(#M^Z(u1(w>$pWC60^Pp zS%z#qf1km4b1o0eDCksMb$YHApm=LUfc{!Q>RRM+{H7)YzQKq|viAe?L}dCKw=%yg zq#GoAm06METL6F3qN&H-B{+Ceky-FRp&56l&Dc#X9g?`XwTwz(SUNvbLur+lnyd^+ zx|>gK%M(!G@#*Z)I@cYX3lBc2Vxc3NeM6CZe?(}5e9!?A$9wTSs>4??G8Mq4fg07; z`ZYt@g6d37Cqqm5$DkrSDMAiG*7f0Qqa4ceUkIOZwfm(KfWhLhOWvI^Q5jT}tstv+ zgNuP(9Gcaa*<@Rl&^1d(T5r1qvRq6(jr|f>w24=xpLsefx~J%|t=%>Sm1~+~{iEbG zfBczeiR+P|EVt8~_I?>7ncObw(8~~Ez)n^3Dud_w2-vdE%aDHP18_1ORnJ9=fV$=4 zbQy!%n6Yxx#HD&B*22IUhFX{Rz`^E1lL$t0J>yjiTDP+5zao9;a1Zs8$luQ2-|zw{WipEnoYf0oEi z36GkRyT#4+J$*l-sB*i0yjhCR`@W#3rryaArFaNhOO6~0$Zg9pmCxPAnX{EAR|+zx zH0_4ei(pr*I%~Wh*&XjbD6pEGG%LEJT!1pu>vt+5IY@pm>sP1Z zQ~Zezvp!HjvDPo6myKMCn4Ebw>MCPJ_N!IOK?gq4=~7*8%jCbKc}Sg;!y9~*W|Cpa z^cNs8UR+6BHwWd51x?~@*lCbS;tT3%hK9~|eRbd@+|MY4=8 zoK_8=w#Z?1b}yuOT?nbOe+`#gBOIm@Uh@aDJ2;s^z({*{ju))QPgVCVagZqIV6LeL z-P56{BC3v|l*wZ-)m&XGKnV&-nYLOk&%m*L2Ra*R+EkuXo+c9*Jh;^fiQKYe+)_SH|h2@pU+(w zL7okyXpTim~~*HiphrwHH%qGK9-sRu^MtQIz5MvJNea zs>@fLEky`nyTa@^e=lZ#PCyFrp_f?k+~?W%@M6sPf6qR=`o!vWi~i_e`ZS*_c5>jK zAOk<${}bT%==%@E(tkl*tt;^Rt0OJhzr^N*mp}ZG`{%xj1^)QymtXx|IKUHs|LJ=l z_qY9>|Ni&H-===suZiVisn0L4;rkLF^8YOYy#HDX_q$93f54L`H?uPKV|>)&F=*m* zxO%WgWmcrI?hIR3fz6;JV&ne~|AxQ7H;v}S;1vNffvz#GtV{@P=MMt{awO2|VX4X5 z7;S}=# z)D3nkY1J~Fe><(STsT5Km%W+DqMnySC(F~2`@2V=zU9b4NTF|t0t>bAMFKj08sF+vjXdH`rlc*)=&|GFc8itRDS1;{=~m8{&k*2{Xjkm& zZhw3hRLDc&o?vBc7_w>IzNy8?LLK{a@-cnoV<<85Tua$2;@cwe_ABe^?Aj7qh_NZ)7C&DwpVh;a+8av z)GWjnAgMsR$AZFHv17F+#%^^BJLV>282mmss@IM1p`-eV)w$0_ofOY%nymDB3i|^i zv7K`)c#9prFf%TKw%A#Qum=8DZ}4jp64es-LgHGBT@ylXe1nPw$Ip5<)oMO;n2$_PN;Ec7YE5iUA(lSDpn|g>7?#uT-aP=Ga z-#njSn1>z#|G|k;2GHOIu5S_sk<9TP*fiu`3gB48bV+S0LrKb$D_sgwOnnSCTgIC> zC|+ zIOe*6hdB36CMWms=}_fnOS{CFrFPgK-i@r-;|0dbn|Fn%s6)%%%C?NoL;M|Kf00bR z>+D6QOfFJ0DNvhBkdo3vkk(qfCm?f*%9*MwbT!I?r0g*f3X$l7M=~W;(a4gMuEP^9 zId4CH0O#hQ8=_8{H~u`|zr$C=#N~-R4h1q8tbGRISDjm9DxED71ghM<2=9WxxoaYB zJ1%r>X-JWw{D7bebcWjMc5^a^e`H75j3JQ%Ix^9u>YXf9x4Ntthlp~ZbWT>q%An)< zf-*9TnD4Q@HW9bTAeRc`mBS4sEL0(Zdtmf<;2$srJp-mxD-0DU_xpAt zM6S*6`NIZ;9Y}YS=$^pL<7t(#cJ&+|owv++aB} z&#cTCwEW>T?KmKE&omzd)7_O*1S;`mE zWT&&rq)Pdn#x`R+NMpg2V?Qz2#`eH>bNyruAKg4IK5ym;Dp=QFJQL4mgr=Fc=1~D6 zLO!kW_Y=bLm5s8~T%uz+v8#Ui;fHVWVenKpEj^fHe%n^y)A%>?Y~h`44^qrCacDH|M^T@5F#4{_0w#$5BPUPcPJ6$G3l zN)eu2UUo{KfF20b8UhX(K;C^&aiX;oXAtbt+y^U=lUTAPrZI-_)U|vf3`LD!g1WYY zeLl&Z%vq1Dh7Jrae||GMy|j<&5tluZu*T3MuBPrVT13hio6250adi?S#&*M!rH@j< zG1Z<|!GZ(e0Wrmq$l2}*=ur*LB0ZqTplG2#g)mgHWzNSJ+Xdda+@N!_XNODCCvz(Y zXE<~fBu6tGL==yE`4*`1kN0G#c&$T+XOhAukmba(ibUUDe_*e5J%{BM;!;($;}nx( zL6vX-afzkV~N00m3`w^eVO!g9X~ni(&4`@tSBILlt!#wTHkBKye-^t1l9BMRa{)TLYa}^QC!yo* z$fE+YhzTc^lo$dT8tvT!IU|>YM4@E&`tTYod)LnmtK()VHobcCpD(RPu= zIf~yuT3&W>TPCr!GAf3L_FMg;n)f6WWnh16>xMyo8(yC}LtxK=q}3{F$F-DPLk%rC zD{RYF&(KzF^Qx(VOF^M&n$%Xft(tL8cf^G`e|w!{zX}rP+N!e50*a-vbG>O7e2&M( z#WQ~Z$hap~VprY++f@gIEuGx4wg*yraT zvF-Yw;JZX(=f{LLzGgH3zh*PPgB~m%GSi=%WRW1yOiy~}l88!d_gXvGIaD^&6%jVT ze?f|mwR6SKAvB|DD6Z!~Xl9^0%gI8anX;JO2<~UUE&fg7(!F1N`J5<1{OYauJ6|8~ z*FbBYb7@j;bTdC~yTZF>c+gFKS2MAq<3IQE&3%x$Qh6+3pf>yEfu~TGlq(qO?CM!y zh}%2>rn-I|vAn!`es_t!?_R$1!7dc>v1DNO(7`9YI-~{_EU;Ofe zkGJnnUw*)U!_eOFngow9W48s{TH>cxpI?0V4v{?fod;mAxGa`tP7dZxIgq(jk3jOy znqGQGf&x1l%As0_MTteVLMjPr&x`1XNKm1w(-ofLk)i_*jSrQbocvAvN&fixpMUWE(>I`UN?Qu?2G@RgqcpCC zO$A1(n<~4E4vYp1_DWcHz-S>99g zk}X$jKiAN8{X3|O(^X1Ix8Od0e+#-+CewN7a%E4z##Qg1;cL;q`o)KT>x+GIupG6y zP26_kfB*c|$M^R^?y_rY!{-h%$r{~P{SN7qKfs4}^WbC;e+xyoI&}G0 zVieu#9dM*8P;_f7XvNi)#C6pK0(ko7mteLt>{1LtuGxb41md*r^Tt(L0J~Q?wY5qH zEATN`oIGwJ_Lr_ZOzh9FYsMZQ_LGqfy|s8B>Q7$X{xa9Ai$C1K>FNw9sO-XGt!qtM zDXQfAL(u5g{1^sinvvQRe>Me}6`U;9F!<2ZZG%H7U^ZR7nJ;GmW*eKv4cuJyVjf1nrseaf4$W+Ewp zy2212U?re-lI8jJxTXA;_!GuP)v7u+h3JYx%to%4Fz>3eM2doU#+K18;*G^_4>mVo z{(-2%PKiFGX-0rbL`ib~{^QTiI3+G1{Q$C_uEz^)hjFzIJ)v9Qlx-Cq?# zJVpGS#@@%**!TN_fBu1vH8~R&T(q`~GBl>k=pRyxAS}2QR^PzRIzJrC z?|1~`LqGM|6x+43V{`lMpZ_^N3VcDY<0eW9vBlQ>?HxG-e_J%i1Q->R*&iF;ffw<1 z88nEAwK1S@SII%JNM~k8vyjdrW8y4YIIp06({TZ_$TG`J0-M4j+nRdMIiLNG7~EZj zdnLQuYc*MB{yQdE8GDiJCu1tOxf`_hPod98eDtu)vm9b~Ml5C6n%<@Rb#6AlhwumfRN~U%eteQt3*%jWC~F|NiFV>)Q!v z&Utd-9yR!SDc)4c}?FCHspfWBQ zyoOE}j(WiBfv%^5YA~T9m+xtP3)_# zp&6oI`A@E9y&jm`aNhK1_#eY{4E)Zznlt-8{nGn67)YBnAlnE%1lFsLePp!Z37F|F zttTEJe=$G2eB({DZ}$a_@r|Wi1OV>2Uab|-0k9>eID(;}(j!n&rt3yV0lh%cVqvcW z1%Q(}+b6kn06cb`FeGOHz!xPMb9^KKjywt+LpE4_2+Dh7`-qNR#Civ!Yjl@#BKsAd zEnb6^KvNQNfWYtjyPx5!O`0ZgiUN?wraG|Qe+dd8w_Tg^kN|m5Uw=-d$-jJ$-}(3W z@3q=C*A=eioAerB$0O`(*JCFqf?p?<8xI-y zfAvMRev?Q4GuW?MD?BM-)3|m(%xtIt$+go?jbnVu=?wc7)!MQ;AqjRD)Kogybl4r= zmvPBOwOwqE3nQK}`D8>)QO!%%IVuJZNJ=^rLm0ex){!JZk?-}I>`?qcNvho#-pWI;+3u(n zd1h$$vV>1}n;o6UNJr;B=(icGA*3FuR85N@q^>~n1t@lRq8)BYtI-v_lVry)Ve6A)K6f4L|n z;d`BCW=lf7wZ33sI4@H|d8J>2i1l?9QSHQa+>M&E)QJ&^z*F-hJsb-6pY1Hk6;V!@ z*sIomW78qaOFsabboGn?mI3l&mMm;M+;TU!xeo-cwWc;xmCC2P0?C=SS_$k51ejL~ zh4eBY=h>~nu07@5z4m$i?!zyMe_I`q*$~C?m;_UP`{#nYt^0lN*Thjgd3@ZJ9pgFb z;)DOZZH1V#@b7gWX_H1mO1bX8^+$Jq&#fjZH9bA}mWZw?Y?V5GjyUNO1Le?ZO=Qs& zhPZ7_GjYNtzviwfxL`z6&4%rU>cZ(*4$5xWEpHzursDU{p1$#Zjc@*Sf0?z*BFY)) zIf&a?Xk$|(2&1Vhjk(xvL+fdv99p?wV;dQRQb?8~60IW}Tz5*LWiD|;$Y@7Q^o0B<9 zAyf?i06d8iQZWj`R%Y1@Dn{(ufR3T#W=P6PIilY0*;)HI@xBu;2Qi7i28I;dGKcc^ zx(1{xRs*g#G|>{2zR{K4WTxvIO;_y^e44&7?X+yrlJx4klJj`5f4;G}vUbTv>l;V< z!%+@N-)OaUIs^!PqbqLZ+>`z7{=HX4YiszyedY81nU8CJvYf6aiVm~=Y=Q9ktNpSkO ziJ@L`!Oh~Z91$15-d26Wu1=0NQAUfRvc(h=B`qiK0;+Pne-@H5ga~^P+xOCpD!sz> zl*wVQ*I+nmLdBx2Ft33&FuO_QR$6q{jtf3YY00PxUXUV`mgM}cQvv*!d_nnqWG`~> zrkHpW#ugP z=ZpzpD<|hNJ(q*9a>i7CeQc_gGtm<5Ku#C;K~8nWgbcEB=Gyv-Sdf*|5MPo3SvhCz z^Hm&#f0fg;6u!Wr@Fp*iP_vbb?_r_yKXHocN)7(oZjGl!dmSf3Ydqa`ZPk3b##7al zioMZ9U;&@pX%SaL;Px=1Rw*5N`M5zQh1ZSRVQlgb}p8a?y`h6`>hHe>J+xe?}F8u1ZyE7DWh3m{OLqTno=D z{4#9`*TuxH!*%)C-2ij;fJm_?xJ{JD-iy}HNIZe^FMY8SGw2y<)JhR@(NunUvdm2u zT~VEzfE_2QS?i3y>4XREI9waY?%|r>CWm@ngOIq&RS^Qp;JeJotpcgrTZ%|H3q*KJ ze;F-NCKeeb5ld1~!a*^*>uY+(=(!v^t=$E!gAFCIq>H)TnBey%PLRqn6CvCs{l0y-pX0G!~ zk&Rs+f%y7^I1wyD9mFOY&myM zB0c+iU?g$NA*2{o?M-_Fa>$@csXMs6L6s$13}{C}+vqn|>xkIZI__fsx2|vu&H* znYUHTwnr;k6p*LnSJ8#-l1TNV+J9TR)C^nT#~{3~X$!d`KLm**lK~9b`DIy|p@{7K zlI8saF-0~C(2o}*vFQVFQ*5f2F-S$4<-%s-@QUq6-F6U&8s4s9kuviuW@}9f$TV7L z*&C#qMxmO5ZYk0!y2-GW;es{EDe4F>`PXn2^vvGnW286u2;?^PMscaqP=D^4I-5(L z_ppmUi`bk;Hk}Q~o7}DH7{hgY3_wLVKY@1rooX8%CHIY%k!m+F@u_;Qz0bAoJ>@tb09IM zs3t5{z+|~t>Wn80E07mxh%LTB_V&65YSWXf!n-0-Z8wtxV%S8v(c{(WktRyd)|?&L zLHIGK-j2@aqf8WC$$2fRvuvSrB8qs2u?6Ul+>4N#2Tf|jv$>QCQh!A&W2p=_?|smC zQLrQ=9Np))kzjBUxbW*pxukW^x+Or#B?+UGF^W5NBqu+5SIVS_R2iDrxwmC-L)Xf( zWz_nh^C!7YJbF%lOsus78A*Hyk|MjypeN>nmqll76GDX2p`FW8Q)8*v{Fc1ZG|QON zKW;B-=b;==FA%tu^M4bR(Xltan$Mvd9T_*9DN+Wbqrd&Sl8rPvdPA;Ckom0-K}2qC zGo|tD%~+TYZDiU^oQK6`C1lIf2dT)M1PS@hw9wGbExs`ML>Ef z8(})EHt9|z6rW-6aC--?x>p`NILskN`*ZBRZjS{Xa!_`|cvL{Qgeo$WhAwFt8qfNV z>C5qAOZo39N#M*Q8QY_`bURDb)@rYvJKQtgQ4x(<$et9Co5 zTl1>@uxvjbIE*FU7RT>_)(qm-aUqzm@|bS_MfMKmbZ(Jm2whUEePNDmJ;SODc_NZ8c3@$+5 zJf>Yl;?;tTmM#$juXe8vW#ZI;-2I>`G8EaT-3wfApS9!lv2n0kZ)>2`5U@35M6u|Y zG=FV&Xk3QIqyuf?N-2a%cT&}^3O}T4OfjF|NMQuJq{%uGP!Q(dg)c9bYxEo#Uv4y{wTTh<@@#zCPeR3){w?+jvG15b8{A?kZ8xQD=p5wiR*;v5%7BuMHEp$>m~d&lU$wE;FZ_vwwJW za(T6^?Pusv`~=MPPZ}Bf%l7OH&5}wAUq*FK<4z8>t6gRNXYMXn1J0HR2?94R>8G~1 zl!c7Ijj2W1W(k!yu1_tea45WSb7jQBC$$@}EFaGb@0$8;PR4`_5d?94qGFJb;Dx3^ zTN=K)Q99d{RR@0;(N&@_d%B11Mt_1+Rpa?GB;{KlG9D`EtS+anWK#~ax~%rXbQXCJ zgUzUu(e_dnlHcu5ChkCm4C=$IOHw9&=XYwYBj(9(e;bS(uK#aneAivn7({J-aNHg; zggoG5hs4s|K|YM{;wR6`sa>ivMs%|>D!wZ(UQ{6y7Vd%mQ9q-YLgCbvTYs?vh{CA` zw)K2+fDXH3_Sl_v7P3D1wrM#~0+YGTiNk9Joy;|6P1wa0GPknt7!rO?5Du~C*TjE| zR5utu{5*T_>y5X2{^V3~OX--tr)SkBMDrYAzJ4T^kQBp;lB~Dp@;_!m-ta*l>f8AuTWK zq;ytHPG&1gFxa4qnn`EZiHgsDPy7v1m0$9$c85?KN0+DBjtQl4FN} zmRmHOo?j`Z1jOnZ&wmSKq;QnK<=BYIv8lV>h{6#SHO}N5XkydzOKRd~>*b_`jMdad zt(1~LQFC}b%7zmn(p61se0t0z)KS$T^CT)vCZ6Pr$R>UA@nAaN9dk%3n$>VT$Ni|D zQ-2xiOdmb7(8W}#FRNW$!h;>~fwGG;1?jwda-a*4(In@PLw`%07~vc*#DwJuN$0`* z)5-!q_9D9N-V{f}KS4XT>DfoiLdwx@u%buBbz86tJDpt$x1btfnyF%u4^o3O0WQu( zIT)?B_7zIq{-`>6KUoMLh?*=peNxJSD8A8B!S_gpl!(wc&@<0)+z9Hr_<8?|e# zVrc(yZETKKd`?W!;&lNYWBdYd=Kb)KX~FF#;O^f()o{h1lD>t!%3~A#Cr2FUi>_7R znC)JL-n~PvLd1$J`KLawp8nG3`46$dg#NDom)5*bSASVz?rZGza$V!M{-gN2-~apK z-|$E%KXOfg*x*9`{3n0#$!CA}Tlg>d=KG(%{rKwnH?axkn_u{R(>-xM_j&WJKlql{ z_wL{S-o0=AJ+Ci6`5(pqTFk{3lJ}qdi%ZX!{ZHv~jpuVA#GC)x_Ub8J6?r1k(tNgPIFR2hsq+m7(HwZ?9IV{$ zkdYQL<;J{53awNPN8KjktK9PV(UXey)ihS_MAa(hP z8%_Tm{A%#W1VY{)zuEi9onhvoBlV%7qAxu+{~A`y6E1`Dl-Wxr{wkse7UW;odDX0 zPLg=8CFSl70qLXRXCn9OKc=qVkWmjjwWcj<8MdUuZKwEi;%SMO|4{rJ?w0q&4i0CL z&woDnzWSv14{u+3icgpL+T-{1 z3aZ2xwHp?QbfX@DmgSLFAzk9DQ?{GgRA(>vI{89IclMecmv#}&*^ArGsbuJ`a(@qm zSUQ5`bZ0Lzbth9sbM|&3bZf|#*!@i8((wl^~tH z!hno+2J6fVlm@FV1Qcg)#WWDaU_?9t%DTj8L=T;*hNwabx=pNK^M)Md?A7T@W5jf4 zFJBwiPTb&^FFty|e);~rH})q!_i^9(wl zO%**Vg>^o^h7$vR6!GYM#(2$l=ylm$gmYytT=YK=uo?&6| zQb-Z^hHK*jSV(a%Y~-{|K@A{GM&0a5U~})n9M+G_)O-ZgYiILB=oo+M?_PiO{^H|% z{J&p) zElqTG@F25KA2G4Ohk`}tQ}zl5?f4Ps_S;EjY_>CSkd?&HY)9LjmVe_h`%cRy_l0ou zsn48eMy`flpmVx75m~77F$g=`s7IQ8sd+a?VuaauZZ_N~DEeN-)Y?2_!<~uM;30D1 zR*$P!w_pEL(yVu<>K()!{kuC;uVZechOwAasWxMhcWdh9Tt_vEsivNJ$kr>On|iV5 zl@|=%cY2EAOvoZ0Pk+G0cEJrFVe0KKhR;LAmp0N$&KWT3BVcSS??AS@^#TX$J7s*7 zs+U?G87HL23S&nb3U~~vUW>CgP>59Z7ItHTh{1omO?-j9W!SRi{pA;6HtR@_)C?Yi zlGH-0lpJw1_N_#sHNGn2Vz-p4@kK1y2iPc$Zy{^xQuNuk#DAaS^XW@`QT*cLPrPp( z1)`P~h;9tr;!#hs8Ey4iAw~5&+TF=wQ6ihQ&0Ry%uk7Gc(CsfpsD5J`NpTDnkXrmo zF9kH!PiJ2|LRUJnib2qQ2o`Gh zV;Fk+?4Bxv;PyR}*6wZv?EXbh74@?y?qAP9La7w({)Ox63z0M06L3&uPZfFGztobh z86m>`i^x9BK<;|5^TFzf3D?so?qB72|NlZ&|59(R>wh?KH6FuJpIt)l+>`p=gr@#A_m(xvsOn$McDa@?1WD?jU)45C&kaX4KOn$j{%I%!dWQmdb#7o+qBwWxwf0KJv#v9X56@LcxO3Ij8Hz zKnj3IiIGMQRRB!av?p*70^o{1pa3BNCPW@?B2(=jfaa|&C4xn4vM@oOz8$V(xsv zfPckpF02FkD`%IW!Rq>Rt?4bh$Yc3qkZe3$;ZQ=f%Q5+>Qo0VPHz(B!VD+ysangxw z7IZN>)+R)#f2yYBRU&9WQvbFR3pB_J_W})<{TBi^vsxd$*<}$+zboMNN91FMn{!Ut zCp!p!dpirg#H%ZP0F{@HP8peZrIu8w`G1~$1YR%mxi`KZzQ?ZUl@Gp-flZYvKuVVj z8}`C_Bxt$tY_mF@2Qjqhc1to{E_Cz^6RHZ0rG@4!l&~pL@1~)82bZ$>!q1}ES>@FS zV6j`REL!aq^YD#^PcaWq&s(#kczN2}-|!4im?z>1FW(ctC-wQF32oEY2K8OEW@i48-?g_M>@_H2iao&bP*s$qS7 z$b_;7pkiX;grV@pW8lA2o+3xeiK>yFLuga$5|@;_mNq%sPBaJ4lnPLGV%KKbDj&8J z2YSK}We7WQpjACa>a>kNLfcAEA%F7iy$=%GOff8!ov7NZH*q05F{8e@SVpxI^9^}p z9LP>wpAAcr(e1?CsnSvf!cJ`O-P$E=PV&-^y+CjA0_^kOy{)(s9@@)AdyAW<4lUF; z$yvCOC_!hBJORzkQU9JvTWq-r-(h*Q#Yo3tC*QRTCTWZMRdqJsEiSgE#eav&5S)2& zKD!MeE-vNbuqUrZd8EdH zgYGf~LTaoG(shUtQe%0~=qBOrkfp}B@R=Mw!fIR?urJ*YB*+h2OUd3CqR&R;T>_8zemKPi6!*aCw=d3`6m5KpIW$L0~h{@uiHC) z?Labt$=_ufE*>UnJ>4vnBizS{nu2j24kn3x+3kkxnS0U%!AGS^*6mb^GZbvKaSOuW zJbJNMLB*U|v3_azynji|D)_pCXYhExcmYboLVu5HSWXs{Zg7x@^Qu02gb20b@s0P` z-qj6nu)W+#Z@E7oqMvQn5FK%hdguBH?3+Pfp~*z7<6r#po%ahLywVpoJNx{?`yL2T zWrd4x;Sj!jKJ~@zyS;-&||!GBF`Vr%P~KC$2T!d>m& zwd%Zl1LAi&u0)^xzWBG9z6ZNReE+$06%@iDG1%#-%pe7Y!7j{A&k~;J%NNhwAIjI~ z=iV>yiuRx?-|-iO;O6`C19sn^WAolGy?+4a+IwP&_5S7SFTCGBd-EK>q^_X6T?Mg= zz@Iu^5X+ai9)Ijh7wx(Kk)SU4ufcEL+n-^-rS>u~mnaR3KU7y0{b#R!hCPw?#Pk+1 zDG#5#D5>hMeu4(TISJ7Re7t5Oc1y4;b%iIriKT=%4Fs<0i-}~W4`04@)uY1aY+q0t zbXY0BUA6E>=ldSJz<1BUXl8jPLs0ht=(UvZai~PuRDYcn$AO9RYH+2i;pFY_fA;#h zJJ2~dm^?vL8KK+(a8rEMO9avI@5VljtK8aPzh5-5`*y8BuI@?LzZaiIuZwR_Le$sE z`%Bky1#ERA5ppVU*fM-b>;a1v8^CRKK|d!H-CwL5or3d^e$QU z12(u{6Mxm# zdxP*Xh>aXwn`ME2d-L!Ii~Te4$zFhtu;ODLouE4g6XLj3g6`L;yClN3#JI#xPk7J( zpN>G)tg@c~Zuo~JhQ1dzEUlWw9u}RrC$F7Eh=1|eGBRw9U8rAWMj1g8@Vn@K3U7SA z#BZo-At9F_8m_6t=M{cK@rRAiDe9WSPB9JTAB3AviP9j%&3}$fo<9IhC!J*sJ*4h| zlAwqgB8Q*!$9;X?;THf_8?9D8aplj5zx~eF=k>>5xUTzy&+B(!-T!7;N_PV)8;YzV zntvNmIUiLCkwe!pf4~q?FQh8zIpgKk`019Sq=%0!|EoJIpyq5kU4gU$Y7RSd7^2T! z;4n0Q5Z6=r_~e7#-WkKf&x!pRG!tTvWjwrOpD;BD^%j3}*gpnUho~9Ol}|uTQ|vGs zwg_S;E|<}jf4Xw2d!(+Z{~0muJ$?4f2YiZGtk7qNaz6V^{H?E^Wx?gL}1E?CdEt`Rj`Z|85JNIYZcSf-m?Cp*Cq?aYkut~X@Jf!W-4r&5{q*fCY=6t~`U?=U z-gQhY59EI(ZWpNQIX6kE_J=aQtx}8+dfFU)yGqtu4c)}N>#=)4z*gxfi@bW{y8~it z2dXNR-7bUoc27yHAs|X?3l`!j9msbJ+f2Xp#THtyR2dh_bx&Nk>-85eKfK4wR6YRH z*6A`NnSBIWit{VwRPiMKB7d-4>e_K*f9iwl<-y(@mr@J51EOujZT0N$h$-R)I9XDe zgtQmo?Z*#bt6@hiLzygH1H;>_Ta%?Wb##@3Fj?yKm4ifhl(ZGZb}G)*fO0V;xJ0b4 zG!tp3`1iRYx%mDQT=utSGWL<{OwBHr;ZafYqVD600Kf3Y7C3OVJ%1L4r0(~@TJXWJ z1TtEN7nA*oXc4hO6Bmx3@W)hR8$y)mGF)Zp5yGO&)#}C+LUft0?V4~!&+swiF8Sq} zjAq6XO=8G_QTde~5Gx0MIj>!g3z)N{4-(;F_YUj_V5lxFSoYPbOyx{$5yy2_K!wTO z@68*~p>(A25V=!+nSaquTv)Z)i`{KgP?lC~!Wl~}sK9%i{3h|Y@IQn<8}~5@`x`CW zwr@as&SgPh2n+uV3>S#~-V1|&Bb&kC>2Hk;BK8raG3?qJ^c$nQSoEzuHt;~Y-LS($ zq=PAqhbkUj1~QHrPeqUnWSE&Z@^7CHz9Ym3OT%FEg&Z=3dVkjnP9>CdvXkJ#4K|ZA zWYBcg@tB;UyuRfuK5eUq@5AxcYprQul_(;OUlM-IBCg4N)c>bw$tX^ld`75R#K!c^ zFFv@Yyt#`Di-58%zK;#G?rNPw#5k+Dj#*#40C9cOGlas5Kf^Dv)%yovExsm;n4Cz< zlV=kH)M-Tt2!FXrRoaxrrBA%BV^hYlauyjC^a9qJrA%U(z$cUUUl7CR2cU7Q|4{mW zvG?A=jb!Papx`|qm899+mPR`_(ypXA?QB(bcXewdZLCJCh#Q@BqaE$t>J+*y<+>km~r3s3;riQNPLN;?$LYlL_BD|%6bwN0=g>L_U&YmivE;5A{Qxz@C%_qg~9n&`B4$JKS#x8%DgSW1S) zOn=gw_HeDsE$J^JH_ zq@H7NE=HMcXJv^Q6Y7+T`!0Y`59sXj05!m z_+V6<KzQR&)u zv828EI)ah`@hXo_Xa62St4!>_X#{;vXO%{E)Xa<4allOjOXcNbT8{wV)_-Rja>o@A z8}m4$v78+wIaZao!0aRtJ>2+2?VQ5-{Q($y1-4T_*+`r4o{*s*H4+pp2b_dSsNVP& z4{2TY?!*2zz^(#+_NA8xUUg!Yl{k%vgeov4)E1sWm{R{T4GobP&u_HrE_=JWhegH3 z#R{oaNEDLfDN`GsTcU)SrGM?0tDS&V{LC>mz;7?WAy2Wbc}pYGD(x;-VB6`F;Fsms zU3V0(+@9e(5r8JzsE+&Y3@5kG!vCFP4(kB7;2ROpH^F}^hUN4}QY`Xn&Lb2|&jLeQ z?V5_kQ=L8=GD*m@nJ7fQbhMghllOx~jMg z7%VyNEdI4?Cd|`Z2go2^p9V3&J7gRimSn`XMj{Ybi|Oer62@SL?42CwW%0Gr*R$Qo z3IQR@_!j%AH;>lJ_k8sn|DJoG(RZF5*CWg5Pq4IEbvF5k8LpXO&Q^7pm@E+~ zZ1N0WogReHoa(4!H~R7esbgaYXwBJ$Bd&4AX~Z=(=7Hv1SWr?%g#se#HI+Ln&W z&bxSQCyky{Ta}CMLvb#vQhP=~57$w2IKayMNQR$+cn`!wh+oL;jeH$=wCUX<5Bv$i zKi;9aM-5oT5Cp+?t`-~lY+|(OqVHG;GITs2zruh2bC2gwA9{S@@$5O+1uY5FdkXsG z$OU<^>GUwNaeq$dRL7epO?;O(h$cP62uPT;z1P|Lw45K7Q>%$uG34x+c=4i{MjsJ^ zgFRKba!R5H@E2G9?Et3Z zEv}>`json}XMEKS=M)kP2f!(th8~AjOmciEjd>-X6 zU<_?PBy%&JD~1G9Br8fi-km9R(9X%;7% zn7GRK9)A!s-Zvz2Zt;%(6b$D;5rY51y$4_f{06JA>2+WhK_<9 zc|%R(EO{g`xCq2M-^IMAJGS`LZtFUp-+cb;>3`dYUe7-J{4I8Vc(me*j`bf4m<7)@ zsRD8AM)6T+W(B*ohHnxLAQ4$pQE{^-8lgZQQ{X))83~+TDgCSU8f#ZN!faY;wq&@Ck~tkRBrVZXX+KLC}XsUW@`hPMX(dxn&v zpsyrd&X7_h?Dw9DU@1jf#dNulEv1Mx7)NDjDaAnDd;^yzrMOtyo|Pb^6q%{*t$#ch z*!RG1{hwjE!v~it2qt+s_8e@wBB{y6iJ(46jDJ9;TcJhbmc}Y%_*yNtW{-%dBEYre zqGB%=R1BBp^YYbluJf}%V;F3^sXoy$6Lsy8#7|aB7Bqm;&N{apO_=t!p(Vg|p$$KX z^^)Wpb07c{a1~c7C8>jZc;E5So?_i~mLR0*^CwtLaauXj=KKK`t}Un+ zqYco;%4fGZkeKD5@T3Hm+x`zf!EU^3NM;rSh-1;c6J=sY3y7?j=nxt|9B2DtkI88% zR0Si^h(p+{T_EW3EvRU{M7AANH5f{>1E59{1`0(^*VYV{gcZ1a}I z;OC+VL-jtf{+fqvmpt}p<36@LeQFXoI-KAu(TGbIHq9U~Mt}T*>fKCftGs1orNv_A zf->VmzXyn%uik#{=>?|4(c#GrkgvLS*|s2ZJPJ+6KF4np&^*f?|yu$_x5_5?EzERD%wAyn(+NvInk)a<}PF*s<* z7Egm}gXX(~KXF4#tr{>8ff+dXRxwsTdLjFUei8nf-AT3$9!p_W-y63p zqhWrsO!)ZWhoFu)Ox&&zIVYX3JxTpzUuJSDz=WWm0)INx$IXqa#M1y)4Edp`xhSzn zN0U!~g(caV=$g!BWoK^|*O8q^R~wl=M~mGZT#+FZUBj7UaZ<{&Gd?TykLZ(NS6d8A z)ap7xTag9i9qs1Q_L_Lc9GQH2@C$gneirB^g1cs9B~r>1gf%wgUz2G#a1n+z3=?e^ z`XJm{Ab-S^ns?;x%1jsrGzp^PcNftS2w&S96W5rP=0Vqd&ed0hAXxYJq zxTA(kPid|ZA+%-PQ&S^ccU^*e*iqc{g@{6TaDPvh=*QNFbZNzu)@-$^%_d;mw9Xor zn`I9ApK~IJ1&73^D1Pw!(*Mp41S8vlfGIeclW*l9MQl?^aRmYg<=QdE!|&xI2PY`c zI2Frhs@%4x`b&7w0|VxFj;2z4SyYk;VeMbKW9B(z$KXSg%P(#7!UUKN^o<{@j&9J& zkbjc7fs^w*IvD^ggYKKw5uW>}41b%T_3&VcJ5gQK{`h|&fF-$3$g6;_l#As_=SYIM zn{fXmDrCNq>t?;+i*I81;)+iR@{WfVC-`VVUQ%iA4ru;6kO!sRz&~Sac5Z z;0^9AKUK0QDMX_i+ey#QmqA)WUxTGYL6)mHejpzVFue&L)t!i8ltz{h`od;^A%Dx) zIAX;o0A&B+|Aqr^df8A?-^OPv48wXOrZ`N6VPRfR2M1CZT0+a`m7fAxmo)BATmmox zXb}Q1?>}KF45=S66^4$#c&{*guQ2=;3PX6gxDA2hJ$vaGgg$zTsYf!x7}BktPTzf(l?>H9tshA7kuwxiLpLU) zn{?f~SnGkVNX8U6g{6BN1R!MAC2;Z?O#BnHzA5M=z{rR7P1*BD4Lqj4Y16v=eW$)@ zq`hHNfz&t6=f`N>h`H`#*MEEKL2mBoC)j>~-U56+pFVo{-18~E#<~tP6lK9x8a#iF z%@&7Pg=`tq>S<+#@&_mxQ=+wNjpcbdnp~F*?9V(NgEl$=JPP86Q-~vmsa^_PD*G$q zad5CIi_FamhUzFHZq_J3sfxxkgQ}${RZ;M0XN8R3=%jWEs?oXE+J9B6K#7j}YeOb@ z?9lUQwbc!+_5rqDR$33jBuH#>aGTSQBpH8%O^0pUSQ8WSN2yJ@tqQh6r*Kkxqkue8 zcVZJg&@!CB>G2wvn@&!<$7VwYC2nLmeMaAXDP3>VeHbWV>1}Gu%Ka6P-X`kGJ4}Vt z+jMmKmT=(I^CVN%Yk#yNrCNV;BqmlR;ZcL%A*}DPwS^&3*&N+CQ93J0dOH!Xhc`{* z04d?Cnw(Cql`rN4HXPV5$%6kLrdf=$%TcP7{JNefj!c2gN<@uGvArF9BY$PG7R_IQh5`V9~8s|En1rN+tQ89_s zdELVf{2PKLEG^1mOU#4-B|3>J@e4;BG(5KGq<8AnC}1f|PJA;5c;74;=?UNJ zS0SVzKC4}8h&c5PO`DBRiuvfW4xjCRWrPh(GVr1&S-8m^}AJP z3~yhRxN%jwj}?q91tDigcd^J#+p)qqy26jK=!zypT6Mrn3{7i~ImHUC)r-aZD9MqPHQ4=SId57ExV=a({x|Hff$rL_=u!z?giur#7A|v& z5G=j*i{KF;E($@VdH0$y4K!wqEGymV0>;Xc^x$ct0W|z_)<;x#vRq`lyL9bxl&kee zSn>JdKYv40?Ptr|PB{pwePwO?f`G}Vw??E~azPgW!KYt|So?c4Vg9_{KhAXq()NnI zL(PedNs7;`vsTF5km9YWR|gyR?Iu zP1Nh#$hLej!WVY9{rJjF1pJhFAc4;yL+@|4XN!?!=;@Z^P&(at$(B*gW%8G&C!$H_ z3?bffY_Irg3~A3?5+I1p_JHv-;(2f}l$(a*|92Kac~@%)=A!Ik4VkxghFxsM@R&h@ zCV%cGjvcyFtbK%y+VU%1MUV#%h-rvF8jUdv-rbMp(TjKs2q zsktX*{Xb=sY1>MhOvuM3MkKFE>GCs=Y+Uof9WS6$v;3=)z= zqsR0H{!`2lkpp_}EF$S`{rS%kJkn@=3V-SA>*SGk+f&n!+MExu#og>m5r_bX_?`8c z4R@IIPTOJmynxNQEKCSokuiyrF#)A59QfN1pBZ0WLnl6aTozulIGa;M$#*)9Xe zFQb&jt~8};1^Y<2k4>d$in$D?WI*+4oQlm~EXanJ zNlYi)%jw+2>bT=Txm#}Hd5^wGPUj|;R;Bbf^5eEo#?t`B(1q@ZU4w#nq4jl%Vz&fD zLoE@&2b1!elEz}CPEK8*-&Ko@O_AF;+XP>jP{h z{4x~8ZUF3x!Yhaej7G`oUY-7!&B(e9 zpE}~88Ckh?6XEpWtB{W3N)dvQWywm(mNN-fse$V;ZcP5~VYy9x@hS$vYJV?r=v>C2 zQtb!tO{>7fklr03Hb7!c6s2W;LTv5$6ZZ5SUY$IBi0=x0*2G{gg5=cOH#7mdV~%p_ zKGs`Pk*uJ(;qKPoHo9@cJ=zF2au6gZ<5;2*=}7Pirm?i@IW&^fuH|q-?qVyYR@K@R zbUIRA+AuRH96HJLi3A!R;n6R z5WlE3yPH(z()mTrXGfQSe&VPyh`k5v?(xe|P?wdw3E0ru^0l0z_n1nW_c%ruHyyY*$cVL@+)SiD#Ntj1Pl4$X zo#(Rd)AeU`Hc7_NtzE(9i)eawGTrb+rb~x<-4JgcU_<%+vkD|%B=xw@pM~1VvDKw{ zBTS4o!nMS9jLJ}?kf7u9Bxe2({<)2pyV+a>DJ0KY&g6eg`hQGRBdyQxVaD=_a}|q? zQPq^OuVOJJG`ojE5++k({C0m|iJ(G+?x!wtC=1(?llnaYnz7)&Td~PyG8QaH2OC00 z7wRsSvv9HCri=IqrXGy&cSE+li>;S6--z6D61=0gOdL8VVW{phjaVp5PC~+A`QJHo zS9CB3Ec><&%73$zY{Eio;#r3TCM-nh(#u2;VWBRoZk>Z*AEf3K>;V=!gI=%^F!&pe zrvwtQUje;T)^emXHVm6VWeyrDa4kB!Eg+G;=jN2J0l14D**Bl4V8uCj0Ie)h6f0e>v(gtGYjw1PNUciwd zGDwV^^smkMRk6%*1IKPnQd(0Gn&WHoJL8np%sshcZdlB$QOJk(GV^Rg0u9gSh70wq zxD9gZ(%L$iBVkX;Z^}+AVrU+o9In$dTyO^?s|#2VfZQESRVOuaXmZ`LHF!e2q6E;$ zz=YwZ)PI-DnoL(N430T$3dj{f%ndNxQ1K{YEWMGmekNy*rFVNvqq)#nTHVpEQ+x_$ zfzqa5!cLn)1y5v?VNT;%wRu_7rdZn1NING)WBCswH!%E5r;&09isK+>B?PUZ`& zrhip!0Y&^D|69ylw^OO0M;{u;s-u*&VOZvTomB)o!Ku@4v|M%$;QZG99`GD^{>tOY zL%eQ&>v+p2*iLV4veYqR>I=EWD@k{ue7nbGAv|X8p6~j}wv;vK$tkb%m9s_?{kFy+ zl{5Vm|pLoK|bi zy98pqCxj^h7N~3W<-$Q~>z6TSEJKy-gVa6&z12JDKRGFYgTG4D;cEoZU-<4Nk=ue* zFODBc;I8eKf3HadWqH+U4zpBH&$cnSbCE~unEH)|4Fh@sU{)=zRBKQz(TnKIT7Lzr z1A5(N%Hb1V9Y2t;PVehkE|fWyYu(A^xYDCqkC!L-aO_ijS;@7C*-}e9EXt9g0-gd# zkEi8uXw&iN=mm!n+7z~D$yYH$n|#g)4`Z@9)fdyZ0m?&m5|VZ(tXE3>+M}yEUA2VC;e z&*l@Xb0{d53rGFc?S?T|9UX$*Et zn35``jsDwBGI}X(FWt#xC%!~>FD-M~b?wd3PCEyxYbTP7H3GsA$B}5`Jbx!BenP5u zABP>X($)~~%SGgKk(N zCLVCVjf!xQD^a61>`KF7C2CA2s7IAlqDFM0W}J^o)bJhYCt8z^RE#ja^}jfSFKn^J zYeHs_MZ};@Ek^`d_{8khsDG%MKs{j{bs*#0*aWK(g1;fdOEG{u;RLg}CA}OL@-&Q| zXbGs!4qeE-wzn%k1qZeC;tqcN(DJsQJp1C#`W+Xm5&qE!(|lT}9 zkt@ap8;?dp;(-q)fuR!+)89Rg01d8-pu{ zr{r|lcxa3#(}C%*acpp*LCl1WW$7uYN;j}^WVoP^Plt_uXQ8{U%eggE96BM1#(2(KS+U^`5 zMuwLcKAl1~GVEzu?|)Z07*6;N#Z1GSYYG6No_+D~HMSJy9pXfX8e4>cn3bZ@;lgR* zcbVXDHD)ig7#-aS;3om=|z_!3d1#yH6IJm7_RklWLD|IZ6$@3 zy-E~r^C=6+Rev!8Cv97PLN;z2NKZ}^(okC6X0Jv91F_7ki*3cX2V(yDdK2m8K(6|S zkBK|*9P0?`^icvJJ@p9F?t6Vp@6LJEq_6#EA&UEphUB{+o(5~JwgYqs^|C562z>I!z`2<&A| z^qJ?-63N}5FV%j6)iS90XxA_!>3pNrhS-oK-uS5!w>wi1F~ zc^RD{LVu`_nAfrw&&FPfF(*wDB=*`WiU46ip1+uoehN-I=`j;~z3{lR>;`+i@IYcO z{4d^PulLyN7ruis}F3l3n1*} z*B5&%VPdb#jpR5UYrlNqLG715i2-RMC%Aawf$ghJog9BUR$EUa`H@a*pCswN*X)tq;SZ%v-+7HHR4M`&j1YlucwTqR!zd=DY zKV9jOk_pv}8woyK3aZsM9L_>eEiKy|LQn`CP%SsV`A0BPYc0)N=d+R8=H%`P&w<4B z*Vh|Dw|#%Q!W+W`mkGs^_JRY2EGU+JZEWPQ`gEr=akGH;PUNx@H_o=zgab?&1iA*E;vqg>oido3eY?s!(_>cQreS(Q`{FGJysO9j}dt z+y0yh*BZ*ot{reKyDj2YhK6f3g|Y3lHd}w!#w@bU)*g0RB7otVX5Zf?2An5p*7MZ;qEBW?l?Vw&0Ev3P(PJM2o6oOrr)P`*_8+O@Rdlz^N*yXbs zSF3U`P*TgH1a#PyR~Y@jvS8PAdO!%D4ZG@B+B*0U>{?!0G4a`5vF;>|MvA~)*{8$1 zNZd76yOkk^aaUKUE=oz^u7=#sHKGuuaF>72Wy+tkVApAa?LSbkYpN=1ii3b%bAbtq zG8*hUwduU&&Yo9pSAjvs#9hPLnd<@s?pn_2OcznOOS>}tcf|kVxNCKF@NXg5<-e4j zz=2>_Yv1vzm<7AqH~b^GNZ4h$u5D9&3JyAHz>f%yzw<~W*uS1%y}M)mLkIFg{Pcf= z1c7vqkNi72{xb6ZA82&nBe(a+jgH)Y=Np!r3%nJWi;Fq1@;6|tG+#nM1!~*ezUcfn zNY$@R@2yv`RKLsGhEpypowHqky9d}<&+ZbAtWOC0n5P6U=?P}JF@;JXyy(|7afHN+ zQ(O7oQW{>YOSV^vA-t&DIf`SAc$0rDk#=A(K>$L}uig@_F(m0?=h7G^aibf~%@5VP z(G4G@tn2t_X~~D!S?TIeVUciQ%~CBh+UPHTiq##LT`TCYw0rLAT+W20X~DiaB@>no z#Fn-y2p1-x5WM@)>&<<~#K`j{eog(O1M&ce*CjUIj<5L_XsvN%PHh60sV;vm7#eJs z!1Cc*Q`;3W4ua&v;|(Pb80z7%G=rM&PCa~9T@ggGj$8|Y^iX+%i4nu z<+GnaV1C@Jjug6694Bw3F7SWYNIyPi>ornG9JjONEkM-(2L}TMBBsE&FSI-jI1te) zBkIwoilgC$xH`6_+FYNR2AYIZ;KJJLJ2op zjh^()fuT|iJs$U<$0L8DHnC8^mKCRI z^p_kc-fuOrXas5f_aU}?6PYA*qaQwvZI}|%8spVtdb5C~AMROM(+VK{aP2~8ha9ON z-kiS~5yAyqvi0;*#4gzSk|s3*w63_fWkk)85f_9^?udzRL0u{Q93+^APcXHk6?dg3ta^0Ac9J9e_OrM`b9fZdy}vy9^=6J?WjO*2hx8};uv!VA-}{Qov*N(d4s*wR2%W=Q?gFf`X9fTULnv+!wr2^ZIZJSx(cbr;nN5G0WuL=Cz8k%5Msh%`0;#vH zY&RDv(0be4>zq6Zy~|TuWu8OpZEJ3tj7qrwlW6O`1?MdrhXEGP6KpghuTP2+=?*q& zhj?)7C@tE%g$K2cuJuznGN^Ubebm^?`zH0RcZ^k!Qr}js7BnK|F&|^wbHjULhWd87 zepruI-?o1Y?ODXM=G8@6#Fd<>zRg#!|0$xO)iKm~C4pjq`is}+<*XK0-gIuRif9kL zc=!C_Yiu#0r$mSn-PZOTn_cA#_@3jf<0jV5Pi{hIy*VA=Rq;FJ@fxeypGy(C)8KCR z2i~xN2dS;_UARpXu)=P({o0qAwx#$(5xh5^p@M&!bLaM7NdqBeGJ~Sv!>Q>b1yItHR zVu)HpGrGdv@DOtnQzk`Dz3V_@Z>tay2@zX;E^&2En^sOMKs`ZdZXdt#0$BGetTkZg zx6mTj)YIvHIjYrKsts=Cxz)7lGEU-%D@T88#P&o)K1HZplY^3`WNekIy6M`Ad@eu4 z;={tg1I6g*HbjN}Zzv&ac-y>I$PlvXr|LIF3?b{dZTE_iEzneux5sBIS@#MW$HZtQ z>&;Rq$$qB#%2Vsw1eB7s_eLKtVJcZ0{g+9qw5tj2Z%;|)x)ZlvG#WSf-@Ze=8a019 zB4OxWlSXG3gp5YZBLZeW!E!sJDg`vrYLRy*TL?pHKKsuamZS*L>P!PcG(d`0Cok&i zz#SkS4`T3wKOGa*t)VoHBCTQu8wst74X5AvRnOCdoeaXN~C&i znz9k338qN3uYB;=8KZ%|yjHaW<%Dt{i&}5~lo}c&WuJFQ=v>?7KCN286sithOlUc1 zp{iykWR%U#y+{sfQZjU?`y-{b2p#IxXnrG1_{ca4nGiCJ*8?U>uegxRw84LzA1+5I zMnl5uhuye#e}vT-;}Ur6p@yNYw$Y7N@Ix$NrYS*zn0U0GUgap6Zt0!#*B2_fjC3QV zcbLPLksAFXiS zN=6zHpV!M}%SiL=V@8yWwEBOjB~|8d;36Ip&o_im!dF;j$HfK@)|Yl>cg-Os>i4jX zAkDA@HAPx#jj9o|gr^I^Ws6E$o58U&y~ZC(!)gAI9G*Lk4-Qyp5$=>uwc;>lSIX3> zW@cnW^AS4LlObZHOOCEKa`u}gXq~EY(l9Jx>Qp<2ECX&T;7_oQ$jg5NHyij}Y^SA0 z%W;vD4BGB=DT^6iGQ3_Pq{d|JSBris#F#8}wmgW>mYs%Ot80X?>@=e;Oece6r``>b zM?4y<{OGjlxpTrcWVId%5SrBfl*(->!t#2*Vyu8Qk?U#HougRjTfJ)wa*Bm+JSd+Q zLB;$%vY7Aos|({JwWWVqX@zMl2kPu_bs<7Z+FfG>6JxfNRG;bnF;huun-2?=xl@u( z?Pr8K+?&sI)-oAdNgCF-8N~<6c*kI|WxxAZ&_dE3zl}OMTS$7j#yFE(B6+SznyZFxn^9G%=CcD zcQh7S$-Zet1Wgss7Y$)N)Kt;jzuG3CO%=~C{IX#d+G&7A4eV?@A9;Ct{2U9(jjaX^ zHu{ut;w=3i=+l3^*i=h_kU7n3tB+0)GN*axL51FOqM!HX`ExA3{G^cZk4Fu#>cGP}X*}@BOI}Z~gJIh&7dgwy+Kyl2K=Z1Wu!$KdTPTnmmr{V7JWU^H zro_a@eg5Vhc2n3tDre4<8tr8%GVq1GJh0Zp`9ARLk3N6%#0FCOLzUEcXv4Bnt)x$a zIt#n?D$v(_gJa)S%>h}ezq$VqXdnB|YhyUzAR|nFV#Wt9NjkP;NnqJgwfXe1i#^BR z4*F@JF81_zg89}5dvoD2iZ#41M!_DVY#;kvNy)G99P?Qz_i@S*N;=w7ByQyhx$4xP zFozLK6YGB+9M;6)+)}j1w$C(;MXZ7&;x)cRiJxFmQT9omvvq#CMo{}%t@Ej|x^o%S zyI$+*?En(b7hd>X4;igoA>a^?5L;Mkm%b#JJfyHydlU1aQ`fpMAC<(ROYodmmzp0Q zYz94bw4OV|i%KPM>p8!}77f_hPd)JuhGi8+#BqO^J=_i7wQxDT-^S4-6Mp7*-lBo2r*pou7)7zMXIB-RtfAz`>%Pvm4 z1nf;>aS*mj@xFOYLRw$5Ica?yjOX!<4%~>K>>7(pG<$yL+o?dbIMd?RJ!%NZiWk79lw+4({#|hBr2lkSa@T2 zJ9xyNzxoneDpTuu4lZn{$EV;UrW627|=Zt*&&a-u!()!f&kUa ztDTFR<-z^A{F3EP6{9~_zGcp1_2*9728MqKPAdLH-@|q-XJ1pjH2)}r9(?*2@1A4R z8!OX1bVtm8V7*>KDRXyo^LhoY#@9lAbCC+w$1?lXF3Vxc;6%av3__WkxYip0Hlx>% zu~472D>}vs9Jsa%Gd4(Q zMO=8q8M}yUSsGg*(tLQ2@Ym9mME)D9pzH6=>k=ReI(wbvP)6O0d-pM`r7C}0MWaDh z-4v1nV^E}7o68RgJ5-nkxsdxDQFDddtX&JJnoApWxkoYz@L~(>n?0*}=_;zWx#=;V zf?hwd#(*mjwy~IS#3gqTFD&{Z8f+Y{0%zVDTdaWUm1>`yE+wnp=-r%2Z24&P8Y z{Ht$VHm>5KCn{oyPpcln2J3AwCvmH9ID*)pkGuhI z?>&6|?m3Q=j%y5+LPvk-wa2?Ro>V4zR^eU)mswFHPA-J-h@4jZxBrNFJ1J;d(omp> zzQoJ7_b^jl^t7YksBVAlk5|Co@-8;n9(66JS0Qcs>Ut^sp}n3^1BAiLofCR6gg)uQ z&V%cTo2Hr>CA*%e9-S!>IyPVYy&v7fD$9clohb{~#o>WYjw+~Eo&Xlm6RbDsmh_rM z)*GF>BZm@Z!O>o))hSs8M@#r@9ornIcs=ca3tzRnSbpHb4&Q%Sb0iG-q;S|ZM|;9- z9FP8yzw`nh*$XQznY3vm&@`C>uYoQZnm=ijLhJ5V{6##PfF# zU%zlWlyuz*J$|EVwqmDkp~l34z#WNT=2&z zcps2ncO``3ee-C+6v2tX9B0DACyzb@%Y?ixZ?N^C?EjIC|986EZ)LREZp>-XiV7ar zZnQQ0B33)GMaB*Z-D)Rst5gC3{f_R)8Q`YtyzxYI9WcZ-O~$1lF%>LN9-hiMq9;HGhRb-SaWiIS#*_fzFva zA%(HWuBW`Y5QdH~3r!b57`k!0XI;g@&@=g?)qEs|p6gg&m46B#iu9Bz`hN7;ofS8t z??;~@Mc?>eyhqLNQS&b#`gX1u7hs+^A2f1kfcbL0D3q`}bOGj=@#}Y}ZhKPWQJ;_o zm=Ax?jR8ssFjr2*SyfEHypWgcFQEhG&Xa{rmQ7<>b}@*zU|{32wG=)R<#wd>;MayJ zY=86_1#koEck&%(JnQ`$vycv3H-h5lMKphCS~-yBt$?9vQf-4qz=o#vyHiWf?slUg zE06CK&p-MMo1M$-bNu*!Oz{e@Jay-es=XtEV;ZHgBF7|TsG1) zc5iHOpze01esV(L0F>s9I3FGiC?m2)YXnR{IWSQbFQPY|4^n(XWH3JLUoA_M!uWsC zJ0W&X4&lREf8&K5!iRZNhDoS-yw;+ZBk*DJ+WZ-t?izizGzybMBQiIZxh||{Exf*z z!H{mgswsd4>1rm{+7u{Ar#(uXmco#(dNRFR#(;Edd6Nx%CZscuW|^gqh>zs>6*mq% z!HokkB3FNQ*BCMnhA{*dd-e#MN+*B30l&irbTO=#Msh`W8t?)Yhmeqp>(un?C4lmLTJreVp|rmAz6f_qk;*^d_yXlgcKxeh@A1} z(iPtJnA`uzLS&YDySIRi$kIoL(s@v4_4XpBP0VhjCZC7gNDvTgX;|9^Y-WFmD^GYJ zVCmkmWZVoBl;u?DLO3ueE7RG-d*LHu(Au9FV z>R-Pipy94SbwsDiiMz_zLOVH3ib+RiYNrqZytZo-I%O2_vK2(m@hIR`n=um#H!nMS z`c`4!)ikKKvdI#Oy?2|L@z9xtd#bjYtd@Ryt4 z_+X7Mt>wG@`}b(P{$ii`fvY?Ev7`hWrVdq2^7wTee#AkF0P^j#xGb@D{ z6**8@*m{Eq0%iK*#s~oul<8JW!i98DW-e;HkT5~nL3Wp&%LHZG^U;3=u^UjPJB+Fq z(?Qu`W?Qwu3CfJEO`$vo&tavnO^R%3UIuD91Q0@-7|OlovJqOIF+5*}>R!$T=9y(o zh*sWL?k}S-Sj>KCos^Ej>f22V96A9ZyS$iTmF?TJO|s)*3|7?KzXALN-+Fw8CAKte zIX!n$ebTDAZt<6TYpj1>#cKcc1_sDk_-iUTd_u^=UmCUDN9@F3;}unh2>dm)tZstY z1;y2sR;T}AYwy$`2gYB8Ye6MECjK&HELcIk|K%ghRuWwTwd(4#lKzrmu9H34z%bY8 zs%ff$p_pZO*vf^VnBlsxPf3GfGmS+$Ap(k>#5UK8NDC9%SM7gD&Xz++%pPMJr}PKb(5ruPHF!qxgWr+E*L_&W>x`+Z~#^~EQ5dx6;$0nQU z>-D(Fcyok&Lpc)6Xns>oA1#YWK+7C5H5tpuG(Uf zWK8;L#nr+WbSUP(y1D?2P}o82*opbDl|@bUDKaz)m@v(d95Etu7jZI{Hl?J)w6LCj zv(yRG>atoZ(O%U_OWG`Wusl5!87^Z(v*E1pSRQ{0nq8ls9kN)bnUm9VdL@!9rufKGSBA6OA1Nu3swHXiOimXXHX?tjJ{VR^ZgOzEBFP@b!(OA!D zOC5iQ-VHnIN?GH>5X?8Kj8Xe@hvagNVGV|8l={PcTuZ_#@m};niJDd)@fNmEUfzR?Hx}-#>Lqt zBai5F$iCr`a}ETvj;j*=<;<4X#k&3kii432rREpLT(KWEzvV>%5=y1l#RkGw(uqswM~K*urmcikq?*T2KNc!wLaC9oUbDkX z`oxkxETKaw!<-?3PJ(N#>#%WPD77B6e~1v(=z355osF%X71Ng7EtHDiohW0udR>pG z3)!J>JM#+%V1`Sr63i$1=#+o$F1<6A+6OKSajFr&O0cEA#tQStv`Q!Bn(8VJ1Q`Y} z>1_Y9r72Fyb1>H0|>N&F9f)e?)yQ>KM(qu1l0L!B}O%o=Hq^ zht-@`yo0e=R(nbV2gYJPedFZZ(0MLI&!u!KAS~9N5SOl^VX=Uajd_1mJ8V2VIzh?8 zVx5yc;UWl&&5znPB`z$su;3TV=!e;6m&X-MEY^{J9EF@r9tB_ZGa6#*z0)A3ykxG_ zbgg7!vF`qoWQW;wTuOG55RJu*t(s{tmZEON!$Hhs4g!*e1P*`FAz7f$^(CWGRuQ~9EdKV5vdg@r8oG4EJ4zD_gRb_q!W$_Y zbancsof0^b4!Uys?f;p=TzfNhHYFW%jas53c`VFjxK5uEvN2c8*x;f9!dz<+>n$oa z=BjACosc6i*S^kFigck)I<8n!!kFtetU=Fn1hHAmQxz`E6|{d}6VL8|1-3PW^C`^L zY9Cld`B0bJ^^szlNWP{o^S7LktH3X~OwNQ{by*o!eWq5c~Vg}Khm<#7TEa}}0l zZov5;Q8DrUaHlIFKB-F#deC&tb$UAWD@e%I7!uaV`4k*_(kqcv{`}25kfr*<pWZ;Pq=HEC6Ur_U}EPdwlKr#{@oMLm*4+`@8AF7y?Z~r`~834zyIZ(|3&&ArF{IbeRJn8 z@BD@Iw|@MaQo)~kd3m5e-5u~P$p`bBzvTzt{qb)|`Tw)K%k|?Am{`m8y%0F;p9rtM zr!iDyNoXJ_w05DBjPs;c0@j<>S?L??Z{B)%y~XyTf}%vM<61pvwy4<6kDfNaL_i$?pKd4XK%;`s#D@6o6gC4} zcRUm2sM=@B2Idv6Z9n*W!lI(>*^O7`Zg_`HPfgZ<_av49)?>-#2jPXqN6pwo)Hy8ZYiz@1xxMA!BGThTYrCG_WmxkTder{lKY1%E$GT-;C>43 znKb=ZT|LNWPu~$9DR;tumDT5_e#Go^JO1K*_4U5``gVP8c*VFXu&gsfk&;${#jaP6 zOQ8zPQn%eCM^#|y*7j5dZSx7A)Z67y1(w;;ek5a7U?mITrAm4Q=54M}OPLi|*Kz>K zWTSsqV7l{=1hIqM=<)m|)*at8;jScGU(KC{+`@wvrng;g3&EQ{7BR9W>uI>|formf z`OINCd)v$`v)Yilsn}x87ON%;P8&WHQ#IN6w$%@+$<~S^#^_Ouy~#b{Qn)4?Qb%P- z*frT;_hc~FaZQNM+EtB6l707ezg>coE__xdwET7kib_!YJYNlUX+`3Tbn}K@OkZG*8Rfo#HYmq&@a|MuubKn3aEg zDx7Fim$kVA^A@5z)mjm)P>YUeP2?a7wT+phLN>`s)^lvkS7(!@YGey+}j3^4G70 z@IM8oqO|{arK0E09{rHW^J#ziT?aOE{L=^19BCcL^&7;=Do}8K>6d;99Ij9Qk-VS( zRNGUS&mV5P{UG!I@XSt&9FBbDu38e#|z zHAK6MZ^^M8EJ)DtEe+fI3lg-2--lSKsjP|%=eL;m#)?$z{FbeYo+E#`^J{`HlRP*w zk2G-p7+XzR((;)pE79A;=oCs>NvcpsDV@JZxzO&Wrsr`OF)M9mL%G6T%u3*5iA}|f zQfY{dIZ`m9R4Nxt?QWt}qSMynWiIjf)%vX)>&^SvRQ>I_$X(>gT&iJLz(zk)Q|5IQ zGV|one0{EBMw^sft)_o*Q5hvh^-LEBl~EG0k}}IjWt7ZE6xMObPz72p$yIAnw;R{T z2iX45$TrfH?;~uzvOba(xIz9XZ}wzQ#LgKR@vaKyLOCP14e4X7mnY4U4gJ;@o|~MJ zy20)g{ zR6@v_b=aUngo2C|^{)~S0UZ9(tZD=Tx?GZ>2wpU^D%ay^T~Dd#NNlQ;wuJ4=pc`j zS^qtR7eHo2S{xtseB8&v7kn)ubVSElZT__g7141K8doSrM0AAd@(SEMqxZ0d?dTD7 z=tg3J^_Yta-7pV@__#thR;^ujzPr$kmBzCr+qwsZP+&z}kGew2 zD!(QVYByx4go?0|>joDV0w1_~x_rV-LWN)H;*p#6{Smg5cGWLOM^bbIbGmjf(2$~<`aKs88dO>Ch+uo=)j59;tF4&E5$J) zc9ma0FJz`qH13CnD%nvJM;TKy3PgH@&+1@=n2{cFX{sIKuoEEWZYx(@XFn|gqBph6 zEPxUq*7x(0ByJNR3NPkL9V14=Oy0V{ZP>$LaJG+(5%y5K*`CaECOTZFE$I2|M2DX3 zqb+}0qQgdCzyWJPll!H&##$|K8_|#)IMA;|1Tsvwo%A~4t1FP9uXAewiTpmq&We}f zM5yQm{jBCv!iZkborkG8jOc}s?QuOHj$XJ(%lDPCqZd-!a)MRp=!NNMT^WZ~yVpde zmC6y(3)|BTLmX!GLd$efyc>7du zNJlVSjIN5&=?LnE)^!y<`JjCJ_Ef=6J_sH-&PMKLpJ2;5{(7Vv`vYt%zgtc8HC;W3 zVgLERgiaFtu)EF9uNI@i3ica2%Yh>wBdkDOlwX8A-R@(FqbW;bs&R3$R;Cd$lL&vd z0-DOX=p=%<2w`T8TgeC@kQj$MUb~Spoe8n~4&3RzPB(y@GXz$h~lV#wj8N<>yb zX6W=3AC3fAPp=(ECTZaS=}Ld0Vmbe3n)hZTEGvKg#QqMCY2{xVa&8hLto#$^uUZ}5 zrTQ`5z7TEYe>T|_uVh;J=TC+Bx+#)B!IFpU^HO(K{vDcjBL~_wfdhYIm63l`=(rsC zXFAR=m5>AfNJ@V(7h$qrQ@7kIM40Tam?m`&566{;JbeEUF+T>^E}icKBW ztTKY^hODop_H)<<`QCArLok0s!f!*@3fyd62Kh^sQAosrKNFn=;Y!FKziU=o&1L)D zXB@PKDVcuvLH>!$QpoSVI4mSc_$g>-NjJaiG)4ZQ!;p;dZ+iXnw|54<>uBz|`;`wi z_|iJQ`&W2Bhf$JKn@F<1sc#2T>0drTNBV*81UK3sp=kT$+5`&tYQ zX|3Z#or4(CZkIM1=tJ6@^X)u7G^DML8Mu-#hqO66mD7+iJU{fvnIH%Hs=_P;Rx=#38oiD5o(#O<0D~56q7EgYdXOG``y?%~0 zESHk<9M|yrY$>k+F}!X}Ixbbfu9~_wgVA{*pFDf)@#OC3nCW7nQ|A1*gwN=^H&{Z~ z!MegR=w1!7RVaVlyKJVd%k8LR)l{<&q7Q=QUf)o`#R2MCDz7YO+U}Np=YkX+jeOXy~3q3=g4=~F{ zyVm({#I^eD6_#;y9w=~(#cg4_NQSeLrg>%AWn}uq)8l^~cGYXNu-rSx)~B|Vus!Ey zQ=CysAD-v#4|VXIR|UWQukl6WR}mY`FlDl<(=ugnyGU;>nS--Z+bepaVHsNP^=*yo zq7&Q3$Dd=n(StEc=aM6Yk;F)=vU&&T`V;qF9KM?Qv>-zSOzkd82-|Bo%b9YqGXa4YWEH@x2TL=yJ z3#QG-v^dZr-B=A5+8`fbiOnOMa@RhJ!*47mC!n6=$`F{Uvzf#{_?Ob}((T4*XA4F0 zi0RCV2QZHOoUh)!eEaO>=g%JD@9T40bt89v+P8m%h3S1PyjdO2gI3zd*ly9V577pb zQg`}ukJng;Jy+)3+`jO9`Q#N|4`O9~F-u&>)q8?JtJsNs-=C3D+8LXXBw{ibj(f^| z1$uU)4ncrd?I3ffBEe38!XTiXhGOLXmUoa0%$dTh&5N$ zd+h+1K+JJKV# z?t9}yE8x5b-wr=#a`t*S464P(bXb+Z(Xr5-RJ)$MHzY_(I;&cP_!spteWfz!QT*t0 z?9e!PL+}BhBl$VDlx+ETEULy}YF8a1I-zQ7X#h6(>fJXrx-i?b$HdB;-)gv)I@f>D z6KbDo8Z8V)7)>$!QeLXH~v8IdLQNHVu^Tf70Z#Se&X8%Zidm0D9 z8wu9sW%H@BJTodQMF?-!pJQEl?cHMNLHQWFUhc@1Qq^*cc0Ps&ohtXRAs>SomSQHS z_?cj%vc+3}KLPEweeo94lqAI}9CqonJsR8JF1nSm2r38HXl9D=2S5IS^jCg{ zBRu?3|5M_m{Fx{IK)b6MKlm{QCIl#s%QrJ%KK?OY<^3gi4#?y8+n0fgzryeEv!DEw z`nzwcpMU1@4Zw zt{<@0iK|qNX{rWoM`9lYU*NA_dEwj6aI#+!aJ(Y^kYI#@Df+XQz{LWq3T`@4!sMbo z@9Qh#B$2!({{C-3>nSt(kCAkv_<)!=&=4ebU{9YC{_6J#pKH8GEeL z%VHK6DK)I;f`hW}nDZI`4*7gPeTDBiPq4EhU!#&%LPxK(jKcYH*LyKnU>*Vf#*27d zut80p8f?D*(^qeQ_U83pzxBe3%#*1?H*_bfwPr+traN5)t_*RUukQKc_1mwpw9&js zkRR{$1iuImAHR6=@C|=)V3f6twg3Y#;21(&BQL^{Y^gHkLg;jbec^$f_?-K?rBGQS zw=)zlg=$Ofy(ec=sK#dvv7oH`(u?>Qj#TyR`N1K87Qv?=b(*t&6TeLSCix}2yj~GY z0!s>P*aNOauFp%n2sS%>Q?G!zSwj~msR9D+GMClsXOHps_>6zRb~vh^pYAI_j?_QK zLd^MBz$=Zo*Vy#Z?u?vC=t{^KNu-T?iLahvUpbXA30(!JJ&S;;1HKORNwA{UVI!YG z^IGl7Zv)jixnSP_OZM{}eU=+C)?F;|q&GuJaJGrxCJITj0YDImxDXHW2T`R6aO+?MJsGT#?cSQ4KX z+e=;vWbvcODbn=SSUor&$=gp{2CVAxyq2u>@QX}t2-($b=_0D%frH8y`1XPy^jVe= zmqOZZkI^fTJ)Ps-BE8ZbZ!Kc1uTtVL$$9BPkWYy6hB$v*vBlks7_tjN+#;~5bQw2Q z;H)LCtk;{@&))E-DzqcH0&J`g2|)9cS3i0A*Uvp3dtxW`eIq=8bBJYx-{41I zzxBi)H^YDGaU-Z6A3k=pZLxrA+p!Eqw+l-zI0w}&dC%Vb19ns zHhJQ9ks$}4J;sWvqT;xY2f%qQ5I-r*t|C40U=n}d(LlFZ3X%9K3p+xQ6XOrD>&#St zfG{bQArY+b>haI9#=t_O5PG=rH;m~h(F%!=;_=lpqFIIGJg>K36Z>LLX8ox76rsL+~B>;Z-6c%Tu(>rc6=AaTWiy|0Y9ZoicofN^;`sx($)Y3l0vsVPM2aBri zUS)q0H_0tFXJBhY1~=~3>W`*aH-e<+Y#tvSN?}6b(o)R;@MLs8bHrjQh`;?q6w9!q zr+U$;ROuRv$lxF-hg}g_8elU3UJ}|4@s}>P zAsMFku<#A5H`@`RVmvH{i{e0To!A06jAIyoga5m|GmB|7yYjfe1{-6{C?mDLL`u{% z4|#|bDVg;4kVTnM9{MR!Yc!dMkx;kjM5T0BSBk31D`57rvCZNFY=c>B3`Sq@%;xdE-{Nn%Zsjc+sb33B`CIP2zjMz2e~!QJMwY^h2pec#T28G8AMQ5`Oajx9KM};~VdRYo(p@ z;8uk`Y|5W9#t^)Svo?M_c=~c)Msa@?mlY>LB{-j%ip!$IruQNUE=#2|!C1zlft!Br zVox8ByBtF=&r(TQAwG>gnYr zZ-!5*C1mTW&^^Gas^4`(7acsaLZ|20{M8z5jU4*RU&TgyQ*-)E&R!kML$AC3pB1va~c9*z6wI2 znti>4kvAOt1$cx#!wz@GU-N&_!qu6g#w;F6xSHY6G>O3lfM@my#`mGq7tbe6bsUU} z5Te$y%#s(pNTOCl-ds>faWA!RcS^|P7`zEjzx*ra?#kbaL8x_aT6$-NY_;yL_i~vRNv%72 z(R&aZ19j0Ufsg$Wh97yfGyUax}hZ= z0zJ0sYOKVY;SpO+i1HeaE4&Qnqj_?uEEXyIb*PJFVE5<9evLNm52?MKeiUPsv#Ks2 zeC5RaAn}RazxDkOMdEOyIB3{0Ml&lyPTSEX3?`Dm>zVQd5U+pwRr5MUbu_+D#&)Fx zO>piNRW0giZ2sii^v89t4#%N*howKZ6kZP@#qu9wiZ{BkXqEt3diW|&fD|C-U+UZ9 zX$DZAWBM?TEl9ScuHK*qi?pryjeLMP)agLKy|z5ZJ#4fXI);mwF0lo2V6o-LO>16w5c9|6PR*L*XcTZ)uY{v11%?LQFB7*ZZR zCDQW2yqcvbj)r<#z2uT`HPp%irB8y^P*>RM)1&Ce39)|_P6gJSNOj=HSoXL(hiq96 z_SKe+;jsjGijaFayvOj^EcN&bp}!K37;W`A`q*%k3J|p}(}&Q;zYR1p6Qq_s< zis0;~K9w999(tCJhukRvLRYSDIXB0!bmi`#S4-FD61sA;CDSTK>B?=BlP#>dhk@-@ zypJg*HMh)_=pswa2Q&k%P$T^HUp~WAfM=af3Z8!$xk@a<*6xTQN|3&@fIgZT(1w2F z#kTrX6j7|MY}oZjv&8E6tKMcN=znA|6*T#DJcxq?h%euOo4=4#+xPG^Fo zXJ3Cm!OHZ(MYtz2V+O3R^z1l>zA@k{=-AeAAx0Hb3koxW2@&P>Hb>i$03omM$#-jG zx$=7Zd3PHeBMXx%pFjKb8?fg7J#_peW2IG%(g@Ofo9U)1Dx6*k-E4PP?x28Mq|Gyr zjqp$!{fpTxgM_8gZyt2q@mU&uompuVGc|wu*SapffUD7WtsHLf&>H%@X{huDN<4F`V**5$1ukL66Lle2htb)oObCx4U!I8`xGD4=ZQW zOd~?-B5}^2e$hUXK(`v)6qFT4bFBuh@!sWVwAG+#UG7h0-BYkxyNfLvbQdu@I(4x8g%rB=tXBpmjgcl$Kv37*Yz=V6oGGY>%1)m>Jzr-VOpQ_A=>gF zb8dO#K(nTQ`4yIXocU8~!@-YD5S0}zx$9v3KyEnTf0B)M)F#q(1=kN5I;MZUIdoxj zm-DJPv|r-wc-f4IBQD5ZeOMJx6$E%VhQ9uI+GP-GwLkqmrjuHZaXm};@;m|esemg< zsJ@?5Br+rkC+h5Mw*SJkKcB&;@2B_+mue|&7BFlX`)k88yv9wQM#VXHeIj^oL#z4I zWbE~z#U=~`UMRe18huzoIXQpcY6prV*dIT@WZ5!RVk9TWOY3b5P8KvLhhloHEsAdC zC^OEh5mt`9){RA^5$+e5S)pnO7wd4=9L%hoiQqQ+2(xu+`s0xHj-KOMImc9qOWE(< zE_j4wQ6Y4j|NIzKj)@b@bUo&cLVG`~rd(G7%KPCcXc&;N(%7L3^)`RcZJ@j#2Q}In zS{nrLR1bUd-ytoaKgTxRMKx4bl=6Oz%$?qavw(PM6O{6rkCeS}^!Es_*?C$EtKi54 zqTK11&$cr5Pf$!vD?WON=J-iGZ%<1FY(L4WYQux_lh_QZy(mJjV!mu%h@$|9OhI6K z=Czl!4Uj62p4m%mVvv7C;@VG&az}o{cAq#4Ty_15$Dr0y`Vr<`O6C$iL<++N6@HPledGKw!y}ms$q1ZR!HGGz;j(e^->_w0moG6~Fo(~p!nV{XLE3VzyJkkC*|wbFV9v4#m6c3p zW+l~kHCi#(zO%P5lqx`f+ILoVEU!8CojkR_N)XAu^D6W3BwWV?IaRD@_((%etnN#@ za0}UyEWSOtGV<;c7*eIt&izKD&HqEpYBMy%QfDHSy2bL=H|5k7hMhiplR0=5j!H3s z^<}B{j=`e@-vWO37<2jOtjvx)9ripeJUhtzIMzQceFbCSut%tWJg7)Zppubr1nFZe zYuMBngLV#?n{;bJhI8n;aze}1RW-M7dg1aSYMt25+E!&O*I;CD4vY!e1|$2BbeYF6 z7|j~1i=#dPIv7#IKZ_)EkH;5JzE?{1b7cMG2lIT9h0po@?@UDhfAm!U029&s5nh0ddzy*##0D63*xE@AtG(mQp*NWB|7m75QY-i-=DthA-ZF^oJu zqhc7*Pe0Gy;*3HWc?Q!?T%6vG{>9beSP(n>^4FL~CjBjcy>;XI@{9U-dOQ7`gI*!C zh#oN7?TDd~nsKRLOxC|myUPpwh^-jqWo5r(`&TYi4Wr!tIrWTl{nbjdGMW`4%-oOE2cDyg|d>DzBdqnTckzEcCY75>d^_lrb&p<#7@sGikgu{?Fc z69*(tq#E{ri_kJkPD*~10-r&rV^qqj4wNqR>zExFGhZnhV;O!C-=^^)j+THgd(&+a zAad>R+*t*&+_6P9bwMG+q*1%uJuV8HG&C#rLe>!P;WAwo45+E=c>1#>T-Up0NneR( zCo~n+{e!V6JHy1@cuxY;&d@$!oMDfZEbFAYgdM35+awlp_vK*%tKfbwxrn~ zgwuC@TNQoCsglO2n$0+-`Cx3%e<$K*upCZnEsvHvvYlyjSk3a++U&0r{(eh=GdpV< zPUoT zKa7chZ3P{LMSME8b(9{p@+oW!lq_waTW+UqcTMpb*cQB~9YSE6MO&VSoG3Y3)UD@W zTfb*`K8`R!FPe;Y37y;Wt+Gq{(1Z4WrIRKeliONs<~sqG+e%bh+Ay~roXOAR= zWs+On^`=$8CO7*~&Mjl2r0=F&A!d?W)lRyaHL;;tzMsmRD5;wm?h-K2Y(-OlUQ2I| zU0vxsLZO+pr=}`~gJwncmSUh+52KkuI{4pVGP87U6~!{i%ySyd;j{6pqUTU5LgAOH zJlMo%;@8yqm7G0L^3GP@$8nQxpGpg(2>d!)7(7ZuJ3?y|gLaxDG}B!=z(es@>T=Z{ zi@!`SmrBH3{u)CR4o3Qtu4Qf`TP0n!l4KNS29Uhr^Ag=48STdg7I1$ zz&hDoR>iOYOJ=bg#1eqDsnnE+$N^5cXcp!$59O+SFgTF{ugMJMb55nCw?tJM!v!zd z!^Dx00bW}dat|*QRlG;xBeCNW0BL$?1=!ExvOGiP*9a^N0TG%Xk!+ z9W6aLSyR8uXXj+`1eonMnr0+nFza}zzK`aBncUygA|k*{F;sei@>J$$-R{!ulkNfi zG@k;qxx4#z2^-9IEoBRd2r#pso|#2Ng4I>hd&VcoOzpa;k7tmXb7ULuk4}-9`E^mb zn1fwPeM1)-yYjZp%ZZ;az(el;08mQ@2$gWL={Ly(07R$?08mQ-0u%rg00;;O07!z5 zQK-MOBl{Zx0D-BOWYPpLAV`9bQI&A9={Ly(07R$?01^NI00000000000000u9GA1w M1SAHpC}IdVOzZ7OW&(ru@`;Qbg#&c4)9++ZXe(q z&YzFFz3%y{c++P;Qtq1oR0VJPvVKzF4~c|qMEQSklca0f3R**)v` zd;J`;zpS^AM9-Gdy8l7CtLB_D|1SBhQ~PyU&|RFwO{=-QO50irM&_N5&B?3dM}JJH`P zOJih7QqQ_cFU<%MHSxON)#~F;baQ$phku?X|7=Md&dOGQBB5RycavR}x2dKU=AgJq#h!;hrJDVCxnM%BE&$WiR=cr8 zkYIymNNKio=b&9+OaI`veWp|k6gPr$5XE3#td~f>aaxblR0`V9lmxW2)53rCLoj^y zgL>mPv~-;QO|G>YcoSK@)3bkM^8o(^-tf6^E7x31v%#>4Wu~@(G|vNlb<=hh*bG_O zQ|nq%TT=d(sG;&U=&x!GHMLwMG}jyZo`U-5x0fS$jc9Lo>kfQ=wP@Uzn`V8q)=Ox7Wo^tI+^b=eCjXC zF(Fvuqd*Q@ct8G8wVQw4=o`z_ErAz(=eL&>%{D?IY2V#*OgxeX`%y9zxkHjh-8l>fFCGV_k*x;ovzP+XE~Y~y*doZg3~4S+Q-Xvw_12e;%?wy zm9znl0|8M<_|olC`yq= zJ5KI4dPntObf~m*uskw;zhrZ@)eU&lUn(om;jzr1U&pwL#JaXprp5`dyoxY~VkzHW zF2oM|EpV>;*wXvT^$<)plYY+@=f%}!*4M6NSKUVJ9TYFF>TE6ss z<@gOkFNO@%?<+~PxTdgp!;fea_?w~|Wrdx^!~f*tvmjt}=VXAWWbun^A3FzcUo1I7 zs|?++V>R{RUS8LS*rcR0878Y%Gx1M-zP;SoIhYizc?W;e%KOfe>_-hX>9PIEr%MeP zsr;kSF^CUE?=LX~ERmu0NIR;R=H(3I-D7rBTDX&vog}Y(q}0Rw0E2w$VAZg!C zd!4w|m4N!5ash=Hcf$*1g;{0RhI0b?#gb1z;~am{{&LBA&nWfC`O637N_90{-S8)~ zNCxp7re7=@-LMPC_qxs2Zl1lTwxT$bI#eF@`8rY||->G!lbTgnM6<<;gRoTZhiVVZoaJCuo0 zzgn{Wr=rb{(Lui4)bSy<_;=%Bme$+xFxh`2Y|kQX$xAtRvHrUySC8R~w5!(-7=-2J z4uW!ZjQ>xTgc>V1&Zk*BzMrL=-PZ7YqnF;#hd;_o-$uLyeT~w@t@=E(bbT*tO~w$u zJcH6G2U4Fa2~q*B%yVNY7Ot%!lOmFe$V&5(&G}#c+;94Kn3%=jem5C7-p1!k4p@Jn zA3mF)uxGA|H+)}7dmu+Vpv$T7s=Pv(cGoKz{JpYbE?zF(`5AD9-An4P+NCT#xYWq{ce8eO|57rzlkd7)aXrCGdKU1}`V7HiEUUaieH&+bS6=?UJ;d%Hm3+k*+MKiz|!iV!E(AzcAmdoRn**EM2^DWhrUIOO4v%e3HdLwu{Z`LUU=Q zx|CFwvTS)}J^_Ecrs?tt@##ld#G97^W6RCeWMO`_+E}esRvK}f0@VU>tt`f?3u%@$ z0Iw(ISHB67c=IXUS3L8Qg3m}|UYSo2G z6+~~XvQP!tlvJ|?h zEG;&dmgbj1Eu^cfmBngxeoEoUN&8YP!}GJ%<(1Wi%JO1uaRGPq4AX7t_@=tHsqyv$?o9zu1fyvZV&_bXu)}C|O-P`?toc z-!Isz6Iv3lpU+^2OH~l}%NfY#)ka)d0n9clm6ckuT+QZ}D%F)*B|a&2afX*pLX;@Z z=0VK@j6v_E%ke6}HEGo57m`|KHLJ}hKyjcUR^orN|Jis({0Qoz?pWgGwI-;7thNIG zU0G@*E0qOM^a~BpPt^=02z;#8;>E?<*?xh|bi4r5of5=5m*SO$B&oz;(=0DElhrJ( z)hhFgAfB^@WdMC6URg|<$w?Ky-zQ2ch~~=T;?h#OP)%2oxRTB17QKEZHk>#h0CDs7BZ0Apm~?GtXauwixrSxab-DOS_NC9R;z&p zcG6vY$4C<1VAw2!IoViPonM}B&c|`Hy3$;&#qrYODgXi)J87iN_=IYaJEMs=R;u$r zLQAVP!0mFf+JyHv;^q0Q5w9**;N>f;^Q(W$3-iedNjgw$D&LbT*b2V_@FJG+Bw4s-T<~s;ettZq*W4Pqj9sufmOCr2KzM z7eVzdfxKE;TwZK~u37>)U9I3+78jS{^J;Zv8SM9y2~Mvcg|r8jV0X>xJa8_Ub#aod zG~ltzV0)$2Mss<-k~XUI^I3ZKUi9=7h}MxV$EdBXfaqFXSp@%J9!y-g02WtszS;oh zUQVjbG;6NLCzW4*7dpvTSz~Fnva)}=wAffl0I~C67F3qAI9siN!3ow^1#B^pU{fMF z&O2$q%pf?DN;QM`HCDi|Ojeh{H_BF)SC+s6s{(sv&FrMo%dat!<|f!%%S(Xbm29E5+RRqa_FQOywE$Y=q!y5$wI$vRVzU7jHt2?VkXKpKtRyk`!%2UdWJwH0 zcVlUx2Bz@BlxCl)e2{)(bqOr!d3bvz1B(~fcNuiWBDjYuu+^ms*xpOvOVv&&vN@V3 zNvoDrL3mZbQ)@0PX4!lLT&BiK8ZRs^E-rvtTwYpQsxHQ7Ux?ODq@JkBrKG9qagE?AWNWW6W~lx3t3zRtzTJMT3k%3mHA|K1>AlhrRtgg z;^S%<@xbPRfYkV-aA)S$2n-NvV4DALdFrp83?=%-YJ7 z-p4Kag%?eEJoJB`o<)BVdFk~YvuVCndSk#@^p7vQIZ*WZM@l&4`+-MhGPGwV9nfZ1 zOh22JqBBaQ|B5sxoms)ej55w=+9|r!cJH$vc{1}7wAm((N56Th#9V^Em_Aezssx!f zcu-UgUUvEENiE87dc^b=<;a0tGZ`!uefe~GX&S$Hdgeuhv+RG*RC?z1ESgG}UEUr2 z`CNJFGCw~ziy0cT?42Hc^i-KiJO5;EHf7qgt>KzB!sVt9O)myVw$-0WqtfVCPfef3 z^rg8Ov{#(r(4I2KY)0RAs_e9^e>OL}a>CgcUPYg)m6s^?rRvNEug@sm z&7?p1Od1rFC2#!k*s~ZhFq5vBGnw)_lQ}W7>C`im()}5CQlH`Evl&fhoker;vRF6u zMwQN@SLiH;Zk4rvf4Iy{W}MBW_3@0xJI|!$?aX>E&TfC~#LPxX&3rgRSukm+Jj7Yh zhBISrChZPq(kXa0)A!2U|8N%OqUriG+a4SSw1srAUvaX;4^9uJd@sUWodT5 z&F~DHl+S*IaanN|B_#X(o*N9A(b&1!%ww2YL(%d~YIhdQjE9lWq)p{4`)ti@bVHdY z8+h~O$4P(uv3BK2``uaiaI#5p^xdb*Eqwo{b5p0MVBfM1@Q@%V-rO%jU!`RNin z7yR+5nKag(W%JXi%L&|Pdq``{V&Pt9xTR%^mWy*`3N~aWdo|s;z z6irZ{^|aP$#h2d)qdf6dFok(WP2Q(1E-E_})O+r1ii_M-&?(E$-QFPOm7nu-OA)f( zLp`wm>B({-gFQ-qcIsS6@3Ey&zxzyU#QfP@X-!f-GdIK5yff%u9Q|)oWYp&xwfyL* znT~(MEu*|8@Nmx%D5EAoK&BM&;&!DePrNvj9?KFh^iU6Xe==Q~t=k>vzbPfx+8-`E zlWEb@mRY#Xd8S8wa8z4awHx%%a?{McCPC$;nR_XlGwr8;QvbqjR|Nh=na#{gB6x47 ze=t`@o1}j*H+8oZeqbqK@$i7X=!+*qtJ{B|=_jW~D?d*!s^np(C&j4O6Y3vK&A9wP z4+rIrF#mfe3+03xQT@wPU+w1aW%PT0kUH!C(CHGoWIaSn*=RW-*MwVoJw(p~f}U_2 zr-$fyK!lpxW9lJVO6QuB1xLa?m;PnZ`Q`s_!Si=dm(-ByA?22hn(se7W!I>OD0zQC zWW3vy=^=O<5>+56`qejX6T7j8@R5Itq1=f5bSXVPE2h`>*uF1r!ZL&|+n)H0U^ z$=*y4u~Rm7e(H3&1s@MFR5pe_R!)B%%GFW^aZh>d<`$nkq?NL1^O6J;?flJI~y@gZsFH z`?%xm;|{F;PW~AF(dZF%@#24GcX;+^PDLN1eZ`IRpuHD&U~}=^e%$%0P~4BqMK${V z;>OW_yOp$t>%Go?tDW84AGUhk!Fl)Nx~i&w3;$+6PVUCL+4*L_*Ma@*^scprSwC(^ zkMSK_@!@sVQ!jc`;g6ncrNh1GQU3nMLFep`%|%!4Ae`>F_@5B?+X8?1(aZIpS9-m6 z7I*pMt$vnf&GXTt`r}%^AK#B&sXsh@&`ogLl_=5|Zg*S5vrk8rO9z9#qTA>1buK+0 z-;1AGd8)Ucb)Qe~J{5P;rG-n+_cL`vf2bC6CVCa#cCj6IcQ4*-Jf9`Qv%fSKeXHJM znzh?^H2&`}{_otY-cf(}zvJQmj>i8T!2cb_|D9xTCx_=d3eWlL0{`>JVkM8rv(H8E z)Fk}j-d+q_oGdNn_0ba!-~r&h!?nSnmz@3hTy)QU@>fb?oq0|4YToFht-tKYEJk)#u4_C^tZ~Im2bn60E}0Gx6X`8z}cm?`X5zh6P2h z@1+F%GYe{o%X+Qz?wbP&CfFX}rtj8NiBr)>W~`IOV89=qvs%T+EI=6+kOXi$0*{Y5Pg{?N=TC&%&&);N zIaj)r_E2_5p)CB}r04{#@?bfnXU<3G%(A<%#%|oc*o`|a(1iN>4WPKQKQ|W*%h!fu z$drW>(Zzo?#|7?rrkO||ezQ~)MXK@S#>zjNi+*TMTCaa=`}pXQIFb=bc^JC-SP#{^Z>Pltx z(qJ#{XB5Ba9vm$#6vi>OhkY>O&}|=<>dB6)o1chYL+Yf}zj#FzpY7b=2NwT_bH=49 zHMqtAY*lv1D9NgGnyhG+k_X&+ihxf8un zyGDP!mhKx*Yk~f~x#*)aw?N16pTeQDbKhKItJKG$S7ObE_~P945?Xye61@aJz`t`J znXa9i+oSRy&qZhOoJ@RE-@F;U(z_O&0ja3&#qmIo+x;H^mu>nhw!))A}rhn5)g*R?Ba`ahb6QzoR40i zJ~ZlJXbiLZFnS4ohJUbg{Rhs7(;w)T6YeYAsP8e0MEc^~?UEYZy*zr9h!HQI`#W<} zc4>QG6yXQmRUmqW{re*P8NCWWH!!}|=pAA1nZDzC)~V|%?&wkcj7e$89-li|D{FtZ zLD{#>X%`0n?E-GndOIE_d(q>3v40PCUeCHntVI*bHDs(d95J+Ac|g1UtAPJUIFoR5#@HP z0g&X+YRL70y`XaHK(L!%pefMU_kYo~Hy|?Lk*_j0pq9f&JZ7%&plACO8iRjsS1SgO z+Pi2tvb#F`nR5a4_UrB2y$RdV>kL5rZ_e;Ht?ppxuMkZKXEFGy{Z?X^EQYY!NAB{y-J4!Wr((E8GKH1$1g3aKp)li>bWq+>6vU_v@ zpnnZt0D?_hNe)b^lBb#YtHXcVMSBy{Ji_wTazP*@ut`Srgo9i=pjnWCrVYCmlEOX& z?GR=QXfqOByu2SL8C`swFE*6@=RYyc@`QmqM&Jot(!g=S6KZ^{eLCWiGgSo^5(V>D zi8Xjj#plq#ZA;?0VaV>pp|cE`l8@Y%54(!IM^{lf9mhfy}y(`Cyz#tppB$1oqM6gZY6KGW;%Ug z9Wpzo_FPCw%va|QO6(!=pG2>Pix>0bN@2I|^%Hu>Zf^wej>+jhAx#z5 z^gnK~VZWOa8-7{3z=rv1N>?yvej7KM%=#oa!Yz~&h@dadbxMCM^m?oHAK0W){*WC& zH>K0)RtgEHk-nJH-SR43guBJxoKP6Kr5YfN2u$a0mQhm>MK9$mK`3D@c+bi-Qt%7woc^rSEb8@PPo>jNq&sHZNR^PMr}mpfN3JZ|=d=%7C@oX@#! z$|rU&;ctnHgkFESa3Q1!!s!aGMD@|<~c)VE6FxQ0!@*12#o96!gv9*Z$~ z-8|LGLi1F6>B1R~IG%Ya|0PLz|w;dNZ>0ecuZVO3LbOu!jsO?93I<(zE7UqZ8lPg>D=3EwLdYnG z3cf;uvPXzWQ1+9uZF8ZxaDdJ+PqfwIm?wYw67Iu2%>5K+uApJ>7NfR%ZQqo*>uxG>Q4)*M2)R>|8x;hFAT)(iIKVUxdb}2LTwe#jS zb4gmMHtr~`RC`t0Y$h*5+HB^c1h72{kN~z}oAKTyTH2 z7F~2)0(Iu#xCH8oT9|uq8aPW;KBrFXP(G*rQ({n_VMq+h>y9W^hXIZ#R##A_`3J?J z!()7wYenO;+$&P7^XZ8c>wJxE2};;uTY^4HjYc8BKvd9oTj>15cU$PPW3^f@*1IHO zVPG4G>_}ricl;xb`P`QZgMnk`qT_#PpNu`Lk}k=+=!i+)MVBt*vWU*SHJ4ZPO84{m z3-20vSas%&Bfn;aVUry4X%FmU*%Tc1vFsIx@WrW^L-^u)j%Age^jTJ^$&K(a+L@=U zl$Y1fhi{kkMF~=YoGG+FoOp09K#ZIr@{f=+#7ESv1%4Ci)`G7b;E6Lq2Y7$tx|C3C z|2~$xB=4eBw?OurM1(ncl!!1FeM$$v*{5{4l)KBdYUq-yDER2oRTO;H3BB-^PkPbd71^JIOL>O5IrQc~6?2P!FRKj!R1*R-Zf zDh8m{p^5?MKc$UloPK>!D{X%~?OBB&O7MRlByktRG3vWlMv*N= zqvyl}ta1~(aG91BXA2_Skb6SUX$jx(25o13BqyFrr%&N>QfXzN>}P_5~N-doqG4XLqyP#M392F zqaOxnyw^@O5d(i`zN>M+8+WqzkhDX_OfBNUJ^#U9AH9u*35%r5*RtI>xv#0#?ho*^ z&LRl5VDtutT& zSCNWZ$qn_0?O~j-kRc7r;&*UB4}>Mwd-t+_zoox~OYcHZbTed|_YifOV1ngD+$R1w zGh0w6z?y%2Z_|xvucK?B1XqV((dxN;Z=@vP*RMKrC7rnw^u+$bV2@x#d~nzr?ipHv z1wG4B?v2sw9J*l@xzEiRFlhG4y;Hti#b>%a@g)rPD!UC{qN$Km9 zz9ZM^p+-u8OuHcoU=#VH87CR(hpp^|15kKt82x|U$_CkxAh+M!-^zvu{jLCt<^NRj zzmOTn7#L3))9|v9GLGy4PRZ#9kK+j}Sa9XNyhF(G;40Na?FUZhj{Cnnfnf;zLBG;^ z1wSO6w65ZMVT&WDEsbUy-->huXHYr{86}ow6tk2$kEwH2_5JYvewG&`IWu!4MF-7I z@eY6Gc#oor+Q0can_lt1ew{Qif#AqVQjbb|U1kModBP74vu+jtd|Y|l^;V^>jxi4# zRlyd0RS>Mn1Sr3MuigUxFUiz$>aBf(w6$V!7y4=aK~>YXUeRTJ&|#@W83+2P_ponl zM0S5Lm;>ykp8#*@BTTA!>Ux!mf|=9^pWc7)W>l6MrPk^tC@F70RU$H_+c{tqBuvK? zezl4NDG+rswh5n5(OLz~ByK8dF^}e>AtE^ExSh0~jT72uDRA@#Lkka5bIQLRfML~U z83-GEHcJSrGR{ulgKFa^DhUjaID|VCvArpJJ%6V|cAi>-XRpUY+DacN<(_^MAx(eB z>zY|Np$)_RslG#Ri~|aDo@va;t>if$pyZw+%n36V(dulT=~K~p|6qSe^hs7wGad}# zEB80P_5FGkl~6zc6e?N393j5aumcI-(gGl7vx7u6n8QO-50nD2<}H0m0+o8B&%C9M zlK6v$BSDH&Nwi$VJ%TmUK=rFhBPD<7MpTUf4^6hrcbdD!Efzm29Muqu-H#6j8AUjg zU4eMyA%G^BYcCjXr6W#~2gu=y#}0koJ5-q0duNuY)b|sHxpS*J-R*mC1}SFW3|ni} zll_DGUJOEuIFg>BZ~j|AFHRn1%upM=Fi~i-Hp-=ccGSS?{R4Tq`_JHive#3j67kkB6n#Rwek*1!yXvQsR zM=Sjd8v|_>O1Xs=?a29%K`B8{F@|1mthJSwp+}h7sXH1^0 zdyG~NR?bP&4FrVdZh;I!QXj2wd0m)!<2$;rj&7&LdQG~$kE@e3f*N>c+K3`}p%~KG zOo>4XePP(lVOpcRz{%UsFlY2VLcQR_w1z@m)oeN}#~!pmWT!RA>lZ*$&&t8rL=V{v z(>0u&Xj^TjB%c%$s1M_0*t+M-a)wBoHc&jI;?1la*^%f8 z9io`~fJ1bCKtluUvN?#5ltMvjnV%vUSQ868lI*Yny9gwk=?3k5)O-~OOpo-cWpW@c zBBTsQKIGTHB^s*i@sfB%T4C}EgjOitOO6gW3w>0#Z;N zIwmA=RYQOcEd+m&K4d^=%mxcA#dvR437}+E?q_YlN*4rWYY;ay=5p9!{a-hevQ-TFQHX26_C zJrweYGV`neR)#U~!+pIo6UhQ33V~Np(YBfc9Jn0wSv^D6eb8BnT#E3CB}cCWo}yQ1ofU za?Hkr9Lax<#Kn_`6(2gFhu^yPZAxUl!?YojCR`BHPFzwV0me4S_@N&Y;Z)pJAr`l7sKnT4!!xDH~jIQ+@N!WQP(i=yPh z8UV^%fc0pR53kea0pL~12Nb=S&SOGMlojg<*=B#<+AI#GvSLz3iir)FXMw%tNNf3? zKs*GXju_gcxSNQtx>`W`i($utI0o!0oe80Bj@qYA=ZmF&olI1(-ZWTO5%6 z*|&e&nzf&LJFap&abA{N;Fm7Oc~wX0XR>d$N%sb}M}Z!sBSmz|Vni)+A? z)riWyG$t+oQdf}KpTIlf0rot+$!>+B58zC5)@TE{ATIFD$|B0(^9 zU}J&Nj`d&h=%P}0o^qf{4~_^UCbJ8&1XpIszFw!VU3C7l~Rc|%EEj(c>+ui80Y3XKJ0BG=s%5df&$f$qV zGCU3MH#9^lN=HzwDQ!c{05>p6_UX4aq1zfHHdGl8n91Et4kF0dT9LUx^myqS@H0ik z!>qGk6?zQ~I!8pBvm*kmvIZ4{f^eeqMm);Zs5zqDjZXi7r8Kk+Xtv8z&rA8pM|IJO zLh2n<)d}!e!rSV3D_*oi!BzyF?KFSx?_)L=b4H%T_$VLkEZn`mK&K}91TeKZ0!gpk z&QveZ2{lQflwpt0PeCD2>8*jd;`@L%75JE-MOYWUMH@)Q{IoA;f)FC`iw7DyEnfff z*4D<=Tbs8wwl}|V!-9}ykQUu+)4G!Ei1uff3#`%~N_=3TrgaZYk}k*Isgi$Kzgrp1 zSeRTd$e96_d$&%SL0+i0m_|W_*N^HN!a!&0BmQl2Y$Q|E2&`gT`*qfNhkN?fd{n)? zX~MUmj2kbi>sSRENp;CTgiPWzaN}sfs;?-CDpa*scGlioXABf%cyQE;o`SG6@{eG^ zr0$R#iRwZ^WO~^|&#Q5qf#ZKhLxbkd_#sU+E5uRk@i9%LhfD9KdsQ7HbFmZC1Q1xF zM1AiBf{9N^LRhB_i03H|o{97zqfw8F3=bA1ZpajMd_NnSvz2`!-(0-ZnkF2aKRyS^ zI+(Z>mU4dVQ^{kdXf(YFc8>8DppAXQv`5130%*i`>9kS`|L`-b`DcITvZSO{dF>`a zr66xg$~${bZ*dC185(;}@HGOk&Jj8z6RXB|zCw5|j+UZDV-*_wDg_)J0>{2s4y-&kIh}s+!UH z4J1NydOZ+Hh1;z3rwvLjsv6kBx=>ki7N`lIY9;z1cYF4kEJyo-cVPKz=J?-IjW|;e zg+Ey=+VeYCx6%%;JhM}O?=xFQZzvR3t&+s=`8#$h4WhM^L~wrq>>W{gGP_!^Q)fsW#s4dLNC2m9@;M%#$n2ae8^#Cb$({}90+1uDrM|I`Ew z5;opL(M0$$3JqGNMlN87>`C7`U?%c%2y^j865ZS*f?jX@PkfkmKjCuR9HKL&lQYN% zl@Y%>t*)@17Q2naVUDq? zD8BED{@nJQXd}70>_;6jbkUTxu@scF;8LkK7Y866x4D06+-8~I>A3XpBm#w>bB@^{7g_0k0fHS6juAfv%y|U|Ki!H16ObZ5*_6pxGLb7{6ejN^vMQ`dI@7KLI4=j zYt03*RMf_%qO}O2Hn0V|IXYjhur2{S3|hOaO9p+h3#n&-i1+&~m3+}`w|4i2HutA} z)MM)ei_L%f@gY4zr!A>o7PNk^R!vKocf3R5@3wW1P>67X2;)%im54(p!7045fkLnq zN>_O2GHH&@S;k8)CWi}x1t^hab>?A%0OIVAO#(lNCy1Mp)f}nSqIYH@QU|!3L#>8q;rN>V+`8BVnGNI;9@pT z#03_}R>pDw?|TQ4)97qd?e7x{^qFupnRk0b8D2okBTEkw&cr-A&r4k2-r9Q3P>3OX zx^F}%6VRpJE=-;`M`y!XgmrS8^xE{7<~S`M0tM-c2XokdOYsc;WrBPo;-d#$l32(p zS$uyNOs%T@VPR@5Q6?ulXgShPrPHQ_Gw4Ev<~N%DPnT^t(9#Abu9^bFc8`-2tpFnf zP!%L*F_93lB-#*qdya7gn|pfW#`=c$dVB2cyuR1pvh*Rcd{es=6T;@$0PxMc-MxrQ zI=da@&Jo~sEp62JA>YFEW56I7vjm00Tx5SYVyu2Mq~E}sc<@h^xSG&iG09JKUCS3F zTt$ca`rD(okmhzt)zjlP8+uf1TGKR2N?l$ma@NNSP=lCpmyyK4fE-14V%JzL8gtI4 zwckb7^oV#ie6`^>{&T6=rc1T4s(R^1Dsm1o;INfnM=kM7L^BGR_P=1yN^xc)|{klMpJ1j zLh;(6ro=yCk!X6-nI2MpThpvC{X3}AI~K_zF}&!Y7B;+zFd9&L8OjjKHAMoo?kG#d z1%lqd92scV(r@RRJDr1}2!)zs2UvgCCOQvUN>(G-Ge=yeA@b9OC=&*r4sHS2=Ak$; zp}qJJL#f6U*5zPm9U9cNDyG5@OpoUc(cB}R0rJU()xK4rE1v)lKf1oPu_Mrt%Y_GU zbWINIczN&|H((~)P=U^Jk;1BmT*7CaC1lRKN~OUI!TXXng?w^0Q`KVD91?$#pd9a% z2kvs^JE3aaqn|Mn^Fb}LX(vz$+ePANb?@oaVIoG?gseZed3EO*W{(3u)n8j@E3oiirGr$>cF0dF#`buNnr3XYd)*v#FHmVgH>Bd}o= z4O8%I+hdx=KCi7ExNXR%OZ9*A?C<$!Q4`b{*i$Meu)z%ryi5|pt|l$AT+O+adm^P? zy3tMN=`Dv1ahA<_gKi9>pr(NwS}Ba+RXcle=&(ymS&X#-v~k2!jU_w>!F&IgFi-}Nr~L!ZiG zK-R=j54uP#1nsOn$XIIHsIX_Ki$h(_JLx`)l)^Jzc=tYgF~xs}yYM)07qNYL#&#ov z%CD876aguQDI|%)mX?z{AXc!qo*3PRs-o97A>j&l1M-SvaC03U)wOBV^aV-`%|%LN zkbFb%a0mlGzb$%Gnom>rLcZGcL%UG$IGP3X^hox)y!>c+d<|46!lG|A&2ulifl2#P z%zYi@<-}!F4YBrO#Z5^^`$hfRO=iy23=HfT9xp(>bhs}@znT&X8) zDu^ru;urW`olm}o4n0d7kLBi5mJ4j`8#C99tTs6e!CJ3iNf#c14jf$pJJ$XSe&s5C z4WGk?IGKNtt!v!!FxW1L)VBL(Q7I-`izr0pSif(ge4(N;%4z8vVjc9k`Akt>23&eh zbqY?^z;R|6u?Vo*-0mj4Fj`X5>!yv|5ne8P1DHg)a632ax3@Ryx31mZt}^>`w5nKwmLocTc^R~;N?_1)yHN9ggCYGPxqB8;Kp?oQ$f=dbFzAI@EHtNegj zcDQZ`?BVCOHg`7YX#`>E-)hm#z?q;Nkm5JeuE5}K_r8u@SYad+0=%|OqpAp@?X9e7xCs?J$bvZG4u*UdCev(`6-(+-q}*TvN8E1G!eyZ%CMeu%V2mnb zL>_6k9!!XW6C>j*0)p1pU7GUJK#r|z#9%v#85IbXfd`ua#ECvg5o{CLxiE8X1`z!0 zZ>(JtngGLGOorEQUmLwS*(AuA7TVw;3fF(3rv(Bg_8#Fl*V zP5G}uTf}gL@1a9|phASmqJGTs4JDep(k+oT}Me- zhX+ejQ5JQFwT887M;5Dc_EFg|5dfR_IH;hjLva>4A#$hwKsLB=_DP_$z5i8nQ#$2>^ z*I=)OJx|(3&M4_yV~uGFew^NW@Z{?UN9(lFTvnYvgsU>`nK?q7#-_ah<8wP+%6iUI)*mXJ1G&Py&R4Ay_*4X`23 znZm`w_AEsl{DQF{k{P5pE4Q}TIMO7`0_Da)eCs{K75UQ-q!$xXw=E7`>Zb>_<1UA! zZ`{6ijc&~+JW`8A7YQi*xpSmWhXmmQWZCH~GCdkN2ht)V^14oa%_(&lJm?>CwJ&me zE@ldAtkbDYC=+sIWwees7~g;B*jj0!jM?C^#2y5OGT>?3iSFf8TySkV20KUQKuNOU ziOw`*L{W@MIpy4)(UZMSc{ZKgDOzH|2Ah-_c-S<>&*YJ=Wt(#q?0BiUK$7Q(JWh*o zYcU5a!U0tr_gkw9i$I^vjLgbZfqW8o@JcT+giA+qIRtznG)599K)`>AJdBsrNhHk( z9o?@JEeTJ4>ff6{1c~ELJh*E@q&rnwo|EAT%iG?$xyAONJQuMi07vInC1h$oWZ)qi z>uXvfsN0wAn)Hl>xm>Bc<}-QD2{)+7NArLK51f0d4ho0Q(ZHa&V~gX_9oENc^oeZq zVol1-2#6)Jb90H<7y^GB8H>Rj4+1l_{t<>EVU!Ab*+;P1JrUoPFZ;7 z3i)N|Q-Hw9H7%{u|Bp`xr^bF^g3b)VE({{mD_4H(c6#=cn!bMm4RQrZhyg)P@wsf4 z>kNgN^MhQ07?QxC{H>GUg~KQHx@q8<#+`=;4PH6i=s5i2qmNpnk^Va`3XlK&l^4nE zc!0t!ZtyrZG1xTWV|oeTw$c>Tt~$EP(+OsME}goMFmFj;1D1# zktk?94FbQdb>JNPlS~e=bm=6Yt9D;#oq$KtVWN7Kn)rVx8wegl%QD&9O%(QXgO6)z zY*TV=a;+;(MK2Yno3Mq!cp0;yZp1Q!b@TvJK&-#yj)}4;bD44@xi;K?*5+PKkZ46M z^2ui<&eT!C%iz6YlY9ISrI~0OCj{NC=ivs0=CvRkx?k#Rs>sBxGf<@w|Nk9fHM~OI90M>;7mzWum>v7rz@l`2;?1K zkzC9R!jk&eFSAo4c@o5Ly=*K?N({nqEGJnB$yU@vV<>`_l&#zYe!`m%`!-TJejhPo zIu#pBA+yMTsVy3J2E(xps$k{XI@}URaK&~{&ep-K>)JhnGnZu?R_`6lo}>FS9iMvd z^uf2*(um}9(N5O3GM&TgX+P49B$ zT#;RaOEeWe+;rM$?d)buNP8w=#E53hp|&FV=E%~2!Wqw~DL9F=whr}Z=$U+L-|`7I z+hvhGoy9Xwe_FSP#MlNA06}42wnx!iH?pDY7zU3FU7ytcsr|zz?Q~D19d$B#<_De( zw@L7n42ZEfNwA%*f>ymV(7m!bRqg89&RTtKeSKqlyMBFb`+Z!&)f&_Xtu)I(bS1-q zF%IQ_?Hf1Nu3Xz-d1mz&DBN3{>-8&Z+Z)PKpe?F-X{G>O9g8IHaxiD8*PSdpEp(kz z4G+)f@pCu^hYz;eroBHhdOzF6SyHxquOLL~=tF?QJ~ribz#0N4?tOtCcy)dKIsuGc zM%kTL|A5J#bG{4PC!P~j> zfeD|On$ukArYXM+P{op@3cK*Yoi%!)QA?8!J#~u@h28Q*bf(***^ETWJw^>%FWBLK zhPjsKIC5>NE(r`Qd7f@)+&8dp6IYM0Z%mP#Ul})Euf~xvo;OElaS{V;SMF99ji!Y( zuuKru8F5x}fm#@YDsysXdlGLAjAM!U8Yh+;&Qx8#QAF zq;@0546$riLj`9+w8BL;eqqfqfho6tWnzHr7U@Q}l_En@Bq1`{T-rB9 zug^&x$zA}?JPPCux$JL~sC|4GF_4jLjd5_oELXA^7 z$iK37Gm1J{=i&Swm3K-@aG?L_Hthi!ZmqvAC=+JK9eBNwtrE^#5rERcVzaZyk2+{_QS zwl1#)sMKi=+C|{{6R%NoxGuuKGe7v65fKD#xJOLYMHBGNzUV)iZD=;Xe z*YQm>M!B~+7d7XXiac98_a*0%3iQ$&IP@p*Pgt`XM(Q(w9-6`snfr)LBS)6HZYZF^ zq7CWR2r6YgY6&&6f4=k>UFCM1&!|{TN_`&m6m|^*d7L?aam;~6;X|tC7CM{3GN-Go z)b(RiKCM{6YC4vA3U4}HGc@tj_bi2SQ3Ee!v8B||3b6{Uwp^b~9!s`P|9B(=8aC}t z(E&I9wiBKjZ+JBf7{YHREr07WJPsxZJ#Y{ zvw8@Bsiy>gG>MmvYvTT>oCQe&+=zek@I(dQ8DRPQTY2MLdogVJ9XJgK?>Zc77&7%$0SHwlvxb8 zfAcy!T0soH{ms{LnJD)~O?zD~)|8%pQHT4n;Vz4zgemIYjtQqN?>xSsOFh|`6vaKp z^`^eBG|8R@47)Qdk7<8i*meB4LIBYWRu_POc_l`e&jAkfJ^hSHO9YND1t@Nj4aS%n zL>~`qH*x-MM1yM68=6}BPSU$tR9Rw3eZ&}Zw*xiid$-wR9vux}c(pyNx~LU$LA&D# z8x^BYu#?`2!19yC`GoG|y@QKl3IcSxWuRyz=PhDhTxPCs#@g)iAm|Jr$VDndmo?0P z*U^WTL>0tbgqV@tV+XyaImDu$kvlPVST@{5HaF4Q#f#sul{!5PKxUx*&Cvq*KH)TA zzcK5`CadB8sG?+1k+k0q&oRaXzo;x3k6#Lu4!Sam7{#6m7P|F_xGprh@L#lBc8n$RLSYfc0Cy=E)r!om&_{ves3EWb1V52~#WA{n zR}KlEsGNieX(za^J1Mjeix>Z z!4;}>AGSHbVuh&WJ12UQ=bW0j>^H*+H@*pZWyrWhWGu19w~M_QW<%M-(Tj@|_e$|L zPmA!_1&kTzY{j#(=S;I!C8gkhiJEKb+;B$Hb~7*Mi~UC-nozDf93dYXFg!)D<(TFd zIXxPiQC!1TC+m}ltNrU!_C%O-t$*vQ;lKv!F2?LJ5IVOF6act!@0_@pJf`4gr;qS| zPe-pdfv>#=rrRsI{E4aBJOCp1I^YI~HeD{*_Xc)^)<}nV52T^}&^YLS5ArFOrMKq5 z1@8BIC8C2`H86B(JBMP>O94OPQbZ4>J?`dG`+o&*aKggBoe^)iIS~`ZFiJqo=my6j z{Djp(naxqYc*<>kgM9YeQ$gREnP~@0@WW3oyQUCSrAwuWMuyh@+f&h_psX+I7Ky_% zpFX8}8g){eQ!ye#1y4GEY1i21NkpP@@i}X9j!ad8-{GAU0&<`yrdg_4K(T3*Vou&d zX__LX(&o(+Bq+k$84yL_#kNgo*6S74KL29igHG!r{KHU$D){-07t8D$RJdu+j>4O= zv5RV;u{&O+C-yDw@JYnTelK`u$|E$#qj}rk1rdUQDl*H)>GFbq<`zI~FYc$dx1g&2 z+(nC+W%h)^joQwcLRjhZs*`++qd66*gDaQC)j%tX5X+96gUz*sssjhW2SbKD(cL|s z!+4?{Nv4w185ub`F6upl;WqOI3rbdOBU{rb^!H9CZ(!}uV^>Gh&2ox9HfkXXU$vim zi3$;1)UAoxDF2avmjn(B$L1pRe!wv?_0xsNgoWclxtV`McnL-07u~S%OU;nQTt_Z? z6`e}r5hbeP25s#`xsf>WLUU?cLq9MpKWIAK)>f;LuH#qG2Maa$g|H!<<#ddOf?qFwAQ&YgLUko*Y$IW-@lNt9`Hw1IS?BLHl61~Evd|$+v`cFI}%?S`q zssQTB+Ku(6Y|Rl2(Tjf(@$y}z=#vpt4&0rX7I#W{!1 zt$lEt_DffP;mWNw-G(h+FblU`HQV49V&j7D&@3FJ6FmxL$JLEndV=C{whVBRg^<<| zc2Vl0-aH_IFK(>uNQE+KO{O)4uu>`O)EkBxv13No39LcCi7EmVYs#%VbZY^vai@Rk z932Qa`J2OQ66f$rNe%|Ap{@*S%>YV7Fs^!=_UKlB{^e`(B-db*4*k9j-S{A9KH;2M zNq1~FF^xEH2cl3HpH{0kV>NlD4n0`{QW)mT(qmAth2Loi@g2Z2mCvOxDDoyv!*bb6VAPavCuiu`B8P(gv0LHvD2id>^`zHfjS$1f%s_sk=8eGV zj3V6>ooJygS?F2m_$LTr%JX)ncM-DMAYMlgoFqms{flu6j z;P)Huwbaa)=-%TyEa@2-`Z0_REdLjBglO{JTxN^sBrWddQZR3~pkaX@^>FBtwx}dp zV%iuNv~N;k(oFh{?MmT`xNhJvUhhhP&kGLuJyJ9x)!GyAoBqAwVQ;`tn@xWx+k!w2 zCdK(oHr1f8fs{9HbfONKDD`^>X0L94#Ye8b11?aubF+SA&|s(pXy6-s7JRD_`yGLK z(b_`sNSh|9`MRyi|W z;VAMkGrwp&R;WD}07BD@35#eb3uDBo@E@<8*YVZ$9x=x7Qy1qdGJ!z)5$LLqqoj!cn&i-m4DHoCO)$P%U zgX3N)zkWaF+X z+gn@DiT#^4bH#3(nplW`KN&rtdzEZ}?FcvEx{5N#u|3VT+XThyTN^uuspU{h_zf;- zL(lGnC2d@R5ASnP@~E7t4FcuL=FauC8=JRodpPn2qdpQI3R=+5l7?iy)C|QGN3(Wh zBM__DJH2J(pfNeTNX#IAs{PT|tB5SdqtE>BU+;Ct=7M5xj81W20<*Tb@a0es_(_s_ zyT>Yq$Nsc?b&g(!dM;7|C5^aJm%yGH3>yc{dh<}{+wHYXOYxKniJee~4q0EO?BcZ7 ziCbMKcBE1?Ri<1`Oef>tvFV)G+IExvq$V7QJ~$AwFnOuDK9qrullry!e0oW{U{rTnLo+OE zWF<{54O=IzaOiYvu-c_Zi|;Mx)^8;=(1Yui13p zhcG3Q2KrwfU-hFpvcW2d@HyxOV8ivPk(st_|A?5EWH&xwouGgS53$lbewis$VuqA* zv~(F#D=a`_%A=jw`F(zP&62(55=Y{=fj7)!%{rG+MB`6?Bsfjj%_&rh#(>L(86|~pf${K0Xtqbr+bLF zoa!k?>ZNgim}Om~V8nLKU``RSLlL2i+$9^z+yeMpiD5@Ps=F;o82;}8T2&IY5cntw zX_lU3v_lhr8W9UX*)7mL&I}qkr6UbKku|U*K{`@`q=O-m7O?-lHdVJ3LNoOCx z%nqiE6Jj$upZVJEcwbT+TFTndM5N6|c1DH$YBAfXAM7*yRq2@}M!hV1FnL@FRcOVG zia>dll-zt9&*9UWqBvTavxL}~nzFYHVCCAj(-%p91|mscEG z^e81#1WeEZkrYIiNA;$WMhnu1jfsvw|A$f=a5Y$81H95aa7M=hVZP@*0TA$3b3x6~ z$r3~j(iq8gYGiZ<>dwh@-UWiTFtBCFeAp7q)#t5Zs#P=Fae_-$2Ti9}3U)9ttT_mQk*u)Cuh{H~Xk~ z^gDpnp(MrrnNb|F($1Uoo|{XCNs7Y4Egb(Y>_E;w91)B61ZF3!Zq#^a>v)(7J4Gme zm|JPGzxoV?6r) zt!$iCbaJ5DvRL)$_sR`Sc*C;>EEQDU9PQ;XCea?#F4xr%!o_ifg3R4Tn1_5z+L%0* zK>e-VHMq@okYW1ix$1eMvPaK<(%zSUH*=0ZtC1x_jtSaz{79^ck&atP*Qq0v|DkTj zhfy)F)9w;l{hkM4J)4az$ zF|k>i>^e|KVx(7h_73B_*#V!*r}Sti5{ZH5^;_#q7)>Q&2m0huTfp2pR<&|};X}!K zmpxO!A^UOI3l0S!i^)XHFyJN&T#dfI zooyYsq#i7K)D?y>-Cj6g&}9uUAnxKkX;IYy#xF*z}>ERt0JJ zoph)vm?{)c6wvAB212c_$fkdPus`Hn6VY}D2QS5baIT>mfV*|}x-LCC+Hc`^+}Unt z^ZLeC{o2NR*RIt!ZWuT_I56n-n#}aMT>Y3xE7GZI;vxh9&#Vi!1rou`M!!N8v~oAp2|iwD5n)(#8A zpm73?nmk)Ib$#5v#zN75bc?WrWdP-N2{JNrRBRjHk+thx-M;0Z!+~4`4$a;?uE`W? z=Nw-9&93f5NLITbF9H$l785wS+)&4fnv1Sg3Y)j;*S9xskwR7kkMzQidQ=X1M4x@% z>|3>l(TaXB%=V4S5|M3e<>B838bCGlU{h2d$qvZ-k@B}jPpa5f2Sj7i8e}F|7aN^~VaKQ$umJ zebtU6R;q{S0jTdF0~8834 zg{>=TkPQ1rvV$_U&%$|Jx|5KBh$j1YL~rFKixF-pYF!PC(E{X1E$?|L3HEBS+apb> zEeRq?+sj5s^-zj1Gd%4{^_ucbG?7_Q)Qk;Pngvj@2PU$Aaz-*6DJM%v+CE^#0-2fd zx44IWKCKP)c2i{w+gtVRosC;;ZaTWTzSnD~*4zyAfddA5dTo2BzIkJ3V{7a7t)2ST z#?{TOjrARRpn?aBBt3^k&nzqIASW&G3R8w>(Gn*WIV?=sit&ck`u;(CU<6^VJ6$f3 z8&;k4x@il4KZ6*#&kfR~X;Wq^J4#5`Y9^9Z%sS{DI z6PfXGl`g6@M!qraQs+lC38Yvf3qSbB z$pxZ!pm#b3q8_%?JG3UR=1o$Ue0Vl?$3gE*%{p|(Mr(>S(K#2I&Lk=iTgkwJU3*GW ztH2%)_EVIC$ifX~W4QhL4%VGj>s;-2m1Gk;=G=R3!mfv&Mq!|$0%t3d7BES3M9lV8 znl)~Jb&N4C%nRyaeS#i}vR(mC5?lL%-@=_0;VCQ^`D9%P8#16#OMpQ`!UkYvRcJfD zpYa~#a&qnrCJVLVT{g4B9UTFZYL*MZ((o6?rPw!MJ0Gqo^xgxbq1QK|TOsIUC6Ar# zAKy4YRKoN6J)8fHGSIXTa4lid?uM(7O(W+Q>T)lqts`8-6Kfo4$ z)8cK5Iww@yNe5YsaY&qRXT)DY15m7yppA7}Z0afN61#ehOh@mG4Wu*F^`lK;XTEbO5-?iv6fDXes{@A=cusXw9!HvC zm&f_!>WT{N!z$YnGk^O%@L!;h9CQY<)-pzN;JzA;*zNTHeb zqMj7@K?;gdkQC}vO(V$T)4=2kw}O_xNCTqpXnikh+aScWt$>M;tbgoIR|4#jQPpCS zWZOMvX^JWlFYpb=bQe}taVQUe2j;LO7Y^*2NL#p1Y28e#Db6mLtc$TC@LP(&Z_$my zA#9~+mGuQ7jM?rOPn#8PfWz*IO0qRca#?FH<}zL-@KMUx#>9Rm3~)u!`H;~tdfc9} z8MPitW%IF4pPR}89~V4}*CYHSqTcJgo`K@Sw2pRrn%;-cv7SerGw$EKN5pVs~>>=jLk6n1SkIul6oIi|BTEQWj_N_0#* z9OIHogvfwPY~5zmg0Y4FXAblHRG@-@uFU4wYUDCfo8Ng@R;UV~Z*N?q-4^7*9NQyX zs4hH-G3Ns!{EEiK3q3r4=os=zxqCWQWmo|pxlRe)?pbIUDyaHmKnSRE2$F-MCK%Y#z~3dPK{VsK?IWX zgAAi_=DL-PBDfH$j*`JBZ3~eY!89jI^zx1qOqdUT>qkHI9?wUAZ*J{&i5RsPeURaC zv^JlJ`~-|`FLG-Hy3s1ymvnHYG2sWiS;8vX$W)ZkgT!-$jF1qvA_@H!%0{rx1&H?$ zJ8Sl{$0=Tv*!Gn}0F$W=U$u8OI+%bO$SbyOlwi6%Yrom*W>%8bNZWURm6@8%P zJRi=<7#JqdizJhOxuQ1qmR*NLzzv!60;T6ScQ$YF!9uVdzBmIjNt3i+ za`@Gmr7?l}&3)C=uI~vDg^c|80h@j?TFi1Df8GPZFN#83eS5APK1Mp^7CyENzp!~f zSy{{&HrdeA0J+Zo@(_nlF zfXyu6s1{E=H>5#Nk))LBgcbrJ9#`j5i42G2&Jt}>Qb8ss=FPTD9Mc{dRoFH&feS!x zIMqg^(6~CF={=Pk2+))H@I9-MoZHTub~WpMZ!l<)4l#1g4vJ(7>~nmDObufox+w_6 z)}B*GKOK>Ox=O-L1U>DZT`vQ75D?Gyc+pLPeM|I)BAc2#U}O(ztC~r?C}rXW#AyzCsW#)y^9ecbpbtRu!3?kv+4dPyA0?1k@>1pxo09V|a7uQ9aT*yTNA6=U zn$u+{5)f{fuCik|E+SanQf5WU9G#^kRuO8vff=EHPU@CsbKu@^yp7gB*31qO#$119 zZSw~0%s3TCS#mIB)(A&Fe0X(Y~I1HXF66v9+UWtCW^-vBA8^(`-v{b%Y#O4VgG~^#r?2f@${7N ze8<9nu`1XKj%-#-_qUMlZ?TDkI=L@WocVN!;2Q{Xb$L>c+l@sm8m-}j{yw`}g=g!QwMN2pvN04MeM248wSVX8JfM_ujB%ITxW*qu4bQ=*r zW(o}>V@D$ch%K~gf?+U|tvG!=xH6r~NMgZ%%_wOK`fBCq3!4`X>8ygz(9B^G@xv5@ zbLddZ%T8wL#iqXX4gyVea4D?!MVkr7yRJCiwFuLrXP-OuM_0qVn)!jU;uJ7_S;e-s zmrO6x<5{SYRy-zK9%D~-*zuSngS7FL+PM!(%WZ2dr)Eresgdr&XI!%aJx~?5d&pBGq=ovGQa+&=#}kOL(O0UPa!^PEu8uE zo5B?t2&UGq?Rq2YFknp$vQ2gt==*qoumhsuCeN_LS8(kYMeWs0^sYHvvi2yljpgS$c`KJ$s5BTU@zlm{S&7z|(JSuGg=uZEvV{*rYLv zXdLGzfmY{P>fx#gEJuY6>Y+;Y7ifoegbWr43t&-=0z8g_#zc&5Gqi!)=c0{&tar`f z-D7GBLPkbiL%m;^ohbd%d=7c^b7MU2FX#x(N$1%1x4Pire=zKGJkc3T!8uUDHW!Fh{rh`u=2(6ZN^f}4BK|#o zHc_|>ucx-soZ8Ce)tEkiyuwC+=TVD|T!*5s%9V5NL_}R)7BU1ah|?$`}>O7&iGX7IpDM$VA`uPMIWt@Eb`_afSu=qkRIW- z(U6AJuOyn?VYyfHiaVB64>}mjdG%dztKz>Y9mYp6-h-fI`y`+vnpX$p(Y-JV;oPgn~Rr_k164obD19q=b#O zR(ELY)#wtuiQeFMmO#>2B1t6AizDJ@RB-bA)OIa~U&b65Q#;Z9i@9WY&D8zh=GAbw zNf|2JsSq<^{|yLH1^YARE)&5gY(R>5&Ut;M#}yw-DeL;7$K;~ z^e*zJ6|k>CYnS-OF>CF3JM|FMZ*@*|F6jDTe{Z@;_3!nuA+wS=2HL#3W7;5tFYMY= zq&>kU4VySJ3r=&Vk#$Zxm^vRx_%4FjFi|Ek1PwJM403CK<&piAgN_m7XMtAoPU^j` zeKaIZBwzm4G&tX&2@+%VT6QL`f@Jkfdsm+T@tQ((?A#C!SiF_iahcmJqnQUwv0e4| zOfH`j)$@m=I9(L*M`#4IDP*ZsIa}5N#6%Ut!?aT~R(E7?XB_B@=W?vyQ7jxvv7mbz zyukdVT_jAlA28iI?^+Gr~g2cK&H@sa3p^r7)z|DHNX?P8eW%oiR} z5xjVCcah#z&_~txZyiT<;)yGOpu6>V(;JUS?Ts4kSL74=15Ru$vNOKqaFNN$ta-H8 z1$!O_EU~5m3F=dAg1@D-P%B;f0fN z<>Uf?B1oeJcqr(H=>K==rnP=Qc3KhPn>c6aqz(RATEqXjV#|>+Bc&&;d|v!rBf1{5 z|GhraO<38|LvT~I?>bMRLs0li@q-EYAKrFcTbFTzh>QHk0?CL$JHD5Rmej0Ku_cfC zO2@GG`z+F&F<8Oa9zK4Bo&l?;)-`4bNpUQH4~a=TvLdRdj70AB>F6gL=5u3sD8E!C zuGh%f*t=G-saR99u6rI^jKF6boQ>{PEBnlL2){#gbx+zT$(m?q-)q0 z`voz}h$q`D2d|T!X5TB<#}Eh8>_J%FAkHA zw5C-5JS*tjQg%SBI)boyekY2J8gV+X^394rJMF9}hDys&WMk&o6h&37n_?7t%y~bt1_8*TMJZ#oco`rtQjlae>fI%RhH}3dG~)+=MZ9;)@oS2kIg4M0 zfRCz6w0NvnmWqnDXyl2F7v~IdrSOgT7sJ|ewUl3-AhA9Tv6hcyU>&0nRAhvii5vr& zYs?zW3s}kG4w*D2EbNvJ8j7TU$K&Ice98A#!Wb%EH~3cUe@qY)4uyer^p}U8qj==d zud@yoNC=ht`(SF@YH9B%-9CQOi8mM+pph_8AU$K3R-nd+`O8x?>K%zFi^|(U-N5=+enFOv9qSi!vhc@CV#+{hBbS?u`1ghb_X78ZOJw=0Y>0kgFtH{ug z<6yF&*>|&Q#om{&JhCFj>E=tSOdXcAj64)ZWngxoi7zWI5j1IkU8Nnaqjy*#{*LVYqt?pjt_R@_Jk9zeqH!Gy;S`iaQp$E@323J;%9 zdl_uUWTojU$ji=uJf3`t*{I3t7*&Av5KWKSQWrA>@kj2;Y$(ZXb9?+SuY4O>xOnCE z=C!N!wP&u>uhEb@x2-Ed5?eGly%kiWCAessFHt8)QSD?ZVaA-$g@o;lAvmsU>f|H1 zj_X0{whVR0Zc)jIvPW!GBh484<40&P?s}y1*9n-pE}gl57*289PLY^KICg)@q^DOk zsu!mp)QeQFFs z3lFJn9E50p-byMG?Eyj0&G-Pih&^4nHo>qe41F~Oqz?Ct!YT;6jOd9sa!gcFAGs01 z6|CM12ng(>re*|qFhKfYTp6OGDt})wo}m96V@1QNxcS?NHg79+jG&E_rNg{$GrSk339n6Z_m7VA?6<$Su!WMfa^LCtmWh0x1A(^_;oodgu zf-#CN7b0>R4mEf8`esJXRqGrv4;s3exc^nK&y_0DFG$Ekh#RbuD|AeACTbAj!NHBu zgnBL!muq=)Oh+TP1yMeWkEQ^4YdEH_P+@Byb#zGjsJK&4Ak5mJxx|)FhCDz2RQgKWq(5X#}cFuxk9y(r&k#$y?gEv#8K>^i@0| zi@tW7**J-XQ%te)f=I005kF?r$Ii1t%<0vcI4Ih7TJ5Q0`MOcwK_qgF#eHu+EaZ;3 zLTk*+u5(-^HM}b82xyX2<{nm#F%*m+!9})zqMXD}C5f>xv1h0v)Wrk1x0Uc3!VNb} z_^7C3#-qlAB+|xLn_MGGi5%Inp`_>u(O2Or{ersteE5hO`{!tG zjA>YM+|@Wm>WEI#AtsDr##R{%kHZk2Rl*jG8b_*cWiG_#EG*|NnH^K#T~MOU^xKJl z!{DX;F-KTBK7NK2Y|@D$uyi>x4Hk<_lk>@pBkO-hmE8J)Ag1(ApmkKPG8J82{IR>U zF)LJSe|Z`dqfYg{$i2}1uL!= z8jKb6;|gVu74`p_ymGU!^*}KR7FCmf*M3V-04wExS|SCU4AVfTYE~Q0G0kCKckAxZ z)PwP8fgjkpYqH+y8Rp1MkPE*-*C3Ck6Jg1Ou+A?M{Sr$o)iwK~cwz15PGgb1MkgYi zCuaZ9WGIzC4I()(>^+xE#FG*SANC0$G(CLM!QH-O7+)9^;4(D)HH6VJE3JQj>lC<^ zgsy%z9FSfAOQ*_XKtP0e@YljfK;F;Te-M-NOUcNG zYwRioGxKv9`S7l;S@g_u^S@euiTE$VZmU|ebPn1i{{QH-3VRHyb?~0~>AU^x-b6Aj zFSIZVnZi`~XPR+-ypS)zN(bG+UemPS=_m6w@T_u;>2pklx0R9LFHAzvilN znKY)ps&oI0c8}k{?10tv29#o9oEFn)?)!vlp6V2qj<4&??~kzq)iE-EEQL1NAJiDb zwcoj`8lYa}28zFaH&~8=nVYKNW;VpLSAy+*1{bAt)rAh`BB0BSqt zRF51;;{D+Po>q6c1t3yxGu`9cu0M0@`bPcg^|ktQTbn!Z*ZTT(5-N6$hB!K{eLI(c z8#;js(ofm=zaigw?kr z5H@({lAS5iLFWu7+!63Gg$Z`BzVwWjHIu+JzY@%k6OFEJtSONU-Y=o*%I)p?_6{}rDojj&F!!%td!K4M_3Va6 zdV9S43C9A%l|ya}YhtRn4Mc#M?>W)rU~Jg6y}q@!v(5+z3=&2WV8#yOWS(rOCdG&@ zT(lTon@%IbbE567t>=i+OtjpeF0A*3ILemH-WAH`&9NW0@={Q}vQ^*M+Pb+FmeHdJ z2V(-HBFH_kcVz?2tF>aGLZyKe>v;Z_+yICXq~ko03J|2WwQWtDWRI^dc9 z!dAK_U{lmNZH7#CJcDSa32lQ~{bKtLCz(La%WNF9ZHE!{3ZplOG1DwQFuksw(yZc% z%Wm2aOI!ua8gUZQjX6m)od|vZ$xz?M2h^lXt0cyp*KCBDqQ&iOW&_ijG2ygu zWf8BlAo{6ISD$cF^F%|LCmXa3mJuBt)zbqMQ}scAJJY826f>BW1(i_S5!q zCHY1VcgAu79CEE&(=*9}#GwI6H#Ol-Fz-1R6;Z|tvN6sZrqJgkIc+5Vz}g)eh0fqw z%ng=*cZWEmNeGtTH9bn@*pbfIHkB$Q?Qq}RXy^PSXUkDHcC@xL<2#)aO2oUeuZ+qK zO?%E2R;mh(x?w}>0c5RvEa<`$p~1=i24z-`I~p3@8{KbJ zs~|w1+g#tKU1IHFy{ooS@fPOHc1C%=^X$gDVAk7PnpssMq9agEJMhr-=fV-sxk6M| z_ZlOtAn($>+t}SBgAT^1*2?zwcoUl?Ni7*C5P4lHn?<@6h(=mU!n+klILARzbMd!- z^i{BEwkY{i*y(x!Xzno&A`?>(iOto;JuBU{b)gmS6jPs3d~L6<-QM1i&+Y5!c&H`O z-Q@_W5)t>rlofZrGu)2!dXo9iQ zO}C^CG%vgz^u73LW*O=(^x5QqDD3@zzQdqVyMKm0DcjK$id@I#gMd&}c!HtRZf!Eh z0%)U@$^U`P1^Rx1iPVe+2L7QY6cc6O1YFgz+!B-w)2kr>#yh}IN=WaCCn793y~`g> z4bYIxee?SD&7FF6=?e2g31h1#A5$%zVs305np^D$P{^R?a6yH6&^@zICvk>%f{g%;? z1p4*snd$H?!`D3=(tq$nt=%<$Q`=NkFC+Mm0E!(0juFFv9a#nv%R+>#$|594oJ3I^ zZ*d$Xu%pP10cDS2J4hTm3laoM&N=s-bI;wr`l??KWx%xNRabrAUCurCtiPYJfnM2q z+1^iJ6jy!lxW!E3wHLfq14M3u63@$>U0}!#7_pH&zj1Le`PC4cF+gP40m`fUn7 zzq!xLovm39tuT3?fv>wD3s8-{gg9H|0JaSO`JAW-p;SEHd-T-Fd7f2!Esr*vu>t~ufQvs=lR@Yj544jnjn##zT7%Z$ zAZHb}0g)Be!9AN|B$uatVa`QT$FG5X(pR#i&55#;{4mPF{?m7!93MV;>+r#0FnN$e zK8Cez0gi1C{M(g>&Sw*8F3~Gd&V8?(Hz3GHMWV#WCV0QCo&fQGAuZ1$b~s}C=?pch zhc>fOe{z_3wZR}m*;Ps}`RYh@u65<;`l#XGCJVghmDx2jaO09#Gst=RZ?R~tq2Xqe zlQKLS^4ov<!U~vvJZO+Sd>+T`!%ts7CQ4*EGY_P<6}wEyWSdIk|ZS z543p7XVk5I21Aot4_fd$Z^?EWCrR#%@RagQFWhyN#Kv}iefVl=H8lTZU`z`8neu8eVUt-0LzGRhh{Z8cyeT0yBX**zuN#ucXP zz8%Q%8wbZA#(FIDc47H`{I*PEEe&@QzHRi@e8O8~M2bWrzHLcL?3ir*tomAHyF8YJ ztLCB4eRwE;n2RQCVDeUel}i&XfQQ}!K<}jbni7Ck5T??=z=jTewprl2bqDzJ~= zc=(pY0-JJwYhG4dMk&OS(8I3Hs>tOXM(sJ7BY9=mPM=!ah*KOE-pXwQKJm4@;bHP? z@6q9#Pn>feIUc9H>{lfj*Qi)4h>C?4sEaZfUAr-V_c%V9=n*wZ5mv{+*$`7OM?Jm) zw>XBYaDkNg+ouXodBVmJ*7|`$V*|PKQikX*+AA`Im)OA3sNs-cuJR8C@Q*rWi?Mwd zmJLnpQ~w;c^|7)lNNVxl@tWl&B0H`lTb-rt=DWzm2uSs_dUs@R7?`da{*`y= znsI+)XYgR`GnP5pZn=x3wpTg4jkBeZp;BuOj`ZMg|7~}FICJZD7klxpaXLm)?Va00){cPoK)USlCp~mASk%Zu{uyo$Ba8 z_3ndU-PjupYK%PZw~Huu#Mj{nK3Bx3L2io^Brtz- zx!>TBI2@7pIFVozl&AiU;9?j>fuVB~`(~9yCq?qfS7-~zU0wmr)P5kEf+uGuJ;opH0y##>WXL*_M6($wek z^bZe@G+~iJuPe77t0S&ex+Nm;Mg-q~d=^Kx(kV~ry%ATpoW5N(PYq&Tww@YAnaYoO zXL7TuoP|0n0WK=R zVU17S4sNN3dkK+-@aI~I-8#?I{iTs zet+Z9J4bI-kDk7J@GQ7VZ3c9w{eWljT*TmDWIxoJDc%I-Wti|U!vKoccK*I@Mis7W z`u4MfFuE5yDQdU*rM9=Ct#puoe5ATrc;RDNzt1?ME$fpkcOBxmUQV4%&54UMzU4f? zHN4^)rV%Dgl?{paT!Ctwv+i#S6+@vZL|p$J>-tM%Zs)Cdt#)`ibzJ2cXw0YrVw<0m zBpl~3i*|5Q>$%Md>~7FITMeRtx@uAM?>MdX9CYU}60*8Xv6f!#0Vo51j7-z>mef29 z47kWtq{JR+GI$xiip{EZ+~;9cwzY1YIg+Yyi5+B#)A7uy?v1MTgXoZ{Fvn^oDI-&* zZShB=rZp2_l1h`hKDLOB9qomAPlacyvj?0&*yqo~ zjNro{5E3Pc#MBLO^27j4K(oI(f4QsHi7Mse!^a2D!UFR;n)P72#SgWo6otS2Z@x@1 z6+&!~KK);KIghdmi;13@vT~@eXS=tsK4ocYDVLQH3&p6Z5dvz^QH2dK6iT4?Fkr3B zU@�D((Y>jJAAt{28u`#P^dQPFP(w>rymbNGYO0^!kWv$6x}A2w~48f0ObRDCtX$ z+q{PKFpq0&-#+1ucd+XfSxY)u+G4kJ!>NIVj}7iBPj1P!V*S4V8!~uSBSr)$K7ak6T)kbb0Bm?})jmWgavf2#%2amv_dgU2q zEHJ8}FgE%i=+N&J7=rWzFfMCgXPxG!)0Af64l3Q1D=Oq&H(f4Bk`4Y#j$DVx#9 zgmsg(Fs684kqtQkp8`KXc2);Wt&0%B1k_DKVE>%0(roJXJo!w(gmc1mb& ziZv<8;>jiBF0__j*o@Fs+(l8?1#XyJkqH({ddxp_8HXhx{L`^Ds_;Z({Ak=q(gI`t zXsHF(FJab8!juKDjnbv8nL;|vNrA&U67tx_kjO-yh#Wi?fAk}&-w>3(_kwghlU+huLY! zXx`yvpkLXG!e%OSawOStgi)8YnL!E@4K61b_lxaB675OpxiUeQHbC68GApaKDRWDtQLMDWe_{b-A&p))gAM@ZR};;)7yMHEQeVKZLnraaw|*pgHZMqQ&o{>5OnjZl8;QIS zjNFm`;&gQ&VZ^*Ks!ltLex-ovuvm;LY$#$s8o)IcF%A1!gP1}>x@bJ{Iukjxi0$D> z;pPigU7q+)k-sJ98|vLWkeaC%wdPFjNUB+YfAxI&9={F7CWC{Ky*951QJWl6H}?04 zfLbor@}XbRPPPBiO^=aj>VW?BYXqs?2!c2#o`v3z#%&B}(hsJHBoqr*rZ&3(q=|tJ z>{haSPgD+_A#Z9}MU_;i;Gnj-dStWRE^j0H1vt?m>Fh zyZ+Sb!5+9~dk-HzV-Zj|Fj$GV5BI~re+%pvR+rA)qt4)PB&@)tT#xuiu99QfS0dG3wbSd85|evg0#yA zlm4mXPA;HZVHyOa$#o6+_t#=W#j-UJ58mxL|Bd4OvfG$5$E*WIgw2nTWF~mMe=L`? znkxtG;6)fyTheGgnx3<;1Yk;^jLGc-5F|(PFp*fraOg)tGJfG!((|i($XR+Ni*7E` z*5+Su*uOwuAIAT&{qxCj_2#p;k61R9f+8|!YOEz5zMQi_ikP`Rj=g)3?!lB7A+fXy z)7;T1iGA|&)(-|d5AqS7^%z$-e?er4aaJO*-MIs%OmJ0{us+96ACaPE7HJ_@{d@cc z2F7|;z4>mn|MbZlhi~#9K;}*^h{JC_8+YWKB}oFT!XKPdyIoG2V9}^ySp+;h_zSsi zJ;{A?I2Yo_Xyc3 z9>~+%2TvY`*OGM6A58l@yts>^?gRn-3J+W$E#P&B53zmch$TIjJ;A2%Na9&#MjnkT zPGFNm>Ze`J~A(c$kEOd2EEx-Wj@+#tKZ)c9W2f*`6j-?mt?K+nuorYVa zGiv__wJA432nbL|{eYPy)Hs&~m1EK~-gd{^19em-#$u?nv{2hre**Pjlc-+r`5Wq^ z@s1>ntd43Fr-JGGhhhy>$C==X_Zl-^n8tP2ub!d6K(H6bTa3b#TwHZIBY2(CXb0Ls zJmw$U`kX8kM$29gZm3{N9bSbb)s&=@uvkX#A_Mf;pP_ql))VJMRu_)n98;Da>d4Fn>%66l3`T z?-A@Fxf3vZ?8;J@{s$^POJQqQ9Qeyu;wE3|>V^ONWj>XQbG#jvg0~S``IbLJqaW}s zwJ6Xt@g{||+pbGr6*E-`yXd#r*T_W-dSQ|Z!%lO#;PzgL>wN9dMP#URmR_kt-+BVKRw=i zM5St)>%(#yuL5B--{H}O%IxX1=N&aMyh7RIp}3yGl;6%qGH^v=7w%o2nLF=eN&cyd z<7)=AC0@YKe-58MJ3Rh&VrB%EEUbq!K&2=^+uIxnL}VRzq{d-UYu%$*b>HKjmkj%l zhU1Jc*5)7jo-LU17g>m-{v@xqb<*(V?}+YXo2!srgOz>q&g1IQ!8Z>c9kEUc1e`x} zgQj`vE4*%!&XG0QH>@;1yWHVm`NP+3?;q_OhjRg(f7C-Ko z=Um$b0y;48V;&vsJu_QODgy)gmtcVP6|tozOQ-H#$!6PPy?Ntz+|Z@GFiQzr6No6A zt&Ng+QKy8l4LB+i1pL9iTnQh#6+?fsg}ni_dBH;CfS!laa%`AS6#5KU?>*UQDa8po z1quO2ei7^L6V$G3m! zrc~47C(HnRon%%2u(F1kS^N6NkBmo*hdwgJf36#HVf}`o7Nc>v8|c?=&_(WhPa4x$ z6&b6pIhPVH|8liRs9MZoQxBI;uCUV06B$?}(OK5#quYP<=GJFrWhE@)I<$plhw}N^ zKV9cxfvFxJ934wTH2!z>?8)P`n*fUE*<)VwXO9n>?n?tMH~8{{{gz#Nc-PUx&GrC( zfAa9Cb_eh)&U~R4qB+w3YcFhl0$FYd1Mn}rkf!T>iXun^ltcST4&KYBnY-H1bu?9h zO;|9^a%<84dB>dmDps#_u*(uO&mZ_+lQ)B3DITkyfow7@N|b$W>oa0HV77A9Tg62) zK?)1`mKVN(Qsb55%b7SCGs!;$$*PEhF+@y1Zn4bh4s301+SDxsDSA(hzsOE4enJ^PIFg@(-*M1k53YkH78%}GS_h^h^e;_tPP;Hy6+ zof%<7G==P}3uvNvF9s`FmigR!O-U(`*0j?(IP&uoSF5hp9ZbDWZ*|rgS4xUuuUd;% zD1keT)`c;;FZ1^`v_aU#Zn|8F<&epziSm&3t6Uplu%i^02;vOrZ}VG45#W_H=PRmA%8fS}t+>_g zrkuD?KMYnG79yM5JT81drQ*b@{kOms_iV=;j{mDSV@t2b5^l^xf4qh%*fRjbCHr3I zyz@G2>dEWQ=JjKLuDVm7WG(wAdLJ|`-3${fA*`v*aO4dACPsZ660JQ8WsmdMKZbc= zE`c1o=Gp)7W4LJ#jvr8byL#)8g_`XOG`+AoMBzrS#m|?Qzff<`#++fH_|&jLS$i;; zi)nvaN893mG+|IIe+2*04-fNE~2npK0NjfFlWDfLHSU9n-HBAGQ1f5$XYytc@o3Ytu$GaMTR z0}zzxNBv1Bu)=CN1J|+ng`|9;8;M%neQtsS0XwO;2M zsx_-X_$;GHcsq*sl$98xY&i@>$MV}d5i7t6rF+n*>dnt`U}!PdRIPRb5puZtx=OY? zy~NyPv4{hvf6X$@5}zUSB{@;U&S-45N4Cwem?94S*+{v z7G^Exb>V3cO2I%tYrGELgvP_GXe`~LZ8YPG&sz5+D4)o(au~lAz30hDj+3=OT;Q%U z-oQG$WF093Na4&&Ay+=wnu5V(fCT9Q2qC)Wle}#-DC0 zh6QvR zOT`T+Eq)fFdXe?VbG<-P9~Hu|*HZTneG*xHBP95P3%zjM!_0NCp^%iN;9t1mV*+9) zfBmuNI&aOl(&}}08^gv7gExhyo8MW4tQQ^HTaDA-qK)jIDSF?0wYY%gwfe1_TU+0F zXYXk5S@rH>e*1sDX%nMCo-;Wem)hauT|I(a;j;k4-KQTM$tQ^JHyN$I2}?VkoxIX_ z(MeY{R~sI~qR9E2Mazc1UfFtC_LScHf4X^N(CsYsgxhQnnK?(t1KY$p7XeL;2Dp3? zr!UhCf?b6fit{mtby|%z&*i_V4;T1=y~Uyn24rCDSy_g!$g=NY&A!dVmf8mvw^J5$Ms_+0=a8{#^gMxp`&Cy!$&esf#Lq?u)W4()t2_5wF z;ABb>tWMrM!p}ZIb%5mx^iiDVkz?Q%hFNdJLyL#qg?KXFtHqU&NCh9@e};Apaci<4 z$$t)FmwA5{P1v41s^rFE-gG3lfse#pt7{1|Zsbr@)G%H|Dg&2UhI8E9SflDycUT4j zFQ;0`5L(D*VkEAC(J%U=$Q1Z^&z|Gk@iq5Codby>s0a4v#wl#-_5J7T-qwOVB0Xh$ScVBQ)$wkgQ3DO}qGsFyS#9h-r0$zZ3*e=eV{aX&|uG!#Lw zbXgl<@>=^xd+%$pb@NhxI^rixwIQ9wd6C~skPKc~G-2TbY-55Cr&8Duy~G`>b$L^B z=J@HEK6VUc#Z&V?C>6ya@^sJjMjCNJ8oU*H^p0p@Dn(kZqg{k)5ebupfxb{KQS((i zH=^BwJcjn6C*K$Bf7Xjr-`i{((s2tivld+u9w)y$&2W6N11c6yS)z5h7PHCzwRkzl zBbt=nB&%i5vwT*zN<$T0Mz+0LuXI$|SE}dB?TXnGe}rAe1u>RDm8pcOZ7T+e z*B9~qA&VMb#ZpL6tXXUfdAkY7?Z$Yv>l%f<-H$lSMwCkDO;iJj*lcw=SX_*ja-D?0 zwn@vdw*F?y9&LyQWHYBTctE)Tj#Mq-8>E)rhN5ZD`ey>QY|2Jb1lpjUF^CLl?P4q= zp)nJ+wAy9$e`;(afY?x<+jVHzteR7ra{U$?R0|qNwz3jtmhd9WqUk1>v)6zuE2W^j zJRVm`nY+UMn%VBvE7Ni4%CQt4xS&?lSc4LPw6&wC^vQ<8=Xonkf4n%5J@O2Yh{!uasfFlF&=|co zr`T|`?f>@0txtom{Aza$smF|{>9~{WQ3!8$6 zmUA}$f5}bFsJ|Lkes6;OX*Y=x;9p%=E3sCrKoq*B^d_*?vDrb3 zNek7>w%sI`$He+uKa`-Y!uL|xYaLcREO@myyXgQhrT)z?ms~-9c{hLIrq5PV)_d5y z{fD0m!8OljR8CZLxe>m2-A2Y01l|ckETJJ2f8GzUVorJEH|izdpyt5b!LF8UI>S|8 zFn{rNW|aTT&A4Nn0+hdalhLlZtM0qqGme@^vRfa_uF^&hA98?`{82FDYBqt)lb&yq znQ1SV;jYkX^;+a*ZBCb;V&5GvK=TcQCwI>l>zdO4SyK)`bzk62{-GO^*<-2yt`r9Z zfBTW5CfFh>H?jaEt(XyH@3?GyMdVKWN_}|y=RW2UEo_CsKlZWfD^mLW)@P}Vbpoof z2ftF+tkX9Xm#UM#u=RO4+@5-`n$MO*qv|c~+Qt8otshc4_bwRfo$+AVL$ELN07SJj zEbZ{Ww3{^g&T4Tf&}4-m(2s8Yu)J9Le>BGZ;YBd?E2_f6LXsE63z-&**fYqLo-&){ z`h!)=y}*^L^BZcp?XOew{`yOln|hUHwgOA*&%P9!(3p_xRRJ3aLf%yZDg-BqFC~P% z=?b4g{IM|dHI{i>u`}rLxmt{ax)s**c(yTXi9VSwC|Do)qk~klIymsIQ87CJe<4BO zW%!d$U!>J}i~P8#6apboF~5h6x=YvTSY>R2IL4m`*IgSdmvJM>t&j!b>oJSitrU5w zRMQ_f9qE@e%TB1kDh~Vt{g$RIHmV%RRs-O=$#Vn#u}0HOoCyT0rLn^^ZjL{!!f0Oxyz|ZjRx>4cj8b;EePwj`9`lJZm+6XjNnyC9u zth1s_JWtbopT;$lCc^a@`XCWc4+bZn=Y%ZdnbtjLQTk(q-zN{F5(HQLgNnU=x#wVt zc#K^s(7V25J83=#Uq&G)j$SP!iVkYf4{;MSvK4( z*O?su&kYR-y&88w{N{Iy^yiZSPh>+jiyR+eR6khav0F?2_Iwac6g$4wZyGyg{7XOGx_ilC`~Q4qPDlCb+$Ypb6UR;qe=6YU&G7ria8y*~B0UBycbD&m<2&*nyZq$KS6Z*}1H89K zpSSPJo=duxZ~I*I_BH;QshNf+Z{K4bLX^DAxxeTzqPci`wPY~JFn?~?g)mk)W{ z@i4i>AJ^u?+1Zqde`x&i@h+ zE(hB5IFcph6`;G#e1#w3m*9Sj4G0|JbT;kcCOcn{^Z?I!J(D<${4T$gwG5i_GGE~_ z!GzO29*7c}I1G`w(=eEMclk%8_2dr4dZRY~Az*X? zH94JCL~qO*$e)Y$YetGJr@QYeci)pbhFLIhcf@y96>J-Q3i{V8G+q@K3m8d&njy{# zx5ho5)z`ktUuU)$ccAa_V@F1ESiA#XB)Np?d!DKye=Is58%oTKUGN}JQ* zc(X?R)?xKz@A&YW2du~61$HFqm0UGhq7ua#P-?jSpJN)uwJ{% z+Tts6Ve$<%a;J0UPpFV9x$VEp8)Hi!fB3$1ZU^Cq7^(*+9(T-0=xFilg^?*(1Ap;g z(%rcM_^;F+BhO9kv9tt((}cX3yF7?;B`s`}3xrK7N8d=d<&ukI#K6aPBiZ2=ud0Xz z7)jC=A=?|9ko+R&rHcN8la3({F4Byt1tWFH4_AYd;clIRk)BW5Nwo(;swnZcf4U!f z+v<+mR*}(7p@TkhHc3oYp+RAKq0{Z%HyW}WLL+%jq~VOroC&vN_0B5gt{omHJJ)p? z*QRjtheMKDZb*0eXdT}*BYBNS(hSX%%_=^Q!G*hHeBIZ~zG;BsmS>}CluE;LQucVH zxU&{Ay?Loo+7Otft0DtVE?Uc^f2?}XI0|jA!|vblm$S2&cw5L+H;_N#a;W&41cNUG z2Pp#=C-!i%zNjf~OUkKm4Cqv{tz-(JjSMENStifH*Cp+f-+a*$*1KKHmgSD{{ovBr zkT{D`wa9~+t`^i@IvhJQ8Cx5e-jH{TYftS(A-AfldpEJnYIx=Wx{~A$f2O6#4=%=a*c1YwKQ1|FbRDiWYm^@&LRPNEDx)a>LdDbo$Is z;x11jtk&nKqc!PSpNZY&FSCNfd!L7B;hVe(7ig00T^>Ye;<=S!zX zSBC$<9@$;5vF@px@2YXvf5J9|{R50`$1)W1W|kWZSebGxA;4qh&x^eQ$(ZpBo=tvwM1RnVzQ+)<*&xCxFo9bw34SG*`Xvt zdn#=4=#=dHm1{>Qe>R`Eb`F8uIcpkI1aj0DzvWo!GF>YT3VBeC-?cldK8u-jbZDIc zC+@|wr4^M@g;tD> z|9aJ4v82qJ{fp2 zSXlt_M>h4pXN9$T7~xpUu7)jfez!@8s{*dykp++i_6qq|a!3T9n|rhz2RJ@me}6MXIo zg^9$jwzAMCybDWxzf0mK;TWGcezf^i)y+07Q!sa{j zqNO_ZY3;Tg>0)!9oMRUz@P0}Sy(C+}-t6W(*a^MDag4G`?VjY;qauzMo|mq&?3AkL zRN_#hvNHkWmS0C}#kk+qBwoFS+m&OzA;xBewU#s>-tv^2>k8WCNSV?fJcO_D57O!$ zc0R+2f1#oi$Pd@UXuA~MTwgQ4Hm<8Jqd_~Ppq!O>Lu5jdx3xWv@LIWgrQMNh2k?k# zB8+PV&m^== zI%~_2in7SI!)Htk`mRsL7YIjhdAhyYc2B;he}XKqiDPcq4VngLopMU3M-k8q4RGWP zAHi1aTfJ`K>7_cv^m)LAylt*ejo#0qHKDC^5f-#={podCmNZCZ&i8%32aL_LU1K?` zyMVz-(K_o}OHlY`CL~thVi+H9nLC+cLDQyw~D%cYLVhjs$&Nr!32IVC!Yc ze^-6#?d~?~aGDO*#l-g@$%bEVYJne>QhS%&=;CQEyiY|>@hf3F#Z#(nP85ZC^)=`=(HFf@#Q{<%60VREMv zP*cY62zv}qmkpbwPFIVL|A!vEa=+myr(Oy<Vg>B2#qzxnz+>*pOvcOSx{7WO#~OB z0Nt8NOI!)f)gq+QXkOm>!3a${igU!MuL-DY*b0^jhKFGC1o>6QceMfEeL7>v+6>xN18*ga*8nC2{;|?^_DaAcL00`)AO-H9DO8k)jx9v2;3w`0`o08^ z&XJfz`79cMgq~`kpFljKLu3VH%<;7?4?5_-lZ$ zCGn%bw14Y{$D9cX&HjgLf9%%Fv86SKR}gGU(F0{XnSwK*d15@3sEeclCP!Nja~f!+ zQ$w*eu_t)aq<&XnX$F^r-kI|Se*H!aK|+Oczq-NdH%A>YWys9{NaC8ou#~T`5dru} zX-n!*M6Ls1;6M9uN3^(q^b9%ee(lF&r;2=~r2yWc@|uj6OAe1vf5Ke5q=%XO)fHVM zSoT2|e6RPbRdCx!U0*O(q7tq8Qi_Xu3@MYrAi_|J&>_p%@SYku`7$)6UE(bd0qp8r zFuY-xsBOezmivK}hwHB3WydyYR449l+oDJi)<*02Co{~jwS1KG zhJ2J@yrKLGy54d%n4`*{VvV_NNN4Fd9m&|Q%wpM~i-&Rc@SRw}u2Hrc0^HATeIMMq zX9JA>`}1Jp%z=At_*>yYPLM9T(*Lp%ai%HV*GDa6WwQWOe{qHyX~-tSvGUC}ZU5kH%wgFURySmS%TeI-|)*i0V_0 zXpDo5#Fij-K=?CCDPiF#=mdLuQuORZ+~{q@2N{7ef6VF>7KTb#SsAEWLfB7^`c(LW z%+eeDCcgDwV8&?oGwh51nE{^^P}`FMtdEsfq_CGIgGCr#1WrLiSiDV`S}}{pi*FnF zMZ9^a8=_7%hy%fUCjI50gUNNw=vM+Jr7;}XwTW|W!L9&(qNpU<%bFkm(3e8WE#MGW z%{3sZf5Y7@v@Mcz-;5kqz=4)s^y*}-h?6vS=Lm%*I&A!Xga(dpQOe;Bjf(d7p@4s| z4y3`Y5D>{LgK%M|0*2{9SCvqO(!K>kHC{Wdzb>@fvevSwiBn^-8Tv7;z z41KQA;J`#uV69vfjQ5TqL#x|6~5Myo4NAtmWG>tClJS{O@pe%DskjH61r7JGg9-^?( z00(4Q*!x#pP^=}+$fK`(Y*K3&SxO7km8_&_S%a7ivnlflJ#|JEB<+>?(MNUAMk-x_M-%Vf2!NY2-b0ztnOkxKb^?5{%_#yhAJ<$%tC$ z*T>;k^p^0x%0woENPw$2VI4R?&}TIxm}i$Ybw4r0?T>R9{Mir;*Kpxp(9}a6f0GT+ zz*J(;wOAgUW3U}L26o~KYB=%H_BEm12oB9IG`PP5LaFg^$B zFYwIL5E+K$W>Qe(-~2)xO$m@ha8Tp*fo}ZTnUqQd$?5l$MxYR5?T+=ooNg#YTWNVD z{nl0Rd_AJ@DOeIZX34C*E5Fe8I$WmFM5>(x3SDonbaGVeIF&0eRSvM6fAW<2g1q)$ zziE(+O-;kE-NZw)IIl)V$Bv}W{CbiX@&fNdjMhic)7p!xlsv7eb8?Uy`yv`}_@O&v?z7GFb#T+rQ_vJeC`|X9vc8fLlg~t7ZvWjIijny$Xw;RSufKYO z>WJ+}n`~bFyywZpiK5`uNtT5hD`+w>SrVe%fE$ z{@Wj~DLxA-#~QDG0c;XLNk{ND+-hF4=u>;;MCy8OiVY~ARsBSwK${01wRdS*i# zqJ{UG@vu;odCO}h2E8?&qpWaTR{`@lI^aQtk;Zzil|lj2h6R+(f2)9CoCi;Mt@aIf zXmgep>}~rxKVjVR2*D|Ji!GDMRJ>$rOJH$SgkK1=ityBdV!5YWT}goiB2MaqYSo|? zB%s$4Z?nxy6>hRW_ad3SL-Ul7_gEWp1)R6^h-O01=H%&?*owXIYpHO~WQS<~fl zQqkQIHhf+3{z8Yie<5*&ktI~%{kDCe;BXbFVoVgst!41zw*Oq7V@;roe$ax*-niMJ zE8UOuU|Cyy7;`_DwY4FO;O__h%YwoCcRo$Ag;%`?g*fB?#itDfZxd2tO6h|av+A~# zeiO|+{A61sX|#f8w<>UWlKUV=f{jXv^Sr^0>8}deXHW%hf6z26$(%FTq5>)gK#6dm z9v>d>A3tKo;OectN5=;b!>3JGzS$`F_L>_9z79WcF(Q<|}3B(Az zUwM8kLJ}PwKiG~3-qI%a5ZMkEn70!jWY)A{uFt~D6%%0 zfqC-+x~=JRfA_Dhm#P%u4Lc=i2L&Ulgi6+ou-2T4me~eP2J`IQToPG(d+(hiCutnvaWRzaIZQSZWobx`;ok zT|QF$OSNvK?ltG^m153(Zd#R~p*u^+>8|ZScLhz|<)TxsShk~2c%$R?Wj-mm5$YuS zp9ti5K1OM{K}9n3+HH6jtL0VT8J70iLMCfG(JO~M5LUnY9zP-*^9U`O ze_H_)M<7(q&p`s7Slc{33ZT2Fz~2bXO+IOaTN;lj^c<{OaS>fH<9I$|Lx zM^Dm@iE=#Uf4||gLLUD8Hwh#|Do8$pO8OXV{`o5F*GuDfv8LWYRFs$fZ{OJZlh*+I?lh2*54H!xwUH$*XB~mf-0KXr0%H@3>w!;Kd^+o z<*|qmHc}0@&o#>EhSK8ArN6e$f7PT}o{omA$_S0@&i?-{{465ajj;50N!-Ei^8;Xm|AL@P%w}&UEazTF}Gq#K+ z2r0MfRx-G1ICD6_YYnU*K8Uh7wDs70Dqxl74(DIXX58XS)0^r~5nh%7eh=~s94czeQ2(9;EJ-L?FknDa37+DDIZ!a( zPWa-*V!qPKqgLf!q^bv>v~ADRxdI;Ms4#fx76Z>1S-wvYKzd;yjqqS?lhWY7f;#qG z3t@1RNhRT3C?}ODA+dU+e|&Q(z)9=G8?R&(u4wUfsydosVIrt+%g#uBDt<3TOBh+? zFOgW_eKnMbUAHrRKitq3Z)jqVV`(e?p3W)@3x_jA0nMcl=z|Moh!XA^fd#fUJj|KBQ9(3WIpbYwU z@45@_Y-&sk0FVBlWodFHI4yg=`+#L3wYMlXx$JpWiR^!ZbPHFdKT6Gm+Mex~Vy?@c z1ew)?;m_qR_0k|aN~+`sg7qYK9V+^{zc}qMrkw(OGFAe&UH~TwMgD zF2oL_)!)Wg`iTolTG$JNT##ZbvpkC}8PC6ER>$BSe<@bja_L)N8=tAXq6TvVUmyq8 z-SeRn4BN#A6Qq)Lgi3uagnEFMP8KBJ3Q2~5E@wHxa`~|ifAwdxCv8xnU*2c5DAFP4 zYEP}aYzp|fEo9J8m*64PBpuvg4~>?@@)MFOlj|<=I0tvHgif5PBPIi>A1hW)p$xPo zCxpcI*ChPDl4T@Q+M~9Ln1t;Oik+?2-mX}C8OV^~wkj#Q=oX5n#2SxB2oIy9Jy1_Z z?EtlL)hnj+f2WtU{!6rH*R+dxGLyAU8xi zrB6j{n?6r9g)#BwGhMKqIz$sw0H4<__8U(kX|AC{8V)6aZ%lnktFoRG#e5|4 z(*Eau0`8jb$*b_c+kfIG@=>6d8W3e;?zVjiL_w|-64!GHmgylwk-p6 zCNoDl?Vhk9Wg=9r9OnyZ6_s1vZTUS`8^P~!P`2ZorYQ}_g5bF(j0B3stOt9K1MY`l ze~=AW2z0u*MM)TzQ}Sf^dMGO_K&YJD8-r^A){58<7t#oZzY)5;-Q#8yxDQ}z}i9=f$ zy4PZtTF6Z58nv5KeS;+&#FF->YHe5db%jI;lTJzJtsieA8XMWnCE+p%?iwO>F5 zIHsC`Exc0BPj)XW_sR0@R25p z>`f+}Y2VzCKK6v2`ut}(jI?)^B_`l0N>~aP2!)LUAU-Y?*Rga@f&%R4Vx6?%C(rJf ztGWEjSNJ7sFj2!G(N&ogGdr^#eBKH_CvywEiL;(~xyoR;mFFN#0!PM1f6;5BjM-|g zxRozd;4KaS-Q8H+`UOa@VZbQ}8}ZYvz$eioy{TD1!)&lde2gw)v&h51s=;{66WbvG zNpjm-8(M(O*HoER(<8^6YNL()YJaa%FmBCxDkN zqI6`SIM$NAC0MnHQk0nQe}?rmVtdOM;LwfOao&{OI{!e~x~N(wG!A$95|?{6fVCA% zUECpgq7t$hgP(=b#^O}_vf8Q=+xzv`3iZM$h=VLJmDwgrNj&99v-Jt!jX-|m?ox4MI(p3 z@r2xR3#;Ll$*Uj3%O;v17UZlaG5^a~3Kv*hJZl&t<#3-(`DS)p zgR&kA#4WURuPp(74+H%|tt)-l(^=KOn87)6f9$La^J2!2T=@C#L>rdOpVI`0%G;4z zR=DR;;U?OwLx4G_gt?etC!m zZG*l)g~1V(jlSbN02~d?Z?A0-e^Kr>dC*MjHM5L7hx34O|(?kkIkhy*=AG8ZG_J#ZPAmXlHkmSh!TtK? zV0yg3P}kC&ObuzfvvyC;h@44A{uTjqks8o0p#Ng^mxRJoHdv|c`xZ;Pi}Y#$WI&t0 zIVB!)FB`JT#j?r_`>C?*1SS(A$IKR%%GfY_k}mMjkybkh)v^tdXT;Bvh41nJ%7vD> z`+sLF(JB))&zwrVFD_r%_2Y7jXkx0C5<9q~O$Z&I?$^OwDM{>HsnY1ZcX+C~@Hv(Z z(OK6PN9hT#iGOF}*CF{X%v)5YiD9GB;3&hI1d~-AiU59zUmZt@-J!n2^L9JFmhQ~m z1mEHR1dZ>nF^M#Jf^3P&8HK}d+u!HGC4ZsZ-~mSYNb5*M4;}#0VS>IhJuxYrH1cW= zyqjV5fxe`Dmxni!lzwFX3iOazNCMpCOAU!$*Rs@0AQt}bcf!lvlGo&7v<^-Cb!#%j zu_t+0+ds@rq@}x(tu{;w49&j#p~KVnQwr#hj+s8gnIddfD2&8&x^mrYeIXYyab;es?2vXKlkT<3p zFSCW0XuzYTP!o~~!J{zdwa|8dvX*Fj4X<{*u(WsWU-=Z_xe;W>s7nFLKlP~%vC>S? zgj3D8JcU?X&zS2^P4F4AHGbZe&3{$#t?^sgcd&0(#;!t@9V3&uRbi|n2ks#&^@54U zxcu44TsF!WDBcHU3?lc7;b7Y1L0oIAr80exgUZ{mVauey6OOvuyxOCD@Xl&kvZYv%JQ-|B z5-sEHMn73*j|lb4`d*Yw=YK}yri`qORo`e)lRqZi#8a)L2Ou zrUHYBijrEDa3|OnjjLn~8lzp6447g}yvAt3q|##6uP%88sxG+HW4v;I$^^o3?v74} zKd7-`Y$V(`1-SZ=Q$P=HhY#MsK3R{%^|`IjAeNcg{Me69>=02lM?RmJGdqG~Z7qTC zRv0wy6UWt+b94Eg*9vYU%TOXR1kWKBY&N@f9|=UJWa_wK6G|w zw2%R2bXZpnfdH{ROV4%Tj>o1LGYL0R9zk)RQR1X*tqJ)ve4w#yx^*W~PTpHRN zA?rDmTUwI(AN?fR#Qn-tF+F!J7X~M!?$yO6Fs3pVaP{S& zr$Kp|Fm_|EFc$9XyfmTVs5^b)8aC_U{{G|YV1K`Q_weDd)E?mXlAFe)wPeQe=Ha>M zYkyp(Ab+e5bP*==_Thf@VDIRl+I#r$8Sg?^1BPw6_>a-FLhfO4ibwp)FiA0qA0dgW zM>DO8-}usuMj&)Ie38=X;~3(6e_S>O|E8sXaCl56ox|tx*<@y|PSVVh+@T-Sp6Mgj zT+!I=`Cij2s)QNSy8Jz7bdu!u^Hi@B(6}N zZXACmrWG(1&uD9B+xFFDCQyLoBI{f2lZrV^ZuN5%x+#jJ5d&W;ilnrx-~1A1A`V;( z%YVO2>?l-fj<_8T${e(Jg*2Q_+K^s;FnJxT>rvlW+btiZD6OKXxcSK8)_6hMs1*Qv zp7aO~eTh)+s87lo+pR=?;_h#lwT5{Z{miV(=1Fde)^#TgF5%J|p=qHp=D1;@)}&y`Hnqay-+f0y7IKXxXIT5hn4~uVx=L;qm0^8o`1p&Daxf zSPLH!B}(hV@Ju5Td1PMQ(D+jJmh)02rkD2CBBMljQTk(tEGQ$9Bl9TKxqFP9Oeox-s% z1|OV{wLB>C4Q2Ny?`v#Hx%zw*df%L_Hv^JNq3)Z`prdAVU-n3v(;H2^gcxc~7 z_fCR^T9_$kpmTxSVZ|(TO8M@$CKQG_CLO!?AQ`;(q&&@4Bqd*}1QLb5$bOnT2jWFN z2%z?YJJr;mx@8Z`II)z;*bUkkEiZBYj4r=A-}&Poqup@#)IjJ zb5r4{JVcE<>OCR5@My)*3LwRgp6)%Yj-OWVfOqotqj!!J18Q9nD1FDCKhb)e5KDBa zoX@}w|9}A9z8FCoOe3Np2-6KD|QdDbhk(>Q}Hhco_=yrXXZf*7vDdBY$C-@NO4rH&v%- zgz8yV35g6|vxJ#OUGM~+SReKfFYRHcCx8XnOb`rtKAS%qtj-qGaF500lR6h)>=8z< zr0LS#x}D|w=3`R+T3va)6{m0T=e)}^Yk!`C^qeYKnbwzaoGp3V)Sqcy!-Helsa!)@vF)_eRe+)~u7L7ZbQkwU zBuW`f_ktbyql%c+O~vU=lC;4GE%V=kh7!RQ=92$m0u*7R+%`+4C;rnF@3mT$5;aTh z5WR1qG*#c_cFJrPwJCLkX?I*-ZR8HxPPk#F@(B0%PJhC_lO$V1pE5_Lu+#_L%2Fi| zcxQXgN3W2eMOEMr7Q)w%5Vr2|w$>+baR{$fyZnU>bGG6Uxf-Q`!i`(-e!@x?1-c9r zXfDm`4!=8a#Vl`7;6#{63n#VrE9rD#?V_3*)UzB*IBzXM5Ga7>gP8Yq&iaUDyYGj% zBf4>^I)5*daz;X7r#%IV`-Knsi<=0 zR&$ZWM_hTUiD|qw+eX%2bI-w#*WkW4=%9*B&mY_RT$X|<&BPWn*?74u^>S(d6EB90 zzZf_82R8|1{`8{r{@_gDST_agVX#|{L!=kHFMr%gl2((!C5(CQi63mTr=fM|!erp| zc19CL?8*H*0MzZ5^2t2oEwJTaS z#()3k8-)4xszFiy8#h9tf|`o?5NJMecgj@832t+Mt{g~hj;Gb&bFM|-43RWV!;Htf z4C?g@w?m2qnPNMZxJnhhu>D7FYAGM0jA2gEm6>0mM`T@R2&~BQ!O^jpZTR2SvnP+& z$|0!tXODRso;^OOm&T2}Q26qL{g&MZcz@T?Lzd9odTgX3;9(Ds>Xia~<V5ps*7xI% z;R0;y3czDp${!XTOqlvlnWHn6zJEDfMyW-SEi(}T*gaY3Nb+o~0FUXxvDujOaA3Ys zC@8__eG+XJNeZqbOA0?#Yi2sj(?MSk00V)4ZtFAICE3<_{qWWgPzi;D^TEFiF6SMP zZGIM&chHcOzSbVSXg)DH2)8TZ@bTvtVyGD(&5(S$a~|84aX1CpQ_aNby?>wG`o7F! z$Q)r5V3Hwh&umyptDTR^;Euz5;vLTr_acNC>x4z{_>|7KuG-6ofk3JzBPAfPJIzqp z()X6bKr422!3v2Otvc^#so$*=y67mPE{D@xRd`g9(^Z2n8gN9fY46b^R*H?- ze^I}Pdh+yFNmQ!qyA?~q+keuPXCmEm-jJa#kzq~AaR|fk4Tl;Ii-04A3!r(7>y@pS zyNk{gj*ip#DRH^1q zI8ux%icX@rzGP)`w8QWKL9#s9JGR#&?-$!qZ9b|6ZNl0axz-#3ShD61F7V6zxfwNU~n-=C~1Msj-uibw#p3KF(1d_Nd@X=i76mG7T%2sBA_b% zZS#94&c-l7`{^wfkbg%4s!=LFLsxuO!7U>-r9q&gp{Wrgn}vx?GQ>eAk>$>@ppwzJt)^66z}r~|LL)}{-8 zS22vNFmuHvyv^ul(Olqx_%pFhGGxxKOv+s}@dok~a~Or*%^~F|1vwAC$vZxPflmjW zHQ0qFIONVlY=2T(dt#$5EB?J;UHH2S*H?HCbzcYJ)dt2!4BUG9{?!Z#fnq+dRx`L7D^_dP zAPSQswzdur=+ige5Oq}`9Fla3nX>Xw^SZ1~ylQtT7k{|VQ{$y{1-5uFrU*~il?}i} ztO&MKMIhCCq0A&H9WP{pe9^S5&qzJq1m~=I3G}DOG`a};Hsos~UjrBhaIxG1EV;9J zA479SB+SdK$ACt#n*QL5g!h}XK;$gi5CiKXw7Ql~lI@k4;mgMRp^i-V- zb|FYoA%A^#CbCp`Y`eG;US?b#CBGvX)W##h;eg`7dX2UvF+Z%^G5k0d>u zEe0Ls1k0gY#xa_w?;Ib<33m7ADLX{|D5yn?w36rD1?>kPjWXgT9^t$}BKn^c$g^jA z->x1WyzMH3(42#5IpAfI2!FhqaChgDf;JbC%#>l);4|9)p;2fr$2E2qn*d>vrq}h}dNEQ0XVE8KreK69Q%{~cv3k6Byf3=Zei>9xR~V|w`EwH!5wAQ> zsb|^MP3RD`->DCh1=)9RKY_W#Vp)SnT9Hw<7kET?HJF=F`+uwH zTn4vG`)|Am4;V~deLR>{UFQn_g%@o{_tp4z)}?Muh%$~cmby6`=k#G6&1_zXynGm@ zuYbpC)|kdoihkqI15X&Q#2)XTDYLV<^WqJ`e~x%9t$j}=BA!#&Sc(ZvWY`};*C7^B z4+Mij{8#xn1Orvd8{_G^5B>LQbAQ}mwTP}FiaJ7Q^f{Yy3jF_i(eQd%bm-rF@hV~k z>IVy!;q0K-{eFc-@lL6*Qf+|fmw&)ok%G};YaW`3PaaTXj}~sn8Qklo>~ataxjC!J zXAR8q*U!!MPv#&Nm?vKyP0z`srU!FVP52F`4<}Y=GT7hEQ_BqN9lk_6f`7Ar#X&CW zCGX$9x%E3m@;rI{pT6lTh)+0zeJ!d@c;!vRt!Zhx@_q+g*lX(n!h$1a!q_q##|2rs zS8rtM?3!=&mLWteZ`~jJm{%GBg~I>)BEG)MVj-GL*ZvA6 zM)70tpag}o#Z8BSNTtfdL4R+CPEKDrnPYJyqfU z0=<`)x`jn4St1v%oPYcG6fSVXs@JYt(B|nL+&s`eVCBjaTsA(*WEdR;bDIlvaPxM1 zShqdoYJ55uX>{=aLZC4~a%>^%Xxe+=F;Le5zuB&GbKg*zbJ)K~nn2>Pr7$&-V)lZ@ zwcc#n@5-6$PBTDqzIGVF6;RW@PD>JCs4WIVyH42fvn(Oc)qjRnQ>Gv+k>RjfE-Gq! z8c;aHx^m*jeko9&y^~j&gjPcl`m2Q^{WaPbNd&*Xv#lPF)Mx#|FQ|TUDWP;J`kj& zB90wMH++PYWbJhvrqr}7kY^)_&^$M-DT@2z?Ue^O;bGb_*{Sj96=}w~Vz28;W(w?z zD0zyLWoby%Zmk%+bmhx^_RzoWpZ6G?%4aV&~E{CNo9 z=W9`Kc`Dfm!4HFY^rUmml=UWa!O)U6rJ%4>kDA*yes7Jb=%nQ}{%sl8@0Mq$g$L5s z9vJSy41ZT`eDvTCy1KOuzy3tX(t$8N>$5P4fm^EgbO@|`BJ-L}nUx#B{U^|Uv=F=Z zSP(S=6z}@3r&zA%!z~oV?&vfPZbGEu^bO4mGnuK2krgbV7S^W0HjSpc{194kJ=FKZ zo!RA`kqS)xbz9e{t?jx-AV(2yief63;Qick-+#$3al+xtAWwqy2B*b9cWmZcWC|yp zPVkpjE3ZbZperO-Z`K(PmOT%ZR*U>sgYj3Yxcr0?3uiI>u;Z37yx2AQs2GH`MDbPw z+F;V(%T*QNi%ENAu7Z)_nB2~axn_O18ZbSyzLuDVSf+QG*hNn+S87xQPM`uvE$4$C zj(^fz6We?QjC1%yTi>7AL6wUlGci7;ugcXL2a0@`qbIh2czOr86UonsQ1EVfO5&(e zF*X`RU>j91epE1bZf8^_Z9-98!oJ%a-)>`);=1y^5+kvbC}AWodf=)IMI2+SPK2>> z&__xNLuW?xh-xOXU7W$M2tI2n{bEhr@_$z$6%~)w>$iL{paUs+nB~qtK;_qe?HEY=kA{V#Z>2{D*r0hx@(U?0@in zZp_ZSBOI$IgOz^wR%71gEC64`O5hRrtY>CifV+M)A*_X>Y|~;J26Sr;j@Kh#*nok? z1scNV-O8~tudnNZ6&=q`+WUi%w6125FJQv0!i{Y$xjc5B+xXqwE+jB|Wx{8DHOnLw zQ4Lh57xDLQtzm(%29K2B)CA1jWPe`cwJl@r!I$F7F17!q*Si7na}CNK8)h!RmA$MC zYUM{)!?giX^es;X?N;bM3(ZFK&uXPpeK(KHeKI|pm}~x;c%o^jccj0sKpAcQ@$oFP z9Gj6v*A-{wVf^ZeqE+--xfOT3(ErtoTQ6ZjmQ?)wSce!uFh&SZam#D84=d^(@>?nr5g7)ANiHU9+R5&PS=O@n68_g?)~lh^1> z=$KfieqNd(!#*GSo+oFDBb7S4&SEv{+fRBQ_QuK!ho5jyLngLZ6X}zu4Mwoq5q0^gRhdn^+4!VS=Ta zoS6iBgFZSRO#8Ei612JvcB?C2A;RdvN|N+z6dfCJ@i{k-hcV(mpObivC1Ele*aaDk z&PAD;OuxK&*jEzZEPt$^5x^6X=jQE&@|MxEV;8;e7kz_VzwBs#Ip_qct+Rkt>)SaG z`==s4MOHX*gXOV<(WyJ{8Nc|)wmxTyP$KE~yCU;5=v|S-Bo1bvj|9dg!yu=LdF!JU zbLp}UD~}GLYNTbqAaG~02p|NZo+Lf99ekxX=&ho!Ojn`01%G+o^^>6?F}phzFMD+> z3q`-WBVrn@sh#*;9-G^U8D7iN(@{4xN9fLNS)pI{ z7Q#3hS?}gpjDPy`BC;W^C;Wf$m~lMqzxZ)e!aks?`Kq^CjBo$)$8oIKBr>0zVd=9k z$i9L}PMxLhQCONkT1sjq>~w=ycr1s=tl^dwe#Gg>%ZHi`+;%n+FmdWH;=`>rI^(U# ztX7N5_4m0!PH=6Cr=4+Hn-)D8{wK%|@?&Jj8+A@hqkm@!t^0}w|0`QBi!YiyIjBdq zSAMYXp)&sg0^Fu?(}N7LgX!=ZZA*5iH<9&L6W^|#D@^mPjN~;qbfx4SzM@~h;Go&D zJwtHg7ZQBi(C@fv%ZGq*pae4rAwvPS|KB3|e*nd|+DI1-53})-5NXa;M(SA7RmNVBZC7oi2PmYeOz5V@zqa&s$yu%xaVv6Gx ze^ZY*=*MGm5sr8H+q3~O1qlyTDu{yq15-|fec8RL(_8t;Q%xSW_ro8CQf6cd$Lae$ zM02IUSGD?ZK2V?xJ11C ze?`I)KfLt=nO9ZAYU1`2s~vjNz7rI;9ZDRHw##CK0l0ZJd%xP}S7K90a>_E>+T!P9 z`>69it4X(_w+#jSjFl`HfY^IT|0%urVTXTLdQ#`8d!0mU;3fX5^hw6mSghSqnh=VU z1%DVDz`&CGu&`qisI@G4LjfF+$8{F;2+zf+RbQpc2_w;q0qlYS+a)M0So($ zJG~qv0^0FRF#@b)lSKi@oysILbe$|!5t1o-aWw<&b`<*lCx zme^m+Ad)>HfYQcrK9nf4pfLO~!k9k3f7D|grld-vP<3Ia&GHa@x(-+hPX+hI)av27 zhI$tzL)9)_8&+KG>tIn=7c&=-CV#(C1*{@{e1X8fAEJ2k4}4)DPAs4wWLyHud?E&k zSN5dNF(=R?oGhb^s?}gJ_j+T8=VPVA*%kOK_k4oTj;;g}+a3*t@5c||8j;x#aqaN} z#y|4SsSuFCM9qeY=2m?j*D_*KmSZbntPo4HV3|qITW;=ghZqR>rQ+_xihp2Zc?J8< z-;D!{DiI?s(YrT75WpM zxaqlImBGi0^TGLGTFpD~i+>MK22#(?^`DtbTHH9#|DtLfFO@gmsZ1AKPzeea{Yb!U$60L5OwUcgpbCyQ#(xEJ6g5^Q5|fBUELCh?!@KK@P3{93yAuHth@||qLSz%aGPB*?7BK|%-{d6w0gy8Lk( z!rd%-5u)f~xyX)8J%1B~NHQ0wI|aP$=wbv))}+MA2Vs{1fnqw?#^c~g75K`PB>J*p zw&!rsiDU1={k|X)cjOL=sllbz*bBWeQu(B*kE0$RqV|y$G82zRgtWTDD$gATFB8Mr zLQO;z<{e&X{e~(M3JzGiAA8^6eq4ALRm-5=nmN6oMyWf{gMTT-q3=rY4=+@*5X0LP zOWSEb_~FuN3_B=Sk~_{nvh_pRI~kU9w)jw?6$=QrV$XQHqlKo9!YNC!HO5nankOg5 zuUCtF43h}UNTwbcDzc_lP=?|tT2vufie6_qaEl89f$tx8u;fH;Nj(j0nPo04@j2EA zxWZAm!V(D$mQKA#|WK$ z<;412)`nLRAKi5|{$4X+FvR(UFy(RQLk0439$~691hfYgkAh}z%y|`}{i0cCd zMI~@Ke?anFWn9S)dlUv7yfPF4U3P;2QY8YB51lGF1b>4y{U2z-pB}ytG?I0oQ`$3zTa%U zB*!yF^EZf#)dy!vUnvIZ@!m05S*Be42~(Jm<%l(0q%}oPa=bJ-9~P~v87#7k+QEaI z#mL*HsDH;@x`webj!0+} zFfdo}P?fM;eZ-THz*L9IO_$F!b-?WXA!V;cVi>fL#1yp8@8|e`HIf>`LIMb_e}Oyd zN$xV65`xdo2z`hG&wAnB8kJP0GzGY$-MWhbk)_`8c`2iJLtC5l@UiZ19g$t!0c*y{W)ix|e;@3~^ zXvP3fD_K-n7oB;f@{{^h#t0LI8K^XVmVZrky}Tb~o@!urYA1Ahn8 zi&n{lakAZ2=tx!!bb!=cbEZB_taX67s^6XN%lA5=WyOOsZZeJj=cxyeMx|U#VWkX_;j<@Mg66+0xRMI=z}pv z$#Ee5ln8iWO;2BtrM7xV2!EY$MKCPHA0t~2`%5gUA;?@!sZAc2AFIP7w~B`vgVhD6 zu&-oWUSVOc3ob)XUzxQl?QUUL(&@l<=-4=+z^}Rdwg*ObW?@j)A-bCnu1E~lK+Pp$ zgG`(-MUO~HQ6w1FKJS<8wbi`4{NUC27574ICG>%NxfuPi%)w=y_vUQe0s>XK;@3Iv3aS^IM;-u@}Vm(n?*cqU|xF z8^DKYU5qFfVSjPgG%h{w&)hAf5s9``L?wweb>E96ZCHqdL*!;4T@>uHhodv; zismt3qrTp_6LKfm%Zs*E7u2{uYR15m_O$!(9TBP>7OJ$wK+Pc@n2g9)<+;dC5S=d; z>P5}4_;Trpu|UmX-Uc6Qje2(+1@w~d$-uP2&z<|1Gs}7BVt+S1&B>tLCSbs8YYcsH z-eBg1G~czG9i%=fhv(@rs!fA0IXDu&Ob)kR%@Q-8QRY=VIW197;<6)&{NQ3_64mC^ z?>+hY5te$eQVmMoou)RWJG|0p6G)}sNJA_XKF@jbNalQV@9c26E# zlRW7L#2QTfB7YeRJ_Ge!8xjVyg>SX|8@D`}2B#rHJJ!o%Y^+JDKQhD2)gPxxdAD*+ z*!ilJkz7bz!)DEtgo?whgkGX+i)^!f-*J~W*Isc=o7|X!ZV(h}sLFMQvAU}MT6Bp4 zpHW$!j)tphF*-SwR-?Fu0fj2Hax3ZQGPDBd)%cG49e*hwO)dsad*R~fP%>MLF^-ll zc+V{)>$a{GXi0)039eEXhbaDzz%iPHkBfivdd5u|*?@-(CXJ%=uf?3gAc|Z@-XzS{ zQnQmTMg^Z1D>G6ayjTrEE0m{2z7OangbKpV|Gd%q)~PwDMA63r?) zKea9q`B@UA36g+!Ko_Q)M}o7m{{lvm?fxm~27jpmzGNZU3o6Nd-d(KQ%#MjAW}`UO zooYusY@4@L^A-dnv1LqvCjc~H%|TaboSSr3qgRp`EgFVcEvL??>O$VqXQIQ>koSeF;ql)pmWu7}FUwSMxnqM!i%U#VWsG+HU(Ip3uw z0)L|`lF!$w(lORD?@_tH#{CHG6!9s=^<|%=*e6m0?tr-;zR|fgVCVx+IWz|}0;OxN zDXat4f@Dl8jZ>+lC6^TY-Jr*u*kFLhiM%s^;Qv1Z#e~;5FF~Ak;WQ4`#M?BnSS=n{ z0xe?LSuCj!>>gw!B|X4j3PXTC>>S^~;(z@fj0wO*b`%QPxtspt}|xZdTphh88uxPwi^OY1U!upfrgdB($if% zlqlcESo#I*e6AN#o^H(m(7Ed(OY-t;GLVB&hfc7jN}l+ku$YIZ7?*})48E@{w|_np zH1DiTI;dE?tcA&@hZfuEeSneTYofD!p==Fu0d@|Eh2$#3JJSy}np#QI2kEqCCbx^_fBarLSeILnhTCLlhZU2NoGEw@WD9;V)CsWh!?3 z2Umu+8C*iXN-E?==X9f-y%$OqOMd|nr&b}sKu>0?nN`B$5i(9MI#RY*s)*5o7woj* zK$0K?=Soiq5{~Vvl;gX=ps(dg(VW!5x7B9d$IY~R?UFQTb@t25O2TK=>(mQ9J)p^==$JI63Mo~X^k+$&p~w=5ljNc65!2qVQ8?@ zxZzG1G27W687}Go9I-xVC>*?n*qrCdC9jE;s2at^a9nLnjU?QexPMXsU5uJ(WUCm6 z+Pqyp2(V}*WnJu}9KTZyz;5w;B(I^4?3Hhxe*6HWDfko`34D zmR(d#nk4p~$gm)c1pZ#+!x>+#)-`MYf}#BLNZEhrrUztn4jU&f`8scA^sgh93<#&P z?-Q!dS~9?{^cDt4-+zJaW5KgyU~5w#Ctt~<5F<<~5-BpwrC$&W*K2TQQONM79%%t; zI{aIno~F$0_scio!Z2tmNVoIzH=k!8ETz)uJO=Wpqn&MxOGy1NG}fwz7UnqFlwc#+ zNnj=+Z`0w<;Rt!L)<6*AsgU`^>fdl6C8XZh0WE0o0{_H~Xn(^%n126_=h-l04&ZGA z5A?rn4i6cuIC>FSGQg598=(K<_wXB3T=*vexY-6Ag6)6*6L5j}wZX0^yw`r|6U2ai z{J{1pp`F3+4QR`w0#&j=sw)F~rmM{eiJ-eN%BT7oq^mPFDkeN9ZYVOJ|BbKg8iSxoDq?Lebc9&`oRFo* zw`7F!7CZb_I(&56Wsl^(c3%ha!b!j!`ssLxBwiHHmw)0pw)E}(aVqvIFSgbKiOJu5 z>zVG?^{6=ZYH>`1C0YuXEFZxcjF+$-FCqK@A4AZ4FtWLt&?c}K(8}c=JJ_66XXbiAhoC(C*rno(CUpZ?wW|5q*rkG+8-GnPR4uFQIbvS=T=(WzrDd{NW7Y=C z$ouy~P*_Mo(hKT>9V9n}Tnlg+=^9~srwJZK=%Hn>P!Ho7EbXH2FUQSqoN$-6{Vqj* zI?+zM2yWHyp3rpNuhtly|8#7ScTaBr>;?uodie0ZlD$|fOh2Gdn6JBM1TT@%#8Q`- z>VNRlKBp+GInEzn*&P4#H}qkzl6TwdOjz&!i#Lb{ApCF>HL=eBZ+-^#ZY!Lu!nuK; zxkwy*)5FIl=i(Z?zxJQ962=MAfAYpv)1~WH?rQ7+HIa{;0=gned?|L-{(CpTnCqUr zN-_(M<$trm0j%@ZKA}YC*R)7w60|idmVfX^^U3BO2AsIIX0Fqcyh>H~th*LI6s3$8 z^@Si1@ehntVt^Re>A$`aCl@+n6S;4^C0N>N9wI$e0Xudo#3mDbMZvZD6du-{2Z@nP zdlyfUZ_Hv=g*z@-lu+Z-)32aY|MSmoeGf?Mt0=L5?z5(v10Cef^8Gt39Vu;d8h-+b zsy?s*L9zD58PGbA03+1^*7*7m`1TjRz*bEFwh8Y!CE3)56yb6c0LtgC^}u>CZb$RS zxd70(ro!V^o-5d9Fa^pj13w7c$)Ca}Z}07Yowu{V0USaFa-4+Hz%7nIctpR5-?yHb z*W<>)a?8;b6W+dO$45F)ex%Od6MyVph)8)7j2iabL9geV<1{(z%3=Hs)asa%51 zcy{pS;nOGD%o8eFm~`i~OGvsriBm?hx5X(zfvXmzU^|3lSL&-y&VF9Spy#F zC8rE|jReKCjyTu#{o%Lyg91tx{KuERAR1k(;U6D7uJ-pH@i-+21yVOwBH z_KrLkYTOJ?^VZrwExj?frEcDrS?C$KKp-l8DGo)p;$G>v0Xa0c-Lij~|5F;3;6I0B zM;BrYR{NwNnG{yZV1HdekpGvky;jMfl7}@MOe^dlKO2u_MZL!f2hNsdmf1^1)lg%Y zpV4=8!R0c#2x&-b8abo#mx0us*v3ovr*JOvI+xN4_)QY~u>{leos2=%4E$Jj7uQCt zfuJkUcK`W`8R@`FD`zlnJ38U-e23SL0Msh8?{Wzg3Ti?F%YTWcFPD-2vWQ?*Hq+No z#?4|{C<7DTla?!(f>9*={J7r=cUGFm8nNMEO%w?qf3s6WY;@}h=B#~m1qL}|jpT^pn$W$a6mRwtwRuFj7JvVtp>Mk)XD0$h{}^U9noAgQp} zV6P20cL9VxW|8sx6N7ENBPK^gScBaix?cX1E z27euK5SP*SI49HT+$0UXWV$;nj!!`_AcTE~2+F2_Ad3VAR6sU)s9_gOKvppd0*V77 z_^RsGdaJtAN#Ei;&k>sH+h6^+>firc{}o=JB4(FSuX%PXAm7qd_SdF>_36^RQ~m=_ zc4hAjvFD|2RTAN8&r~l?Vfk$C{U)%hB!7~8o&^BqNVFd$$^l9v398^p1+*ME1Hh33 zpYRsQ5whTlS3wKvq0rtlP|S?O6~`M$!Y_UkV)R5Vd0j|~1Dlv3$gwZV5cWfw_&WIb z20YP8xsHDUhy5iR^}b94W)C5+@hYA5v|z!G^mYqex@CqVA6v6}66s0u<}g7}h<_Eh zD6_N!6@GsNR$Zt-TF4#ym);NokA@N@Pi{d-p>uC!ARAKsp_=`78=bFW;0t(oDk z(J|C`sTm+Pg?m2&Zb8oL$|_!Xjd=pMIV;#NUEGTBSN8MbzaVL*0 zcA?r*Sy4&aGu!qsA!&6@1PTiVtG6V5eZ1UXT6|8Qm@2gNbcgFbwoEw*dgioGsaT4E z(IvAFSk;n2%bmqcXgjwecYk9B7g$_rlJ{Bj@d#Taa-o>2bOc|cfgg_6Vkdrg9a>V&9q!tBJ-h3>?8(gjsXKgm_10;A0 zL^lBcBvKZ(1P4r>p9s@*CRckB9a!wPHM}5|y4Nr)oIo-xSA`Uj0Dpm;4i832k)1Cl zVH1R&PO>GW0k2rQ^&nwO>sGnb6UokYlWXJ8vNr;Wy?50;b5-4JsiGBjJ4WAD&y~5r zzpxj~*w@2b(=f3=G~3{^pXY)nV!2(laWnrCAfmEi!rJaqVkDSNhypzo3t9v=yv#=Q z19TZ!J31tj*u#RYbbsKZ&s>9AT7rYkC$QhZ%#_8BEQw-2%FeaKCCd*TJ-l*!i`+Yn z+Z8^Z7z%SQc7@meBO0gT4j7%O11cwcEX0`@^!yng-r!vkqFJbjgb0kUA+l! z8%UO%d#qRV;4$&EXo=+$t}fXOOP=m!DvFs*@<68513>D>3I>Q%^H3N3dsYSI8nnV% zAfA=IlN~G;snJ>ZTwq9dTTl-8xAszMnibR}HBo9hEhU>)b58gK4RCmvVziY^Q*{+k z)KB4!11}4&wtu1l0oPhn5nGVACx9EY8!k?t09h)IKxM$3C`CcGzB;U;ZzbL~L0=JG zl#=JPAj?HDVPgGR69nbMz&2mL$WKd=7JCr3B*MYHz=g&9B*Mh59UmB2FJVev^Cdc) zdm|p_hmhH9=QsG2VR3+;S!#3uya!T4CfZnjR5wie*nbzw0Rv3!VR^(ak|l-$W$1{- zrpd`?@qkpHChZ`T^@Nb>)5`0ZJ?-CuGSv2i6*c z>m-OBj(mNfyPHdl);D0RFO^t$DTS2VNg+bw=t44LK))52B&_jkU?ArApzU`?L=+r`3{SdzR6R zZW;;o*JRX_A^FNHvwIn|X;7vn>OVH9I_u<0?S zt7s`hPed5a@CPr^>&C&WQ0M}M$-VO`PPkBkOgy>g50#S{67YR$*6Ilt!MW)zfT;vpP;dxn5$-=&6fkb$A%H4_c85Jw1b=2Z z@z#VlGa`b;#U>fPiK8uG;z74&XPHrBEXIb0DcP$j9zfs4y6rYz{Jmjvk>B#Skr4n8MjUkA3vbSP#P6Uk7Ba{3D^ zYf67^ohT*t)s_WIEjBlDYbvr$3W-r|l-!OZ*^y$-2uLE*$#BCjfj8SOKLNbYk^*?j z{r7B-xd&+39q}0^qpNWvF-BO0xZA4kDv55R#NB0=M|X4fCC5$oOIF8yugv^*`K3sI zWVRE=OV;DFceC#mlyj5cg5C$~{YRNU?vH=DuVp`LDVaY0+nOvCJ3-y$uFjGaPb#ZP zcY@2evyCM0?2!$Rs4s!c!M^og_QopK0vie(1ZBD?AVQ+PG(}|=0`7PSf1u_EwPB#M zkz25L;Fb<@%Nn09r(N~mW%n%r>u^g*fA_shZ^Glp`c?y3N zE|R;uqS3&0PbVYS&y!7l2y)q&$LDMKB=BDt<s5g>awI!tUDPZbwiv+?pKdDA1J1Uw{XX zqwuNCcJ68yzjJgCSW(VC2F)(#?g6N1Svr!>d3yM{EKX0Az?Y?|-4^k8Xm)=!KhuRg zNp6$5MecpB#^0OmBKX+J|Ev3b`zqsV?9$9#!KFmBO$!Z15#9X4es3{d1uP4Z-_FH+&eXs2wJ_7 zK|i##!T`7*z9~%#SC7`y6~TYY4j1ZE=!Mz|Y_xm5S`%?5LuDZvx|8(_Zi-!~UsqSS zB#*&8KoPT~bXAm&NYWckWvh#rRJs2v>70Il)<#KWav^Lb>&$;7YckPgG_@=V zaGX$PeXvWJ3ZlAKd538!yEOC_C9$jEWV`QEhKETFFk8jjjb;$PAmk79DTww*D{*ZK zE=DC;?t+(H_Bh<#uMyF{eSI1diBnq60FQ=`2&|H*dw-++Ii=66@_W+oa?~+M+HOrM zbyf$~AM}$ylV6G7DEN^7 zeymH%m${#BP_;|SZ>N(%#xn+@;Zk&>1!@C6N+I5%`;aSDIwyZ!A$BZINn2JWBa|Xn zeV1Kgl4SV+S4)`=_j5`uD<~^j7d9vxl1lC5+Y50{r{8{OdBRYTpX&4oSQwS}+fk|s zxmzm|->kM`-|4newa}fz0FtPK7vwCHJGu7?y%+nm>TFip>83l>g2apWB$qw84gHoB z5p5DbGu@<5QZ0XF&(z#+HvsP6OLjvR8ES@Ft2sD{^JZ6qcIV{|HRtn}_@n}tyQQjB zMSsxEmPHg@v!v^!*zey79FgP}+Znec$$X_sd)=XSrT7~!&yq?U?z66XT|1R#mbX!* zt7WE2l`q1qlEO5nt+MO!DxZ7nFK!2?5Y zglbEE8j2ImU$f_&gql=y_H>9C!YLkm;4ZjRSu(%gFyLtCXrw4u44qATO`JoxO{#Q@ zg=BkqTl9ZZ_2DFrmg2Du}nx_`=d*mw8 zuLU(q2hn1NPjZ^*a##j4g_Irlg6N*(j`%}<>zp?D?_B(M$By_z{yleYa%F$# zHCuLli@S5cf33t;_^oqTyWx9s5SjnX$-eiO@Y1}D7obDN2!C>QbMY4wySgG-sMgbGCw`>-rvb*t6 z2KP*iw?irkI~NqKv(d59OGrT;GrE6gKoai-P{>B^fMw!*mSaG{{%ZUs!yT}^ObXc5 zc%YF&fsCp{svJj*6zx&t;S6q*M$?&67Y_QkGFpbl4?7DPZY5{@aE$NJqS3J2DgVQ! z;WG2Eulq6w2Ls(IyFjdSV2an&a%a<84^SCGX&<&QNvxvquGPE)a_a2bw6ly?gcS>iI}}G zX0ONlnLVHKbVlb|dnNp_Vl&YT0eSMgxp}?NK`Jv2@M?#iaujdOLr0NxV^8PdSP={^i>JPU3$JeCN2Mcr`~(IEuG_!+A&X#!mXtQM}Dn<&#%ChyeAdei|&@m9Wh%2B-CH6O2X60dy4XO7}c9(=%2yd{6rziS z@s6$h(owvT6=xmA`?z+*CMWUUS~6#|lX(ByxZP2_4Hc)?z2_L-GufkNmRD}{x_cOp zrim8{76r{hPuL$%n6Z@53ug}31wCc=2wl*_zn?rq6Lj6EIl7=vSMJvZef;f9b2LGJ zwRGTIP0)jfUiv^2^sIkbFRs-B-97u{;h`1PUUwJc0Smg&4260?zLCzs{dacN`sK3#Tu-A%=MKG| zjGM7|uWmg=*2}@jIe&iWiH3kbl09htrQtig?#?7n^K7)lA1r_Hb%_SbVDreh&yMD^ z(0mNdN6`9YAG|Pa1VAe={%)a_L27;eg2J*;i9ZY^$LsGC^M^(FSYJYZ!zSCj;_SF9 zHrb3N1IJ&n$tsTzzH*a&xZ=A-S8TGgKkQn3#U}fpYWQ1MY_e&WK3;N#CVMKo`tYea zyS(o1q#DCbqnLjsecYoH<}T8KyK2PXg}XK2j-T?m7Tn1dN3`Is|L~Zeb-47c7TgUZ zPiw)ge1GhlI&hC)n4krBdCfU3xD(e-U!()~>}#{M;MVP!rv>-G`2|{V-`u%*${r24 zE4F>21$Wno9a?Y?R_@h;JL~I9T5xxtxU2=YZvL>TI&gnq`PcesI&k0m>Ju%v|GT1E z3+}d!+qK}%-n2st?(Si`wBVkZvQG={_xo$K;LcchPz&z2r;ljC-B)`|3-0oDv#0C8 z-Fs@G7Tn#t7i+;?`OQi#xZj;xuLXC~+D%$;>sIX6f_r*mtrpz!ii28k_q}mU3+{>I z^D1@Vj-P+Ja;6U4pXP0;*rx$^__}H>xUYP4Mhou3%F2;CaM#Y?HA)BWhMx~;Qlc1JuSFr_PwtK_sw-{wcw5}A6tLBUjy#Np%b*=zBu(gEx23XU#|ss z_}r~pa7R>qq6PQF#Qj=uPYpYw1-IhjQ9bm2eOe3dm;29Y!ChOvd|-_R+@(j})q;EI z{JM)ea7Vvis|9!W7CpEdcYmt|chyBbxK&$*Ueg=WkI&fbdK6;@J+;{e^dQ%I%AFS4b`_1%Y zi*(?gIQ@+l+}cT}wct+uv2w8v-0>&(Xu%yk?6MZzOH6#UXbt=M5Fvqy}4~r>mYw?1bmA5V*|Xs{jp|yCS5vmwK3IYjB%;aP$P9; zAX*lS2hEtTZ?3N-ktmJ(VrFSH*BkctH6z|=tk{P><@wCQGGBoi>tlw@0lq-o7bt;8 zWIe%X!i1;u;`!)Ka=q=mx$5sc=sQGP<)>rzUTutY8AEi^1}C?uYU9nLRMCG?4;n@E ztX=cnsT+)Pm+`zta@tz7wDq>7v{*wPG=vEGs`~ZO*Me0sp3_1|Q7{%ugvd@oI=B%} zE+u2`kPkk()~IwD6ZGtlHLE8W0nAYvGr^xSP13gShD<^dWF3CU zlS}#LtJ#-#WE&x)&=ER%mqmYq3H&FJl(yDK5B!K`yYO<=;p^agxCT12EAS)I8&D+J zC&H#}ynnC_de9)EE(EQ6cY+dexud=JqsaV^3!u~)k8doSZ{u@rOZ9G44)eZ3VM#J>d6^O=6 z6;*VcqiNObV_6y4+L&1sG6M+&HDk@`Z8tinw&6#ABoT^Pf41|)$|A5$JJ1S{^Ta_< zJIZ}Cb{?FV?VwpjLJ)tA7Kcn4I+R4#}e=Z6PN7zrL%g^nhR=QXx}U+u*e^P+tkK31PHOnn7`naGdCD- zxQv<3txah(mhcyZOb;T+_D`bxiQ0j*rMSX_u0W(#y|;d6wlT#8w4&2~O2nEN84B*R zMPr^f5ALLW++&R+(iYBHclZXQpAmIz4WS11#UuVcCi;H__@+JkCuAebY58o)7Za{A zhP!~YYf{R*TfnJg-YXyq`IV37S7aGOUB(N#6*82&w$Or#BglS2O7_20I~};QM%*z% zipt_<9Q*)CQ*VDH9>jkFFFM!y2pwum{r-G<_{&!r&lw44BAaL-W=!@8x>G>?(*ch) zfM!4W_4a?oS;h-SKj-)XOk>Rzh(`LFu>_O|AQ1eJCyy$&nTLO#eXX-xAabOi#aSFf z`dOew_;Kr+`IEA=dMrpKlq#s@6aWYa2mq9Oj+aFo0WN=|g4A?4rtBxnlHT+5Xyi_0b4ixpbT^x3TNKI1ZarD= zc?N?9&`pSF00Pit)8m^n&X{}7y;XI?DK6PxytGCidM&b0b;G&m z?DOAy|MtFO-i=nzo6?ZCq;Hv+8JfN}GXAllsezT1zQq^T`qnpW-V%RTG^9H%{rCp% z&u>rhr3HNaFLk7U^!6Y94eyT5XMgmQNL}go9)4FUeE8bT$kh18pZw%4>9?hNQi)V# z__>LdvFTl@&W%4Jzw()}p}D1zwy*r);a^K74_>~sG=6Ddt@S|PefXDB{`&^j2HL*x zHxK`_RQ}ZbwWXo)6SIF8=I@&uYWwE>hwn%g9~-35l5 zl}V*iv5A?rv89=TDf;1$AO1)x_|U-WiP7)&{ksouOQlcDtgH>ph>Q9ie+2JC_{sC% z7#mvO_=}&sEd^4sR4C>D#^6f>^1n8($rMeHyzP^9))=$1IRl=i61y+Uz zrUvk@55I-}^T$TU7M8|_#K0Oo{4eAumc}nkiG%<6m4Tt9xz&$VCnx{^$tw%fhcfbu zW(L+KUm8FB&%ZJHLi4Q;&8?qWm|H(Fv$ixbvobM!WMF1wVniJOQ{Igqe$%YcL9^zM zKIQ#YpG}F2{HA|GDm1YAC2<_Ekr6q^yZJ4t*x1zg74a~vK%Z-3yUxu&lPV32j6OBD zvNj_g)`#ZiUx56*W-k%^shPR8$&0Un=kivX4F1&G{F#B}OJi$rxD->tzxkF_&)WP` z;tH(|o|_tjw5Iwd-c6-c^upA@+S=F*)Oz|2DdD%CzczpU;*q(T88ILrxOm4F{q!pn zBkRvUv9LBVHv>`0h1rsuZ%c1jSr{9dyf85~df$W?Q1rK<>m5fvJnVB5Vi3$;0;i*l zIN?p1l>e21i8UyV^UPNeckwN2^9RqZ%uQcg8&elKaCH4Mc-8u^48Ab_i1_Nn#>J)l z=ATIAKOk3T7d2r%c>(6v%JwC2989d9er;y`xv`bWzn~j(o=V6S!Am8M_Ej-2stUmKWO0lWO+6XnfsOC^`Y9|0|Y7t%lG zj)wlvz-iA(xA^7_sh)wUsrsrKv%{1(|5z$x+}QEj=r9j{YW205;pdj-W+wk)Yy={f zlFM}9@WJr}2YrpiFX5P&(0>{?6X}O$3vuhef)5I;c+Q6K;Ll9W4U9mSeMB+urk+%n znCIXmbGJ?i@6t&9(2@`9tI*JY8JbuczBaK&w|?r=)+&UYzdNb`uq6srqKC7i--HD;O6(Fe@=gylb_OV?x!YZCe}X%-tnGI ze0b@^uzvdbxv7ca2PVd*MpnSzcRGMaUOMp`bekG~@<0yuA^M8~6kodrg7)-cf5LWTI3Gq0-e*DIQIIW4b z#vmjYHRgXH%VtGB>-}lFG+oj>Q3JaiG83T#FU8v2;vqTcgrNavHh!TJIJlaRf%Da( zgAN?W@BIvHEoIv1!TG6A-U2vi^(_&=*+SetX{q4(x-YjT#PI0ULpCOFG$6J%M?@`| zM{ra?)?w2!T3e>Xrfc}M>Uq{r@6YJKxoJ&2@yCC^eQolkfhjy&XJmbd1U^P$27>JN zL`NwcdCIhWU}^s9p$W0leS@3^rEvU+!J(|@d~K402;KwnP#pu)JaynRM&=cCMB5zb zkn!eKYc^fFO1Az8JL*e@cBEH zFysF5?P0z&n~(byU6c*#O5c76q`Iai&u@JDCrYUtY6A4XYQ-QRM$_OG?yo<3cvJfA z&xrkjn9mQOVgAgRn8(^w)H@Fe_3{y#KBO7@-Q|t^7sTkBJo$wB-8bpN&kVl$=5K#N zF(-X!U}a2w?Z5owhtlsezxFMuLMk(UL)aM;xF!8Qin)KUBKyAf38T?tOY7xTLby1f zc|f=FsktSwp7%>9=Fu+ll$t##6X3CQBA7#848zlUP|3 z+l{{AZGB64K0;`Pl@(tj4fN#EgGYZKKGuKu_`x&%hfkh81!EE80Ir^gA3oFnhYvr~ zfBNKqJO+6-?KyDq%HIFQ1O11;e5(KHXU~2Oq7EjW;M8DwQJ;^1rUu6+dJ6>%HE37q z8>EEljXM1Y?>~6t@y-hcKXh)Rp8Q^4)$$;VHh=|6b%=<(C1`VZcJ|FeJFU?6Ze zS?A_=q;Jvx^!Vck4?lW*7wkl*uApt=eK;&nAH4q=Xx+%15<+wO@YzHCCm+B6_@8d; zfAk4xighQ9v}yp+U5{q9#Yo^u(S^`X`VNR&%ZWnj2i_lW9}MXiKr@DZ``P1vc=E}| zU^)1*M5I0-I(3!jd4O2`J@S7x!PS2Dr4nu@Pd@l18pbE@gW;U)1u?uQ`kBdlx&<~* zDi@^iC?7o~fAH+VKLF44k`7&X6w5EIzcROi1`VWE9fnHT*Z+$LPk(*~#OJ0RDUd!Q zuAiJiwe#y)3QDFDTjwicW?F&bvP;o-cy3-Y#W-DPkH&A&9Zv@{`xgbO>1ZYAR4nSjE2geYWi0)i!umJ^1#j{l5VTw|uQ594z>O{L z?h5t8r5U!g$sj^#>5&fGO;8$4#$`Ll&TAc(}g&OK5>xq(E>sj!li#hv+ihWfA|E{*d+P#;oZO= zy|6SkhNmY_zT7?$Bgf5%+rVpZIh)V%7n@hYa|PrKhgJ?fEHnri`^WPFmgObRy%jL+ zfP^qQ!7m>FLjR*DA3p{ud1Wa`bCN5_*bJ^9U>lZNtp~jg%P%d7?bz7xb7R9V^bJ2( z>%_ajb}E0@O-^l*q|hJ@T+PBkpah-=4i~XKS(4)w9Gy|jhvPyxB-WG_ur&Vdm!?Mg zmf*rRpioIJF4gQKuDE%twn{+Tsh&Q2@Qj#k=q4w(RyP#z8LDTvB~)hM!ZGqOvaT?^ zqicx30@cMn{&ME@P??|B^~F}5n|~z*jFEd``r7Jq z;yw+`z6SM^1?iaBKxIkA5g%uS?tsIC-542e%DoG`1Lwzuq+Mk^e^4>+FovE9^bbvt zA3%TDXVL*%>e#$S&dI}HKKbZ<{RbaDB&OK2$6!45@*0hxYN?phwt#$}-bfjKcyEKS z&hbSl&4fTJ4j0r@aOn{rETh?Xa{d#Orek5RUq%eNoFVW)TUx=tKxYwd#7~GFcRIvA zQv`QhOJfUD;JtEQEQA;F>61tL&pvtf;3I$HfY0YJ=e zZPmp+#e1M9w#7$=8}a*KGd8AA1V;$jCv-P(mjo{JcIV_iNGw^^K6n|^u&RfjTknAI z(CG%OXu1RZY!g#8Mv!J0>LOO=r0g)yz{%;b3_eg|{eMYp1%xX^`0?m@gX)gGNdmPqJQ!xFS^`-ISqyAd_1n-`|zCG-%}dR%Hb zQa+4}c}aYAXnA!-4sQzXIZvNG{N)Gw??3*OuxaGpH=?6Y5f z`iu~y??3tM@uO$Je%7;I3~%?d$IqbAdh~O0!|uK)oDt#X3?Xz!GNYyN%+%8PrziJ7 zPv(KB!yw#jMxl+Wo~H%qW2mqxq-Uj zs3s?5vuFzQg|Vf+@hc1KuaV7K+&MTGXyhBY`9S#=5T4XSQ}uB4GS0h*Nrahlw}3-X zW+%hI(4-rPncHp9BGK-F`u=~?Gaj5C46_O^EwPbQy zR=1s2EQJq^F0hEB&&3X`sA5~>o}3Unn=Hhcq`ROdb*f$$1p?tWADMrfSrg{c3KZ?6 z3?erL84+^0K#l!qx*V=GVtJUDy@X#jeq;RulqLDWJD@JBIuR*XXhos@{M9F)y-$d; zhE^9PJIp$kGrYr7Ju-6eHPfOd*V#itg+AdRHXK~(spmB{-$B}5YLWmhL)PrFFg7Ef z;J~zJ2{N{DqC;Ez@xx1xK6&syh>uw);KQ}1lCOj(7`yJ?g)|d2l!UJbN3zq!WkaAg zrRV{X>m@iXY5^HJD?wk)41NX;G8Jnm&uQ*Mp%Tk4pIZ`>#Mlz}6b{)cXw#Hhm(!hp ziMGfze*B3(ISiku!XBC1-NQPxfd0X?O9M}>Kr}AdMAP-MP#rU&j_c(x5Uh(=AcR*^ z(iMi>apKabKn4i+a=bvdp^8-zOKZU`zd@#2=?qaz%#8JwUQ#kLL&IRvtK(VUIH26*Y2=~D5gOIrLKpk32 zV$*Big9j%f=|b%HP7=a^Sb)$+di3BU5Sg9RAf=7^9dd?cSMF>mao2PobVbh|aMT%j zxv~43>u3QHs>axo@KV$XcQBv0Rm@|gM!Y*)PIMW%kWje$t1DF~fnZ_|S{oOCCXft9 z0TkLK8y;92;v?(w`E&i}#IF6)SRd-Bj_U0h&Q3rEs{#{B2w#RYs6S<>XDYws?zy)w zqp~l{lNYsOV$9Upmzm}F7=aw(LWc%@W6(oG%k|kO`oDbon9|f2XLGwUnp7b}Si|L>V{DEgfFiP3mwnJO{(k!wN=*CoJH+4$V$zAMZT+ z^&?`6PsSF6q4+5L3|WZ50bf@o%5$K(N?exb&~SwU&JSXyKQRI)(bKDPwZ+jaAo6DWy_=V})mA)Q%oa z(tlxYY6Ma<4;JD4)KkSN;56`y==>pm-rNV-&P#m){DZs&W{28;+K~F7cDe_zt<8UB zX<$Jr!eh6!a$S@?H6+6vqbDm#Fc~hRJ4zrtIpkZIbmLfidWs%nbA?(2q`4Oq$jBYb z)Qa4(!oyp9VU|`5zlomC(CE-x@Q{{YzA~`-g4i`)p&(7gM(nm`Vzce}4?zs(tp56HM*2C>GSU z-gyG21yZgL9}s2=PG1l|hhxhm@Ev}Ae zfWfupW%$@NDcfc@M=^0w2{jzVhV57p`meZy-U7B4yW@P7u|zg+A+@|ymy__VEX;{V zDJ$aHlCqXie4wjo|NkMbNYBK~`p$E6b5mmjvv2Xh^sY-0lo68Gs?UiJi;Gro5$6#a zSP`Q0CGo+3LD=E~|2>qIlP13()$x) z(B?s>`4;1fn^m6~A5h2KgG0!+!73IhA*x+GXYFL`86&II%V zPl|^0xKRa1*DDt>&7Y4P-|Uev0lmhkK)5WWM>LCHZ-h_f8MdOMH*#PIW)ui|3FT#|2LA$=G}^7V%-^onrs-r4bzD~}29 zUG5IJO5mLkPj|Kb#9YJf71`|h^Xa4Xm8FTbF{oC=Qr6R&zBKB^> z8-ahTl4z=r-cIIAUHO`C&_!9P?!OVe`(}*q4`AN!0hssa?5UuUTajh6e~+Q@(rk`G zBupC5&1G|3!KCr#`lB!CNn{Og7qWg)DB%EiaZy9A$fscdcc;;3jtZQf^Soa67Sr@x zuYbF*4nxmPUyp_9e`z7^{AS&QIC*3e`|urdj*)32?pi{EeR)jc?kL1(N0&?7*_OMw zu!y_*?5<`l#9fP9S%p|l+_|RbPw?2pU43qC1M~vv#ND)yj1Am{E$`+*$DIz`E!2m8 zpBM-%Z&zbi`ZXK38yT2b$I?G{z;#H*ISZ|8tqz#cVWV{^e?yn?8nkY-IV*{e6||dv zrFJ}R_*_A9|s7DqicBUjQ?Y$wAoa!0Wj4N?{(SJ54kqKiY}CI=VW1UMAV z&S#4_@4Edb8NpK8YLD{H_ zOy%cg~s;vsP`r`BfrqbX8ub zZsY*YC?&C4Of+{jo0(E!Bv@ldv#bA z^A5<5ve}X2;Hs*qZ9h&8U4Lito*ovUD($gN$7TrcfwQ?ve+BXy$xK62ymLH{?#Da% z9%Vv6n!`e^`WD?~I`dnjgZ4@m(UiH`yeen7^9SYYLCS9;nnu>9vygvN2sb{+Spdi6 z?M)?yaca7l&6eO9C)e1hJSB^9I+?Ah(jnvYEXK*N(Kby%3o&?m#`5fo-n%HymHb~DR2)QoD|>_!M8zS zQB{P5jS8Jj94BL-pp$>xmSp7d6VLsp@E;l2TJ7)MSK?VAo2JADEv!(hU6ZSb#tN0L zbj644*j&YtKnK&HW^SDxQSs|Z@k_#O+D|F}`m@4POKu)Ct9Xxg* z$04(zN>{`CMD1)J3Nhf$=5@lHgaz)b&U;3Px!}&hu3r(H7#v7&r|+uFN-L5xHr$iL zr=vG}p4Fv%D(q7>*4T{lX;}28qN;34p~@{&LOI{7tW3xyYFw8yPQ{uaQN~2BEw<+7 zUC@%gStX&D_c{Sre<)!=F$>dIiCd_mrHAXmPy2$=oZ225Ms8J4J+rBe>8e@q_mEZZ|h3&V09>oEyE zY7E1&>3s5<5j|`SJGzpw5tgwEn=%=Nutd&v&WUgci@%-SnGPORNor4Y5m6+{YX8!v zf?fw4yV6yl$7WGVFE9Lb@GOd7)OD$Z6M_u3^KIo*)XA{JY&xGym`vU{0AE(14d2w0mCY2XsSxYH;Z1}?Gn9bDE@XmAhI$9irl zw815p#WrW?0np)+fV>zVIkne7C&I!ISrj{4y9PZvT;f_f(WQ5no;(3t9h9iIILf}K zIY)&mFt|r#_-ZMS!aZVp#|ZKES5lW2Jpox-r-uPLR$I2(L{u=kxg}>ALvOU@`;iel z4!sfI(LN<-(;H4zu8m@q)X>lyb}lVZLM*C;8~}GgldnJ5}3LJxfC^T;nV5=BN|XqIeU^TV1_lf)A#dbT%aPh za3Ef;3N-GHCj0WW0u^<^O9^^xpkgS$;Yh{_CYD9@j3_xle>%6Gnh22^sF=z3*pQ$x zVL1L>X`057r!C#QHC@M8JAJzmBHFLryg24rl1-NUolUW@}T zCXeTH6imcoax{2Tz(Oq2DxAs2OLWA-reUO1Rp#Ov8Ra0vBNjHj;b(dp?>X9MX&;MJ z*rshC%a};TYDq}4nCp@rO;0x~XbxhZbHIX-iB$B?e}sAqe)pb7VdwKr5}GeIGjUp~ zWOzlzlOy{=E<7<+wQ4V5!4rF>4Z}jp*KsZ%niJD~9ovb?6)rLF(j3~1>3J0H?hq)2 z+Cc5EB-u2{xuC>Zy=a`BMD3T+*04<6|q8yncuuRo{y4+ z8iv9te``&bQpXh`RTn70p+>sMiB5&S`f?7M#Tvxoz}~$Wg^G>eyr!I%H(>bGwO+sh zEHdkSI&>(&q9VV#m5))fL+6ftG8$kJuot(g0W3OK7Ouo>z#=cRuR)0cES%=O7Ii62 zyBytmsOp(ixG~zRWC+5Vh8pU^_7uusA#S3e|fLZI{xJpGif; zVEmZ)cf;dnYQTc}=5Fm4feb#DzVNQPN|AHui>9TXYd(j*2+wQog+V)x_A9+6Ty~B0 zf3wNXUuR0cy`_b4ffo4U;2>#-6Ja6~nuGN{)htCRiwe>`bU5iYXvmp(?w2^!r zR!^j`?ZZnE9^8n&Do@g3f*Xfr&iNuXxN*|me~#E=gBQg7C0kwS8^8SA5|p~<4D-~Q zInrSvQxB_|n?{PX)m+^H-CfB6S^{eKL0WX%sT3x};N!3)HZsCZg`2znYYW0Se-OJ) zb!$qnfJ<>~P1yD8VkwTwq0k5p#nC_C<|W5a90?BoZIE4-!gE`gSU`tlDx}~$EU=@k z*vpYtJ+Englu?>?z{e3E(Oqk&3LNn$<;y^O0vCrZ3^cx{GAc-e~tEv(9(wLU63)di%)9b13870J)H7>@=uP92R(c) z29k8xSSw{3L8wxBv{(_exiLn4!1yhzXT z7PT0mpF%wGn#LnrG>fUlVnVDF3*z(q@S}v4~TG7exLE z$tKXBxbDi+)>6s6w{lGce~*X0tG)oj29?_{tRYf>i>sWuT>X^eV7AxVcq(zUivNb+ zxE_~QsaT4iRdO3D%{xtB3ONmxT%&^G5E*Ww5Bt8(gjEeHzq!BCxnESR5(C4mEN^+s zxc!w9CsRtfjLhVW{gtj3M#gokI8}sUWO|blj+N{lO6?e}+twC2(6Q=+NW9 zG<^xay>f0}rG}&s&y=!Bz z)y=oSz*yX(E;lqVa}n@A@s!PqcT<~|?ns{V?#^OdcchjwXB&kU{ATMQ(hG;*G!^<( zYC#KbgTwN`IkKXXf3(GfQaGtETM}T2ocP_|cx+Fk>#4nH7&cH7Ioo9cpQxK3^@m@D zE41M`OOf_ldTcx=!eOu;l`z4T`rHDzh3#gGv~QP2pf22h1k2~$0|%keA=nzm`@p_* zJzNCm9HiU~V8ehn;3%-YPKJSeQf5o-#caqYv&F|-stxkFe{8S3z~->-0QU{sK^YG6 zsToem7qa6*cBNCblC`W zxmAYB7(4JC;?typlmSNF&p`d+EWWE!{z&+clxx!oeKQbqBE+>@I^N6MQjm6xI3t({ zOud@`K z_+FG(h#mSAMzYD>oVcrj_3J4auE)}He_*_XNkFx_9s9}ITPA5g!#j6=jz_oefq?SG zUn4r~79|3w{i9c~>-{zuj+n`US#bstWow^r%ITfdRJyUO;9^pR_3f8hdMCM_+f-n| z$os&je{i>z-aE_i3ul^R+hwzivA@0nC%qeyJT_OAe|A_8gU(VlLTm&! zipT#?E^4(>Kb$6Jhi)CWx<}CcS*qrEp3_LJf1U=uy58_BLUoIu5=-mTC!an>6Qg~r zAWMlsvYbQH9KL^g`lmWRz@Iee{D*Jymb2b=0@w#oAlt6M4s zf42IE@wtrGY~^{AToa`pb9JfW;J>7nu%E~(#zI^!_AR>-tq|8<_wk?-191&Hj8@9H z5SO#pPPRY`#5I1D?aA2)Nw29n$#+2nMH4e(-t1HRx46zm_B~g{N*2V`nKCsjU_o4! z#Sw&lV01RJ-3|=GbT;x|?@89db6sUke@Vgg&PHuZt*v?(t}E?2Es4kGy4syreu?B<=SPBCCHj?n@3$|;nwHrUK}UKU|`qYey)64tD|)`xdZr`##8QI7#53spMVQtq!E4Cf3YY14@+HR(Oo@ z@ZFJY>xLvk2O7)Q%de^dPl%{z!|?af6r2iiRJiO0Qs9@{8nh*~317_<^4 zq^ETP51pE|Hrl%lX1k}mk>X^`Ix`DHV$SUk6aq1G9t-dk zoKIbCl^oYe$;ZDbNy^3Je-^KNCPf%LF1T@^Rl#hf)V*F)i(SUILB*MWoJ<`uyu%6^ zmbs^|2sn+FjuMB%X|WNiz@c;but~~>>y`u4S~pCpJmYp(>Ya>^BMVJvoZY}u%Yv4owfi7!?0i;Bi1-$mitpH$e`zJ{oKLd{810oR zE}e^cl&hxE=Hu~IwQ@{qvd1-TOUi}pdNvzVB+ULwIT43WIt=bEsei;4)m9Ok&QIY# zGM8!-v=^(u^j$jascyqzdK+1VYdVyjB6a2)u-Fo}E@A{5Z-c)4;Zs#@c||~Xh=_~x z4HliX3$Zv~oqfx(e}Ikir5DYd@wqr(Wp4YH6pQm!Z8ohb**M<-R6wi0W&QfNQd`HR zh~14IRT2Ea(n2D~pCFfGZCAt$Gku%1P!J@*^L+jj$xb3J&$qSdw{4hH^#f&GQap^Xv|sy!TMPQ0iqQy+M_8 zt1=UW!*S!-P?e>|{PpcoL>JqB!dN`^v z)TYuN889<*v**w?*N5-&quPoDXYLJ4XnF5cSI>SvS)l&>^-KL1#>C^(w>0<)WVfg0 z^B4*7gPEEPJ|&PL_hSltiO^-yEJ1nmbeEQvm3M*dVHv8qp{3(( zN6t@`+;n_JWAquSH8C+ox2_NG*8H_K7|&11ku&r3{r#DC=(#fT^d4}8S|@ldERaSQ(n|)Wrsx@U_E+TUG1K)0Q*n+8cDg<)=X6@Z>Zp*G zI(b)Hwtmt#GD<*g;YeW<*B{2cfe7^ z`2mA^{EFC*EJ46bqBkqk@4P=6B~Uj~c&-Uyc{n&HaDRF?bWiNZDVR~Z9_%E$`F-hknCphTrB{S4GBx-b#C6!WieR}u@l!a9EG$jTEzy4wM%L66w77P8 zBk=+^bboc+Ibr<(1sUOmo0xq`X6E3LL3B-=vzD$P_d)#r#SzKy62F5}t0E}oDHl`d zNKfv>H=D3Ia4bY&4mHVV0ota^Y6Y}Wd2T^ml9BNn5L7%euczv203SQLBh)P7#S5@h znK^)ZgpoG}9I6uRIRr$kTVE6mnZhsqhPb=R?tjX^MUfXnxCfxtnbrBVwzyUo1+7&Z z0xF76EKxPNJ(;@hhvGdJR_Y4^Yh|(7C=z3-Zw=gAjz&?2TLtLC^gi*+&RREL9!*;r z8KYkE@c+PcSA9F8OqkEw(g*~tCb}wFWJW^n&XrO%WEQAkleiRO`o$a+*dcQaCjgvY zpno~}yd7C`=)n+Inp)iCs)vI*GAkpS6ga3OFtgJIJu_1y>V|KFp!u3CJ`VJ7@{Zj^ zy9(K$6nSfuhI|Elj&l2TsI382gn?YUlP(eIpr~&GUZv0RU=R0gSUGuz30fA{yWo1b z;{w|`{vK$W4akzKx~P!nwglPD^Rr6oVt+_`vRdyth^TF1c7w6GGk>R$ZuPW z0Zi657xzV40F%~@E;0p)Y!g~S=z$Pt3T_#!S2EsBT$L%~?vLbSu>@mw6U|}{nt$Tf z=N67;n`#dR-HE=}A_lBtA6{=O#=qquvja*dA9Lba-XbTLmo*&M$$z$HKef3f6^JDW*@)lbe?v=^tBJ-sK4ogi#ZTB) z7+LJJZ{$%qTyi~;GsOs;tV9%wa0pJAd)A)1HsWBt;t1_r>S_Ta1augIvQ4*G0S3ac zKPmImQ<2tmtw%iCisdBuXp!QCy9F_fGl91_0M20dNUeg}%g|np_Qj-n$A1wa4!)V` z?g^4>gKr8}8_&^YYPNCz7b>bHZ*1pI3SEI5f~m zw{MMD3k|fHc(l!@)*@P3s;RuYah@loRHUU58EIHO3vLi#(4mNg)PGDVwYtq)EPu}PyD_pVs9h*bj22xQuAR!TUaQK@gtqLkqv!6Bf$mJ!ds4MgH_ zIxbm)iSd#v0f$g3@NDnoG1~p?`A<~{n6}~#h;3|dRjBR;`Z4A&UXZJ;V0$k`huU8# zh@lx-p^IR*gthcLPshVPN=$F|>)n4*ovK15w)u;uc5tN}`pN zrXw6gu#-`oF68zuI=swH(9*l8`s#WT%Qe3XMw>j#1vsi|FMnexM5cwRT5K-p5n-sR z#HhhqKHao_Wl5O?r!4n4ba70R09Q?AN8P$a8;X^(Q_!Ufh^nevj#CB$g{ocI;?-;P z+%2hn%m}!J7dyzXsFrix@U;+!YI${)=1Env-_YO%gr}OXR>ahxTl~fVTwY)83RF{@ zuFDKxg4`WXTYnL~_mY>ZU7c8sdX;q-rtq|(Udbg6g%T>DPwWEZI#qAUM^XM8s;eND zHn2-hYDHlLBe=|sUKxO+-sxHay~!DcY`?K4JOWUjmRF&oL?Dw%T|xaTbeDO5`Wu0Co_qwUzX-hEMz8h<+@3*`RIL|e(L3O}+xE}!uv&a_$&wHUs~wNlM(I(PY)PzX(motrIM3;@5w^pc-VB}= zgl%qODSuE0y%59zYAsD^S5s2H14_-IT?g!LuDXb^sGILlahFoHIYS#wdUqh)ui77* zT)GFw`y4|BOpm|_%$^2%Lj$Xzo|wwy`9$RX13WQnLB9dM<%dP63DO(Vx3UjvujZ5g zkHlbmm0PxmPRf-;RU|90q}+7k{)L3TpIDe%S%1U*11^n*71Zz7PFke8Ztk$6~n%zHa#y^)VnC1|7E=_ih zGL;KX2>|3*u3YTYKr}~%;cwCy?{ScuC@6 z`EU`BLG7&t4@FBk)ZTo6X9bVmgM%0hYJXx4rDS?5)&4lN5j#a?#Zrc^e+PJZZ_bel zkQ^}*!8@7Eo|5CRzvIQsGbt1Mi%K1iA!{9p+cmzeZ*2}EvVMcLTHd$VH!)hSRE062 zX)!b)h9SGjZ$qYX*qMxk01y(ETnxUh3n zhpskMGlkqgLUwFD`D7jYm*n0HJbxx#b96D3W2)a(x{N_NcKFq#BV|WM96_u9cBPgs zaK80Ep3+pvNtZ@}bUtUC-J>zdrM1U8Y~HM%MvpMRZTAhh48 z-Pp2(4O_ae?#${@Hj0?iD$TN+Q67OLJ>7*SjDqRcaF-f$3gB~TOxNM`3I&_S+#T+z z6>Heby84kAm|WlnAm4yK-yJyyz?@9!oTUPrMqs+{piRJ{F$+BU!&RYc4H|tM8n~jO zkSN~?9A7NBiMSj68xY=gk$;1dDVn_-PLUZ~ryWe|y*>N(JY5{;xn8NL;mDgdewR`eepwKa3?A5lP$kmhh>1;K^eZafyDx=>z! ziS(9QqmTw-DQqhQrBe{OYIhy{oC~h*q}w(KG~lXTqPMF=<@As~Em$~i4#r{z?}Ck4 zhcZ<_PZeE5I=p+f(0>;R7?taJ2NXLleXM!=r|0#~wjK_HwRaAk6=}s_FTD~GeLipFpBXRHED8{WQ5O+a)H6TO?kGe5DDrS zIHRjGY=@A`#FXkNN7sK9c!+Edb4vm_t2VogW^mLVWNbj_Ml%@4zdjEP)m14bYW0Hl z(V`Bf;UpIG-rAZiDsG{&6t}6*BL_W$#B{gz4R9){Cx730s}hH_yw+%Dc8ZS5X@?7l z5?$oMCWiet6c}c?DZS4|kH#!-UfENOm$rt4`IRbZlb(v3fa#8c zLID*~X95C~Tir`I_}M0)Vov>RNIe8bqY7iOjePHeJ&&9Lv5Lb}3(Q4_kYObalMWqN z_ZLx#6Mu5?W)JigD!CDfJK#9GI}EiPqWddGASWyAw;IlRz-8r-N9U}Ab6R@&T%fum zE_6vr=?&vIuT?v9bLDy`wjK6;5Ytt&f-M$%7gTh*hpTeA@HQcYK2_=7$EQpjA!w)w zZEDhCfgUptL1CPwRjj}(R7>D^T5&E>M20o|oPH8|hzBtAr^9=$xHz?0LH`&EX>RUpw^; zvwsTG+!}$9*r;Ok#v>DR;F&UHi9^o)_H9?h800+H^VnM*RAdPfNN{Y-1Yx^P-vLfL zBSCVCNcYHUa}lZBYlNC0@2j&pxj>hWn)j`@`KoNvPnknPk)uV<_Nk}^lp!$*sF@E^ z+&a1?YIy};l}Z|HyP33W=%f6p+DBn(kbf@c@PA~}=^ka}fg(JeepTl;FXzzdr$vtI z5~??ASZ-^gOl2{lFA9zGS-^y=Naa(jfD|%OG`@C5RxHs*JkZZ6Rl>#DH@u@ZjYN2WFl zkug-QLEz{=H!S3Kj~#cPJY;l_4T>E<#B`6{-;Ww6_ai2S1)Ykf_2O`Uu!gMhA{BED+2k}?JQ7iWI0O*{^^ADhvC$%9EtEvbFNDX89Qs(;|qSB7Sf z1hJbHsS?^VwJ^L#+_y_zb)bY(3y_zUSgl~!0+eiYH}NpF0LydkPP#NwD0ax- z7gJ>d;my$vd^(Hy2J9b>4QP1_b68!3t&&|Q;B=IeC8NAK(wg4B^IYYcF3wjv3-PtF z_rXL|jFv*PTcG4>{Y;zpVl)Y|W8;c+wN@Bpx^xCHdijPsq$ZHP{9yJ2bY#|)Dlnx5 z)0<&=5{zzzPk(aEGe>ucem}UjZQjQkOGd0V02YN7V|6Fz8@(AR&ab&YzpSuvTS| z!OU{kpbn;>!6(C^T7)TRh;?WjS5k!v<@4*Sa&DnQrcKW<^jv5-!*e(;UACPcVfFJH zFzoM~rxNVHA)X!5GIrjTtuk!ELsa=;w8}wNrEK+~MLL+@w}XuWzOABiHR80V@zR1_ zBasak+t#7r)=2n-XZ7i+m*HOlg9#h6^rCTkbYDuBZD0X+4U|z3(sq4N!Fvyp_tKZ~ zU;z|sq0#j3Pd&$fY@=!BjM3DAY#lXMOKFX!^J`18m5fHyy%n8)5?o2f%-~Lzj8=6q z-=5$kXB1atMR%ld8ciqkHC{7b-5t`Dc*JM-l0Jx-E0nW)Nhj^ZtqQdCl8##3cNEim zNmqJrI_s&IaA5%!e;$_;AKA_y6yTakFYiYsLkFJGOgf^-7kOCvX8W8~as(ibZeK>`&pd3`XH!PDAI+AYm9M3>!6dO0|IK*`|^ zE7zNkdE7U?jrU)`H{_Acq%U^nQIjxY5)qz&;VTp+=#K3gFI95eNHrdg{V}7%bi7^7 zppL4;bWdVunGUnVbWKz94xf!-1bB5vFgi>J9yD1tYw2IySW>;(zv|o^x{ykz)E$hwONS%5eRt zQ?5JGMa&m>UyRMqtKP}7e8J;BgZsnG99XRozz&>egL7JMpS6b~3S+ zsp{I24hCghRkxQju`R}_I{U%oYdKrhm3glI1EuPE+jrb$bXC{jW!EXEsk*4kwL+BT z*MF$Gk=BI&oh#~ktB&hXjE4DCfrtC_!vg=O3N~~QSRyaYbzL3hu(ja<54=yo9Ggk16*sSx$R3G=DjNv>er0eB!-cZ zS*8eVzpiT*Q}0(GRp9ab!1v&B;dZbbw}1HoQv-&EMCI$THDJu_Rk56|0n7Izt63V* z#?G}$hp7Q4>^6gB3=Md3;(pE4fE{6{Q+yiv*wYj8*BA-7aPCPqsAJ%agV~k+GLA4T zXdCV1GeqFpOm8s+&M6Ui;@2Z*YCzXmrwh&-MW%{IouxPtn0|e-Ct`}gsinm@^nYS` znh4zNul|Cq0bSiA+JsEB=-S7>MD;$;rlYJ4IZS*J*5#+nc}NMdm|E{^30^r4w}q7{ zG(s`8!gWJ{6N&@g*>O^)P^{f?-p0IzB%wT^QbbdIyKXguGN$S~NKKfN{qCwS*>~4f zN>hCc#R)xphU(i{jj-3{sy>g>%YO`QT8h}6T#zNP%yBq>hzmAl6}$e6OPv9ZurM#;W$o4_7D zjqI!K+l-fSWS{+lSE7WHeX%}^;XI7&ODI|EroT93HhZ*FsFr;b^~2jDwtwuib!x4} z$v)Sl?j?jM5)%&uRQgN`C=D3i&=jnsqwB%nIYHxlm{+Q2Ed!g4y zn|!R=^U2-ulfQ>HP3eU~`WD<_;Xhg-){O;)O77wQ{I(xox}uB!C0+1c=t$pt_^$LV zLg73#H8A|*q4}H7jIEHOx_|NApZultJ51?<#K>!F6H>N(|KazfV%5*IDKFHc1vW=f zfAM#cMF0QjslpX(|1KSNk$q%|_^^kAn{2h(I_yEY<6huKjje9B=^gwtbn$Cr!r zC|gv(K!TE?#}+nQu-EI>8XPi8*e5+WBY4Tp# zx3;Ipu8fRa9-l_70#LgmE3g!Lxz4R)%-&nAlQHTTy@r}gF|E&IT3Y{aEmd_ZTbI*} zGP3NPZf^-&s?;U4#eXa5Qsvmi%Y&s$8bh+z<*b5~yzDYpU4}AoZLjG@hbQKO$~u5l zFZU=NTF7c~AUX)w9{IR6r%jimOd9%HLpX0yb^KrfRoMCp@5=x#;aY* zm0WGmH9a%P41Wnt6dl$IG|0o-3c) z86Uy*-Aj%PU*SFk3G+eN(9I;DD;%}&Zd9dWPT*?T;ob45M2^br5iLCV!v%3s!%$e}Bibe_Lm@KlDfY zJ?M}2J#EuPvv2nwhi8g0`*vq-Vwwnss)--}ly(5hIMyUII!)-(`*hMY;-xj(*kmj0xpxX^7oWz_9)8a9*2 z!&LuRkFHwUI8VjxE7?uNuIg*IbeQeJBI9!MMC^89b!&E~?6-T_G_;0mc~kr?;CXp; z!DqJu8yXK9RI*xu<+w-pYH0;lp4@9IVfOq=ZGSk*RLX9oAfl-vbPoJ34uIRkD!bNZs*RCjP!`oiOOetqwZ69(fFv;rVK#%Q9 z3A0sD$(j3{9M`j_sdBJO-LuDkF3d)Z>3_@<=I&dn2kb`S;kY`m7c`sJK%HEl=EOTusNOykf{ z(ICNiD_&)Zemrh#nw+}%Xc+ruHlexL9Q>}99yI$AY4fM(pF`}mO~EzIUSz<0psk+!BRmmZ#F*mZCVL?@>?inw9nw$aIK zPW3X`yP;sfzDSIFMcKPxEye#at{F=Edc>}t7PqY^|J+rGX@=sknVqcE*4HFpx2j8o zZBmlav{xy?H7Ti^3mxXu-NVd~<$p7Qy32@5^74|_7fLw<><7r${XR<0(rmB=-uFPr zb!;tm^zMV@^E7*0_YIHTkUjys`$pnyjhB`edcFfZ4s%bi@A|p}qKCtxl(_a9ivv4N zupbP=B~DD4{6I?|jr#HI06lDf47;hVX{?#L2LfG6TlDBI_Ey6Gt!CRgaNobqzNzGFVaDPJod36y+Omk8T#&lCx!ON8HEzc=>nKktD4 ztW?fhlS+J&)`i#}4q@}dof29EAm3|wQY}zay&4)P%jR)mfm4^=Sw5rx!obQ!j))T| z7>Q`_#J(TNFY$=vS2T?h=6_;VIZ387V)m07_1QzvA zuIl0&8w3@XPm9^{i-@apH$JDc!O&I0g_zsfpksfhK}*wutsU$kcaD->EfPdQqAj!FV8?{KM z7v%4ZW&AJP+WOV9`AG>o?Bbm^T%*v`))&OaEGRGq^d9ReNlI4DeD+>ZG76Y6E8AyR z4u6F!Y+rP4Z`EOxrf1b=cuTaFrrX&jUNK%*G*#T`te_%9zD^BwdRj}vw=)ZxrL1!A zjj5C@q56eHZuYgSdVk!yZr9@aHQEb_&Sv7`v10LEuzBgyfvv>8EE|iLYpul2sxFz9 zVrs3&M;cGm%{Vo+)>nwkEnl2#nuGhFchV#=LY z(zi;5?22ZG+Ve?;wuq*MO_s8bwuI$ZSi`TV%;v6dM^R3Shv~EZ4PUm z-vtAHqx(9TBHNw$WqVFPN3sC5H)7h89%d{HXsA|dDqjnBhpMFX%GY$~y+$Fo@^#xg zy#rg-cpum%oPXG9DRJHOnW>cHW14wY>E{AQOf#dj%t?=3iW==3O};xtWtA+xutwzm zoKxl`8g*#0kfwbFdc2QtREHdfkkWu2LqnO>ZCfRRpf=T^p*hzh1A zrz91N=)u(DhMYJNyJYe*dzx&<%`KU1s?Nv}bAqpK^?yC%Vk-FR71}|*YmRnxyDR=H z3T{BQCM%&u#t6t}bq6QNSoMtio+ZmD|4S?3e+5p8;vAV3jXU0>bF^UYl50~0A5*_r zw^28#MXKEc)2{wuT6zrM1;g26JDivK3=G7qO6g^ZX|}x$5^h;y(CE0U5GTg(g7Ctm zf7VuG*nd_&lq|;95_b33+i0mAOsqbrP~s~G*Y|cWdANGO)tJ^9wyREDc8vYOwH#9q z=rLJ4OnXT^9RJ?At7@!ay$!0j`y081f5#iP*GPoW_H8iR-&eye+>6f-nb-8sp|+-p zrJZ6Wrf@HzWFuTah3;M4cDuB^vS&D?`B26##eWM8n@G~~zTEpDYA@tKOKXT*z_lwV zQlT|Tke$+%&Zj2{s!J9w#h4_4?fz!6lCh(q3ON7jwMH?s0_=%69n1{#saS@ZB+$h9+gwY3Vd}~UGCtC zgppCH@@d<_x_|G0Uf;tB8MnZzxnb2-myv_%2?}u6Vb*e;I@{!-wih&urPigOud%rY z+x+SlD4lL6OFqtwoOa0Nwvm7cRim3?Sl#yC>XHKq*xF8)YF_WglLyc^=a-FURe{DIzWe3a1Ate|%rmsj`f zh^YYTG-Pj&2;Ro8+B;upd*N=+I+$1Z6sxO`tme@8w>rz;{D0tMZui~Ms@)z78{$(%meNKs#HXTmOT)l1A|~TT0>{@D zzEv~rbsJMyRoi}bI>iFmwG-OH@ZmkfhJ!jypb7j5W>Al&fY~2UFst)=N{GU%(dI!H zhXSvb;%>AI@X8fYTqk9KRC7zq!{i|xDJ7~-F#@S7Zr3KI6iBt1Gk;PJL#petN|S;L zsZ1-TRt4H3Zyd|7Lg0{BL`|5uPM1;HRo`%Gh#X>9VX+-qLO;8jip?940_>`5HhGIn zS`LWNgB?x9J{JL6H3gX=QpTqIgrs<{@(&#GZL-h=GI0k)0G4&QLVy3&kFm0_LCq4x$|{SyZD2;? zQ*6B`xRB1umKxm_DV%qn+mgg5v9hq-iD?O)mAUKl)wuFSXJv~kQO_x;tl2c*&qYw# zthS&;0HLy=u;C~c6_r)zu2ynjRHjo^*D)r57d0KWAF4G^>+G!(=_>^A!Tv%~jOh<&xhMw9UcL0uD;h zEamEBae$!JU)3-Xv`tl`3M6Ru#RPBcGf2?%bHP>x)hkvx>WCBpP;H=gZJ3be@K3}W zC4Yq<;a+^ePK&qFMJR6DKbp-G!$HOMa~A+nTVC7oPW_-0waDoSul`RWYTLVoZE_k> zs|?Mpqy-LdOx_fc?-}2t(4F9F62eSvwX@Vy9R_;ZM@Nn`p$y^j>E3-Q9jZm#D6jBi z0LejTj@bUUOqk7Zo9j7e{Jq_E=a$QG0e_9aEo-yAF@=H4LR4j*>oUB*SI;LwICK86 z3!EhQ47=5DWbpwAx0^692Ck^ju@pz9RX~Dp%Y&2QTrzcAo1DKAqSP&VcQuhASa!cs z-v^$ppJ6EzN6S-D}t!Vpa6g1ejZHV1r zQLx(1#cqxq;Aqwh-HDKiqiHvthktV|B4HYiz)gX{abNis{%bQ#q_s zkg?nRGVTFGu-naKUL-gX>@gO5(zwSUpghBF&dgRG$la=p%cVRBC_`hP?T!s9f( z!NqK3)&_wk;Nh26;kR5umcuY^$D&7mPj zPWSy@_h^G4-><#Pz99BNyq>|~Y!*oCtciu2Tso~w$xfLNp|tMkps9}o(z?2;p%MX4c*6l5}1S8EM-UoWZGCskk@VNDnhH5nYu(Yl1QU+R~lMEHnJe1Te4(vn< z>7?!=bVDbgkh(o>TC3~}f^vvQ9uuPjeu<}te*K;p=KWdA<9jC;?Mr?q7yTz_POg7H zKi2;E54E`RuT2>`dUyPR1b;N(h72+ovHL0nw#Nu!8r)mn{D8HKG{n}-{H z0))klRCjsDB2rk~acwdNvB#LXX^|QYtFjj~>hu!BkAMrhmT{-+Q&Hw&u3EbVioD zfj1^n7}-L2caxaT$P$-kSGY7rb{x|gMO-obQh)ye52{fw6b`VMu-QaO!U|&Fiff5W z64S8RRMx%~Yy*0PjjQIpGrt4&t%7>pAY$LLZs|1vVwRQZ>JWj%>?F8yo(q=;kFfQj zxxZk-W476bIDZ+6#}4(al>!itRfI-sWpww}(o|3_7jSQlWhJ)CXzs16)8k_N!9nO) zO6%&+Avm_JoS4EjXatT`j<5YM2oIZbgcP%x@K;S&{0X80dKuT5BQFdbrTqjOpI<9v zq4=xF-jS}L;IH@ujUAcC>plHjC$(k{;N4PY#d#+k0DoBQuu(fCAz`tri`gIrg2iI3 znQ9INi&e+OEXhGErcvo*Y2K~=fh7mS2>3?rMGc?Izs7_1hJ_IS8t7Yavi$r@mw8by z1NhhZsV$Wl9`gOezs16C4EZ92dzEG1*;q_utFP%6CKwN~g36;BIRdeaOQlsJ;txLf zTkK}-12D@-IWp zaW#lz9$^Qju26=d*9SqtITDC)%?6tW6%0PFg2mKcXy~;iVZg%B8u<_l)+IFZLDrSB z&@!WdSeH4n(zD8wd|vTK&MWCX{7Snq-$|L^*KvE&Ah}17s5p#U`&KqgvqId7rN{^F zm4D!!!G(tcfM)Glf;5QFtFZRAg3m;=vL+L^7-*I|>u^GNznYVt!m5tt-=f2;@{H+K z1p~}d>thbtAk4BFY6iivR8O#sjG#PkFv)vdGsN4o7|Ox5W+zNjH2 zYo)%MO9fed4eC?6@2hd!*g=hni%G+&^?#4BEd9`!T@J9U>8XJMDLf4LJWcb7hO$f> zh7AdbvNj|8yjhMulywqWn<}THtcA(?AOT?eYPTOJiYP3rDLy|PjWq7e=rw}#aV;ID zQ4y78T~@U2gS{9Juy(zsnc;*t<3=XV$<@+{akM z!TKo+b#N7@)fzc;@Rc1OH^f0Hmr{9>&!tnYu%wA;Jo?gS;W{20{nkGd+;{xJZmv_N zAl{|T51-}Ic$cc_xBB;KusnVG+9wW1w)b?I+$-b_G{LM;# z@=wg-XquQ2Sas-vv-vb&RiRXeuN3 zm2zja97`Cf)M0i}gbYAXtF`uEE()4xEhet;FGzwLy3cBOcDAe6f&$Wn3q2e83{VbG16`6`vGMGXw#q1yRNEE6tIK{{V zC{$s~#wm|Zq0|Q}6AC8gl)K$mNgq~et-P(2(ZJF~O4o?QHxllTNw>eRgJyHL`$_>g zzw*=zMjToCp;Od&`_EzK6n}DQFo^)>)EB?n2=-q*$Ltl8eIoMA#JNa>bd5&ExXUo9ps?V_XmZ49u@5@%RZIn{rRD4o?0y2UyIB05B z;j?ntXUF#05Rz)q?z%*Pm8)QN(gD8aN7z`=MyS+>nX3E7wq<0@lz(3rGa{v9rsj-v z8ym(<#);w_G)HSYp>9#&vvQRUxQ1jjx-?PJS`19H%V|}6yCU!DQp(BbnUF@8^4F8S zL!0jD((quO=MlO$ZKc{`OC>j0Ftj;8!74MamKdp0Qcyz%hfa^&ck3!HnI7$y zRYcOqw|hRl(WvQF1b>QpccqY;gjmF3+jflzz(-@#g>8H)K3Y05nnC~LLo6ZA=@uiDXj@;tAw-Z+#zkAM zfR2QA8=BLRb{5aeTkD?z4etbgRwVUIh{wj*YOJw5886qXP6#8zqQcrffyclWPwDX^zGdoGv(_LTLP zb;wZI6RcZ1VN+mFRdG|eoCyT^{hiAar!`<{yjyBY$=pu7MC0`G05jKlVc%^oyTQam5u}=%;>) z==%xmFoB)lXTD(qXlE%ac0mH59n(VTFb(b8RGpX=UVr1u58rv^ySLwZ#~8iFR&Gs^ z0xI~~>ZsadgWzY(WGj~<;HPJxC6NvN2fx0H8e$l)_^ zqq>;jV^Cdxh{fKt4>0sTKgP7zE6W@zHyT!FuS!vF6g1h>PRsb~Z9FcQ`#y>fFW&s% zy$L+8G;(7ii6Q(iF|mA?gU_ELfKqix)-UKhDSz{PvYkieNhMn&MxM8eJiwFU79;+O zhLctbo0k~;HxID0ocb|7ogL+Dnj+|ytE-WkX(X}ATl(%!r~YdyI@+(Z=mm6i6nqg~ z8<WN3#Xt~2{HuSrtUt>j=J;B77 zM1Oo@C+#uV3k?fpIGR1jn#QIZ6exh|Q=jVO7yN+19=?;QFy1fXX1H90c;ROtD{JqI0Jck$e3e?Zte|KJ(6cw(9oL*}i#)uwC- z0;sfohv5uHiD#JIqKhD^`}c1>AKlAWuYcZn9z*}LH?Zkm0?m(4u+BDn4p^Q)!441I z(Q=et9Yv=p#T0tgH#n-~;tT`1g!gwv*7;Z(1K^5w+A~D};7Vv{H%b@)*RXajnNI>- zv0aVXA_Q=)g%uFvE(3te5NgPzoA_poS{475$2juPRoArpNfAcu?Do>U$6yGHa-~&(d3(>WBC9Ed4FRzOi++~F0K|-2%YWBU@ZoXw zc^z@^p_W0OMa=V#(o!aG7(|JOSnpJ06TWOH{G+hneR%om#aCZpEA=~HQe3clJWAvZ~J7elShzDVAfhJGuDp{Oauo$_?zDMeaEq zY=!rTX{_#8ukR3mtgbMzx{#p%c**tZ(TjKZOfAe6kv_{KO`0llHvB*bc+QmDFdhK# zj1Ij@Mge%%{e(0z0)Oy|ZB2_j2;k){opf=i059&^5z4`zBl2F0zjx0&_ACaNvDfWH z0KIo{+rFu|WGFbFVB?$Pjo_mA5W5MxvvTNwFJDzVFGK*JF)bm3-WczBj%tghjeHpJ z%^ME#z^0(**i2uflT8AA)6456e0+vFx&+>rPx}q)TMz_1AAi4@75N65dACjDlv|G9 zJfFUO_wxOFbV16@F4{v1F|QoEqaL{wppdY?sdxn@FUcrsc;M z{{87SR?-<2EyLd-en)$jW$31M89GXND0S>KZHx-&)UhYCz;mn=cy%1$G^m#` za>)6vE+?PNA(MxrGNe8ZS&-C4#4x}d@^VZ6H4Q_~&+fGG?lI&^(cr!q#gONNMK{s_ zhAdqUnI-ZszkK--FNnUTJZ<{qWfRyb^9)pX^xN&4L(Yb%gdvOftTm4s{QK-mPa?N>Ejl0AF4F!zS*fF#lv^ z-K|$iebD{MxGCy*qHJ^;ZhzTOWyBBdG*ot#9&79d7rXhbsy>aBy^5y znSUsmkis0bw@;bMLO7~v=)9EUHz>Dd09ZARY?d)dluxjv?6N(EuHwg7 z&_r{woDNn8FOQFjXNV?-&E;0vcx2$3aer~y$wh&ytwh}k7VwWTZP||=wmKde80}-X(08T)$zYgyJoo$>L?UPXGtUKh^C?dp^ z_n+u>sAk?8Bm&6o(ZuKs3m~_>1wBzRAGv=WH10M6Q zE=q1ywYFO+NN!6*?0XVY8VvCsC;P+xhzZ#jA(2)TG0RaTJ(~<#P0R=%u?ED^=G?W3T0TGwolmWp93;fXfCx42!rIi7# z0Rd~bQFUs2d zJwGxF{O9K_EU|$_{w^F{@MNst_>JEn=*55ckKNb)*>BUA$eaOR0l>E?odG8S0h_l_ zo&oRz0T-7+p#i%BFFlw3p#d8K7MB{L0gwU4x2vK7s{sKsmsg|#Gy#{FjHCgG0VbCf zr2$9*Ten`N0TuxPhL?4w0i6L?mlLM})&XLd>Zbu?0du!br~&5z0SK2issU$z`@fHc zY=rzA=2|85Q)|=_%B^jay$HRqvbPM?qF#cqx7Jjhw*{2K-UhRq`W2L{ouuXS9v(#F zoag1sA`*=|G>i@LDKsvrw?7lf+F4Q8m$MiU-OAWm8n}Wy#MGg^yAmdU7IzXIS0|^k zxSQ3z3m(eirpDU4dE~I2izb~}LJ!*+%^9fU(zA6UMh=E(G3^`eZCzlG%2UifxN?Am z>x``JEb*x@ZXi3OiARTV>Xp-07BRyq0OO8p%&(bP+>dTzk?udu4T+FbrUqbr|p7{=SsQAStP zp2AQ;KEfvZ61{nC_u)EjcU=>Y3CVSwhaKU22o1^gs$IVg61jfYoKpg2>of%4Y$H+e zcg+jQ3VOEAz+mQq3<7Q2m$jo{-~I5^SsWJvZP5{_dcqv^Cx426sZ$P9(b>r5@vC(b za(+&8Uh#$$w+}$z?JC>(4(4u^BgIQ#ZT$qBF?Npv+->(ZyBe2M0^Dt|^yFW_*llc4 zH!EPkYv*;NBV@cbahW~KMev%grY#tMC=vi_q|#)aJEw z@R0fxbN3G}(n9EeyLC~uLWsImHcoiXs6OguI&(RB0Ch{BxG7Q~;W>-3$-y$l@SLLj znJFF&mKPm}2!5bB(sfGeWh@^9l!uZysQs!(}zX`$V0t8uge`DJaeQ{sr4-<0(_wSh0!pM#S!`c6gD?3Zc2ult zlz;@SqOEa%LGHbgs9>z5Z1s;k4~G|nE#%Mt9TNo`T|QdJrv?#$I&Hnze?lYy1U8td z@m@Y1lI8a#_k->GPq4U!;3Fw9oaL$f%qkBa`&Dn_=&o2kZ3)?$yoy4{A{kgZVUV84}@a}as z6|1d=WNfi0;XCfGnJXa$s_peP%=~EhPH|~>E)TGBlvFotv7qdMr&v&a*CQHK>poQ9 zia@A;=I-ch6_B9X)yd5!iw@ON%PvcV090F9xX2Y#AX>_~xrBv!K*}dhMGz`$-r6_F zsi;i1voOJsy%RmMawA|uWmm!NE8r6J5HoJZ&7#5mr<>YPdTxN{32?93N9C04onYOV zPxwVR*+Q~=77Q79jwO|->REy89cA}Ox`1?lkK#AYi?1GH*7TBPqD%_hyPiAn%@3H{ zVsnZCc9v0**8#eo9%C2Ap>8o9c}2P!A|=$Yo`s#ZLk>#8c7l5QICKhDxF7Gmbo8(u zL)7-71mI!k6`BYU4S$u@ITAV4u%6i3-5I>ojS|)qzxQ|ate!!0Z<>e{Y40OvedGFn zCy@WeSyx{mreLy`u{kyKjNao0rlR_3Ul!)1G_fVAflUU96pZz6{t;Im$K zRK$S;eCmv8t^g=AsGC(B6qGeoYUX$WPcw)gMGsLW}m?v?YPWj zAeLhC^ML1nU`bWo$8wag6_+{6gy6h{tHT))jj-tx*7{jA!gjisTc)5Awh(Q@h6E*S z2TA#%3Yf43_Z&8CMNuc59Ip$2=3Kx;c+UVxI znoUM&^^WLH0gBQp7uTx5wua|e{mFGNV$1Wsz^v1a8EWFsh@)plK<8!2z1xFQH1TJx zwkJyjp|k$IxNT@Q!`!0tLQYG6{Mojr?1O zoKO|>t-TToJF5xJxKsdWY%9B{j|aQ=pJ2U}IlqMl0qsPb)iX}YUCteUHA;aXpvtYF zK>;14?K+IXl!+1cGkq8v2m)&Cx&jXnkFkV;@>+(LuxFSiX1Z4fg0#Ks!7EVZdVr;# zx9yV0{*XXg^lFGv2!XVNw6r3+#m6x1Mppriq%T8J>Wvhn>cgt?hEHujKqAKbateW2yxSjMxP^;8{T zMC-p_C-dAWmfdkN1BT0+DizT&T$<))h;Xj@GnT!ZjjvlXsaW%liP0T&H*7Klbv(ev z1|6L;&))1y4w?+pOOfE9@adExnJ+kKI=jax04QCeN;M!vDcwC|~uZV@RsXmlt#M0y__q|cd3f~M(4EmTC{f)AbHRn=vGOV15jYzW>J0=YqDQN6?d z5gDrM9Tg7*d+9+dHU#XQ#m5W_P_U<;Dw~pkU@y(qYUk6zUUgdXIh$BZ0I=6zk?=b{ z!&>fH@)cs~_W4JDXQOJVhP7(j;V`HxJjKRKdL#HK*emK+wM!^qugR_Hrv(SaOqbUq ztsve7VCwQ{mpUOsQ+J&%MZjL=T~0L*Oa_XLI=zvg6E)(SVqejT-`-i4LqQ>az*3lz|uv$^+)Q*^h)k-e2SEUrJcGVMq#GzxgsG6)Y zAsK8nCdNcb>0m3_7~~Yv!PclMe2>RKerAdaCMZJ`mh+<=vU|*vWQba4fmW0=W9wIu2MGT$< z64&Fl>MjMSKI}T08y8Z9Ta7wpk~rx5Z#gW@aC63g^+%L1A73uBsgmkYaY&7ju0`%A zjfN}865;KjITYWMNkU-mkm)a}%HDp{QlyZg?A@f-uj98xK-t^qcl?Z`tF7%u%!mL9 z?Xt4dflj(?JIko$Q3Ie)tEa>PImuF*R83QL9M?&U3Pir?jGd7|@=a^GX^BmhWM*#~ z%zRpZesXM)tyem{lkz9lnL>MKw)uk^Jd+PShn zJv39{J0{+&YA1N)V`BEsk_A2XCEVz@xabkDvA?2PKOSVYRgP6s3)-HfTSNrrg z{(e8ofx!^mn!u%=4b~TSGFiT6x;6F1A}W+Cs;#*|-zxcn*yhARp8Df{M|}IYFW&#ncQ3yCZ2P|Q zLcjR=ELU8`g?{R%+Uuv<>qly@-)FvmX9C67NV}_-Pb$8S42u&|O7T^&eA^7p534K= zuOTO7>NHi^TuSk^wRhUbrx#zXYcWfFN>|bTXge~(tM;yDK|wFRqBoP`_zcBYOw-IE zk6e5OZI53I?~AYGmivSS=$+`K7Oa7><$q z%CGLb@?#;n{2G~WYGRYhud|UB7j4YZSXV`m94)_cb{Adu<(H-rW5h62T17V4X80FKL8jCNl~Ji+%0k`@J5Z3> zGSxl4>hm4eHb3ANQ>(M8+`I@P^qpLtwRa`Fgo?Al9%a6eTAU58U*&OsX~o&KCexvy z7iZ%)(YYMoTDl|v@^q7!Rq4iJfW&#yj zNO8!NlvaVQ4Xl?6d=*%KUteOKkX(V8^;ISwQh|lVgvYYb3T(4%FNIC1zzPb(8-Yyx z>*Me}IZ%PM?4K5}p$cqtFlAgwt-yMYtF!%fhK%T@Ye}F2>x-}3k{}gW+{i{e+gE{I zY|o59(dWfgL2cv;EGofVAiuA`jOi7t5_$zzwq(5I0u|V$dp^{EUxC$Z+^&m!DxU2w zIfyFN4XO89q?8h@FzKKL?TC*wtPQ}C+PA0nTmBcsW+(3Zb5BwK=G8C#=1{x@|MUOh zGt-~@YcSxepX+$yJudijKXtzURKNX$)Ngg77}}Z=6C#Q4CjIc{&h2^uLwT>-X|E+3XWqSk<&BPc@!^x_hdg^n-DPXN zf?WCEbmgiEnu`B=9JhNL&tGF1Q3V6i`y;_gWPYUxJQ5_Hbw@GA(z`>|Hlios?Tc6M zKfU zJ$?xAf0$mK%JYD{_{vl3jtvHLCO z_3GR29%Dy&M`^?=ivRDMA3kC3l2`*nKjAZMyL_Y-wB9_y+#%_!4AXbhQ>S)$G?tGW z6II8H5=v~>h{|>eHsUlos4^iPNtZ8Y7Lt`oDiU=*2<)me%3F3SB%|X<_9nS=B?*0 z{rDgFvgv-$bFvBityk}V zh?F>g@)Q^jkQ`XB4vQF;Y`(jO`L7; zjrXg!AACV4TO&=I_jcubzr(ODp^!L-`@b3g<(O&vV3eTs)J-2tDAoRg@tmJ(Qzr45 z%n_-nb#8>g6i1ip7$yrRr&Q=rb3{&mg1(OjjZ82l#KjS9=l)OW<+s0n@#))t+`9H#}A$?gA|0;=dwI0XB9kEK@FSc*K{PeYV2g2f4RW(Q?zPDSu z`K(gIqTB?YiUHr!vB~nrHR-*7<}~1_h?k?9Q(<09KiFgO2wTsZ8D+49tWDY@iDK=; zN6#Mf<`t$*btm#6k4Ve*aI6gQh)gtea8%q>^QcR*Ha_pJ=)U?RE}~~Gd$3z(taG*ORy;Xqs9d{Zvlo? zTZ$ZDkKPl{BR=CDv`G4V@#;ERb*-Yzi8hSvt>8;E>R-9YXkbxQ)7;wIBMEs;dG9%i z;cJLz+0M;eDH3}Nst<4QjnL3-O^`xfm*JZ;EtficBz?&Egd(+n4me#E5{lGXmR-Hh z`7u{ZO1s9%1zat+=eTte%!-?wDUYRR#kJPw#L7WiOG;b)rNVza@U5u$p#rOn&Px{i z&luj74BuGrk2`ByrB;vx;*#CzBOcufV{(O^KpcO+IcG>fvBI=fg(pZ5A-UFSh`!%U ziOb?S=ym|fCA2%OIqk}0EbtPbrxUA{ZBgnEMFfxPl#H;w_APr$#XzxNy;do z*=!u8u3j+W>H&7pd34U;NPdD9U7a}Zi|Dssy~0$>39u>6Q!JJ2oXPPekf?uNe#93W z5@DaLFl5USTaKl)Iui)18%U1oR8RzX%SJ(njNS<7E%FL~Twz8jbq&YEq&=6vKRn2d z99X>zP|dQ@DGUhuHEU4`3iA5xzfj(dZzVZCoY=Ah_tE_+@un=PNdZ`Q!qgXDKOwCw zdVr;FZuNj!qK~n=zT*w)kL29V56y-N>878A_2W<>6+meBG?~CKnxoLPH6FcdB=t0T z1?(CLS{aLfAn+J|MZIDZ&J#Xhr`39*osoEJ_-X9byVsse{`MQ}v?afR1^9#(8q;#< zF?lhq6=p7#duV1VCy8wV|D`_V0soAf*H6a@5c%;}Uwud1`90NbX(AdS38}u!6VeHZ zy;GYdMZ@@1nL9OHD2&gwX8Hqa7~j@SZMlr%GFl9O&z#~jx{QL{)1&@|nURH&agldj z#&4Q0Km5)6``z?z@w`UJ=s>E7EC`j-yhf#cvo#FE!snd5p^AI2QBaz3*SSfW%p?&NKm}0&XQx|@j%Y&Ru<(;Vw zT$+>VGQ&73pgEa>8YA_%3Po}<<#jZFhZ>oGwB@5cQrO6(%eGbVNWD~xk*X2}U}PHZ zAFv}Mi;mB&7cy$&m9}H;pELQGDl3DAxqpAIw?1&PDyR9F)K+t)z`vj4E4uff^%|?J zDZS+SD_eY-_9<1~Rk~^@Aw)s4Kh56sml7%2_qWq4x^Unct&3xri5^!RTdV*aQblop zT{;Gb)b8v`Czr%1Q2D$17sj!*c`-D_TAfeZ$1#~?NCZX5YMk}ynX%6 z8)8$}SPDxdC~~!0oK|Av@caqSKI7egHt_Gy(dF$)AzM~z#hhm^Aj?T#(N4HwPF*4! zFm7cHwodVAcsDISDOgUv@bBJ!q%9P?B9$3p``}5+7c%c{Hzx=gl+Y1R9 zs`U5mZJYE;ItVHEcM4cKlil zTfSX zl%~9&(O8zDtUKfbsJ%{q>W~0`RUE!d$2BF^Aj7%_ChW_U^hQlZPq>Iyu6iz2jAb;7 zVOUpkk-mgs-rF;*>}u6608M8WYmtdzSXch}ZKMQ0Zr$J9o_FJw!#$^zZ+?xH+O^%} zAx|V%ntr#V2OLjdq$?X^(Ufe@8*6KrF_4G7`(0_#Im;S0)s=Qv(47W<2F^Uh>I{Vg zV2eX#$N4M^v8BbNl+AHGYr$`kPIF{w*;5A3?WFy$B91Wf8JMNU0-y2nld%zek4#< zOHy(Oi&AtE{y1BdA(ZDWYW)7V{j!JWZk>I66K!WwW z+^@fV^BU`l8oT^|*n98j#;){E@DKz*5+n#)a=0Up+xE79y`IzK(?_LzEYHqJ>awkM ztGic{$Fnm#!&9nabkBR?;+X;WRuH`9N(Y6aD$!8SN2R?a_)~_ zn-)sSh5T=P$0Prahx83Rq(88oc{Uuxn!K6 z=#y=pUFC35>6|4$$D7k0+9}FNFY(tLc-fd(Bx{?Qdh&p^%+YqWJw^y=>6y@s;hCw%}85@@@wZsn*yO2pn5^NFa@Whn3X5jjix|)C$keTpN_~IspE$gN623 zCEI^uN#kIyJWnRKtsuG!vlGEWQ_r3hujW=1q)bY=W!v=Y{c;JZY^%)dNwvc3s`WW} zqY{q)()^_Ax4FgA#(?b>qd`)&)<4C9vC&?`=#YcCj_B{ZI4vO+`-bb!d>rv=-T2{u zW(J{n7SMB+!WR1_7oJtw;e7F~+0s7Ix34P|pPb~g`Qm|>@^RFjFw*0h=gm|)FNR~b z@M+fhF*9p2er=;uY1re^$G_^jR=i~WI3c;go}X>cga>%y zbn>*?>IhqY0$i3;pGKmS7kBJe$w&@=Amba^pWxLm+1~ny3o~n7HLn&3GQMpgCs1_9$pr^B`|^Zv4EjP9-Dk+FlyhCfU_6sFLuNX ztky>6T}v38x)gryiG$G;dGQ@C=<~`6P+9Q+Tw!OHMo0v3KAEjilK0S|C(*utDtXmp zQ2pc!r{^y{kjj1HRU-@|{rI&dp`=^nI24RGv_zG>B%Az4Do;JSzf$-MRr z%~lu{ySgl`jA&Lqr|=CxPHhbOY8veOBMf{6Wo+=7*t@>#v$n8|YO>xjUmX)RGxnpj znD0Ve@meL1F1>lUA8*G+Xt8~N!bMQDPM58Fm=TWTaE*f!j*S`~3&n_;_j5^1j}*fwZ5{!eVwrd|oD zWuvy+0Bttvp_ozIK*R)u$sEu&a$pb|FuAP~#rkL%2vIr^2p)3{-TfjSm@6zF-n7BMoH~0@!Semw z*vdadFy_e@FWuZdz*a#2nFz;neknth4qPmEw5eVbkg!})uTP5uj^&P*qDve(o$r4A z?ln&vICmCNFJZu3V3s1*f^oxs{%Ehg8O)`OMV-h<#tqBGQU4Kt$8s}UiY0<~W58nn zmIDjRMbvh632-bolv5D+59krr`ZOQ2od?g?qcR*Yu-3J697eDKyt>S3u;GBU*3_yy z5d+qiG&kW`rzR|`*H6j=YgyZc$e2s?fp@r%RthVgQv`P1^iuj-{DHrXHw51hkh}tqU|-l4UEeJOvEeTVM2l4i;l*Z)vPF&JII+wIN|q zeEWvl$&@{NBHG(;9&T`W51pqHUsk~lTFX6he)x)>UvOi7#4WfPzwsTy`wroKyMi0O zV$A4HTfR{#VdtmJ2aP^5O+8)qEbv#c98!;izb5a#LTK^AFUZ|a{XMJl=6SHAam2u% zT(xy5VZmg-8v zhI+co=zJMT>t*l^4;Gp*U~`hri(IA4xgAwWF}QanH{412aIYgNppIz&aB(}f%A0+1 zo?jO1z*Onx<&iBSHr|V@+|oF(@Ltxg+LPnH)iu_CljOj!()EN7WQvT4uQS6(EwhOD z6f<5?)?CDQJTreH;UT`F?wtrLmOt%7BvPoX-prTrklk?3T%xrJ*-cGUY6&wNCbD*u zaAX&#^sbN?k=>E+NT?N#>{gD)w|K~IHEL{3$VPU7+vVG~cKq9M?W)!?=2#5T^i;onD;&yo zdX_Hn1_|e-*D7pDQ0{7VI~sx(7L?Owr@zms$`vV>zTyJ83;9l$ahPxZu3xnS8OV+2 zhF`E8xRwpg{`RD*oIG(ZlEa}}U&*eL@R3}9(r{C%kcH%Ce8So|NG@kpnfDJsa(kY- zGB%Qn(-iLEWw))3^)Ue-$!(UbM{vt-g?qjlW7%zcyl_IuMsf**x^4J!a3t5^IrJBN zoYtUJbcu1C7815bsJc~`6sD6bi+9hG<@O{XHea8dW`_f@tQ&oSgaKle>-|j80Ui*AGCWurDk7}m2=mLPZ! z9eff$77Rml$2a%#XM*%=nFe?_k(>FznmGFnqg#S!{a_j7SPHW>`20`OD~s#>v`6ty5)Es<~XpWo*j4O0?w(c00#w za^6)hRM|7tvhAYS&)Ddxc`jMa);SNB)L+`+=xMELwL{EDPjUINgLX#rq}|9|w_Gnv zs9P+TanVyj!1Nr7xY(Wfma2ZngGr4&wM%B0q?rr5wdKR4Y`>aS0S1#|Ga6lSdMtjw`YF9{1ac{Vo9%LuTkFXN)ajt85R@!D293^twQhkMG*ut_y?Gj4~& zrly9@3Lb1yEQWUxC(pF*r8jdbV3Uov*<9^M_Uv6cPy3Nrkw0Y30H>^f`Ta{9GH~(> zDn4QXr`drfKRXg|imjaSalnDo-B#Tg!Tm~c5qKtM0jC=EWV0gaB8ie zS#e|mr}CTIa}frd24|C^3HDbBK37>n4sc3PmIT`~!09YbbBmS0{3=$D?Rmhd$#>%g zA2_M&6?=HQGE`Tju;T-Nr{a>?ND%=z)kK7)qRb3(t>HqZd+Gi8<*U{tJeArVRU*Lg z)JRBfrHH{(aq7Ym8#A6d^UrOO@d{gKMFAUP22drpwG|5qfNFB9LMLSbs=b_Qg*7`n zXEq&^olo`$jai$!oXX^KAs26@q_hT;>Ry=<%k@&G?lm!}32?xFp9bX4j9*n{*)TXP zvpqlD3d3P77YRYQl~%&RaWlUh)^nS`ASU9lp(b^;?R)6tlQfE`%l_i$KSW+3P9Com zi;NhI{m-v8SxJ5u{~#NBQ3vTONmitv(+BNe{&xcr|41b8`&G$0f40r|o?fN4HXV+| zd*_36ot1VO897*gY9g?Fl2#kS(H?}fMD)dlm`jZn>mVCBO=&_!6y_;_`G~nl0WVwM zo^5F5b80Gzy^aV{`I~XA#tKi52j?pW1iaWhot07`WTIbFXs@TOIm87-MdTpgMR?`Z z7u-BRVBBp0%EXZD4n~PPy>3)yygkIa43&2u;6bO!dq!t}lti4U=Sz{fB$S7MyCvm@ z6blU_$5UB|w*#Ll{Br$Ki^h?e6ykr9@)@%W#(gphh0JoF*91-D&T-fI#cC0@+>d>4 zN~G_hH!5lTHHqwztIH3m|ASKg7gTtECI8ng7!hZw!C%J9Zr_M|Leqgat(5AmMIBNwoo zu!6RoG0c?Qj6TFN0(JdS1u|QN-v_ZJJ}YJ(;(~9$GP%O3>yK2)_`?J$8s>k5kQ+Q= zK7Ri3v!785${FNT_vvgQ0&se0OecZo#=sG+@Ot$8{xgKyZ!Q-jVO_%7!_^%$X4m}< zD~>IHRBk14<_@d68_Q_#4JtUmX4LV?Wtr3n#Y1nsi}~Ea!mYeu8S{MURZBnL(WUJG z#MYxyY^dd+lAxrE?80AFpaV&c!s;6=t4a*8U!5_cWF?6RT{pRk16cu)p2@i$A#%5fvxqJWrKEV zD9M`jcTm@E=Bm5V77y5Wm37GXR^kMIzxxaLntamy-o=plu~%*!&1HD>$QW|KXiVa! z1B;=kDm(f+0$M-X-|pm-5yyj%r_uHPEfl3tuSro+NIPLXqLMc^2Gn`2M~{k`i~!z$ zdO=UpB65I2tDi!29uKI^1-1s`bqJAqK=+krK+#^4YtM!CSGQ}y2z?^&3HpOqUW1j$ ziym&pCl9FggWTUhvUgXKlsT`ae7m$PL)zu=cqLIzoT-YvL!AY*wjX$W{2Z>R51+rJ zQZ!Y2XuLJO6Q2W}|KYL?AE;kd#!Rq(C;VwkZ`y5HFgrHmA_pyMkB46ZZGXQKDe`{q z{LI;niYlh^42Ul{&c^2jc=sQAqcWe%bFhCrc1zFNguj(}lg0i@e>>*rtPZYDwPAzs zhSg|qF|QBZo|l=7`l28I6sX%%D+oj1ZkG)a_(Xur`LZ-bQJ|L5$B#hHc}1Il9TIN4 zy1dT{hDIc-++0KW61^}e+tYR|C(^Z1F zd%L&2FOR;phx8Wh=&fiYsfqdn=c0{;M;~qJOXTQ;1v33z$DND;1`VBoG_}J73})5g zg{TDs_Z}5Nrph*V@cLvzK-#E(4G$Mbc6Njd-b0~Z;`b)fKOu)smoJP4od<82y!?4T zeC=rJkQs|E@i5W=lMF2TF{xy`u zvkR~dH672m_1E~-(k21!_&PngUQQJ5z6}lz^x;CTZbIF7F?>$+M;OOCI9rGByWam;pn9Vr_wAbJsK^e z1TN=Tv44my(RS76FkOx?2}3aW!tDiUTO1uF3U*fKyMozl1k=QS?&{>)3jwCtr&f}0 zcI%k~xAMJJ*d1<5@=!gFRLdPm9;)$i^OZIX)gWapXG6d#*2@yJ=E* z;GBq+WQ3M&9b5B%>`Q}lLaMBZW~J3BAw!&`B&)qY!;0S}2xtoqCTi2)26OubC*~-D zes9gyR;C@vO?0g?dD`BX;RN(GjSC5$p$jp+QzD~|nids5cBYmjwObC0S_&O4_9q6; ziwgvnOg~2hdiLzO&5Mnj7^TU4j$WIOfBwV`L`7DP+K|nEKwAsTQ^mZzEGT<<+JR&S zYB)XcwdOm1rexM`%6QhA`TN>!dkfZ@0R^L5VxALbcgkd+4KJ$;AKV?XU}XI^I4wI) zvoO^44G>n-GD37GdmRL~D38s9=dza%8|?UL;^2x;AaVHm8=x+G%+HZzGx!cr-9<|X z){>>omkBn1SSu)};5Nd71Mxi&vgVz~wr4k6L|#m#sU#$i$h@`c35g|F$il+>ARA-f z$q=3y>45j0d?$-Dq+Bn@E&Z;}f|sM_Zt8y{dpV{Tk1mJ_UXF_q!TDmYm!mw>CrD(; z%Q0}~dWUCIM?e1^ZAOcPWafA}o;+;BP0Y)@h9(7nM8n3^0`0Ul*_d(IFDTfWoz2Sw zwwJ|t)9orOeAwi($R1=*ZSO?&ezrBqRxu;BY(&C1cD#>4Iwt8_)CBo*O1d%KjXqET zVQ$?ewQl|$Rv#!_s;#v8Cf~*E13$Tl;JY{xt4!vZUDM$($}1(`j&1aD+H zaW&h5t)Z^B@rtHfpVEN;f4D>3|9$#DP-%NZ|o;5z^= zW~IdOLo3DR+Et`i+&M3%(kDm2+S&*3PeE%7>QBvd zmv1|gs$4}Kqk2ROC7R}ShqtV8+R|RNxlvMrCtk!+Z!kWs9POjYqu@&3f@SRK!}dsK zcZr4&+ULwwg;NTrnIc9*7qp3(?7Zg+~9>=+nd*=~0i11bN7Z*8~L>bEZ? zTiY2n$A;`!6}+AH!bKs$+HP(#Wyp?eZFkTbmc!}GtJfxSEEqQ314|2y$+q9VX@3=a zn`O`OwkvDM_m>$bWta{Eu+vf0Nc1Cn2V`|tZn2*=V=WE#57u|=2?lljE7?JRM6~-Z z$P1JkY*^*Z{mF4ZE7Qd=;rS&UPTzu8XBbb!wa$}%ZCMA|Fqoo)mdB2 zKdnKNHg8XGOUr4R-w;}IOUs%mOq1~07nR+9qf$$5X(wBOdJ?Bqm$|L5Ov5lxw>Y9|iOcoV3-s}vS);2n@=$gGnQ9nl8mNBu->_&P{`2rl)NkPXk; z%F|mM`Mzf1NhMkl!Pm^|ylvYC_cg22o_a~hzGi;<(QX-urQ*Ll&;{Q)i=|R~RP_tw zBua0z7Z2Z}_Sx9b!ZOc-EF&yDS;WNz)HNq-tNM)=WXXd{dJC~##DXqY<}5&-cfoek zRj<7zv#`FO_j90ku z&A&nxFUzbjtFN%WsUf!2*V$=hyn~5PBabZHGrN_VExmFMraB$CJe!00zB4NV&nD!i zQ)}ee1m;!9rFi1}g~tObG8K9SNr>iMI|u6tVy=1DS>$<(4d1+ftK0K3-;U_dwN|Rh zv*P-51@)F1gqHlde0OfQ9GR9!Zi2tcn(WWzl@X&ykW}sHdt`gAlf$GGk@2d{ z_FM(y6pCN;j6BhA@@iJ? z@E$B`OA0?>UTWu;H@7Csg5! zR@`nxbMr}{qlF6o(OtwM#s*(Zy^OFk&r;Uc)sflYy5IqSog-F^Z{8Y}sZy_erTCS?_d=Mv&CIfdSf9=TS;FZ3qKLzYal zlow`JcLf&9t@)+PEj-Js0R5t`1Ft-K6+e1n%b%q@7gTNLgCEQ{B@oDZ*NHb88CC@B zYHrLFKp#YZxaOcuA#LyI{58E|om_z?TURVHR_=y+n{_h0a<}c1ePqwAconT1W-!Kh zae)5@XS8xuUS6IQFEh1P^hMZU4DoEGrdW*Cnc}kZ;@OijYI5qY>`7&tmGCRRu&!r( z_8On1Tr`)m#bSsbDB71fepkz7-nSB7k)pG2Lr5xrQq)%shTGtU2j8vCEv`pGY2%32 zfm?Y{c230!m|DSLR*1@iM}pQXv`%JD>37xxCx~>EiGhn&YdjUdD2R&?^AqU(-EkiQ zD{Wm%&$<-jndnm8=7`O=Pd1ATH5>M<-0pJI5P=U!uF`kh3ArR@D(o>;$o1XYOKW}# zrn)SDPJ#Et&a@N;#h)|TRJg)0g=JG?v0Q=WM`DI=nzFS1J7&b|S2I3k#Y4P%$-T=W2JvQ| zRGKDV*t@w<*}cMlmgdz4s7B1=l{NkKVaR=*4Pj?gn%4*j zHf!N-O3L<~jakY}HX3Br>~*diIpP_Wv$Q>i2@T$6HDp4Y9y7QLKEL~Y9yXgQ*M~`n z*lc*NLM?d@9fy))M~S1Wv+ED3;Ozdu?T6I=d?mrrh|M@Zc`Z;VS+nMS{yT#0|HQz5 z>mP-uUip0sFWC&HE^AIaN$lE%kf2x_oLyUf)3PQcp{j_nWqQH_gQ`OH{?jrHRn^zt zt_e+y+6nK%UV#bbOcj(al6|8#x=Vws_@Jh5alOonK&dTT>$z~`P-;tbx$%x{5nJGr zk|SbE$<$;DjPRsxd~`<0!x~{{>Dvx}1d8mbd^8>*QHBrOG`Q@^$0XOWGfG>G5W94A zR7;TaE0Wi0L>9QOSE<>JVm9}6WV6#t#&h93OrOR8M`Tn|oCrr3ih{IAYYxrzW+)zS zV>K>j(;QSb&GjV8r_zRnIkIB9!mJ3GL#vqWmGG4hISJkpF0J)6G-_PHqP1p!Usvo& z__WsM?uHN>F7{Amjd|HHFroM)xK?P!0&8bUYv`TA5i@CniWLC~7?f4^G>I+nRCgx4 zt_b4$W?TfFlxQ-l zCVcHZrlfUpqF-~g$Rai5$VctjEYh%s;XaZh(PVS{l(o@DXf=LT#HW#Z`B(X(ZVL6r z-lyZ$`|y9#C}?7$Z%zgu1a*+cZjQgi&e5KrWDU+K%^69*5%UgG!)984qU=2s@FW@U zqe&t^gqkimA@HHc(^taYH`OvYKYDFKC@B&0zrj8+r>rHfeFzYFl*A?dW~S+%eE0*& zuYXF#C~9MT0E5S;kbM1(N9T_b5@SxmZ+$pPKcQfY%f~-uzV}V#lusXg_06C9|Ho1M z-%!XPFBkLKn|!-AZcjLWd(%VCBh0e`5eFpVYOo$v^xdCOANhroGc6BE^9fG3$4}{O z^__yuF3oA{E)?+Cr6UpDIzGEJWhlB-KsxK|mRIA&th2s5;cf%3pxm~04IwHyb=xlP z&)uA!IsY7vNWZN)T7vyd5}h>ZxWWwv66PylN7=oyIeGWKrbSfYe@o^lCukkA?u7UQF>1Sx}o$G_A zPoKSX1?9E6DMms5OGwYBK69NNY_KCylimi={#n0k!a}(X*Jbp8LT9y(EMoV0sH* zT=Q8$q6Ge0w_kdE_1qnv1Yo^r?}Ru?^$ieoSh0g1BfLL)L2n(V7h5ycCh9Ncq&%ex2UJK+$)Ays|Qr zH$j@Fn>OEn=nIJePC&80LzLbES;NIELQWTtdR&I+c%2o-M4jFmts{1*-vpYplO|-H zW1Kevk;KCPmiQl?@9KA&B7vBTJxfyv_|-3e_5~e81#}J7%%d=wMoep15j5|w^>O~mFE|kpCY%>F@i6xC*}&0bv?~Tv%n8dq>lARwz&UHaizK_oto+bDDK=_dvsxS`9u|e{vE1*4633Q#a=akA6j;<2NOzTUHi^p{uHb ztjOHsh(@1D8LI#m5|cV7A&`$%3Epi+#u|NA1!-=I5T_DB6em-tscG{qAxiV!1jpWW z3M8_krDD{X`!y)nFSkqi6}NzjgbHg~a!lnVaK&Dvm!87%D#mfHW!zA3KJ%zge^9W1 zTdun;n~62fxu%|OUzoZ=NMJEHHXdAyIV|S&g-nedpNiZ!8!C4sQIX4Amq$_G&O9%C zH|ey%0h@T{~A4ZxIyOkm#W5BxVA{_^0Q z*A+s74@|JH@$E0M1Rp_z8!u?CX`%jQSCHOAw6srIy*UW^LEk9{chZ22B92O|Lo^5O~V9!MS=~ zsG{k_HK+0I>{_?7aqE~ae_0#RJ{6Y>Rnwz(aIw$;cTihY<;b3>U7S}!6LN7D^Fnp( z0g)yAF4!3?7~%=%=$r6rR8CmI-Z^#dx;*nl>5MF(}N*OP6xk(qXyf3IWEJo^6XCl$cE}6spd0 zA%Q%dyFQ+6L4EcXf2h=T2g!Je_}5>9{0N=gf^P3kFs+=;lW}R%2{Xl00ya%LZ{t*f zc+>Q8_%)~wpDBWj0}gL`D?019A%w)TTDaH~yhpS)bdEs%*Mfg+DsT2XeekwM1tifn zmoQagYBx(^i#-+u)bD`Jg_$-nk#il{pHs>!z0q{fHqQ|se<7K3t)D3wGt#25A=6u- z2?Zif8Hc*(rj?m?6w)|k?A9W~k06QK%*75o>~tqnu}4bFt7)0G@wj42!Ie7yD-mzR zv@T0oCgM$mzH9gL=Fzbyv?Wnu0v&rhF8JDLJ6N$cek38!d)D5KZ^^h!ZT+130D1jG z2SHZZo@qf1e;o`h$l!rVEoM-8nVM+GEgI8x7$uP7jwjfc3Xxff7F4`@E-HsR7mNg&p6(>ZMevQT&R8l z>o0UqA+AC`YK;-WS5$^IR4~Pw5Ac$_mi_3Yf%Uw=e|m79kB~3~y8NQ1%PayiGse%7 zr!SCd%!6=y@D&(X7|E1aVv6tP1-02R1fa##qDWgZQ+#%! zv;ZHTe;1sSdB!Wd(CvfMENzvTPZd{O1&84ld`r!$7!ght?+-0&Cl+H~2Q8)5gA$A? zp3&Yt!|$SoRpm~jDPRwnFy@^!8jo=O7YH5(7nkd8`BRsb3(d$17Mr>(KkUAhVN;iT zx>od7@1e$+#Q!%;L(YEq=;1?GNZ_Ip5vLble|k81ys~X#o?HIVYr8^8z3`iU%Xe(_ ze>$Dm{{d|DfB9cuJLYz7-C{?f^ap<|`8^|(llK+oD5*+W?_(3#cfto%xShEoCV_T4 z=C)r?^y#&1s#QmHX(>K8=CU%SS;Qry>LU3UfWe@6jc#rt%lW8$+Pfi!(^s+4a#J9;+bK);52 zV6;i+BQcTOZ>QEe2xPLTh4DCiuJi6{X@R+)}C;Tys!tQgo@l$SrHtQ^M7(w{Y z?|=6BJ&>RZyTWDwR<)nBb0)Wsnr`6u{5=epkDC6=at%C|KKf`wn_?MW~=b0Wc+?()k0ejeLQ z-X5V8k(ll&^JD%3oaw&4R<&u*VY&xhY^fz&ru)^%_B2<;%F`iU8XH3*^tURe=(jr-kc%Nw z0&89@z{x8XI37 zRE}e_Wzr90OZfueh8oX3M}A7XoG`qKw0WkKcH^KH!5_HLoj(1^C!jg{e<(}9=e!SH z*6fl@(|f{#Y8^;3o?~MTe}z-t4|l!Vjl*VcQ?$XhX3l$Y+3W#-#pUd;;KTd!$B)e*@5ruwC@Je)Jib-pul|<$=lQ4PQTNBDZ~4m%C*39y(Gb z-oGIM#$%Xw3U8QjpvBL;wk?#Xgx~NxzC(fEp}=oIfj{`KE*&!n?5fo)%UIY>l@&cB z!mwR|_jw-?+Z7KL9$2xkolmfC+zL0QE*qHPVY}VMg$zeFwi{dPf7Xk*;>7YwLm+Pi z;M%75jja*fH7<;9OD%xA7-V1S&hO+ePqTr@&oJb&iCG?F!@#0oF!r z*O_}XW41(7Bt(+n!sI~e`1~l2Y0Ia%~MAl+?|Z1Cg5WL^HQcEG=dHADu(4SPp?ibJ^0ApL~&+e5g?+S~&X z3&O?k#$P#N5KggNTOi~^xa;8BYS9n=SIPH`39+SocyhB(#({d(i<(PgGeo_q2*cEj zjXsO$#&M{3v)mMI&4+qz^#vpN%!`T5Is?i$O;|5~fBM>m5A`A@))q+eMy+bA-;M>%fhK84}e zne+F=)(HOyKYgu7Ao)Y$*SLdmQU%}eG5U1xutJc|{{FLrTA2u2Pe`k<0{JthSG@k7tJv|rLM9#MW z!18dwoRtX>Y#G+ZCHzBP9#*o3QqceXgC7H(PfI$x2ot3~(xIc}!;e4zY8;Wx&G5{sf|eq9f<0ZjYQ%s4Gc~?M6Ow^huOnA9aaN zV(JS2=2OTvJecj=6mm|;h7%oZwc10r5EOSk{iQQFQpWxUydawNvN*^Lhhkq(Kx^Ha z10s<=_?mje#nq=R=p2NPoF0_WUKWlfe`|gA`A1hr>?7|?0Ci~u}d~= z0MD8$0`vG#P(7(=6K*DFxh+Pq0Ygl=dB`AdBA z*FOg7ncZoqwtD|V>JI)2EUk^EJ1{}*{5mGp=-Z<|+#JM1*Lvbu3huR&+f+G>iY;gn zfx%5rrQLgIBS>2R3@N^$N69PIe}85SLB@Z2twSiu5t={oolfjKotUNM@}F^+i8+_- zQ}?_BTksrtSVeBduR(e{bR2M}cF$91Cq%Avb9?SaozoB9z;f7OB#aa+0a@U3{Ga1O zvDo1FZn99Ucck>hiYF9{ovNx6^MqovMWI7PQF#3*RJfQAjGNj0UI~`Xe=0qfIvg#` z7Z@8@Uvwl3zzRDwF^HjrX7M2v1fu3Rxq;z<+bt0qqvHG!nlU&%e)`h=iPO{PUpd1c z>%%85C6=UPhnwk2DJ~rgOuni|A&q*xVDK>Q&=%uKARU?Sh1|BhpqLx>>vMxqwE^Fz6rM>nMS?=vWr(9;li$n5#LPXP+60(D>2M>(Jb$u9a4md zh_!*`^SLB}C1IC;*X4)>`uV#cuXV}Kfe$Zsl21+@h{CReuBIMqf3C1ADROemjv(wx zD)X*DFo0TMU|^|zl4~lEHsjamKvH#0C3R*?Nvf`luDuZ(lBz2=vi=UGKJ-d;b$a;% z%*0O=+4B@!+nMbt78P6>`G=i|6F^-uG>~ButNFbyUnyDGb(nCJL?kP{1rjoEj-(h1 z3JJR+FXX?CPZ$W9f7bH`7|`s^k=4*RAwk!rJwKWkSkQHKXK0exWt;W9>`n)suIn;o z>Q;d1x)S!MGokMfU)SXm8}e`Py3eR8JwXC}o{Ry)<#neoU7kL5`pV74!}$v;MjS7N zFY=xXO|REa+(mHYT>X99Rj8InrRyF}&z?VWec`OSl4bOMeL;lku}?yxCcGQxTGSz<>SX zr8`um!ud<7>HxjelHRL(cT7VtJuqwFgpzLZdo9Lc>4`@5*i}@{0{rK!6 zqHLo_;_Qm9fA2dn%M%6;&)3kY58iIVY>o}sGTe+U_-`-)DR)X9v_@o*_TfBcxb z8tO&FPUzP~mK0&*2Yr_og6|uk_%^;55!c}bgXY|k5DWUSz=qWw89&Qv?J1Zh&OLbp z_?&EC5Y?vdfStLP7%52*)>xGyx3OTFQku}+51W5nMc8$r_PXEb7I2vZwNh!%yA-lR-O2f5aIQHAC~0h~I~B9(5a!zoPcdb1Fu6 zg>47%q-22y`2kiIq+~VWHC`yEgprU!<&QyaS!@K|52YUc3zvsz;~BzwGlfPkFX|sb zT=uBWmMB46+FD&8nzO$JLj3yA1ne@peqZiqPn4kb=1ymcNRqRm>WUZvdyKp?NU7)4 zf8BS&t~R9{)!9Wu8V#~dm3N=Zv*>S}N71D>6q0mi<1J(SZAu@69hJ93GLFt{`6yz} zo;Y>lv@fE8?NqoNJH5*jnl+^do`?w@0gZob4+_BW{4$1AFgCk!D8l4th0W?TzRh8x zZm2;Cik^6|pjc4z1@pG0j*_XUrW2<~`BoLJ0uBvJwj4!Tby=SpDFtvv z+Rb9gza$CLW$6`m-105SeiFXonHWubNAM^&3}BJkbt) zT+hrY4pHS6g;JnaT})b&T3j2UYx@$5%CqgEpaDDhQ=^ZgtBX5zs{Q;Ke}$l2fjYI| zR74P>=?gm=Oi9|l&g@%!qEys&?TNs+y&k&0pu)N< zI8=rfSdqkOG21ocjvR5?TuVFkVO*RRU)mK6yC*Dh+SZ=#YrZzEJTP?InygJzoTMK} zSQIW@Pe+pjVf04K)XkK+f2zRz-qf0lCA2+B;xtu8?gK>AXKB-v&BfeV8X3CT1;#8H zrnFQpqHfBxBB<32Wuw6|mRcAX@&F6f@R=;2lT9wsdgw zlq_MJZK&zDVzn|?#)?W=YPAioiar5btrl0Dyd&VN)i&401}v!6e-s_@TB4-!9WdK> zIVB{^(N02ACUI}LwesakYpxt^uc}=qH9jGiM^By6IZ`=4cZ#5~F`mM327jQr-3zs2 zglhFklgnsYBv+_*7#{uy1f7~+hN{_uPOYUlU{b_X>l1Y0Cn9!hcD8A~*pWN7qhfYD z5l!3S3)QCmlAiH8f4Kue{-aimPOZ4FQz7E$)KZGBcL`&b^+na8xU-!qyOsuprh*_W z1yH%&Qx8z9)DMXX_|g?D&-WX|9Ch1N{m77`@zGN-(#`o7)F&^ZLfRPOz?YAnyHe*B zNG_UIL(FfM!KGd8|7X-`aC4@IH+Nh$w%V9=aq(Blmv-!;e*~KHj4Df1xI=E;<2M;L z1m#@+-g=Y;%>5RKI?g?Duq2R++=-#R%Gm`dJY*ZHB5fxdly|Z&P|Zd!!dj)0UbxSs^58+V;;BrFby`Y1*3S z#`YuB2ZBL6*Cx^@xXkt=2i z>x@N(xt#GoWtvLCHHTI(8Is*zv{wb|0taa)4q>}+U~h6o(V*=5~u zvJKm{IeTtr*f@w}V4=Itimx}@J&Y(36ZK|`J(Y)2uHLLltJ#ANQdoF-;zGTVyShHp z3^=n8e{IFHX#rK{P+Nz2lg3mb$os6qhB&<>Pp(XtaCK_kBU>#NrtBB z`%h4f>4&DM@A32%^%Ii?Dd$J89SbExLjE_{t>sf|$@f0|=cs${^lv}-F}s0GedQm~ ze?b1nH>$sDJ^vGEJ^z8F1qO(_;`=Y*-fH9cZtW%ytp616_VMpmX4Lpf6M#dRQ>QJJ3_=@~5!C<@&-n z+8M$-cmO)V1r9(pe=I5o z(>H8?@O{beSa=dhuH4_K?GTy&K1{P*VUOY$Afj#mQevSUpBI+1VoSZ--!i5cPh32l z9>F?>lbh3{dm!i1=S_0A{#4P@i|+ReSbT9p>(cGz3u*~X-R$1cZs$%9Ur@)*%V*|y z*5x-oD7Mt8AL+S^;F!Et_pe4+e;W@^=dT|ci{I#$<5zArt@xe$mXTB{DWUMqGglWU z^P!9820sS3b&4T-B<4h%Vuk}r-SkW9BhQ)7Q^yQgyQnBYRU6Z-nf^HSUP#)D8Q-KWYn&|5-#6V$^jLfwwDb++3QTN)tEsdB8A5(6FnN|Y{3qydf4}a;elzgs zb_3Dd2?fZK3#|f=2VXirqi(EtEh`_HCc%e}egc>LOLwrcv=V5GGY`&vJ9lik%mbg; zyFm$udC*W=5G`RYgnInWD3dcj2e9PZTWZmRws@zj15pLtpFgG_F z*lv4yr^|4xRoN6uQUkh!^OXTs(F zfZ7nx+(G0_d4@HSAyHZrvw@EO=Wc76u$fO>w@<(1IE;}vvQ#)VF;3O5f>9?|hV_z=xY2u%6z`dA|= zB_3j^JGq$(|N002i{v%l_kxM0n|4$d zq7EN(gL}J2nFQB0-kFzSYiD ztAIar^0?>p;^k9!XHeK!yaCJIT&haPV#?og$tlUD^&%2Ef2Fj3z!$A&_#EkzWT!kY zQ$XUY=qod3?C227oP1zF-f=1I=zX2X9Yi2gEYUPBJ@&WhXp-OS7(=pFCKaTzm+>n zx$iq*>m)Fge`uQa7EqmCD86BQ5Eal$WA9Ma%lRS9G~9q9Cq0(fSa}O{rZ%rx;jh*0 z5o+^30)c_@Y%5Nkf?7%ScX53(jB2ykc6vrEg1!mnuRX6N1geetM175hY@1dgeE3hC zDKJLugjTP}GQ^K|b#aFOJ(X1#?O=hJlUz0#O8+MQf2Mj2T2`XZtXZ_3+xDnKK5fUV zeQy|kVoG-%Kjzdk3zh&+nOW;@y|evSI;tW9P`_tu4STGgwuTV?c3ex@x#TyicQq* zN2&+Be~~ZaCm-K;`s6bTAkI~IO`z(Sajl}&gO=)~Pe#7<2Y)E}=lD5b0%-JRZ?3Og zL47FGiHKY#L2+`uzm&u&Qfd58=rPSOfWtqFqLeZr%g2r$sLBr1!2e`9$wWai1Sr!` zxPZ&Vp=K|h0vUTiGoP*Ou>=lo7qY= ze}U)2sO;nV$ldwDLnpYgozec?%<`^~5_nAKC8y=6Vv8CY)EayG;8)I%K+$N{o&%rW zd6J%n~rOSeu%JVumSy%Qj|z=&FC^O;1wNgU@t zx`$4Q;*9xAcx7C^RMzp}-y-Q1yaqaKf5Jb%7}7C}{Qix^r{^#TF^#4&ubg%iPN}y8 z&xc1e;z@39EwbZMuhdyV)DwYg)xv2Fde@r`e8cU*}2n{@{C(-*WzfiY{NmoQ4h)bW#VrB2(xu zvli|HTJz`zcFS(#1n|q`I0iB!)lo>e#$H#{jSTef4@ya{)vtq|u+#qlHv{={;Bz?$ z@11q{h8dl1pmu!n0QIa)NW`5&e?QM)3R0S0Fhnp(={QPK5=SS$0k&cxpNh)2j9K>m ze@Dgk)BYdB7h!zEraPMspXwmCMBV{edvSUZiMf_^Idf|dZ^*)F@E8nvX13W`;I_4o z7wlUR+|CWhH}pIgh*lq>`25uuAgs7%#nuw#EnzY~3}t?<9uSz^bMgQUf0N1kw#H?S zv*-sGmx@ue=H_AWC2jhrjM@`Pa)Emn`3@wK-1&<7M#Lt`4Tmq)*_lXk*>`K{XcP<& z$AGQ@%_L%hx=<&PGgJV;ODMZ-zY$xa&UM934ACY+u;%0bh4VuO(x-SQtV)!`f3zK`WL@2yA)CZ^`CJLZ`)kw&a&d=&&6J@(aCds)1{1IP zt^Ggd^7yVs64ubmptENRFM+9)#tj>GgO@hRdjuN8rnZTF=(j-9V(=|G!HmoIlMg=s z=r6%swS0=#)}bX6ge^xWOdhTw6uawIW zJo1G8j_|;vprW(82YZLZe3p8M$Lkwy7V184gXA&qDPoiG4bYyUiIS2?gg*5*A$DA< zpm(8vq|5?UP=6P9i{{X9Pb+XZ6X#`*@;h@xeE8&n%QGj~a&m@I=18s#wIT8at2C1e zm@zugMFVD8Md?ESe+8LDIHVbx6ta~pEaXYO_~VV(ZF?Ai$)^|Coox1&7*7l{s-u8I zR{Vi4itEfT#Euicgsc!N20g&CAXA{|t{wD}(HNJ)&!1E9IkWj%Y0u_3s(Y3bZHXMm z&daz^WGlhN&eSii^&N|`F*emB4hXalreq>1HWE9Siy!BgNM!BAaMF}#);?*Jwv;VH8txtpYPI;j8n`oAi^*$Oq z+9}f*mfb*+Hmum5z`b17s5^+{i?=~mS;C5x!@Ud`J64gom-2@2K(r3H1wzo6GT!CL zVr6E`v<)D7e>n^|CyPbi)~JwU z&d!C+eHnq@S++TI3TZB;72x^+3bneL|22>FIXRL!EH-6YpHrFE`fYQe4C(ldG*GY0 zitq7QpySQyyEa&3;vTy(p{l8Cr_(KCT?8$D5Z&4_e}FPjep$@v(VO5Tzp4-&7|w7D za#jY|)=y`ONX*lTwva{%wR1`94IM<)n@fLdlJ23^5R*H`t1Zx=>8@UBp#3t;uh$JE zRC@)9Ezwoi6n8NWMoOKT{fKe`3PH~%#t?@~+ylMZybNnDTQxJdc*TmxR*jfTQqe8@ z$JFD5f1L}kY1ogIp##*J`X}~Mgijq6qm~qj-CVK>b!xO%Ueji5)bF8>L<-Ja9=BiG zk%_O}Ye|J@)a)l8QTg4uD(W0nxadsP`Rf<(+Nr;$?WwCL0=9R--HKP11B(hf;;%mw zpezhtCp(x{HtW{WS&fzmVin~qsXAoF53^#_e`CH6((<5-2o;H9gsGl&&AGJ+EYM7?Nip$;Y9Y0+%aRAKR-G!Ek ze_01EcQ@};xh*hrcbCV@ju6)X?Nj(XuI0WbdL_3>~xe!Rbw?CW^0&$H1#O>X1trj_{xAL1zz>;<$n2;9hL-07D|a z)}?m9fW%`FrxhgqrimX(Z3EQ7Q0feNe<{Wz&dseXu(QY`-s;HpLK!r#JVjl1RoHC3 zHJfCtjnn%I7?N>y)){rVM)n~S@xk74QYT9N;eR3lvZ(NW3lEOe zR|`lK@U4`^W?K#g{I)hOk~^QIVk)c45uZ<@DA$aN`5f?~q>x1snFAg>mtAddf=Y1P zt$G#t*|1pQndM!3W-oSg_1rgeObIt25%jzPT2|Koqp1hYT*r7{=qr}yncM~5c8EEg z@%G!^K^ccL9)H}KfuyxCe}uU`hjP1sfzTueM5cgA$H!FG@jB=Y%$q{2dt+)w#RV|y zt2NNh!fb#G-_h`5SF4PjM1AGPRIJgDXC{soQH}*`L@sc#Pz&K#PRxb_vHA0>LIQ2w zTNmLiVbRtTM|}z$jYT1v%*YglQVMo@d6SrEF8EpSL?a^J(T%u5e{JEckT8v9=peEQ zWhcZ2FclKRmm?;K(Q0qox(ouEae-yjmcIoufdeH@r*Nqzn zwq~femedt{gxV>_e@Fb?`h~bjbTaTNfpE=~PaBdk7vB9|7 zvaYx3nYKK}e-4PtZjTc3$NQ=84C2mCRh>g#mXID_H%c95Qm>)NNC80S5^Ae7Rp}2DQ)S6aq zQ%QdF)N!edEjuvQa!mm;qCa!n)OBZ%7t+|f1SR^x z_sv=dZJa`j8Wsd187P=o&qf&%-sb>W?Qwd0g8bp6(^n@kQ}NJmVaQD58T-{%mXs0d zBcEs~yEgixPk#EN`(P$AFvJQL)ySy?$XoE9wK#F8rCwDc?Je>A|t9!e^L<9x*FFP9=`$!72Ew{`Z&3dj+6VZ zgUj0BL6)fmqu~h8YseIHMI5PTgW2d0p;j_&HTDTe>e1EO6Q&Z~gsruRjV6$b{S7FA ze)$5jaMAkz0#)SF4MW#R>db~-pk40iX+_q8Z2FgGhzaJ+{O~1(>YL8u z+YkfN9Mge?X~e?xDl9Nr6%=UmOvdmhflP60SZqEFidK zMA7tRDcbjMq(+|xBlF1^Vixb>LJ?^Z<$`nym3LaXD`_9SPc&yEVT9hH!*4 ze5t6tr@5}hxTHbd0<372(B@YpV01Af)fe3ozAmOrF`Onee@9^~ zNjlt9qrn?Yt_I{Wvzy4Ziq5f6rn^$G9EVi1ILYBLh)N5X8T6;?n?I@G$e;s;AfB*a7aCl8dR^rrU z9&`&ZB~EQ!*{YbV#3|~Uo3%C0!!j#z!dl~ggb8t?CW?zBCdWm3D~4Rv-U(2U;Ze6~ zo=;;~d$=7g(~+w~DI5c<@Q;y>+nrfs2zEaPcj0*p5=+(gd%(Y^q(p|rf9rc-ai+dk z0Qv3~3v|@)4Qn6ll9<%~=2|M)>b^}UdSkr~h5|NkZ82?7-e9c38rA~Yo0h&f#(WzEaoLmiK@~eD)@MEx9zyFx8Hww%#c{{h1k{^VOM9<%#*z#69zKY5aCg^g2KDO*ajBFTGV#+3)wEalDW zNNA=lW9jI;#wO1ZNZ&ZkQ|#LTRP{UKGDggtA)t7N{3ZQ?vs9~CLU*|+`+w;?kA ztOcJGZMwwBLXE6ee;zm(+e+whJwhGHN1$#wBGKOB7>32;uwpTH3`6I(oHj?pZu+dz zt4zS-;pJ|qI?dx20yPWm1jn-BIR67{Y}`Vf>Mk5QE1+=;(IqFpjZa%B$WYPaXW6Wi z;hNf0J2q!x%PT*_0UxhWT-sb{kJA{+BjWS$*;%v7zGERqe`08?h$wSlHS^1>&#y>s zN%Tf3_x!PdN3H&)YDC1Z;f5_}#GD z3ZI{_ADeYz&!o;(S3`w1=J^Q`n|&oh-u#5(tExpuW`2TCdU(DgA!AGoUyERm%G%KG zu0ujRJwG9}(C`1^j!ziZ4b(djMke^Jd2Jcp#hW^N%Eb&uPtmM7U_jizH>3%l&Kh>q zx6hx2e-NRm&$A-VLb%>gDQw?E=b@zGeG1RIeDOnAF!rD>^J|y4UdemkBpv7c=(P!< zq(sR72FI2BJ8AfL(r~skoV;Aj&}_42)YB+IU`xXf6HCg4xHNn<^#)C{VrjplJqP}R zDE&Se=$&`uNx!eFH-n`-^YM|&>Knv5gx84xe}l_2Cs?}zg?Ihs4kT1~K3_I(!$O7f zz%CyL3>9W2G^${Ik)(qTIte9GYwm%%h@ddk=w{4z!A1E^ADqY}eBn$Xi#W~nZ&=bZ zdp0(;Tj6@B_=nLBk;kwvwmLawGatJ*(E%F*=Zo^4c0$O>LR#bKlkuHfB| zT^~aJIn1+ihih>vaow3K;9aTl_Y|2xfA{dFvKE!W+#Z3F4dtke48VQXb1fR0w}cd5 zAuTm)PXYPS;GKgdHEi;J+lf6Ny=R21%#lT`k=wJ^c3fHN%&G43|7GtzgX7B5E5S&g zNE@owXzr9o8fjPB(N;_-=&NFSW+K=myNjV>RjG>I(#FIFh6RE}stFbwAiG-Hf7lHm z;gPTj@4fflBjLSw3GZ#fC%oVH?t7W}%zZV|?2p-ws2?3sO#o@`yXM^QobMcz%lVd% z_Xv*EslB6{Ild23t)na3{?TxqL1!U2?MOdq@A%wg8LESHIW#a)jcOO2XgzU4w1UpaKmIq}ugtZagjR_sGU8nOelw2L2J;_0H$P9ry zz_?hlk2D&-1DtoxHbm^6%v0^=mV(xkSwE$#LW=KigV2DO83CdvQ(G2rV8hX6S?%f) zVnew}6@^8_@K}A6hvAcPVp`Yan15ZN4YCvRKtB*_L%)j!r6s!|+uiSie^C8Kv;GVow1BP`WqA#(iwdBHv)mpfFF@G%LYAsB^8m~ms zZrFBSI&`RD=QNkB*9%ase}zN6+IEC{`Nrkdsf^u5ST>uI%V)I_7I(Mr@_)D=@Nz13 z*zCqa?fQ#zZ{Ed|y9D?qSzK}Wr|ee0{NBhy8Rd|GP4q*<1BX0TGhbIg@Q93~na_V{ z;FM=xv2Z5<^UdS_QiS7q@kUDp6q{1)=c_l@7v;=WyzI;7LjiNg zFEOVtP-yl=vY9zeW#nQ{u14R)>W?YQK51~?g8zA=?sgPY>t1I4q zNGtS^f|@p(6?$cbr$%n+^6D-R>y&XdZ5-RMuBfX7*Ge_B!|BLae;2^ves~w`uCCUJ(Ctg- zkv$p}s(op#w>M12EF{Hdb#8Jwofml?wu_J*NFyo5V@O8*4v2B{G^(gG0E!=rmb2zy zJHFGaZ^2Rha;TbGyozrN(<+e-KPg@Do^b259P0SWH#99x%TY}|*%wva3}^GbJyR!S z39V-exJuLef5{o$Jgv@|yKqG5r~scE!(Ll1a$1cne>x^h@IxIsiFKMIHKG~kXwanr z>Ai3lI)*gC7T7aV~${njCC z<`Uan$#mi+2jsm2IQ`VMBN*6+jCaBPc?q$9mmJlVQ^&psf{TkGqJqTxu z?kP%Yo59gowVTe^x7O#$dof3Pi|^*JR_8FLY;t-tX`e ze5F!)0Q^gK{>dWmFK*XtiP`f0ob9zxxwUNU;y0R#JVNe)ww0YgWQuRnS%ZmNoxeI4 zo2Wnt^7o?l8zgL^>@+*)*093 zOFX7yc}`*{|?|61HEJPNmlHnUZDG-Yn4sgs?%M z9u60=7?`m0j36~BJRV!^IuRXG@Vu6TPm1D^6HzPQVLKc z9j#y3Ig~KO!lTiBn&K6Y-b%Yh9-B)dGZ)T^e8WREu!kCDw0H^G@} z?<@_#!;5+5dTEn_rG`x^&7Yxo7?CR1ZjYk@AyehL>*rN(gV3{%4rLn!Bq|%1slH+1g`9rme-}PFKMr%i8^ASibe6}dBK1h~@=;P%q;ZYArAh?(*X>=` z$Tz1OT1B27K`wtz*Le23PZAK&qVYV6FBy{{l$Zyu*X}%o64R*P)WEU~w&DP7K$5>e zQcSQt$rSFbrg)0kLdwFt>1Z`lq&N^XR;geL5|>LV#+7WaGCU-w!aCv?|*=6e~qt*h@E%( z^-WNC=o+K6aD&m>m0%g2Q(IU$2p2HefJf&;@`~!m;kW(=P`9S(7vlfBX%Q_(|`AgMCw~4O_fc9pJYn=O_tZ%oQ1x)S~Rr z%vC?GVc|J|(8cL?A+z*&>@^q;gL%Hc2g)OAmlV{J;B-)-zkkd;Z*G9F`PxiAy|8y& zh$mUoi+HZ-k?A(HO5J|-`IrEE9dn_qG$Bw9y>IC?vC!ZYz$$Ashx9KIAMhqHB{rSQ z&7bEk7zt^uf)O9z-vP~C5hhmjUiQU=3$->>T2rzL7fMY*ZwdhDn3 zti8iMAxlK9n|*OiN+|B6i`W*&Yr4gRo35ShckkZ;kAI4t7<1R`LBWLO_Lkq(cRV8s93kAvaEq333+SU((cX+**7HL4wnfD?S{0H)81`kz1(;?uu?L~s@6L>1g5`RYOgs{6Lyi>mX<^#W<(S>N%AAM*N z%DRQ@zo2551A**Me)h*Q@dJ2&Lg~kT)T#Xlp?_F9HP&ph;->@6Q6wLMpYn5OJBW~V z+&A1TQUJz*i*XfQzLwbSeh-WPKOdK4s8?;yLM zZhwN)#M&jAk}cDJIzUAM?e|38OTl}}NKevAaYU3+P zIhwI=gQUQ;7%^S_R@0a~s{V+jehV7AnuVe`;!B$=wKHu=1uDAIZFBOZkHvKo7tZL( z*iLJxM)>&Ushp{Fo61l2XDHpK*3#QpL4O!~8^=TsF-7UNG`e;uF)O8TGlp;e@twWx z+jov&^KfS!Kdg}&xPqlZtFF&1fveq3)ytR?IBmL1u!tdnORR43VBg)MIBA|}4q1y|^V7JPpcT|cTs;`{B4p??() zGrC*Yow}h+YF3jFwOF^qCJ)Yhh=bPt6czijkmOlVDMl*dwucIW#b(_Yxi0W`Nei5E z<1v+T^&xwOa>SjZp|$FSdFRMJC|whxq;eV4x(yLZ5LXa9mx>g`?c}tLs)%rMawY2z zSG^>3S=`D_QH7Evi(3l`a#oYb5Pz?bTP9oSxU-HU8RQ<=t*j{!qIGd`ZGN#lV(q`c zt9hWo6lmfQ${Afsv@*6(u6VpO6GwAaq1;N{`A^Y0Ip5Y;A1UJIHmYlnhw5FY*Ux@y zPqu8nb+iXPrz0m^0=kL1s7h)plJ2-+UCt8F^`joQf(I1O^gs_Qwk?0ayhoan zOFP$h+2MgKkKY8D`ay$|b$?BEnFwxDqqKAJF10Djk67BdoZ{#X9$Py%y)p;lG!bD&R!eULRu9jp z=Tg-5C*(SFZ0NUue#>tXK22h9zI$s2YC0=gS-C8P*hIHmx{RoBY+jA&k^9*#;I`%* zi&S{t1M8Ez69Gy?SAXl`dBIgMX)W+i79lir!=?%!nPtJ7#Ua?ksjD97lQ(F1QdDB5)jT@*O@szU*K89c8fVa zLn;ClK#YPfB5m%KzXjxx8S9|RXKPJmK0eLC>lpa!8d@piRDbJ5d!&a*&F2~1gLWgw ziwTvC zAJ9}NK#Kfg(|`M*Ga0qg)|S)ymP6y`ySJxByNriv`pOh&jbD7y-~!ytnZOj^LWc95 zj}(-X11jzg)^kFI)cT(PLe97xDfzput*)?0C@hk{tkjHO<*vqRke9d z!m+^SL)D7eYh+ph{gueFN0ZGlXx6t~)?dXPO*r!7+&=#ie z|7#*+n<5W1wjBLETOD|QSe6C(^LLKFdqWtxS7}$ z$y3n^&jDfCMyPEkP!GP0u#b~CSy+Q?aJdckwDVPv<)&AvV;Y)%!L(zZFB&o+?3nBP z!mD`9qV~v9mb1`W)YjC7ZlRo&Cl*6btu0+<@nK}%cmw`SsNzGNSJHoCYY&H`=Ef8# z?SJ8X_w_X_=aR!n4#a+Btec#sKlB)@C>L<*4}FevL#5PWeAhwDoRU(vAa03A{w@t> zRia1eRfdX?e^T%l*mkQ}m2=t|PbTTB1Ptfl8Rr&HzD0KES#l7iX3Gv&&$F=)XdwjR z&%0}6=Cg_X&eIz?(a2uJdmv)@qzNzDvwsMKf`RVuIhBY$UZ=-0gc5N+zqHcgS!Y!u z8irf4c^u@!*2&x^Qc`vstm>29psg!8(l~O~6_gY~#6Zk$?8`OAE)A>L?8Wu`IR=o; zB`$0$%CGB=lM@$3_-cgy8+(D=nTTWwO4&H&5ptkr`0$QsR+@MWX=Bz_%D99sZGSv) zpVLzPPs#OjdK7uAL`xfW$!mG|X{K_-&)c`poSdND5g6||{uzaMDVmOsg%Vzd;&H>H z=_97#vCc1bRK#VstIKZhk}(*Z#hoOLiXnNt^quZjn}zdKFz~m)Gr5fjjiVIVaD15M zF~2895JAR^;wwHy5See(Hn9qegnybaHPG3EzR2)4gKGE8cnLx#8KOVS5?U{M_&iC! z&e;}Nt6A_MjjkQzGQf@L)OJ$Ul#SVbMoP5_e{=7?rA4Wgwwm`Ti&v96D#At;7f4pc z_KraC43Ckb8UINestU6%t^Y*DR$*o}uN)(FiXVfjJ)eH0p8g&sfoDQSe8+ve&Ky>|t>NkpH82PjF>ZMQG0 z9tYtprDjpS`=275YBL8igDlX&qSOqJXzhmkJ-J!qx4W^R#gEY9&AfBJLOx0KZ5CH( z1yrKXGwv#ZZxLkrUq>ACpnsJwMO+y=IQ3s~1j5!#>RC$ z(&9AQJ^X;r>0`Rn-p5hpyA2`&GPZfFtr0_Xen!t?mQ!h7v-cj&seg2GXsZU{RN9em zh-dBW1mqa?Dca?@7u^F>t7A(Nq|S0_e(y+*5^mlA3!UjV&@#*Ljz*0DCA0Ld9mqg< zksh8k7fTT`%T$kOLe(coxCn*s(&u)x9dE`2-W34j&r7rq@)X6!%jrjT^fHACw!e8#f79R&}z); zrL7@L=O$5{IKS3K^O*w8LVZWFj7y-Ibx@<_@SD8>a{5O$xotj+uDXX+RBpVevnB%G z=*g22`#^JxyXz>6&-}T|9R&@7K+R^uRbP#mF4{EJ`G&*d6o0%w;t1_H|X~RZ4mO#Ra0NB4MN^IZ!o#4a4O#ZH(+PQ&;Ka9%;D5004ZMldsyJxB_V@=&)re@z0ZZ3KfyjE?U9i9IQ^04-J-rr9=W?1_ zp~^!)uB6L7O?E+%^4iqdw!~SOIkyXG?ia;Bq z-A7eyx#vm#_>_z(_na*n%%s~cwjXDfNI!whv#jKfOn>~F_s^W3Iew6N$1IH?|K-C5 zp)5sc`8mUp=YL$g@GsLy{HS#JJy1FT#w@W49IAX=666Sh!*Zoty$xN-kd^OWDr6`b z7X0V%Dy#y}OZhDs<_yjPLw}BxH&nWm%}ZJGhRw#7VL8j5CnRCKM2ONiY;N?#Q01s@ zwO+Aunt#Bdy*#%^$)R0{k7-;)>b`G+y~GlK6}tE2HdtG`{3%^%;FnqxkFeR9buH*s z&=mzu4O#3EHeyc;zg&-&S`-C?^YJHQrlMeN>C(th6zGg@?Q*7~VBfjeUC2-r_~ix! zadg<;06s3JT{%-CUG;j?ZDSBGDzk zM8d_WSI?a8a=6iy4(C+!Sd4ncKy;uI#i(x@3K!&TMty2cz+>9 zKVJH|C^3svkLu2=`|o(vxEfQn_@2rn)vsr}1Z;LZ&NnAq&LYA`5;c_)4kCPFsy2p) zpu2sx3m4#1w+uRdD|+$l)oTz_S?(ocGT}$zW8Nwj6CULq@6S$a-yQbS&?DJ3I~^+= zyzX7llHj|n;-b9k#}-0iRf-sH(0^538j0*6z5y~lTY432zFWVQmdRqs36AmF%efaR z*SQB=nlrX!D8BnFusTe|!FT7D&uXLyzPo3~kjRlce-jLP>8jL78oQw@2u))*ns%mW zjR?!vo)tn1VcoR3n<8xnpvm9Jz1Z0Z01HLRNb;LE?nCq<=4Or=q)6RK_=HG{i-XWPGbv*IWfC0(WlPrG#PU(leB~ zC}uFV^IdcKJQ`EGx8#y3`+p&(wtdfQRE1z_=R5bJkQL{RyZiGH?CaEFPK27qzLsRqy7H{*B& z`^~W;XD@0?7DQ~0bFN?}RmkKxwcEX?GAqZqRiVv8ah%b+GnE2{l}deV)hLfmXJ#gp zU&>H)=5hF76kc_;@_&|dUDY3O(w4PHSM7Mij)k@iEzA>>{Nzq#mnV0wYR2l!nvg+6 zy7{C;t1U$2j&IeZ65)U1;~PLBBE9PHm~|xSxE$BwBSv*8^=HQ&sX1B4l{Mq46u~;S z9tEaL*{tK?gLRyCX)z)f|zC~TG)ziITlXc95_KvQ7CjsSdUKPxl)R@7@3LkBoq{E z?rU&k-&29&zFeBZ4H7o@<e8u}8LayOFwa(-`Y2LBI&( zU`2}!i}KoQjXmPCC@!s6=cSZMaW%AT)!>H>lYin`>>HAh3|EL@F;RwQvd#_dBVs0P zRqq`;$4OiH8S@)Cs!lgR_+q)9&q-SaUpbf3Xse^_QX>x)LpRh~BVuz@6MMbpx0A5~G@#&UIE1)2U*nbEVDA98Jon|*X{U8QJGs|CxwK87XIb%07KIG`q^`k;GEsX zXU1`rhMsD1%Mv_}t9{=6zfjY-!hf`GA$&@C{c`HyNJKA=pSi6L@!4ry73&RyLUXZu zq~GAnr+y?n6`c^wrMfJ**l@;iMNKS(LTgiM9GAwlAZ69AHB-S`0#=>%+$B9eGPp<61Z8E2IT?6&PyfMAqQ0ZG)eSgdW^At4~{Fl{}0yiJeMR zaF?sDF$9K>n{zMm&+wb?oPTWJI==fy(CW6o%ZT;bsNOf&Fk-zf4?Dtz%vi4wovyH> zEAY&g(^I-GHWRAk|NNA$!igv{Zx+38>^Xjm_<**z5G#4VY4o@3t6ahG zwx61ImCIf#zJMvbdgy7W`9MbZU(67* zyIK`_9oS9qIS^X2zkgP8jhB2@_SebwQn;AR{&L%IZbaFwEO~~y^C;P0qsy7m(CQOr ze@*)(wMdxZU!EJ+>jFgh*T#8iyPQPfSLYY!LK55-Ww#{J5o|+rhe1Wdqdxu~Lu_l6 z*7Dq85iWQvwsQ;O7x%aj}#$MqW5LNu$+bxtAkG4XuB#o$mFg%H8{{GW`oG|!>Uj| z3Pcul^yUhfNHH?8;7~;dxB8?WbnvNA@x0g2s-Qr{MN`g(iVhXSYsQCd*if-Z#J1wbAQ>GddL8b!$!IXK#rLpCO@oR3ODQe_3QXJ|@YJKY#=!i>A~g#p zRyJwVB!4t87%}A%p|XO(oSLZy>sUHIC&qGXzN248hlsKH^P8;BzmUU$RTT;%Y75#D z<#Z4@+*WcWWPrfM%jjt_69jg3^`_a71XiYNezXl51qPWei*crkM1d_S8~=a;fs4IC zM>0AH?3$cxLiCaKmevLHC?L@JBrZ@y2Z3=_Gk@9tf~Z!*^>n(70`#&LLe{N&p#${( z&?QdM9n+&i)*{qw@HeyU>Mv!0zph-*S~&^+GRF6a>}m2r!hLJve0)zzMT8oCk)F>& zg!4XqS%?aLKuuyi-48G4+)#qwMHsj)FK_H2D$=#qI4noOx~-nfTrnNirH&7}n633j z`hOP^D6piUR8<&#Rl17Fbu1*VM&h!n)0t z#2^U*)-8oL#Vbiz7ZN_~#fwQl?c&)`6KB2 z5%m24==;kbnl)D7*OcN?Aff=jom%ZQekNK`UVm?zBMRiDwjJ!KDIl-w@Gx9J19|0{ z-hC=2$O|hS2~bi&Ub5%Nfq)6}G@W%jII~Ryd6{wTZ#m#xNkL14k_zYcJ@e|U3V-Lp zzNJk863)dZ&mXF3aIPV;DOSM7Yaacz^9mGR>vQf*U{&@^t%Ij(60hxC^Ey5onNwnJ>(g9j& zOHHbX3DBxiuENA9fR^ErTn!t*&2Q}VPaw&)JWxyrZRbmiZhQu4%Q?}NN|>Onw0zf3 zK!UcjS&vH&&=!1|l}GV3o;EC`Dp06xMcX_lqNBFaYS#)F_GG>sQ8pv;f)v#rvaMzV zJ57dXg@OX?s$FX@WprTY<$txhDE{XIyS`pcu?+>-B}_MV@MtJ*BqktP!A5Z#T2~^( zj)LNxy@L8=B#LX@j*6j~884qK1xZ+dEzWCw2w`BHR}kDouhVUwhZhOhg}C^HYa^-< z*E(`C#6uxAeT!cboW17h0^w!POfO-;W5sdaqsMh}636viM;H`V9DmomG#t$?&t)6+ zndb6b{8m@J7=h!4;^Oio6dV`Tmk}*ngkkqHE&3b+muyWc&8l?`+?F;9-Gxh@XBqC6pBlk$?9ixcd>@ z{Q$W8iyxRYR%|!5x;ZGOV7uJRv_^Ub&(Ciu%?1VT!b=XrgcNYsoE{Xarh&Vbz_@B5 z6WqDX`IL*P;I3d=+X~6pw{|zM)$|Tz>kB&NOtcr);4#l*mVfjb0|Hj19B{9BqR~rC zg?sChfjd^Xm$|UCAxFc#k?|`!+}mICpG9!I{`G5tNVsc~#?g147F1c)b$>h_T z+BvIacNkilCVyu^z?z|!G#Lv5_QvOy5Xr09)5B)!Yfzk?(Id2g!kwkVI7GQ_EVbs0 z4hjPdXC}276h@^5H1p{2FRg5EffY~@le=&wp~AoI-57TUi<{tHoXIH98OjYYQX2dl z^ec&=Hz;@&%|r_+@UOG;paup1%1&0>r7ZY&J-Smar+?u*-E>#C+KTgHFWQ#StryzH2gaU@q;aOj<=qGP&?@-A-$1JhOO$}U7qOqV$4yKFARxf~ApsnM9OeKy8R zWyN#{`mQ($3e!ck?$q+>m~OAYv?FH&HlO5xW<2V`4A^2$T5f;zXEI<$e%7@?0>3)X z+6wx5wu?Dw1WI?>{6h9(af&uY5 zdg5CID2S&$*f|x_!Q6I1YZ0FWb6w5a1)dem1%FNyo5U7q^^DjSB0L#pX4mT&Q4%S6T~#6!o+{HXpW0CH zRD9#!D!o{?lf2$%LxgsyZ-C$S_#jSpG8@U8 zbYAxO(LlnTy`1#_L`OSu>648DBE4&Hd2Clqq<8tv`4@@E^sbtQ%?peBdDr1;j+ljF zHhVXGc;TH zH*JiG*bu0=d3K$v5%+pqSA}CgOM`6Xb^EtOP*+Xt;F^MogW8*i8%1PL*IZ4?o{Wl# z*4vw#)z+Y{sK72yDIE`um-rp287-UR-m!%W8Y0q1L?loVQGJ+a1j?G$H-BUYS3lDc z(Q%^dCuCAr+g_EYb)L0hFl(hZftK`j zb>iGDC&5*FZ&RAWilCO_R(n;}q^}{b0uvHYwFG-kTUPfy5V4h+%H_S85q}-gqGSWD z?k&$885#W5yWUh!4gRVsbZ=BL+Qb@)E-wX$F0kIgETfo$p62H|jRI?<*6~m?RGcAN zsZy7d%IKA|p5=pm8*1=Zp-b>qwOA(RyfQGfMgQR?>x75z^rO8u|gbH)m$$`1T4sY0`@nb0T^ttPe8 zIKQYuVW`^u3uhh$L*sS zU=Iq6?OtQw$Pp|r`+v5nIZ9Ho#cO&6wIo&M7Iv<*0I=OfZ5!U6jA%R16h5F;q|UlJ zD&ZM!8AYI-*$9%eL0W54mj)i=c8+$pZ`s*?4}wp_3q;7)gyEoVma5F%xKIPDQ19P3 zy?pT+RK=Vh^T?9bK=9QljkVO})a}Enj-%5pTl{VgVhT-#0)I*o%T;?+!e~*@w^kG* zidbQ$!c&DA%b7GYYurVwzLVfV6|;!tmAJgdj-?rE>(H`!%!3QVsMu1nI(C`9QnK-y zre|(YNGn;nc@J$1%_XbGwKh*Ry<}D4T9w9vYR=Px14=49o7wUVQ_@RTTb^5q(2MAs z7jMDxw#idT$A4=x0W&cITK&o^p!15}g)rK_YNVpI@Pxf!1p=+5q$l;WjVpXs+^eLt z`c;Q_pgZiFg%b&zM}bj=6}V3_Sz-SG{1TjZ?e9VxWjJk`W~TMg|8fceUfm~(q)*_PL4AuCT^XR3p@ZIV~iFIVY0cmAvXFN7! ztI0_$r;?e;&B@hp0I_rLw_xkCK*y&S!mbbU!g&AuLRi@Pbh(JK6MO@%BFZ+D6mXZ9 zuvsIeL4UTo)=0gCT^fsA%8gYrN@E`0YX|1u^^UNDQZW_4?YH(<3t0f}q+(SgV1u@+ z{4xW*5*E==Or-dIgB@02KKa^!=s{RW=rsuub+O+5IwK!d7t3Apo4271$V;%A?0L+f zJ+s)C4v|AD3}T{HxFk{?HFv}INJa29cVrs zP9l8QoNf}kb?8vlv7Ahs^#v-suBzCp|ClBF`vYVDN3rOipyrVkh5DLI-YP^%&v|n!6Po%x`Si;tk8B_P zJ#??KeR}_I9(@j)T~f44>kl8|o#s#O+kZa&>i*|ngS@^~4>{eSbvJ#~Pf4#2Ho1Gp ziI85bhA5K4i{S=>N(dvB|Fh#Vb+C8&-iH8P~33WB) z4M98$%8L``T5hwpMUxod!isJccK_d?cUitytRFUjoF)bH^9cUF^$7$ z?lvg)Xd58L0DF1+J0N4AJxM}$xjk%gP8YLXZWl|Y!XeK`Oil88K6(80*MFcaDW?L4 zoIAY3W`nKWv$yZwJK94tQfwy829Ev(y!ANR!Z*E*{hO(^eE<#@`C|Adefa3X7Y`pj z1S?wwyU+~AJQuK0@p5-gCMtf|IK2ZNtzn*4gIqYdwZrZEumA-w2DUGsy?%!qH-P!V zf^rTg-lqOWFP^37J+M_30e^Azldm7#|K-<@KxTh_B+HsNCb=NrYLX1cE6m5|P|dXF zjo;C^F|B#`(*uqLT-Lmo;}>pF>Iz5XHgFCzc#6o-JqP<&Z}E{lO&P9%0!cVG?h&y= zH^5R{N(UbwoF`Aee)JhQig2ETMZzaPfB5Owpu?qdkk9n|J#CrTwSO_c+k<;xuSyp$ zVR!&ncSOb@!$)s{G^55FHZoz4|32_-9KC=9xx*_vY%|86S7UlgHQa<~ljT!v&L&@Y zxl8EW!ocdqJT$0$WF( z8_PA=&ugJrh2$YZMt>(q)Ld4>fuke!M9~W$ZP3x(^2e5;zHJ`rIlr(4 z^N!$tNPN$qVf*xhPi>!k2^NBfumR>UE?ya0$b(15n7qQR~^eT`UiU>`NTbhnI;GFwhBG9JSGGY8x+!rs=-9_sRX3Vi+zoaWdf z0jCAmwLHe2g}v2RkM2JN>%+chu;BjW;iLP%1gHJ=!EC$cb6sz-m~wc2Z;nAP3N6`# zwmHN>bkp`7h8AFjyJ=*1Swv)*+d4SeIpQ`y*dq+MjDO9>%fBi(4e>L=*3tI)O>i*S z`x#e^^ZMCTu>>!HkkA4<)`>m;>HW_>1AeahJ)~3L9S~PAGY6|Q#EJA0O!(F$aTrBk z=N~NKdhvfn9iuOvJbC=o_U65x0Yk9qukk5G`RV=7ehCt0hH^x-69?K$*X>>O!orTj zbLvA0oqtT6Q`20hC&o%@k&bA$L3j9If(+q09kkM@k#M+98{&>PRg{|3@>Yaa&2pVC zSifFU(Ojn&wU=&Er0aCAZem7AuUZ}ICzl~|d-C{8+b0hmJ^m7`AEpdJEAZ#o!ZI)E zH*f90WOC{`toEB{{Y(6jgBHK2AqW*-y?Bq$j(=zGo$NtH!d9S+h<~!h4ohre07H_| z6&bH~7hKdg`-seY!Q+S6UQiJi6E312*Y~hj*hxI`hZQXch%sKhdHL!aY^R;s404v! z?XffT)lE|CA|W?KV!0;*>^E|lh4;pt$_DN!3GwtnpO%2HMrREQw#@{qm^m{yNn5p5k_*+bKW7vDql z0S3BKtBEfM&)83aG5SaYPhwojLxhxLIDdQ1I0bPFc7=Ljhwaq(-`YP1Qw0I#a+X#2 z_EBmfpUV+^I%6$SWjV9%n$N7>isMR_iy{F(H(j;fYF3T2u5orm!h{(&v12#BoR9Jk zUkf-($7`}Oa_9X5!@~tR4gZyGDIOCRvjMAk*jRzxfKVgCU%a6@c|$Pv;79dwp1r_IgmH|Ycx^{aK`tjC-wf!?k>@9kY?Rg5z z72`H>#qiHQh+)NlryBw?W!97X>(3rN`qCC#s-Wm}#u=*qEhiSfOyVxri-i_cc^6#G z>7!tQ2w%P5zp`@zZe{u=3Cl%2^LnHg7CniJ86NFanSQ+_fZnx^&+r2dlz(n^e$1-7 z_eJl|OKG}^tAiaK-V7_pP@LVX=WpTdAt~bGE#m?F{L$ywo(nRv&Mr8N$?t;J0E4@d z%a`4^+p|s@=t0N(AgFSnDxGB21mxbG)ec-nO z{HIjb=L~-VPSC83>Ik@HnXkT&{{9i@TyYyjI$PcY9zmIjZ~%zw`s?qWJqL&Wr9`qE z(@Fj+^<=|_L%`CsUmGWY0Sa^{`AQGXzqj5E;dpg5mCjkOw6{U)UVq%N3Icf?MuElD zf~Q>8^VR9bD9G`Ur>8Am`URI0C-HI%$iD-kFS1i4NQ3&6>!=A>%P?P6@x#PV{nj4a?OwgJ#o&nTa|~A665k$fZ9P62r79vj z*bFMLS+=yVC$87#nN1!pNy$~?P%QN1{#Oq^#V)zahUfw@W3dq^0POi(7QyYnyf#6D zyZeO9e3-*M$`LfD&JGHh{`|wcMT2U*a&C`T=Exf&yyIht(0}%|J%~KWUK23D`Tfrx z|KjsU4?#zy;es{s33vOg)VwTsWSZyqllxDxPwKgul8v;G{1^-*9GL{xd--qidwIa* zXf&KKPab~#=>7vxn>xJ8vjntSO{#Npqkn4I9qz)(>+2g(M$gv70I0jBpdH>c;3}se zF6_N77skWHTz@eFlc}}k$fN!i=yWlT!H{3-DfHE&r@(aL@gJC=;U;iPI4xA*h9hhs zfBV+Yz=HFb7T2h-LJ3Zb5B`wJSY~CXjpHR4R3^b;X}rXOweI305`E&+3o9oCL_YTDN zFXoHrSER?*D^gxWTAHiFL2xl?Vvr;qR~GvkhV#V=fpU%(EDC%=01 z`NJ>1!q&Wd;m8t?&M*(AN7Rd~^M}kt_`9ExW0|s;6l`7i_zC9-dNKyCqzn<^Y*|jH zoJLE>*X!C7jOBMOf@Ik|ZWGQpRBb4859z zd&oF~^2>-q%M!7-#SU}OQxJHjva$rk9{c#|llz}zGcTs_!dqh9fPaqd{-0u-%HKWu z3V&o*?CTX2O5f{f_d;ozO!r=bslL2%1vA4UsJm}eY+0lC@bx%wk(O+O&;rDJ)aBYx zcj2eD4=o8jkQJpB?}_+?KhEf>S5*xIc2s!J2o@-fPeN^4