From 7fd504f077c73e2fc887a455212ef0bb63af9139 Mon Sep 17 00:00:00 2001 From: waleed-lm Date: Mon, 26 Oct 2020 13:17:05 +0500 Subject: [PATCH] IMC DONE --- el2_ifu_mem_ctl.fir | 2 +- el2_ifu_mem_ctl.v | 2 +- src/main/scala/ifu/el2_ifu_mem_ctl.scala | 2 +- .../classes/ifu/el2_ifu_mem_ctl.class | Bin 220560 -> 220562 bytes 4 files changed, 3 insertions(+), 3 deletions(-) diff --git a/el2_ifu_mem_ctl.fir b/el2_ifu_mem_ctl.fir index 780508d1..3e337655 100644 --- a/el2_ifu_mem_ctl.fir +++ b/el2_ifu_mem_ctl.fir @@ -2982,7 +2982,7 @@ circuit el2_ifu_mem_ctl : node _T_2116 = mux(_T_2113, ic_byp_data_only_pre_new, _T_2115) @[el2_ifu_mem_ctl.scala 444:30] ic_byp_data_only_new <= _T_2116 @[el2_ifu_mem_ctl.scala 444:24] node _T_2117 = bits(imb_ff, 5, 5) @[el2_ifu_mem_ctl.scala 446:27] - node _T_2118 = bits(ifu_fetch_addr_int_f, 6, 6) @[el2_ifu_mem_ctl.scala 446:75] + node _T_2118 = bits(ifu_fetch_addr_int_f, 5, 5) @[el2_ifu_mem_ctl.scala 446:75] node miss_wrap_f = neq(_T_2117, _T_2118) @[el2_ifu_mem_ctl.scala 446:51] node _T_2119 = bits(byp_fetch_index, 4, 2) @[el2_ifu_mem_ctl.scala 447:102] node _T_2120 = eq(_T_2119, UInt<1>("h00")) @[el2_ifu_mem_ctl.scala 447:127] diff --git a/el2_ifu_mem_ctl.v b/el2_ifu_mem_ctl.v index 7c84c815..2233c7bf 100644 --- a/el2_ifu_mem_ctl.v +++ b/el2_ifu_mem_ctl.v @@ -829,7 +829,7 @@ module el2_ifu_mem_ctl( wire crit_byp_hit_f = miss_buff_hit_unq_f & _T_2250; // @[el2_ifu_mem_ctl.scala 458:41] wire _T_2233 = miss_state == 3'h6; // @[el2_ifu_mem_ctl.scala 455:30] reg [30:0] imb_ff; // @[el2_ifu_mem_ctl.scala 311:20] - wire miss_wrap_f = imb_ff[5] != ifu_fetch_addr_int_f[6]; // @[el2_ifu_mem_ctl.scala 446:51] + wire miss_wrap_f = imb_ff[5] != ifu_fetch_addr_int_f[5]; // @[el2_ifu_mem_ctl.scala 446:51] wire _T_2234 = ~miss_wrap_f; // @[el2_ifu_mem_ctl.scala 455:68] wire _T_2235 = miss_buff_hit_unq_f & _T_2234; // @[el2_ifu_mem_ctl.scala 455:66] wire stream_hit_f = _T_2233 & _T_2235; // @[el2_ifu_mem_ctl.scala 455:43] diff --git a/src/main/scala/ifu/el2_ifu_mem_ctl.scala b/src/main/scala/ifu/el2_ifu_mem_ctl.scala index 2cb56da2..84129980 100644 --- a/src/main/scala/ifu/el2_ifu_mem_ctl.scala +++ b/src/main/scala/ifu/el2_ifu_mem_ctl.scala @@ -443,7 +443,7 @@ class el2_ifu_mem_ctl extends Module with el2_lib { ic_byp_data_only_new := Mux(!ifu_fetch_addr_int_f(0).asBool(),ic_byp_data_only_pre_new,Cat(0.U(16.W),ic_byp_data_only_pre_new(79,16))) - val miss_wrap_f = imb_ff(ICACHE_TAG_INDEX_LO-1) =/= ifu_fetch_addr_int_f(ICACHE_TAG_INDEX_LO) + val miss_wrap_f = imb_ff(ICACHE_TAG_INDEX_LO-1) =/= ifu_fetch_addr_int_f(ICACHE_TAG_INDEX_LO-1) val ic_miss_buff_data_valid_bypass_index = Mux1H((0 until ICACHE_NUM_BEATS).map(i=>(byp_fetch_index(ICACHE_BEAT_ADDR_HI-1,2)===i.U).asBool->ic_miss_buff_data_valid(i))) val ic_miss_buff_data_valid_inc_bypass_index = Mux1H((0 until ICACHE_NUM_BEATS).map(i=>(byp_fetch_index_inc===i.U).asBool->ic_miss_buff_data_valid(i))) val miss_buff_hit_unq_f = (ic_miss_buff_data_valid_bypass_index & !byp_fetch_index(1) & !byp_fetch_index(0)) | diff --git a/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class b/target/scala-2.12/classes/ifu/el2_ifu_mem_ctl.class index 3df81c6e974c3ef2cf24fbe5b3d0bb008bcf3f08..5d586fad79c739a5d88fba81f71d188221f2349d 100644 GIT binary patch delta 1473 zcmWN_d0fnS9LMqbzCVS~I+jI_#X53q6crW9&AQiGSK7sjN+#3HL^Z$Z&?QQjl9|!S zm7_y7Wg10A*QO>-Dy1Ct$RZ(U*!koAc)lO6NVRd4+E^OMGNCr@JCMg4&a>h1+T^NY zQ>gdAV7E#d0jmUdVPtj$_T9E7&8>vxv2LzGqK_mh7p}g*_80 zSer1LwF?W`3t<)O5;n1J;dAy$_)*_`*f)_Gc%uDaA|)Uyhk@uZ3`LzV67^t^*a*YL z!(bpDiLv6<7$@dqyf_TMi1RQ(tiwdF^_b)pgvnlNn0kH1ltUKarg{&>H1ETh?wyKX zed1x}Q-+y7FJbPx92UOinC1Hovn8gmlpKPUL_Ojp)X{$N;!R zax%D{@PJ#?Fzk=gz&+{>4n+3{KYA-XqA%iLbO}6T@x@;xb4Q!y!#l=Z_A*-8Y-d=M;aM~Ivu zR6YY?oO}bq<$*XRm*cp+0uk~~L@Gw(gu)(C3U@>+P9R26i`X*)#3h9zHmMpXmAw$J zbVh>mAQF|Aaawr~va@3#KP!VGc@)khdm<^h0?KnjoIUp%$>)#Z+=Zz)f58bCF7Cv| zi|=vi(g>tnas+odWfHEWY{u1;FkDN?Lu$$^q@{Et?Q#avuh=2u${oG0asBFHWL!-~ z<~1{1zqT1!*B&D?wLh{`OOTcN2I*-dk(Raus`OdNPIp9Fx)3?(Dag$*#Er~zP-oUb zlhux!*(Z>nU9R^F3RJx~+)@qJpP?vJtwfP36UC~0+)K&So_b?RMuy-}~$ph5i|jd_-M zm}ifsyj6PF;!$1~TJpFav}*dGMbi&YG?wVlB;vUy2d^}_`aMObrc3WTyuE3KcQ@yw zJAVV-7i6Qm;3GcVw!+6k2Ye_Dz^9^H_*^W&r{XMpxtoKpB|Gq~WUCsc)5t`c<7G0HT77eh029W=9UFqzlclEs55#LcSzfh_7L(d_yxvaIhStA_1l-B3hx z8s5{~#%bixIGt=7JIMCo3$ke%Mt036WZS%i<~>?Yc8>%!zePmzT9Rl%YckDmy+`(s zwY1>zS8{mDBm1Y*XyG$6a(K3#7PXns;x<=W(kA2i4{+o0c-g$c23t=W&7sRouF9H2 HjT8O{N=sL9 delta 1494 zcmWN_d0fnS9LMqbzCUS|&5=hd$L?C2RB~2Sk`7_rYw-|km))fzqnf6PYJQa{g_v}0 zrw$a|X{u?uqZy%_3gxKQ87om%cK&!jp6|y?BGWo6)AA2ug^(F&P8j9s@+^70zIbV! z0c88N^40TX>IIII)e9%F@@N)@>Cu%Rn3hx;4i?LbqiuCbDs?j?eGN{VRXEwPN+%Ci z>m*=R&VH=gWjCvG31&41oLKdNbFB8DkkuSaVs)-Itk#v!ZXB{>^?W{49Nxv0ZYJ!O zTNk_SK91dScVvz3(X7e+j>>-4=26bt1!LGffhFq@Y-OE-ldMY+$LtvxlCm zSvTid&3Zfs*%P4&dn$Bhy}|_6CoE+B!YcMsc$>WycCq)uf7q~aNYzK!sFyK#UI)Rv zk^wIT)Vz8y#_JU{yhbod^c8ePI#3f$#Z1vU{2=0EmM8>2ib|m;YQ=2tP0;ra!W{1s z7|zu8%j2eX=mmHwyE8>#@-H1s3_O!eT!KO#J?XsaPLoViA^zld)8+ zfQ7gfmf~(K6F-NQcmT_}@v!FRVFkAyHXI+eTsrKy`>^M}V5R>oIQTnYmA^Aq`-fqT ze-wW5Z@}6BU|oPYhxGw`YzTC~#=xW4bj%E!k16qU&{k{-io!3)H(={=4%<#dVEc(W z>DLcNcPeso?IF!u zgrdA%$juX=IPWq_@(HDdsgM=6L4K_lP$wBbPZ~y`KXi1 zab2oV*?{`u@lX`6g|c`AiV`E-EHOhviLJ_&xLv|WV@WldO1jZfYJ%3%WVFeqpjEaS z?XqpSE8DB`2s&gkbjm)XtIP!V%dGLBY^}--=q?*TPZ>9YM{;%a$TjdpZh~j>SUi^( z;k8_<+G7mJ2UPxxcjcxSEVsh@ip?0REX4cDA$+Jd#mAZz_)rso;o1s})VX80t^l8I z6ybCIuNbW#!WTsZ@syVMtlUCSek8gnA+?4DG^U}Q(D0VVa<@H6qfwtU8$D@UV+?6E zt)cNvwKSpW1x;$!ChcYmn%sPvbehl8H_h2Jt+|z^H;>SamYMWz%PRV=#g)Eqk_tlU{2s&2HO6bJ`-wpiN1JZC}W!-IV6GyU_f02`y;vr-gSX(xST-wD{f};!HZe zro|n4WZF?cW*q~xq;n@N?W`vA&Ox&1G9=qBBeLx3r)Bq_ljQ>)vU;dX%O2X(^6ph+ z)$LB!J)X3@Cy7=(N+Ih{9?ZV